Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / verif / diag / assembly / uarch / tlu / diag / newfcrand05 / fcrand05_rand_6.s
CommitLineData
86530b38
AT
1/*
2* ========== Copyright Header Begin ==========================================
3*
4* OpenSPARC T2 Processor File: fcrand05_rand_6.s
5* Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
6* 4150 Network Circle, Santa Clara, California 95054, U.S.A.
7*
8* DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
9*
10* This program is free software; you can redistribute it and/or modify
11* it under the terms of the GNU General Public License as published by
12* the Free Software Foundation; version 2 of the License.
13*
14* This program is distributed in the hope that it will be useful,
15* but WITHOUT ANY WARRANTY; without even the implied warranty of
16* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
17* GNU General Public License for more details.
18*
19* You should have received a copy of the GNU General Public License
20* along with this program; if not, write to the Free Software
21* Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
22*
23* For the avoidance of doubt, and except that if any non-GPL license
24* choice is available it will apply instead, Sun elects to use only
25* the General Public License version 2 (GPLv2) at this time for any
26* software where a choice of GPL license versions is made
27* available with the language indicating that GPLv2 or any later version
28* may be used, or where a choice of which version of the GPL is applied is
29* otherwise unspecified.
30*
31* Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
32* CA 95054 USA or visit www.sun.com if you need additional information or
33* have any questions.
34*
35*
36* ========== Copyright Header End ============================================
37*/
38#define NO_INTERNAL_SPU
39#define IMMU_SKIP_IF_NO_TTE
40#define DMMU_SKIP_IF_NO_TTE
41#define MAIN_PAGE_NUCLEUS_ALSO
42#define MAIN_PAGE_HV_ALSO
43#define MAIN_PAGE_VA_IS_RA_ALSO
44#define DISABLE_PART_LIMIT_CHECK
45#define MAIN_PAGE_USE_CONFIG 3
46#define PART0_Z_TSB_SIZE_3 10
47#define PART0_Z_PAGE_SIZE_3 1
48#define PART0_NZ_TSB_SIZE_3 10
49#define PART0_NZ_PAGE_SIZE_3 1
50#define PART0_Z_TSB_SIZE_1 3
51#define PART0_NZ_TSB_SIZE_1 3
52
53#define PART_0_BASE 0x0
54#define USER_PAGE_CUSTOM_MAP
55#define MAIN_BASE_TEXT_VA 0x333000000
56#define MAIN_BASE_TEXT_RA 0x033000000
57#define MAIN_BASE_DATA_VA 0x379400000
58#define MAIN_BASE_DATA_RA 0x079400000
59#define HIGHVA_HIGHNUM 0x3
60
61#d
62#define NO_EOB_MARKER
63
64#undef INC_ERR_TRAPS
65
66#undef H_HT0_Instruction_Access_MMU_Error_0x71
67#define H_HT0_Instruction_Access_MMU_Error_0x71
68#define SUN_H_HT0_Instruction_Access_MMU_Error_0x71 \
69 mov 0x80, %l3;\
70 stxa %g0, [%l3]0x57;\
71 retry;
72#undef H_HT0_Instruction_access_error_0x0a
73#define H_HT0_Instruction_access_error_0x0a
74#define SUN_H_HT0_Instruction_access_error_0x0a retry
75#undef H_HT0_Internal_Processor_Error_0x29
76#define H_HT0_Internal_Processor_Error_0x29
77#define SUN_H_HT0_Internal_Processor_Error_0x29 retry
78#undef H_HT0_Data_Access_MMU_Error_0x72
79#define H_HT0_Data_Access_MMU_Error_0x72
80#define SUN_H_HT0_Data_Access_MMU_Error_0x72 \
81 mov 0x80, %l3;\
82 stxa %g0, [%l3]0x5f;\
83 retry;
84#undef H_HT0_Data_access_error_0x32
85#define H_HT0_Data_access_error_0x32
86#define SUN_H_HT0_Data_access_error_0x32 \
87 add %g0, 0x18, %i1;\
88 ldxa [%i1] 0x58, %i2;\
89 cmp %i2, 0x4;\
90 bne 1f;\
91 nop;\
92 done;\
93 1:retry
94#undef H_HT0_Hw_Corrected_Error_0x63
95#define H_HT0_Hw_Corrected_Error_0x63
96#define SUN_H_HT0_Hw_Corrected_Error_0x63 ldxa [%g0]ASI_DESR, %i1; retry
97#undef H_HT0_Sw_Recoverable_Error_0x40
98#define H_HT0_Sw_Recoverable_Error_0x40
99#define SUN_H_HT0_Sw_Recoverable_Error_0x40 ldxa [%g0]ASI_DESR, %i1; retry
100#undef H_HT0_Store_Error_0x07
101#define H_HT0_Store_Error_0x07
102#define SUN_H_HT0_Store_Error_0x07 retry
103
104#define DAE_SKIP_IF_SOCU_ERROR
105#ifndef T_HANDLER_RAND4_1
106#define T_HANDLER_RAND4_1 b .+16;\
107 sdiv %r1, %r0, %l4;nop;nop
108#endif
109#ifndef T_HANDLER_RAND7_1
110#define T_HANDLER_RAND7_1 b .+28;\
111 pdist %f4, %f6, %f20; \
112 nop; nop ; nop; nop; illtrap
113#endif
114#ifndef T_HANDLER_RAND4_2
115#define T_HANDLER_RAND4_2 save %i7, %g0, %i7; \
116 save %i7, %g0, %i7; \
117 restore %i7, %g0, %i7;\
118 restore %i7, %g0, %i7;
119#endif
120#ifndef T_HANDLER_RAND7_2
121#define T_HANDLER_RAND7_2 b .+8 ;\
122 rdpr %pstate, %l2;\
123 b .+8 ;\
124 rdpr %tstate, %l3;\
125 b .+12 ;\
126 wrpr %l3, %r0, %tstate; nop
127#endif
128#ifndef T_HANDLER_RAND4_3
129#define T_HANDLER_RAND4_3 save %i7, %g0, %i7;\
130 restore %i7, %g0, %i7;\
131 save %i7, %g0, %i7; \
132 restore %i7, %g0, %i7;
133#endif
134#ifndef T_HANDLER_RAND7_3
135#define T_HANDLER_RAND7_3 b .+8 ;\
136 rdpr %tnpc, %l2;\
137 and %l2, 0xfc0, %l2;\
138 add %i7, %l2, %l2;\
139 stda %f16,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY ;\
140 b .+8 ;\
141 stda %f0,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE ;
142#endif
143#ifndef T_HANDLER_RAND4_4
144#define T_HANDLER_RAND4_4 b .+4 ; b .+4; b .+4; b .+4
145#endif
146#ifndef T_HANDLER_RAND7_4
147#define T_HANDLER_RAND7_4 b .+8;\
148 save %i7, %g0, %i7; \
149 b,a .+8;\
150 b .+12;\
151 stw %i7, [%i7];\
152 b .-8;;\
153 restore %i7, %g0, %i7;
154
155#endif
156#ifndef T_HANDLER_RAND4_5
157#define T_HANDLER_RAND4_5 ldda [%r31]ASI_NUCLEUS_QUAD_LDD, %f16;\
158 sdiv %l4, %l5, %l7;\
159 add %r31, 128, %l5;\
160 stda %l4, [%i7]ASI_BLOCK_PRIMARY_LITTLE;
161#endif
162#ifndef T_HANDLER_RAND7_5
163#define T_HANDLER_RAND7_5 save %i7, %g0, %i7;\
164 rdpr %tnpc, %l2;\
165 wrpr %l2, %tpc;\
166 add %l2, 4, %l2;\
167 wrpr %l2, %tnpc;\
168 restore %i7, %g0, %i7;\
169 retry;
170#endif
171#ifndef T_HANDLER_RAND4_6
172#define T_HANDLER_RAND4_6 ldda [%r31]ASI_BLOCK_AS_IF_USER_PRIMARY, %f32;\
173 rd %fprs, %l2; \
174 wr %l2, 0x4, %fprs ;\
175 stda %f0,[%r31]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE;
176#endif
177#ifndef T_HANDLER_RAND7_6
178#define T_HANDLER_RAND7_6 umul %o4, 2, %o5;\
179 rdpr %tnpc, %l2;\
180 wrpr %l2, %tpc;\
181 add %l2, 4, %l2;\
182 wrpr %l2, %tnpc;\
183 stw %l2, [%i7];\
184 retry;
185#endif
186!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
187#ifndef HT_HANDLER_RAND4_1
188#define HT_HANDLER_RAND4_1 mov 0x80, %l3;\
189 b .+12;\
190 stxa %l3, [%l3]0x57 ;\
191 nop
192#endif
193#ifndef HT_HANDLER_RAND7_1
194#define HT_HANDLER_RAND7_1 b .+28;\
195 pdist %f4, %f4, %f20;\
196 nop; nop ; nop; nop; illtrap
197#endif
198#ifndef HT_HANDLER_RAND4_2
199#define HT_HANDLER_RAND4_2 rdpr %tstate, %l2;\
200 b .+12;\
201 wrpr %l2, 0x800, %tstate;\
202 nop;
203#endif
204#ifndef HT_HANDLER_RAND7_2
205#define HT_HANDLER_RAND7_2 b .+8 ;\
206 rdhpr %hpstate, %l2;\
207 b .+8 ;\
208 rdhpr %htstate, %l3;\
209 b .+12 ;\
210 wrhpr %l3, %r0, %htstate; nop
211#endif
212#ifndef HT_HANDLER_RAND4_3
213#define HT_HANDLER_RAND4_3 stxa %l4, [%r31]ASI_AS_IF_USER_PRIMARY;\
214 mov 0x80, %l3;\
215 stxa %l3, [%l3]0x5f ;\
216 b .+8 ;\
217 ldxa [%r31]ASI_AS_IF_USER_PRIMARY, %l4;
218#endif
219#ifndef HT_HANDLER_RAND7_3
220#define HT_HANDLER_RAND7_3 b .+8 ;\
221 rdpr %tnpc, %l2;\
222 and %l2, 0xfc0, %l2;\
223 add %i7, %l2, %l2;\
224 stda %f16,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY ;\
225 b .+8 ;\
226 stda %f0,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE ;
227#endif
228#ifndef HT_HANDLER_RAND4_4
229#define HT_HANDLER_RAND4_4 ldda [%i7]ASI_BLOCK_PRIMARY_LITTLE, %f0;\
230 b .+12 ;\
231 stxa %l3, [%g0]ASI_LSU_CONTROL; nop
232#endif
233#ifndef HT_HANDLER_RAND7_4
234#define HT_HANDLER_RAND7_4 rdpr %tnpc, %l3;\
235 and %l3, 0xff, %l3;\
236 sllx %l3, 26, %l3;\
237 ldxa [%g0]0x45, %l4;\
238 or %l3, %l4, %l3 ;\
239 stxa %l3, [%g0]0x45 ;\
240 nop;
241#endif
242#ifndef HT_HANDLER_RAND4_5
243#define HT_HANDLER_RAND4_5 ldda [%r31]ASI_NUCLEUS_QUAD_LDD, %f48;\
244 sdiv %l4, %l5, %l6;\
245 sdiv %l3, %l6, %l7;\
246 stda %f32, [%r31]ASI_BLOCK_PRIMARY_LITTLE;
247#endif
248#ifndef HT_HANDLER_RAND7_5
249#define HT_HANDLER_RAND7_5 save %i7, %g0, %i7;\
250 rdpr %tnpc, %l2;\
251 wrpr %l2, %tpc;\
252 add %l2, 4, %l2;\
253 wrpr %l2, %tnpc;\
254 restore %i7, %g0, %i7;\
255 retry;
256#endif
257#ifndef HT_HANDLER_RAND4_6
258#define HT_HANDLER_RAND4_6 ld [%r31], %l2;\
259 rd %fprs, %l2; \
260 wr %l2, 0x4, %fprs ;\
261 stda %f0,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE;
262#endif
263#ifndef HT_HANDLER_RAND7_6
264#define HT_HANDLER_RAND7_6 rdhpr %htstate, %o4;\
265 rdpr %tnpc, %l2;\
266 wrpr %l2, %tpc;\
267 add %l2, 4, %l2;\
268 wrpr %l2, %tnpc;\
269 wrhpr %o4, %r0, %htstate;\
270 retry;
271#endif
272
273!!!!!!!!!!!!!!!!!!!!!!!!!
274!! Disable trap checking
275#define NO_TRAPCHECK
276
277! Enable Traps
278#define ENABLE_T1_Privileged_Opcode_0x11
279#define ENABLE_T1_Fp_Disabled_0x20
280#define ENABLE_HT0_Watchdog_Reset_0x02
281
282#define FILL_TRAP_RETRY
283#define SPILL_TRAP_RETRY
284#define CLEAN_WIN_RETRY
285
286#define My_RED_Mode_Other_Reset
287#define My_RED_Mode_Other_Reset \
288 ba red_other_ext;\
289 nop;retry;nop;nop;nop;nop;nop
290
291#define H_HT0_Software_Initiated_Reset_0x04
292#define SUN_H_HT0_Software_Initiated_Reset_0x04 \
293 setx Software_Reset_Handler, %g1, %g2 ;\
294 jmp %g2 ;\
295 nop
296#define H_T1_Clean_Window_0x24
297#define SUN_H_T1_Clean_Window_0x24 \
298 rdpr %cleanwin, %l1;\
299 add %l1,1,%l1;\
300 wrpr %l1, %g0, %cleanwin;\
301 retry; nop; nop; nop; nop
302
303#define H_T1_Clean_Window_0x25
304#define SUN_H_T1_Clean_Window_0x25 \
305 rdpr %cleanwin, %l1;\
306 add %l1,1,%l1;\
307 wrpr %l1, %g0, %cleanwin;\
308 retry; nop; nop; nop; nop
309
310#define H_T1_Clean_Window_0x26
311#define SUN_H_T1_Clean_Window_0x26 \
312 rdpr %cleanwin, %l1;\
313 add %l1,1,%l1;\
314 wrpr %l1, %g0, %cleanwin;\
315 retry; nop; nop; nop; nop
316
317#define H_T1_Clean_Window_0x27
318#define SUN_H_T1_Clean_Window_0x27 \
319 rdpr %cleanwin, %l1;\
320 add %l1,1,%l1;\
321 wrpr %l1, %g0, %cleanwin;\
322 retry; nop; nop; nop; nop
323#define H_HT0_Tag_Overflow
324#define My_HT0_Tag_Overflow \
325 HT_HANDLER_RAND7_1 ;\
326 done
327
328#define H_T0_Tag_Overflow
329#define My_T0_Tag_Overflow \
330 T_HANDLER_RAND7_2 ;\
331 done
332
333#define H_T1_Tag_Overflow_0x23
334#define SUN_H_T1_Tag_Overflow_0x23 \
335 T_HANDLER_RAND7_3 ;\
336 done
337
338#define H_T0_Window_Spill_0_Normal_Trap
339#define SUN_H_T0_Window_Spill_0_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
340
341#define H_T0_Window_Spill_1_Normal_Trap
342#define SUN_H_T0_Window_Spill_1_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
343
344#define H_T0_Window_Spill_2_Normal_Trap
345#define SUN_H_T0_Window_Spill_2_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
346
347#define H_T0_Window_Spill_3_Normal_Trap
348#define SUN_H_T0_Window_Spill_3_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
349
350#define H_T0_Window_Spill_4_Normal_Trap
351#define SUN_H_T0_Window_Spill_4_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
352
353#define H_T0_Window_Spill_5_Normal_Trap
354#define SUN_H_T0_Window_Spill_5_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
355
356#define H_T0_Window_Spill_6_Normal_Trap
357#define SUN_H_T0_Window_Spill_6_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
358
359#define H_T0_Window_Spill_7_Normal_Trap
360#define SUN_H_T0_Window_Spill_7_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
361
362#define H_T0_Window_Spill_0_Other_Trap
363#define SUN_H_T0_Window_Spill_0_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
364
365#define H_T0_Window_Spill_1_Other_Trap
366#define SUN_H_T0_Window_Spill_1_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
367
368#define H_T0_Window_Spill_2_Other_Trap
369#define SUN_H_T0_Window_Spill_2_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
370
371#define H_T0_Window_Spill_3_Other_Trap
372#define SUN_H_T0_Window_Spill_3_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
373
374#define H_T0_Window_Spill_4_Other_Trap
375#define SUN_H_T0_Window_Spill_4_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
376
377#define H_T0_Window_Spill_5_Other_Trap
378#define SUN_H_T0_Window_Spill_5_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
379
380#define H_T0_Window_Spill_6_Other_Trap
381#define SUN_H_T0_Window_Spill_6_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
382
383#define H_T0_Window_Spill_7_Other_Trap
384#define SUN_H_T0_Window_Spill_7_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
385
386#define H_T0_Window_Fill_0_Normal_Trap
387#define SUN_H_T0_Window_Fill_0_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
388
389#define H_T0_Window_Fill_1_Normal_Trap
390#define SUN_H_T0_Window_Fill_1_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
391
392#define H_T0_Window_Fill_2_Normal_Trap
393#define SUN_H_T0_Window_Fill_2_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
394
395#define H_T0_Window_Fill_3_Normal_Trap
396#define SUN_H_T0_Window_Fill_3_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
397
398#define H_T0_Window_Fill_4_Normal_Trap
399#define SUN_H_T0_Window_Fill_4_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
400
401#define H_T0_Window_Fill_5_Normal_Trap
402#define SUN_H_T0_Window_Fill_5_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
403
404#define H_T0_Window_Fill_6_Normal_Trap
405#define SUN_H_T0_Window_Fill_6_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
406
407#define H_T0_Window_Fill_7_Normal_Trap
408#define SUN_H_T0_Window_Fill_7_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
409
410#define H_T0_Window_Fill_0_Other_Trap
411#define SUN_H_T0_Window_Fill_0_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
412
413#define H_T0_Window_Fill_1_Other_Trap
414#define SUN_H_T0_Window_Fill_1_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
415
416#define H_T0_Window_Fill_2_Other_Trap
417#define SUN_H_T0_Window_Fill_2_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
418
419#define H_T0_Window_Fill_3_Other_Trap
420#define SUN_H_T0_Window_Fill_3_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
421
422#define H_T0_Window_Fill_4_Other_Trap
423#define SUN_H_T0_Window_Fill_4_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
424
425#define H_T0_Window_Fill_5_Other_Trap
426#define SUN_H_T0_Window_Fill_5_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
427
428#define H_T0_Window_Fill_6_Other_Trap
429#define SUN_H_T0_Window_Fill_6_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
430
431#define H_T0_Window_Fill_7_Other_Trap
432#define SUN_H_T0_Window_Fill_7_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
433#define H_T1_Window_Spill_0_Normal_Trap
434#define SUN_H_T1_Window_Spill_0_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
435
436#define H_T1_Window_Spill_1_Normal_Trap
437#define SUN_H_T1_Window_Spill_1_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
438
439#define H_T1_Window_Spill_2_Normal_Trap
440#define SUN_H_T1_Window_Spill_2_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
441
442#define H_T1_Window_Spill_3_Normal_Trap
443#define SUN_H_T1_Window_Spill_3_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
444
445#define H_T1_Window_Spill_4_Normal_Trap
446#define SUN_H_T1_Window_Spill_4_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
447
448#define H_T1_Window_Spill_5_Normal_Trap
449#define SUN_H_T1_Window_Spill_5_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
450
451#define H_T1_Window_Spill_6_Normal_Trap
452#define SUN_H_T1_Window_Spill_6_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
453
454#define H_T1_Window_Spill_7_Normal_Trap
455#define SUN_H_T1_Window_Spill_7_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
456
457#define H_T1_Window_Spill_0_Other_Trap
458#define SUN_H_T1_Window_Spill_0_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
459
460#define H_T1_Window_Spill_1_Other_Trap
461#define SUN_H_T1_Window_Spill_1_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
462
463#define H_T1_Window_Spill_2_Other_Trap
464#define SUN_H_T1_Window_Spill_2_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
465
466#define H_T1_Window_Spill_3_Other_Trap
467#define SUN_H_T1_Window_Spill_3_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
468
469#define H_T1_Window_Spill_4_Other_Trap
470#define SUN_H_T1_Window_Spill_4_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
471
472#define H_T1_Window_Spill_5_Other_Trap
473#define SUN_H_T1_Window_Spill_5_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
474
475#define H_T1_Window_Spill_6_Other_Trap
476#define SUN_H_T1_Window_Spill_6_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
477
478#define H_T1_Window_Spill_7_Other_Trap
479#define SUN_H_T1_Window_Spill_7_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
480
481#define H_T1_Window_Fill_0_Normal_Trap
482#define SUN_H_T1_Window_Fill_0_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
483
484#define H_T1_Window_Fill_1_Normal_Trap
485#define SUN_H_T1_Window_Fill_1_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
486
487#define H_T1_Window_Fill_2_Normal_Trap
488#define SUN_H_T1_Window_Fill_2_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
489
490#define H_T1_Window_Fill_3_Normal_Trap
491#define SUN_H_T1_Window_Fill_3_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
492
493#define H_T1_Window_Fill_4_Normal_Trap
494#define SUN_H_T1_Window_Fill_4_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
495
496#define H_T1_Window_Fill_5_Normal_Trap
497#define SUN_H_T1_Window_Fill_5_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
498
499#define H_T1_Window_Fill_6_Normal_Trap
500#define SUN_H_T1_Window_Fill_6_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
501
502#define H_T1_Window_Fill_7_Normal_Trap
503#define SUN_H_T1_Window_Fill_7_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
504
505#define H_T1_Window_Fill_0_Other_Trap
506#define SUN_H_T1_Window_Fill_0_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
507
508#define H_T1_Window_Fill_1_Other_Trap
509#define SUN_H_T1_Window_Fill_1_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
510
511#define H_T1_Window_Fill_2_Other_Trap
512#define SUN_H_T1_Window_Fill_2_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
513
514#define H_T1_Window_Fill_3_Other_Trap
515#define SUN_H_T1_Window_Fill_3_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
516
517#define H_T1_Window_Fill_4_Other_Trap
518#define SUN_H_T1_Window_Fill_4_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
519
520#define H_T1_Window_Fill_5_Other_Trap
521#define SUN_H_T1_Window_Fill_5_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
522
523#define H_T1_Window_Fill_6_Other_Trap
524#define SUN_H_T1_Window_Fill_6_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
525
526#define H_T1_Window_Fill_7_Other_Trap
527#define SUN_H_T1_Window_Fill_7_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
528
529#define H_T0_Trap_Instruction_0
530#define My_T0_Trap_Instruction_0 \
531 T_HANDLER_RAND7_5 ;\
532 done;
533
534#define H_T0_Trap_Instruction_1
535#define My_T0_Trap_Instruction_1 \
536 T_HANDLER_RAND7_6 ;\
537 done;
538
539#define H_T0_Trap_Instruction_2
540#define My_T0_Trap_Instruction_2 \
541 inc %o3;\
542 umul %o3, 2, %o4;\
543 ba 1f; \
544 save %i7, %g0, %i7; \
545 2: done; \
546 nop; \
547 1: ba 2b; \
548 restore %i7, %g0, %i7
549#define H_T0_Trap_Instruction_3
550#define My_T0_Trap_Instruction_3 \
551 save %i7, %g0, %i7 ;\
552 T_HANDLER_RAND4_5;\
553 stw %o4, [%i7];\
554 restore %i7, %g0, %i7 ;\
555 done
556#define H_T0_Trap_Instruction_4
557#define My_T0_Trap_Instruction_4 \
558 T_HANDLER_RAND7_6 ;\
559 done;
560
561#define H_T0_Trap_Instruction_5
562#define My_T0_Trap_Instruction_5 \
563 T_HANDLER_RAND4_5;\
564 done;
565
566#define H_T1_Trap_Instruction_0
567#define My_T1_Trap_Instruction_0 \
568 inc %o4;\
569 umul %o4, 2, %o5;\
570 ba 3f; \
571 save %i7, %g0, %i7; \
572 4: done; \
573 nop; \
574 3: ba 4b; \
575 restore %i7, %g0, %i7
576#define H_T1_Trap_Instruction_1
577#define My_T1_Trap_Instruction_1 \
578 T_HANDLER_RAND7_3;\
579 done
580#define H_T1_Trap_Instruction_2
581#define My_T1_Trap_Instruction_2 \
582 inc %o3;\
583 umul %o3, 2, %o4;\
584 ba 5f; \
585 save %i7, %g0, %i7; \
586 6: done; \
587 nop; \
588 5: ba 6b; \
589 restore %i7, %g0, %i7
590#define H_T1_Trap_Instruction_3
591#define My_T1_Trap_Instruction_3 \
592 T_HANDLER_RAND4_1;\
593 done;
594
595#define H_T1_Trap_Instruction_4
596#define My_T1_Trap_Instruction_4 \
597 T_HANDLER_RAND7_1;\
598 done;
599#define H_T1_Trap_Instruction_5
600#define My_T1_Trap_Instruction_5 \
601 T_HANDLER_RAND7_2;\
602 done
603#define H_HT0_Trap_Instruction_0
604#define My_HT0_Trap_Instruction_0 \
605 HT_HANDLER_RAND4_1 ;\
606 done;
607#define H_HT0_Trap_Instruction_1
608#define My_HT0_Trap_Instruction_1 \
609 HT_HANDLER_RAND4_3 ;\
610 done
611#define H_HT0_Trap_Instruction_2
612#define My_HT0_Trap_Instruction_2 \
613 HT_HANDLER_RAND7_5 ;\
614 done;
615#define H_HT0_Trap_Instruction_3
616#define My_HT0_Trap_Instruction_3 \
617 HT_HANDLER_RAND4_5 ;\
618 done
619#define H_HT0_Trap_Instruction_4
620#define My_HT0_Trap_Instruction_4 \
621 HT_HANDLER_RAND7_4 ;\
622 done
623#define H_HT0_Trap_Instruction_5
624#define My_HT0_Trap_Instruction_5 \
625 ba htrap_5_ext;\
626 nop; retry;\
627 nop; nop; nop; nop; nop
628
629#define H_HT0_Mem_Address_Not_Aligned_0x34
630#define My_HT0_Mem_Address_Not_Aligned_0x34 \
631 HT_HANDLER_RAND4_2 ;\
632 done ;
633#define H_HT0_Illegal_instruction_0x10
634#define My_HT0_Illegal_instruction_0x10 \
635 done;
636
637#define H_HT0_DAE_so_page_0x30
638#define My_HT0_DAE_so_page_0x30 \
639 done;
640#define H_HT0_DAE_invalid_asi_0x14
641#define SUN_H_HT0_DAE_invalid_asi_0x14 \
642 done
643#define H_HT0_DAE_privilege_violation_0x15
644#define SUN_H_HT0_DAE_privilege_violation_0x15 \
645 done;
646#define H_HT0_Privileged_Action_0x37
647#define My_HT0_Privileged_Action_0x37 \
648 done; \
649 nop; nop
650#define H_HT0_Lddf_Mem_Address_Not_Aligned_0x35
651#define My_HT0_Lddf_Mem_Address_Not_Aligned_0x35 \
652 HT_HANDLER_RAND4_3 ;\
653 done
654#define H_HT0_Stdf_Mem_Address_Not_Aligned_0x36
655#define My_HT0_Stdf_Mem_Address_Not_Aligned_0x36 \
656 HT_HANDLER_RAND7_1;\
657 done
658#define H_HT0_Fp_exception_ieee_754_0x21
659#define My_HT0_Fp_exception_ieee_754_0x21 \
660 HT_HANDLER_RAND4_2 ;\
661 done
662#define H_HT0_Fp_exception_other_0x22
663#define My_HT0_Fp_exception_other_0x22 \
664 HT_HANDLER_RAND7_2 ;\
665 done
666#define H_HT0_Division_By_Zero
667#define My_HT0_Division_By_Zero \
668 HT_HANDLER_RAND4_6;\
669 done
670#define H_T0_Division_By_Zero
671#define My_T0_Division_By_Zero \
672 T_HANDLER_RAND4_3;\
673 done
674#define H_T1_Division_By_Zero_0x28
675#define My_H_T1_Division_By_Zero_0x28 \
676 T_HANDLER_RAND4_3;\
677 done
678#define H_T0_Division_By_Zero
679#define My_T0_Division_By_Zero\
680 T_HANDLER_RAND4_4 ;\
681 done
682#define H_T0_Fp_exception_ieee_754_0x21
683#define My_T0_Fp_exception_ieee_754_0x21 \
684 T_HANDLER_RAND4_3 ;\
685 done
686#define H_T1_Fp_Exception_Ieee_754_0x21
687#define My_H_T1_Fp_Exception_Ieee_754_0x21 \
688 T_HANDLER_RAND4_4 ;\
689 done
690#define H_T1_Fp_Exception_Other_0x22
691#define My_H_T1_Fp_Exception_Other_0x22 \
692 T_HANDLER_RAND4_5 ;\
693 done
694#define H_T1_Privileged_Opcode_0x11
695#define SUN_H_T1_Privileged_Opcode_0x11 \
696 T_HANDLER_RAND4_6 ;\
697 done
698
699#define H_HT0_Privileged_opcode_0x11
700#define My_HT0_Privileged_opcode_0x11 \
701 HT_HANDLER_RAND4_1;\
702 done;
703
704#define H_HT0_Fp_disabled_0x20
705#define My_HT0_Fp_disabled_0x20 \
706 mov 0x4, %l2 ;\
707 wr %l2, 0x0, %fprs ;\
708 sllx %l2, 10, %l3; \
709 rdpr %tstate, %l2;\
710 or %l2, %l3, %l2 ;\
711 stw %l2, [%i7];\
712 wrpr %l2, 0x0, %tstate;\
713 retry;
714
715#define H_T0_Fp_disabled_0x20
716#define My_T0_Fp_disabled_0x20 \
717 mov 0x4, %l2 ;\
718 wr %l2, 0x0, %fprs ;\
719 sllx %l2, 10, %l3; \
720 rdpr %tstate, %l2;\
721 or %l2, %l3, %l2 ;\
722 wrpr %l2, 0x0, %tstate;\
723 retry; nop
724
725#define H_T1_Fp_Disabled_0x20
726#define My_H_T1_Fp_Disabled_0x20 \
727 mov 0x4, %l2 ;\
728 wr %l2, 0x0, %fprs ;\
729 sllx %l2, 10, %l3; \
730 rdpr %tstate, %l2;\
731 or %l2, %l3, %l2 ;\
732 wrpr %l2, 0x0, %tstate;\
733 stw %l2, [%i7];\
734 retry
735
736#define H_HT0_Watchdog_Reset_0x02
737#define My_HT0_Watchdog_Reset_0x02 \
738 ba wdog_2_ext;\
739 nop;retry;nop;nop;nop;nop;nop
740
741#define H_T0_Privileged_opcode_0x11
742#define My_T0_Privileged_opcode_0x11 \
743 T_HANDLER_RAND4_4;\
744 done
745
746#define H_T1_Fp_exception_other_0x22
747#define My_T1_Fp_exception_other_0x22 \
748 T_HANDLER_RAND7_3 ;\
749 done;
750
751#define H_T0_Fp_exception_other_0x22
752#define My_T0_Fp_exception_other_0x22 \
753 T_HANDLER_RAND7_4;\
754 done
755
756#define H_HT0_Trap_Level_Zero_0x5f
757#define My_HT0_Trap_Level_Zero_0x5f \
758 not %g0, %r13; \
759 rdhpr %hpstate, %l3;\
760 jmp %r13;\
761 rdhpr %htstate, %l3;\
762 and %l3, 0xfe, %l3;\
763 wrhpr %l3, 0, %htstate;\
764 stw %r13, [%i7];\
765 retry
766
767#define My_Watchdog_Reset
768#define My_Watchdog_Reset \
769 ba wdog_red_ext;\
770 nop;retry;nop;nop;nop;nop;nop
771
772#define H_HT0_Control_Transfer_Instr_0x74
773#define My_H_HT0_Control_Transfer_Instr_0x74 \
774 rdpr %tstate, %l3;\
775 mov 1, %l4;\
776 sllx %l4, 20, %l4;\
777 wrpr %l3, %l4, %tstate ;\
778 retry;nop;
779
780#define H_T0_Control_Transfer_Instr_0x74
781#define My_H_T0_Control_Transfer_Instr_0x74 \
782 rdpr %tstate, %l3;\
783 mov 1, %l4;\
784 sllx %l4, 20, %l4;\
785 wrpr %l3, %l4, %tstate ;\
786 retry;nop;
787
788#define H_T1_Control_Transfer_Instr_0x74
789#define My_H_T1_Control_Transfer_Instr_0x74 \
790 rdpr %tstate, %l3;\
791 mov 1, %l4;\
792 sllx %l4, 20, %l4;\
793 wrpr %l3, %l4, %tstate ;\
794 retry;nop;
795#define H_HT0_data_access_protection_0x6c
796#define SUN_H_HT0_data_access_protection_0x6c ba daccess_prot_handler; nop
797
798#define H_HT0_PA_Watchpoint_0x61
799#define My_H_HT0_PA_Watchpoint_0x61 \
800 HT_HANDLER_RAND7_4;\
801 done
802
803#ifndef H_HT0_Data_access_error_0x32
804#define H_HT0_Data_access_error_0x32
805#define SUN_H_HT0_Data_access_error_0x32 \
806 done;nop
807#endif
808#define H_T0_VA_Watchpoint_0x62
809#define My_T0_VA_Watchpoint_0x62 \
810 T_HANDLER_RAND7_5;\
811 done
812
813#define H_T1_VA_Watchpoint_0x62
814#define SUN_H_T1_VA_Watchpoint_0x62 \
815 T_HANDLER_RAND7_3;\
816 done
817
818#define H_HT0_VA_Watchpoint_0x62
819#define My_H_HT0_VA_Watchpoint_0x62 \
820 HT_HANDLER_RAND7_5;\
821 done
822
823#define H_HT0_Instruction_VA_Watchpoint_0x75
824#define SUN_H_HT0_Instruction_VA_Watchpoint_0x75 \
825 done;
826
827#define H_HT0_Instruction_Breakpoint_0x76
828#define SUN_H_HT0_Instruction_Breakpoint_0x76 \
829 rdhpr %htstate, %g1;\
830 wrhpr %g1, 0x400, %htstate;\
831 retry;nop
832#define H_HT0_Instruction_address_range_0x0d
833#define SUN_H_HT0_Instruction_address_range_0x0d \
834 HT_HANDLER_RAND4_1;\
835 done;
836
837#define H_HT0_Instruction_real_range_0x0e
838#define SUN_H_HT0_Instruction_real_range_0x0e \
839 HT_HANDLER_RAND4_1;\
840 done;
841
842#define H_HT0_mem_real_range_0x2d
843#define SUN_H_HT0_mem_real_range_0x2d \
844 HT_HANDLER_RAND4_2;\
845 done;
846#define H_HT0_mem_address_range_0x2e
847#define SUN_H_HT0_mem_address_range_0x2e \
848 HT_HANDLER_RAND4_3;\
849 done;
850
851#define H_HT0_DAE_nc_page_0x16
852#define SUN_H_HT0_DAE_nc_page_0x16 \
853 done;
854
855#define H_HT0_DAE_nfo_page_0x17
856#define SUN_H_HT0_DAE_nfo_page_0x17 \
857 done;
858#define H_HT0_IAE_unauth_access_0x0b
859#define SUN_H_HT0_IAE_unauth_access_0x0b \
860 HT_HANDLER_RAND7_3;\
861 done;
862#define H_HT0_IAE_nfo_page_0x0c
863#define SUN_H_HT0_IAE_nfo_page_0x0c \
864 HT_HANDLER_RAND7_6;\
865 done;
866#define H_HT0_Reserved_0x3b
867#define SUN_H_HT0_Reserved_0x3b \
868 mov 0x80, %l3;\
869 stxa %l3, [%l3]0x5f ;\
870 stxa %l3, [%l3]0x57 ;\
871 done;
872#define H_HT0_IAE_privilege_violation_0x08
873#define My_HT0_IAE_privilege_violation_0x08 \
874 HT_HANDLER_RAND7_2;\
875 done;
876
877#ifndef H_HT0_Instruction_Access_MMU_Error_0x71
878#define H_HT0_Instruction_Access_MMU_Error_0x71
879#define SUN_H_HT0_Instruction_Access_MMU_Error_0x71 \
880 mov 0x80, %l3;\
881 stxa %l3, [%l3]0x5f ;\
882 stxa %l3, [%l3]0x57 ;\
883 retry;
884#endif
885
886#ifndef H_HT0_Data_Access_MMU_Error_0x72
887#define H_HT0_Data_Access_MMU_Error_0x72
888#define SUN_H_HT0_Data_Access_MMU_Error_0x72 \
889 mov 0x80, %l3;\
890 stxa %l3, [%l3]0x5f ;\
891 stxa %l3, [%l3]0x57 ;\
892 retry;
893#endif
894
895!!!!!!!!!!!!!!!!!!!!!! END of all handlers !!!!!!!!!!!!!!!!!!!
896!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
897!!!!!!!!!!!!!!!! START of Interrupt Handlers !!!!!!!!!!!!!!!!!
898
899#ifndef INT_HANDLER_RAND4_1
900#define INT_HANDLER_RAND4_1 retry; nop; nop; nop
901#endif
902#ifndef INT_HANDLER_RAND7_1
903#define INT_HANDLER_RAND7_1 retry; nop; nop; nop ; nop; nop; nop
904#endif
905#ifndef INT_HANDLER_RAND4_2
906#define INT_HANDLER_RAND4_2 retry; nop; nop; nop
907#endif
908#ifndef INT_HANDLER_RAND7_2
909#define INT_HANDLER_RAND7_2 retry; nop; nop; nop ; nop; nop; nop
910#endif
911#ifndef INT_HANDLER_RAND4_3
912#define INT_HANDLER_RAND4_3 retry; nop; nop; nop
913#endif
914#ifndef INT_HANDLER_RAND7_3
915#define INT_HANDLER_RAND7_3 retry; nop; nop; nop ; nop; nop; nop
916#endif
917#define H_HT0_Externally_Initiated_Reset_0x03
918#define SUN_H_HT0_Externally_Initiated_Reset_0x03 \
919 ldxa [%g0] ASI_LSU_CTL_REG, %g1; \
920 set cregs_lsu_ctl_reg_r64, %g1; \
921 stxa %g1, [%g0] ASI_LSU_CTL_REG; \
922 retry;nop
923
924#define My_External_Reset \
925 ldxa [%g0] ASI_LSU_CTL_REG, %l5; \
926 set cregs_lsu_ctl_reg_r64, %l5; \
927 stxa %l5, [%g0] ASI_LSU_CTL_REG; \
928 retry;nop
929
930!!!!! SPU Interrupt Handlers
931
932#define H_HT0_Control_Word_Queue_Interrupt_0x3c
933#define My_HT0_Control_Word_Queue_Interrupt_0x3c \
934 INT_HANDLER_RAND7_1 ;\
935 retry ;
936
937#define H_HT0_Modular_Arithmetic_Interrupt_0x3d
938#define My_H_HT0_Modular_Arithmetic_Interrupt_0x3d \
939 INT_HANDLER_RAND7_2 ;\
940 retry ;
941!!!!! HW interrupt handlers
942
943#define H_HT0_Interrupt_0x60
944#define My_HT0_Interrupt_0x60 \
945 ldxa [%g0] ASI_SWVR_INTR_RECEIVE, %g5 ;\
946 ldxa [%g0] ASI_SWVR_INTR_R, %g4 ;\
947 ldxa [%g0] ASI_SWVR_INTR_RECEIVE, %g3 ;\
948 INT_HANDLER_RAND4_1 ;\
949 retry;
950
951!!!!! Queue interrupt handler
952#define H_T0_Cpu_Mondo_Trap_0x7c
953#define My_T0_Cpu_Mondo_Trap_0x7c \
954 mov 0x3c8, %g3; \
955 ldxa [%g3] 0x25, %g5; \
956 mov 0x3c0, %g3; \
957 stxa %g5, [%g3] 0x25; \
958 retry; \
959 nop; \
960 nop; \
961 nop
962
963#define H_T0_Dev_Mondo_Trap_0x7d
964#define My_T0_Dev_Mondo_Trap_0x7d \
965 mov 0x3d8, %g3; \
966 ldxa [%g3] 0x25, %g5; \
967 mov 0x3d0, %g3; \
968 stxa %g5, [%g3] 0x25; \
969 retry; \
970 nop; \
971 nop; \
972 nop
973
974#define H_T0_Resumable_Error_0x7e
975#define My_T0_Resumable_Error_0x7e \
976 mov 0x3e8, %g3; \
977 ldxa [%g3] 0x25, %g5; \
978 mov 0x3e0, %g3; \
979 stxa %g5, [%g3] 0x25; \
980 retry; \
981 nop; \
982 nop; \
983 nop
984
985#define H_T1_Cpu_Mondo_Trap_0x7c
986#define My_T1_Cpu_Mondo_Trap_0x7c \
987 mov 0x3c8, %g3; \
988 ldxa [%g3] 0x25, %g5; \
989 mov 0x3c0, %g3; \
990 stxa %g5, [%g3] 0x25; \
991 retry; \
992 nop; \
993 nop; \
994 nop
995
996#define H_T1_Dev_Mondo_Trap_0x7d
997#define My_T1_Dev_Mondo_Trap_0x7d \
998 mov 0x3d8, %g3; \
999 ldxa [%g3] 0x25, %g5; \
1000 mov 0x3d0, %g3; \
1001 stxa %g5, [%g3] 0x25; \
1002 retry; \
1003 nop; \
1004 nop; \
1005 nop
1006
1007#define H_T1_Resumable_Error_0x7e
1008#define My_T1_Resumable_Error_0x7e \
1009 mov 0x3e8, %g3; \
1010 ldxa [%g3] 0x25, %g5; \
1011 mov 0x3e0, %g3; \
1012 stxa %g5, [%g3] 0x25; \
1013 retry; \
1014 nop; \
1015 nop; \
1016 nop
1017
1018#define H_HT0_Reserved_0x7c
1019#define SUN_H_HT0_Reserved_0x7c \
1020 mov 0x3c8, %g3; \
1021 ldxa [%g3] 0x25, %g5; \
1022 mov 0x3c0, %g3; \
1023 stxa %g5, [%g3] 0x25; \
1024 retry; \
1025 nop; \
1026 nop; \
1027 nop
1028
1029#define H_HT0_Reserved_0x7d
1030#define SUN_H_HT0_Reserved_0x7d \
1031 mov 0x3d8, %g3; \
1032 ldxa [%g3] 0x25, %g5; \
1033 mov 0x3d0, %g3; \
1034 stxa %g5, [%g3] 0x25; \
1035 retry; \
1036 nop; \
1037 nop; \
1038 nop
1039
1040#define H_HT0_Reserved_0x7e
1041#define SUN_H_HT0_Reserved_0x7e \
1042 mov 0x3e8, %g3; \
1043 ldxa [%g3] 0x25, %g5; \
1044 mov 0x3e0, %g3; \
1045 stxa %g5, [%g3] 0x25; \
1046 retry; \
1047 nop; \
1048 nop; \
1049 nop
1050!!!!! Hstick-match trap handler
1051#define H_T0_Reserved_0x5e
1052#define My_T0_Reserved_0x5e \
1053 rdhpr %hintp, %g3; \
1054 wrhpr %g3, %g3, %hintp; \
1055 retry; \
1056 nop; \
1057 nop; \
1058 nop; \
1059 nop; \
1060 nop
1061
1062#define H_HT0_Hstick_Match_0x5e
1063#define My_HT0_Hstick_Match_0x5e \
1064 rdhpr %hintp, %g3; \
1065 wrhpr %g3, %g3, %hintp; \
1066 retry; \
1067 nop; \
1068 nop; \
1069 nop; \
1070 nop; \
1071 nop
1072
1073#define H_T0_Reserved_0x5e
1074#define My_T0_Reserved_0x5e \
1075 rdhpr %hintp, %g3; \
1076 wrhpr %g3, %g3, %hintp; \
1077 retry; \
1078 nop; \
1079 nop; \
1080 nop; \
1081 nop; \
1082 nop
1083
1084#define H_T1_Reserved_0x5e
1085#define My_T1_Reserved_0x5e \
1086 rdhpr %hintp, %g3; \
1087 wrhpr %g3, %g3, %hintp; \
1088 retry; \
1089 nop; \
1090 nop; \
1091 nop; \
1092 nop; \
1093 nop
1094!!!!! SW interuupt handlers
1095#define H_T0_Interrupt_Level_14_0x4e
1096#define My_T0_Interrupt_Level_14_0x4e \
1097 rd %softint, %g3; \
1098 sethi %hi(0x14000), %g3; \
1099 or %g3, 0x1, %g3; \
1100 wr %g3, %g0, %clear_softint; \
1101 rd %tick, %g3 ;\
1102 retry; \
1103
1104#define H_T0_Interrupt_Level_1_0x41
1105#define My_T0_Interrupt_Level_1_0x41 \
1106 rd %softint, %g3; \
1107 or %g0, 0x2, %g3; \
1108 wr %g3, %g0, %clear_softint; \
1109 retry; \
1110 nop; \
1111 nop; \
1112 nop; \
1113 nop
1114
1115#define H_T0_Interrupt_Level_2_0x42
1116#define My_T0_Interrupt_Level_2_0x42 \
1117 rd %softint, %g3; \
1118 or %g0, 0x4, %g3; \
1119 wr %g3, %g0, %clear_softint; \
1120 retry; \
1121 nop; \
1122 nop; \
1123 nop; \
1124 nop
1125
1126#define H_T0_Interrupt_Level_3_0x43
1127#define My_T0_Interrupt_Level_3_0x43 \
1128 rd %softint, %g3; \
1129 or %g0, 0x8, %g3; \
1130 wr %g3, %g0, %clear_softint; \
1131 retry; \
1132 nop; \
1133 nop; \
1134 nop; \
1135 nop
1136
1137#define H_T0_Interrupt_Level_4_0x44
1138#define My_T0_Interrupt_Level_4_0x44 \
1139 rd %softint, %g3; \
1140 or %g0, 0x10, %g3; \
1141 wr %g3, %g0, %clear_softint; \
1142 retry; \
1143 nop; \
1144 nop; \
1145 nop; \
1146 nop
1147
1148#define H_T0_Interrupt_Level_5_0x45
1149#define My_T0_Interrupt_Level_5_0x45 \
1150 rd %softint, %g3; \
1151 or %g0, 0x20, %g3; \
1152 wr %g3, %g0, %clear_softint; \
1153 retry; \
1154 nop; \
1155 nop; \
1156 nop; \
1157 nop
1158
1159#define H_T0_Interrupt_Level_6_0x46
1160#define My_T0_Interrupt_Level_6_0x46 \
1161 rd %softint, %g3; \
1162 or %g0, 0x40, %g3; \
1163 wr %g3, %g0, %clear_softint; \
1164 retry; \
1165 nop; \
1166 nop; \
1167 nop; \
1168 nop
1169
1170#define H_T0_Interrupt_Level_7_0x47
1171#define My_T0_Interrupt_Level_7_0x47 \
1172 rd %softint, %g3; \
1173 or %g0, 0x80, %g3; \
1174 wr %g3, %g0, %clear_softint; \
1175 retry; \
1176 nop; \
1177 nop; \
1178 nop; \
1179 nop
1180
1181#define H_T0_Interrupt_Level_8_0x48
1182#define My_T0_Interrupt_Level_8_0x48 \
1183 rd %softint, %g3; \
1184 or %g0, 0x100, %g3; \
1185 wr %g3, %g0, %clear_softint; \
1186 retry; \
1187 nop; \
1188 nop; \
1189 nop; \
1190 nop
1191
1192#define H_T0_Interrupt_Level_9_0x49
1193#define My_T0_Interrupt_Level_9_0x49 \
1194 rd %softint, %g3; \
1195 or %g0, 0x200, %g3; \
1196 wr %g3, %g0, %clear_softint; \
1197 retry; \
1198 nop; \
1199 nop; \
1200 nop; \
1201 nop
1202
1203#define H_T0_Interrupt_Level_10_0x4a
1204#define My_T0_Interrupt_Level_10_0x4a \
1205 rd %softint, %g3; \
1206 or %g0, 0x400, %g3; \
1207 wr %g3, %g0, %clear_softint; \
1208 retry; \
1209 nop; \
1210 nop; \
1211 nop; \
1212 nop
1213
1214#define H_T0_Interrupt_Level_11_0x4b
1215#define My_T0_Interrupt_Level_11_0x4b \
1216 rd %softint, %g3; \
1217 or %g0, 0x800, %g3; \
1218 wr %g3, %g0, %clear_softint; \
1219 retry; \
1220 nop; \
1221 nop; \
1222 nop; \
1223 nop
1224
1225#define H_T0_Interrupt_Level_12_0x4c
1226#define My_T0_Interrupt_Level_12_0x4c \
1227 rd %softint, %g3; \
1228 sethi %hi(0x1000), %g3; \
1229 wr %g3, %g0, %clear_softint; \
1230 retry; \
1231 nop; \
1232 nop; \
1233 nop; \
1234 nop
1235
1236#define H_T0_Interrupt_Level_13_0x4d
1237#define My_T0_Interrupt_Level_13_0x4d \
1238 rd %softint, %g3; \
1239 sethi %hi(0x2000), %g3; \
1240 wr %g3, %g0, %clear_softint; \
1241 retry; \
1242 nop; \
1243 nop; \
1244 nop; \
1245 nop
1246
1247#define H_T0_Interrupt_Level_15_0x4f
1248#define My_T0_Interrupt_Level_15_0x4f \
1249 sethi %hi(0x8000), %g3; \
1250 wr %g3, %g0, %clear_softint; \
1251 wr %g0, %g0, %pic;\
1252 sethi %hi(0x80040000), %g2;\
1253 rd %pcr, %g3;\
1254 andn %g3, %g2, %g3;\
1255 wr %g3, %g0, %pcr;\
1256 retry;
1257
1258#define H_T1_Interrupt_Level_14_0x4e
1259#define My_T1_Interrupt_Level_14_0x4e \
1260 rd %softint, %g3; \
1261 sethi %hi(0x14000), %g3; \
1262 or %g3, 0x1, %g3; \
1263 wr %g3, %g0, %clear_softint; \
1264 rd %tick, %g3 ;\
1265 retry; \
1266
1267#define H_T1_Interrupt_Level_1_0x41
1268#define My_T1_Interrupt_Level_1_0x41 \
1269 rd %softint, %g3; \
1270 or %g0, 0x2, %g3; \
1271 wr %g3, %g0, %clear_softint; \
1272 retry; \
1273 nop; \
1274 nop; \
1275 nop; \
1276 nop
1277
1278#define H_T1_Interrupt_Level_2_0x42
1279#define My_T1_Interrupt_Level_2_0x42 \
1280 rd %softint, %g3; \
1281 or %g0, 0x4, %g3; \
1282 wr %g3, %g0, %clear_softint; \
1283 retry; \
1284 nop; \
1285 nop; \
1286 nop; \
1287 nop
1288
1289#define H_T1_Interrupt_Level_3_0x43
1290#define My_T1_Interrupt_Level_3_0x43 \
1291 rd %softint, %g3; \
1292 or %g0, 0x8, %g3; \
1293 wr %g3, %g0, %clear_softint; \
1294 retry; \
1295 nop; \
1296 nop; \
1297 nop; \
1298 nop
1299
1300#define H_T1_Interrupt_Level_4_0x44
1301#define My_T1_Interrupt_Level_4_0x44 \
1302 rd %softint, %g3; \
1303 or %g0, 0x10, %g3; \
1304 wr %g3, %g0, %clear_softint; \
1305 retry; \
1306 nop; \
1307 nop; \
1308 nop; \
1309 nop
1310
1311#define H_T1_Interrupt_Level_5_0x45
1312#define My_T1_Interrupt_Level_5_0x45 \
1313 rd %softint, %g3; \
1314 or %g0, 0x20, %g3; \
1315 wr %g3, %g0, %clear_softint; \
1316 retry; \
1317 nop; \
1318 nop; \
1319 nop; \
1320 nop
1321
1322#define H_T1_Interrupt_Level_6_0x46
1323#define My_T1_Interrupt_Level_6_0x46 \
1324 rd %softint, %g3; \
1325 or %g0, 0x40, %g3; \
1326 wr %g3, %g0, %clear_softint; \
1327 retry; \
1328 nop; \
1329 nop; \
1330 nop; \
1331 nop
1332
1333#define H_T1_Interrupt_Level_7_0x47
1334#define My_T1_Interrupt_Level_7_0x47 \
1335 rd %softint, %g3; \
1336 or %g0, 0x80, %g3; \
1337 wr %g3, %g0, %clear_softint; \
1338 retry; \
1339 nop; \
1340 nop; \
1341 nop; \
1342 nop
1343
1344#define H_T1_Interrupt_Level_8_0x48
1345#define My_T1_Interrupt_Level_8_0x48 \
1346 rd %softint, %g3; \
1347 or %g0, 0x100, %g3; \
1348 wr %g3, %g0, %clear_softint; \
1349 retry; \
1350 nop; \
1351 nop; \
1352 nop; \
1353 nop
1354
1355#define H_T1_Interrupt_Level_9_0x49
1356#define My_T1_Interrupt_Level_9_0x49 \
1357 rd %softint, %g3; \
1358 or %g0, 0x200, %g3; \
1359 wr %g3, %g0, %clear_softint; \
1360 retry; \
1361 nop; \
1362 nop; \
1363 nop; \
1364 nop
1365
1366#define H_T1_Interrupt_Level_10_0x4a
1367#define My_T1_Interrupt_Level_10_0x4a \
1368 rd %softint, %g3; \
1369 or %g0, 0x400, %g3; \
1370 wr %g3, %g0, %clear_softint; \
1371 retry; \
1372 nop; \
1373 nop; \
1374 nop; \
1375 nop
1376
1377#define H_T1_Interrupt_Level_11_0x4b
1378#define My_T1_Interrupt_Level_11_0x4b \
1379 rd %softint, %g3; \
1380 or %g0, 0x800, %g3; \
1381 wr %g3, %g0, %clear_softint; \
1382 retry; \
1383 nop; \
1384 nop; \
1385 nop; \
1386 nop
1387
1388#define H_T1_Interrupt_Level_12_0x4c
1389#define My_T1_Interrupt_Level_12_0x4c \
1390 rd %softint, %g3; \
1391 sethi %hi(0x1000), %g3; \
1392 wr %g3, %g0, %clear_softint; \
1393 retry; \
1394 nop; \
1395 nop; \
1396 nop; \
1397 nop
1398
1399#define H_T1_Interrupt_Level_13_0x4d
1400#define My_T1_Interrupt_Level_13_0x4d \
1401 rd %softint, %g3; \
1402 sethi %hi(0x2000), %g3; \
1403 wr %g3, %g0, %clear_softint; \
1404 retry; \
1405 nop; \
1406 nop; \
1407 nop; \
1408 nop
1409
1410#define H_T1_Interrupt_Level_15_0x4f
1411#define My_T1_Interrupt_Level_15_0x4f \
1412 sethi %hi(0x8000), %g3; \
1413 wr %g3, %g0, %clear_softint; \
1414 wr %g0, %g0, %pic;\
1415 sethi %hi(0x80040000), %g2;\
1416 rd %pcr, %g3;\
1417 andn %g3, %g2, %g3;\
1418 wr %g3, %g0, %pcr;\
1419 retry;
1420
1421#define H_HT0_Interrupt_Level_14_0x4e
1422#define My_HT0_Interrupt_Level_14_0x4e \
1423 rd %softint, %g3; \
1424 sethi %hi(0x14000), %g3; \
1425 or %g3, 0x1, %g3; \
1426 wr %g3, %g0, %clear_softint; \
1427 rd %tick, %g3 ;\
1428 sub %g3, 0x80, %g3;\
1429 wrpr %g3, %g0, %tick;\
1430 retry; \
1431
1432#define H_HT0_Interrupt_Level_1_0x41
1433#define My_HT0_Interrupt_Level_1_0x41 \
1434 rd %softint, %g3; \
1435 or %g0, 0x2, %g3; \
1436 wr %g3, %g0, %clear_softint; \
1437 retry; \
1438 nop; \
1439 nop; \
1440 nop; \
1441 nop
1442
1443#define H_HT0_Interrupt_Level_2_0x42
1444#define My_HT0_Interrupt_Level_2_0x42 \
1445 rd %softint, %g3; \
1446 or %g0, 0x4, %g3; \
1447 wr %g3, %g0, %clear_softint; \
1448 retry; \
1449 nop; \
1450 nop; \
1451 nop; \
1452 nop
1453
1454#define H_HT0_Interrupt_Level_3_0x43
1455#define My_HT0_Interrupt_Level_3_0x43 \
1456 rd %softint, %g3; \
1457 or %g0, 0x8, %g3; \
1458 wr %g3, %g0, %clear_softint; \
1459 retry; \
1460 nop; \
1461 nop; \
1462 nop; \
1463 nop
1464
1465#define H_HT0_Interrupt_Level_4_0x44
1466#define My_HT0_Interrupt_Level_4_0x44 \
1467 rd %softint, %g3; \
1468 or %g0, 0x10, %g3; \
1469 wr %g3, %g0, %clear_softint; \
1470 retry; \
1471 nop; \
1472 nop; \
1473 nop; \
1474 nop
1475
1476#define H_HT0_Interrupt_Level_5_0x45
1477#define My_HT0_Interrupt_Level_5_0x45 \
1478 rd %softint, %g3; \
1479 or %g0, 0x20, %g3; \
1480 wr %g3, %g0, %clear_softint; \
1481 retry; \
1482 nop; \
1483 nop; \
1484 nop; \
1485 nop
1486
1487#define H_HT0_Interrupt_Level_6_0x46
1488#define My_HT0_Interrupt_Level_6_0x46 \
1489 rd %softint, %g3; \
1490 or %g0, 0x40, %g3; \
1491 wr %g3, %g0, %clear_softint; \
1492 retry; \
1493 nop; \
1494 nop; \
1495 nop; \
1496 nop
1497
1498#define H_HT0_Interrupt_Level_7_0x47
1499#define My_HT0_Interrupt_Level_7_0x47 \
1500 rd %softint, %g3; \
1501 or %g0, 0x80, %g3; \
1502 wr %g3, %g0, %clear_softint; \
1503 retry; \
1504 nop; \
1505 nop; \
1506 nop; \
1507 nop
1508
1509#define H_HT0_Interrupt_Level_8_0x48
1510#define My_HT0_Interrupt_Level_8_0x48 \
1511 rd %softint, %g3; \
1512 or %g0, 0x100, %g3; \
1513 wr %g3, %g0, %clear_softint; \
1514 retry; \
1515 nop; \
1516 nop; \
1517 nop; \
1518 nop
1519
1520#define H_HT0_Interrupt_Level_9_0x49
1521#define My_HT0_Interrupt_Level_9_0x49 \
1522 rd %softint, %g3; \
1523 or %g0, 0x200, %g3; \
1524 wr %g3, %g0, %clear_softint; \
1525 retry; \
1526 nop; \
1527 nop; \
1528 nop; \
1529 nop
1530
1531#define H_HT0_Interrupt_Level_10_0x4a
1532#define My_HT0_Interrupt_Level_10_0x4a \
1533 rd %softint, %g3; \
1534 or %g0, 0x400, %g3; \
1535 wr %g3, %g0, %clear_softint; \
1536 retry; \
1537 nop; \
1538 nop; \
1539 nop; \
1540 nop
1541
1542#define H_HT0_Interrupt_Level_11_0x4b
1543#define My_HT0_Interrupt_Level_11_0x4b \
1544 rd %softint, %g3; \
1545 or %g0, 0x800, %g3; \
1546 wr %g3, %g0, %clear_softint; \
1547 retry; \
1548 nop; \
1549 nop; \
1550 nop; \
1551 nop
1552
1553#define H_HT0_Interrupt_Level_12_0x4c
1554#define My_HT0_Interrupt_Level_12_0x4c \
1555 rd %softint, %g3; \
1556 sethi %hi(0x1000), %g3; \
1557 wr %g3, %g0, %clear_softint; \
1558 retry; \
1559 nop; \
1560 nop; \
1561 nop; \
1562 nop
1563
1564#define H_HT0_Interrupt_Level_13_0x4d
1565#define My_HT0_Interrupt_Level_13_0x4d \
1566 rd %softint, %g3; \
1567 sethi %hi(0x2000), %g3; \
1568 wr %g3, %g0, %clear_softint; \
1569 retry; \
1570 nop; \
1571 nop; \
1572 nop; \
1573 nop
1574
1575#define H_HT0_Interrupt_Level_15_0x4f
1576#define My_HT0_Interrupt_Level_15_0x4f \
1577 sethi %hi(0x8000), %g3; \
1578 wr %g3, %g0, %clear_softint; \
1579 wr %g0, %g0, %pic;\
1580 sethi %hi(0x80040000), %g2;\
1581 rd %pcr, %g3;\
1582 andn %g3, %g2, %g3;\
1583 wr %g3, %g0, %pcr;\
1584 retry;
1585!!!!!!!!!!!!!!!!!!!!!! END of all handlers !!!!!!!!!!!!!!!!!!!
1586!# Steer towards main TBA on these errors ..
1587!# These are redefines ...
1588#undef My_RED_Mode_Other_Reset
1589#define My_RED_Mode_Other_Reset
1590#define My_RED_Mode_Other_Reset \
1591 mov ZRED_Mode_Other_Reset ,%r1;\
1592 jmp %g1; nop;retry;nop;nop;nop;nop
1593#undef SUN_H_HT0_IAE_unauth_access_0x0b
1594#define SUN_H_HT0_IAE_unauth_access_0x0b \
1595 set resolve_bad_tte, %g3;\
1596 jmp %g3;\
1597 nop
1598
1599#undef My_HT0_IAE_privilege_violation_0x08
1600#define My_HT0_IAE_privilege_violation_0x08 \
1601 set resolve_bad_tte, %g3;\
1602 jmp %g3;\
1603 nop
1604
1605#define H_HT0_Instruction_address_range_0x0d
1606#define SUN_H_HT0_Instruction_address_range_0x0d \
1607 rdpr %tpc, %g1;\
1608 rdpr %tnpc, %g2;\
1609 stw %g1, [%i7];\
1610 stw %g2, [%i7+4];\
1611 jmpl %r27+8, %r27;\
1612 fdivd %f0, %f4, %f4;\
1613 nop;
1614
1615#define H_HT0_Instruction_real_range_0x0e
1616#define SUN_H_HT0_Instruction_real_range_0x0e \
1617 rdpr %tpc, %g1;\
1618 rdpr %tnpc, %g2;\
1619 stw %g1, [%i7];\
1620 stw %g2, [%i7+4];\
1621 jmpl %r27+8, %r27;\
1622 fdivd %f0, %f4, %f4;\
1623 nop;
1624
1625#undef SUN_H_HT0_IAE_nfo_page_0x0c
1626#define SUN_H_HT0_IAE_nfo_page_0x0c \
1627 set resolve_bad_tte, %g3;\
1628 jmp %g3;\
1629 nop
1630
1631#define H_HT0_Instruction_Invalid_TSB_Entry_0x2a
1632#define SUN_H_HT0_Instruction_Invalid_TSB_Entry_0x2a \
1633 set restore_range_regs, %g3;\
1634 jmp %g3;\
1635 nop
1636
1637#define H_HT0_Data_Invalid_TSB_Entry_0x2b
1638#define SUN_H_HT0_Data_Invalid_TSB_Entry_0x2b \
1639 set restore_range_regs, %g3;\
1640 jmp %g3;\
1641 nop
1642
1643#define H_T1_Reserved_0x00
1644#define SUN_H_T1_Reserved_0x00 \
1645 nop;\
1646 jmpl %r27+8, %r0;\
1647 nop;
1648
1649#undef FAST_BOOT
1650#include "hboot.s"
1651
1652#ifndef MULTIPASS
1653#define MULTIPASS 0
1654#endif
1655#define LOMEIN_TEXT_VA [0x]mpeval(MAIN_BASE_TEXT_VA&0xffffffff,16)
1656#define LOMEIN_DATA_VA [0x]mpeval(MAIN_BASE_DATA_VA&0xffffffff,16)
1657changequote([, ])dnl
1658SECTION .LOMEIN TEXT_VA=LOMEIN_TEXT_VA, DATA_VA=LOMEIN_DATA_VA
1659attr_text {
1660 Name = .LOMEIN,
1661 VA= LOMEIN_TEXT_VA,
1662 RA= MAIN_BASE_TEXT_RA,
1663 PA= ra2pa2(MAIN_BASE_TEXT_RA, 0),
1664 part_0_ctx_nonzero_tsb_config_1,
1665 part_0_ctx_zero_tsb_config_1,
1666 TTE_G=1, TTE_Context=0x44, TTE_V=1,
1667 TTE_Size=0, TTE_NFO=0, TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
1668 TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=1,
1669 tsbonly
1670 }
1671attr_data {
1672 Name = .LOMEIN,
1673 VA= LOMEIN_DATA_VA,
1674 RA= MAIN_BASE_DATA_RA,
1675 PA= ra2pa2(MAIN_BASE_DATA_RA, 0),
1676 part_0_ctx_nonzero_tsb_config_2,
1677 part_0_ctx_zero_tsb_config_2
1678 TTE_G=1, TTE_Context=0x44, TTE_V=1, TTE_Size=0, TTE_NFO=0,
1679 TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
1680 TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
1681 tsbonly
1682 }
1683attr_data {
1684 Name = .LOMEIN,
1685 VA= LOMEIN_DATA_VA,
1686 RA= MAIN_BASE_DATA_RA,
1687 PA= ra2pa2(MAIN_BASE_DATA_RA, 0),
1688 part_0_ctx_nonzero_tsb_config_3,
1689 part_0_ctx_zero_tsb_config_3
1690 TTE_G=1, TTE_Context=0x55, TTE_V=1, TTE_Size=0, TTE_NFO=0,
1691 TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
1692 TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
1693 tsbonly
1694 }
1695.text
1696.align 0x100000
1697 nop
1698.data
1699 .word 0x0
1700
1701SECTION .MAIN TEXT_VA=MAIN_BASE_TEXT_VA, DATA_VA=MAIN_BASE_DATA_VA
1702attr_text {
1703 Name = .MAIN,
1704 VA=MAIN_BASE_TEXT_VA,
1705 RA= LOMEIN_TEXT_VA,
1706 PA= LOMEIN_TEXT_VA,
1707 part_0_ctx_nonzero_tsb_config_2,
1708 part_0_ctx_zero_tsb_config_2,
1709 TTE_G=1, TTE_Context=0x44, TTE_V=1,
1710 TTE_Size=0, TTE_NFO=0, TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
1711 TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=1,
1712 }
1713
1714attr_data {
1715 Name = .MAIN,
1716 VA=MAIN_BASE_DATA_VA
1717 RA= LOMEIN_DATA_VA,
1718 PA= LOMEIN_DATA_VA,
1719 part_0_ctx_nonzero_tsb_config_1,
1720 part_0_ctx_zero_tsb_config_1
1721 TTE_G=1, TTE_Context=0x44, TTE_V=1, TTE_Size=0, TTE_NFO=0,
1722 TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
1723 TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
1724 }
1725
1726attr_data {
1727 Name = .MAIN,
1728 VA=MAIN_BASE_DATA_VA
1729 RA= LOMEIN_DATA_VA,
1730 PA= LOMEIN_DATA_VA,
1731 part_0_ctx_nonzero_tsb_config_3,
1732 part_0_ctx_zero_tsb_config_3
1733 TTE_G=1, TTE_Context=0x55, TTE_V=1, TTE_Size=0, TTE_NFO=0,
1734 TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
1735 TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
1736 tsbonly
1737 }
1738
1739attr_text {
1740 Name = .MAIN,
1741 VA=MAIN_BASE_TEXT_VA,
1742 hypervisor
1743}
1744
1745attr_data {
1746 Name = .MAIN,
1747 VA=MAIN_BASE_DATA_VA
1748 hypervisor
1749}
1750changequote(`,')dnl'
1751
1752.text
1753.global main
1754main:
1755
1756 ! Set up ld/st area per thread
1757 ta T_CHANGE_HPRIV
1758 ldxa [%g0]0x63, %o2
1759 and %o2, 0x7, %o1
1760 brnz %o1, init_start
1761 mov 0xff, %r11
1762lock_sync_thds:
1763 set sync_thr_counter4, %r23
1764#if(!defined SPC && !defined PORTABLE_CORE)
1765 and %o2, 0x38, %o2
1766 add %o2,%r23,%r23 !Core's sync counter
1767#endif
1768 st %r11, [%r23] !lock sync_thr_counter4
1769 add %r23, 64, %r23
1770 st %r11, [%r23] !lock sync_thr_counter5
1771 add %r23, 64, %r23
1772 st %r11, [%r23] !lock sync_thr_counter6
1773init_start:
1774 wrhpr %g0, 0x0, %hpstate ! ta T_CHANGE_NONHPRIV
1775 umul %r9, 256, %r31
1776 setx user_data_start, %r1, %r3
1777 add %r31, %r3, %r31
1778 wr %r0, 0x4, %asi
1779
1780!Initializing integer registers
1781 ldx [%r31+0], %r0
1782 ldx [%r31+8], %r1
1783 ldx [%r31+16], %r2
1784 ldx [%r31+24], %r3
1785 ldx [%r31+32], %r4
1786 ldx [%r31+40], %r5
1787 ldx [%r31+48], %r6
1788 ldx [%r31+56], %r7
1789 ldx [%r31+64], %r8
1790 ldx [%r31+72], %r9
1791 ldx [%r31+80], %r10
1792 ldx [%r31+88], %r11
1793 ldx [%r31+96], %r12
1794 ldx [%r31+104], %r13
1795 ldx [%r31+112], %r14
1796 mov %r31, %r15
1797 ldx [%r31+128], %r16
1798 ldx [%r31+136], %r17
1799 ldx [%r31+144], %r18
1800 ldx [%r31+152], %r19
1801 ldx [%r31+160], %r20
1802 ldx [%r31+168], %r21
1803 ldx [%r31+176], %r22
1804 ldx [%r31+184], %r23
1805 ldx [%r31+192], %r24
1806 ldx [%r31+200], %r25
1807 ldx [%r31+208], %r26
1808 ldx [%r31+216], %r27
1809 ldx [%r31+224], %r28
1810 ldx [%r31+232], %r29
1811 mov 0x31, %r14
1812 mov 0xb3, %r30
1813 save %r31, %r0, %r31
1814 ldx [%r31+0], %r0
1815 ldx [%r31+8], %r1
1816 ldx [%r31+16], %r2
1817 ldx [%r31+24], %r3
1818 ldx [%r31+32], %r4
1819 ldx [%r31+40], %r5
1820 ldx [%r31+48], %r6
1821 ldx [%r31+56], %r7
1822 ldx [%r31+64], %r8
1823 ldx [%r31+72], %r9
1824 ldx [%r31+80], %r10
1825 ldx [%r31+88], %r11
1826 ldx [%r31+96], %r12
1827 ldx [%r31+104], %r13
1828 ldx [%r31+112], %r14
1829 mov %r31, %r15
1830 ldx [%r31+128], %r16
1831 ldx [%r31+136], %r17
1832 ldx [%r31+144], %r18
1833 ldx [%r31+152], %r19
1834 ldx [%r31+160], %r20
1835 ldx [%r31+168], %r21
1836 ldx [%r31+176], %r22
1837 ldx [%r31+184], %r23
1838 ldx [%r31+192], %r24
1839 ldx [%r31+200], %r25
1840 ldx [%r31+208], %r26
1841 ldx [%r31+216], %r27
1842 ldx [%r31+224], %r28
1843 ldx [%r31+232], %r29
1844 mov 0x30, %r14
1845 mov 0xb4, %r30
1846 save %r31, %r0, %r31
1847 ldx [%r31+0], %r0
1848 ldx [%r31+8], %r1
1849 ldx [%r31+16], %r2
1850 ldx [%r31+24], %r3
1851 ldx [%r31+32], %r4
1852 ldx [%r31+40], %r5
1853 ldx [%r31+48], %r6
1854 ldx [%r31+56], %r7
1855 ldx [%r31+64], %r8
1856 ldx [%r31+72], %r9
1857 ldx [%r31+80], %r10
1858 ldx [%r31+88], %r11
1859 ldx [%r31+96], %r12
1860 ldx [%r31+104], %r13
1861 ldx [%r31+112], %r14
1862 mov %r31, %r15
1863 ldx [%r31+128], %r16
1864 ldx [%r31+136], %r17
1865 ldx [%r31+144], %r18
1866 ldx [%r31+152], %r19
1867 ldx [%r31+160], %r20
1868 ldx [%r31+168], %r21
1869 ldx [%r31+176], %r22
1870 ldx [%r31+184], %r23
1871 ldx [%r31+192], %r24
1872 ldx [%r31+200], %r25
1873 ldx [%r31+208], %r26
1874 ldx [%r31+216], %r27
1875 ldx [%r31+224], %r28
1876 ldx [%r31+232], %r29
1877 mov 0x34, %r14
1878 mov 0x33, %r30
1879 save %r31, %r0, %r31
1880 ldx [%r31+0], %r0
1881 ldx [%r31+8], %r1
1882 ldx [%r31+16], %r2
1883 ldx [%r31+24], %r3
1884 ldx [%r31+32], %r4
1885 ldx [%r31+40], %r5
1886 ldx [%r31+48], %r6
1887 ldx [%r31+56], %r7
1888 ldx [%r31+64], %r8
1889 ldx [%r31+72], %r9
1890 ldx [%r31+80], %r10
1891 ldx [%r31+88], %r11
1892 ldx [%r31+96], %r12
1893 ldx [%r31+104], %r13
1894 ldx [%r31+112], %r14
1895 mov %r31, %r15
1896 ldx [%r31+128], %r16
1897 ldx [%r31+136], %r17
1898 ldx [%r31+144], %r18
1899 ldx [%r31+152], %r19
1900 ldx [%r31+160], %r20
1901 ldx [%r31+168], %r21
1902 ldx [%r31+176], %r22
1903 ldx [%r31+184], %r23
1904 ldx [%r31+192], %r24
1905 ldx [%r31+200], %r25
1906 ldx [%r31+208], %r26
1907 ldx [%r31+216], %r27
1908 ldx [%r31+224], %r28
1909 ldx [%r31+232], %r29
1910 mov 0x34, %r14
1911 mov 0xb2, %r30
1912 save %r31, %r0, %r31
1913 ldx [%r31+0], %r0
1914 ldx [%r31+8], %r1
1915 ldx [%r31+16], %r2
1916 ldx [%r31+24], %r3
1917 ldx [%r31+32], %r4
1918 ldx [%r31+40], %r5
1919 ldx [%r31+48], %r6
1920 ldx [%r31+56], %r7
1921 ldx [%r31+64], %r8
1922 ldx [%r31+72], %r9
1923 ldx [%r31+80], %r10
1924 ldx [%r31+88], %r11
1925 ldx [%r31+96], %r12
1926 ldx [%r31+104], %r13
1927 ldx [%r31+112], %r14
1928 mov %r31, %r15
1929 ldx [%r31+128], %r16
1930 ldx [%r31+136], %r17
1931 ldx [%r31+144], %r18
1932 ldx [%r31+152], %r19
1933 ldx [%r31+160], %r20
1934 ldx [%r31+168], %r21
1935 ldx [%r31+176], %r22
1936 ldx [%r31+184], %r23
1937 ldx [%r31+192], %r24
1938 ldx [%r31+200], %r25
1939 ldx [%r31+208], %r26
1940 ldx [%r31+216], %r27
1941 ldx [%r31+224], %r28
1942 ldx [%r31+232], %r29
1943 mov 0xb5, %r14
1944 mov 0x31, %r30
1945 save %r31, %r0, %r31
1946 ldx [%r31+0], %r0
1947 ldx [%r31+8], %r1
1948 ldx [%r31+16], %r2
1949 ldx [%r31+24], %r3
1950 ldx [%r31+32], %r4
1951 ldx [%r31+40], %r5
1952 ldx [%r31+48], %r6
1953 ldx [%r31+56], %r7
1954 ldx [%r31+64], %r8
1955 ldx [%r31+72], %r9
1956 ldx [%r31+80], %r10
1957 ldx [%r31+88], %r11
1958 ldx [%r31+96], %r12
1959 ldx [%r31+104], %r13
1960 ldx [%r31+112], %r14
1961 mov %r31, %r15
1962 ldx [%r31+128], %r16
1963 ldx [%r31+136], %r17
1964 ldx [%r31+144], %r18
1965 ldx [%r31+152], %r19
1966 ldx [%r31+160], %r20
1967 ldx [%r31+168], %r21
1968 ldx [%r31+176], %r22
1969 ldx [%r31+184], %r23
1970 ldx [%r31+192], %r24
1971 ldx [%r31+200], %r25
1972 ldx [%r31+208], %r26
1973 ldx [%r31+216], %r27
1974 ldx [%r31+224], %r28
1975 ldx [%r31+232], %r29
1976 mov 0x35, %r14
1977 mov 0xb4, %r30
1978 save %r31, %r0, %r31
1979 ldx [%r31+0], %r0
1980 ldx [%r31+8], %r1
1981 ldx [%r31+16], %r2
1982 ldx [%r31+24], %r3
1983 ldx [%r31+32], %r4
1984 ldx [%r31+40], %r5
1985 ldx [%r31+48], %r6
1986 ldx [%r31+56], %r7
1987 ldx [%r31+64], %r8
1988 ldx [%r31+72], %r9
1989 ldx [%r31+80], %r10
1990 ldx [%r31+88], %r11
1991 ldx [%r31+96], %r12
1992 ldx [%r31+104], %r13
1993 ldx [%r31+112], %r14
1994 mov %r31, %r15
1995 ldx [%r31+128], %r16
1996 ldx [%r31+136], %r17
1997 ldx [%r31+144], %r18
1998 ldx [%r31+152], %r19
1999 ldx [%r31+160], %r20
2000 ldx [%r31+168], %r21
2001 ldx [%r31+176], %r22
2002 ldx [%r31+184], %r23
2003 ldx [%r31+192], %r24
2004 ldx [%r31+200], %r25
2005 ldx [%r31+208], %r26
2006 ldx [%r31+216], %r27
2007 ldx [%r31+224], %r28
2008 ldx [%r31+232], %r29
2009 mov 0x33, %r14
2010 mov 0x30, %r30
2011 save %r31, %r0, %r31
2012 restore
2013 restore
2014 restore
2015!Initializing float registers
2016 ldd [%r31+0], %f0
2017 ldd [%r31+16], %f2
2018 ldd [%r31+32], %f4
2019 ldd [%r31+48], %f6
2020 ldd [%r31+64], %f8
2021 ldd [%r31+80], %f10
2022 ldd [%r31+96], %f12
2023 ldd [%r31+112], %f14
2024 ldd [%r31+128], %f16
2025 ldd [%r31+144], %f18
2026 ldd [%r31+160], %f20
2027 ldd [%r31+176], %f22
2028 ldd [%r31+192], %f24
2029 ldd [%r31+208], %f26
2030 ldd [%r31+224], %f28
2031 ldd [%r31+240], %f30
2032 !! Set TPC/TNPC to diag-finish in case we get to a strange TL ..
2033 ta T_CHANGE_HPRIV
2034 setx diag_finish, %r29, %r28
2035 add %r28, 4, %r29
2036 wrpr %g0, 1, %tl
2037 wrpr %r28, %tpc
2038 wrpr %r29, %tnpc
2039 wrpr %g0, 2, %tl
2040 wrpr %r28, %tpc
2041 wrpr %r29, %tnpc
2042 wrpr %g0, 3, %tl
2043 wrpr %r28, %tpc
2044 wrpr %r29, %tnpc
2045 wrpr %g0, 4, %tl
2046 wrpr %r28, %tpc
2047 wrpr %r29, %tnpc
2048 wrpr %g0, 5, %tl
2049 wrpr %r28, %tpc
2050 wrpr %r29, %tnpc
2051 wrpr %g0, 6, %tl
2052 wrpr %r28, %tpc
2053 wrpr %r29, %tnpc
2054 wrpr %g0, 0, %tl
2055
2056 !Initializing Tick Cmprs
2057 mov 1, %g2
2058 sllx %g2, 63, %g2
2059 or %g1, %g2, %g1
2060 wrhpr %g1, %g0, %hsys_tick_cmpr
2061 wr %g1, %g0, %tick_cmpr
2062 wr %g1, %g0, %sys_tick_cmpr
2063
2064#if (MULTIPASS > 0)
2065 mov 0x38, %g1
2066 stxa %r0, [%g1]ASI_SCRATCHPAD
2067#endif
2068
2069 ! Set up fpr PMU traps
2070 set 0x19994bb5, %g2
2071 b fork_threads
2072 wr %g2, %g0, %pcr
2073.align 4096
2074common_target:
2075 nop
2076 sub %r27, 8, %r27
2077 and %r27, 8, %r12
2078 mov HIGHVA_HIGHNUM, %r11
2079 sllx %r11, 32, %r11
2080 or %r27, %r11, %r27
2081 brz,a %r12, .+8
2082 lduw [%r27], %r12 ! load jmp dest into dcache - xinval
2083 return %r27
2084 .word 0xc30fc000 ! 1: LDXFSR_R ld-fsr [%r31, %r0], %f1
2085 nop
2086 jmp %r27
2087 nop
2088!$EV trig_pc_d(1,@VA(.MAIN.fork_threads)) -> marker(bootEnd, *, 1)
2089fork_threads:
2090 rd %tick, %r17
2091 mov 0x40, %g1
2092setup_hwtw_config:
2093 stxa %r17, [%g1]0x58
2094 ta %icc, T_RD_THID
2095! fork: source strm = 0xffffffffffffffff; target strm = 0x1
2096 cmp %o1, 0
2097 setx fork_lbl_0_1, %g2, %g3
2098 be,a .+8
2099 jmp %g3
2100 nop
2101! fork: source strm = 0xffffffffffffffff; target strm = 0x2
2102 cmp %o1, 1
2103 setx fork_lbl_0_2, %g2, %g3
2104 be,a .+8
2105 jmp %g3
2106 nop
2107! fork: source strm = 0xffffffffffffffff; target strm = 0x4
2108 cmp %o1, 2
2109 setx fork_lbl_0_3, %g2, %g3
2110 be,a .+8
2111 jmp %g3
2112 nop
2113! fork: source strm = 0xffffffffffffffff; target strm = 0x8
2114 cmp %o1, 3
2115 setx fork_lbl_0_4, %g2, %g3
2116 be,a .+8
2117 jmp %g3
2118 nop
2119! fork: source strm = 0xffffffffffffffff; target strm = 0x10
2120 cmp %o1, 4
2121 setx fork_lbl_0_5, %g2, %g3
2122 be,a .+8
2123 jmp %g3
2124 nop
2125! fork: source strm = 0xffffffffffffffff; target strm = 0x20
2126 cmp %o1, 5
2127 setx fork_lbl_0_6, %g2, %g3
2128 be,a .+8
2129 jmp %g3
2130 nop
2131! fork: source strm = 0xffffffffffffffff; target strm = 0x40
2132 cmp %o1, 6
2133 setx fork_lbl_0_7, %g2, %g3
2134 be,a .+8
2135 jmp %g3
2136 nop
2137! fork: source strm = 0xffffffffffffffff; target strm = 0x80
2138 cmp %o1, 7
2139 setx fork_lbl_0_8, %g2, %g3
2140 be,a .+8
2141 jmp %g3
2142 nop
2143.text
2144 setx join_lbl_0_0, %g1, %g2
2145 jmp %g2
2146 nop
2147.text
2148 setx join_lbl_0_0, %g1, %g2
2149 jmp %g2
2150 nop
2151fork_lbl_0_8:
2152 wrhpr %g0, 0x740, %hpstate ! ta T_CHANGE_NONHPRIV
2153 .word 0x9b454000 ! 1: RD_CLEAR_SOFTINT rd %clear_softint, %r13
2154splash_tba_80_1:
2155 nop
2156 ta T_CHANGE_PRIV
2157 setx 0x00000000003a0000, %r11, %r12
2158 .word 0x8b90000c ! 5: WRPR_TBA_R wrpr %r0, %r12, %tba
2159jmptr_80_3:
2160 nop
2161 nop
2162 best_set_reg(0xe0a00000, %r20, %r27)
2163 .word 0xb7c6c000 ! 9: JMPL_R jmpl %r27 + %r0, %r27
2164br_badelay2_80_5:
2165 .word 0xa5a509d3 ! 1: FDIVd fdivd %f20, %f50, %f18
2166 .word 0xa9703e38 ! 1: POPC_I popc 0x1e38, %r20
2167 .word 0xa7b04314 ! 13: ALIGNADDRESS alignaddr %r1, %r20, %r19
2168 .word 0xd28008a0 ! 17: LDUWA_R lduwa [%r0, %r0] 0x45, %r9
2169 .word 0xc1bfdd40 ! 21: STDFA_R stda %f0, [%r0, %r31]
2170jmptr_80_8:
2171 nop
2172 nop
2173 best_set_reg(0xe1200000, %r20, %r27)
2174 .word 0xb7c6c000 ! 25: JMPL_R jmpl %r27 + %r0, %r27
2175 .word 0x8d802000 ! 29: WRFPRS_I wr %r0, 0x0000, %fprs
2176br_badelay2_80_11:
2177 .word 0x22800001 ! 1: BE be,a <label_0x1>
2178 .word 0xa5a209d3 ! 1: FDIVd fdivd %f8, %f50, %f18
2179 .word 0xa3b4c308 ! 33: ALIGNADDRESS alignaddr %r19, %r8, %r17
2180splash_htba_80_14:
2181 nop
2182 ta T_CHANGE_HPRIV
2183 setx 0x00000000002a0000, %r11, %r12
2184 .word 0x8b98000c ! 37: WRHPR_HTBA_R wrhpr %r0, %r12, %htba
2185#if (defined SPC || defined CMP1)
2186!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_80_16) , 16, 16)) -> intp(3,0,25,*,672,*,f3,1)
2187!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_80_16)&0xffffffff) , 16, 16)) -> intp(7,0,30,*,928,*,f3,1)
2188#else
2189 nop
2190 nop
2191 set 0xc4d03cc5, %r28 !TTID : 4 (mask2tid(0x80))
2192#if (defined PORTABLE_CORE || MAX_THREADS == 8)
2193 sethi %hi(0x3800), %r27
2194 andn %r28, %r27, %r28
2195#ifdef PORTABLE_CORE
2196 ! Add CID to vector
2197 ta T_CHANGE_HPRIV
2198 ldxa [%g0]0x63, %r27
2199 sllx %r27, 8, %r27
2200 or %r27, %r28, %r28
2201#endif
2202#else
2203 ! Add CID IF tid matches
2204ifelse(4,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
2205#endif
2206ifelse(4,mask2tid(0x80),`.align 16')
2207 stxa %r28, [%g0] 0x73
2208#endif
2209intvec_80_16:
2210 .word 0xa1a409d0 ! 41: FDIVd fdivd %f16, %f16, %f16
2211 .word 0xe1bfdf00 ! 45: STDFA_R stda %f16, [%r0, %r31]
2212 .word 0xe28008a0 ! 49: LDUWA_R lduwa [%r0, %r0] 0x45, %r17
2213splash_lsu_80_22:
2214 nop
2215 nop
2216 ta T_CHANGE_HPRIV
2217 set 0xc83ad112, %r2
2218 mov 0x2, %r1
2219 sllx %r1, 32, %r1
2220 or %r1, %r2, %r2
2221 .word 0x36800001 ! 1: BGE bge,a <label_0x1>
2222 stxa %r2, [%r0] ASI_LSU_CONTROL
2223 .word 0x3d400001 ! 53: FBPULE fbule,a,pn %fcc0, <label_0x1>
2224memptr_80_24:
2225 set user_data_start, %r31
2226 .word 0x8584b41a ! 57: WRCCR_I wr %r18, 0x141a, %ccr
2227demap_80_27:
2228 nop
2229 mov 0x80, %g3
2230 ta T_CHANGE_HPRIV
2231 .word 0x34800001 ! 1: BG bg,a <label_0x1>
2232 stxa %g3, [%g3] 0x5f
2233 stxa %g3, [%g3] 0x5f
2234 .word 0xe19fda00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
2235 .word 0xe09fda60 ! 1: LDDA_R ldda [%r31, %r0] 0xd3, %r16
2236 .word 0xc0bfdb40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xda
2237 stxa %g3, [%g3] 0x5f
2238 .word 0xc0bfc3e0 ! 1: STDA_R stda %r0, [%r31 + %r0] 0x1f
2239 wrhpr %g0, 0x88, %hpstate ! ta T_CHANGE_NONHPRIV
2240 .word 0xe21fe0e8 ! 61: LDD_I ldd [%r31 + 0x00e8], %r17
2241 fbue,a,pn %fcc0, skip_80_30
2242 stxa %r20, [%r0] ASI_LSU_CONTROL
2243 fbuge skip_80_30
2244 stxa %r6, [%r0] ASI_LSU_CONTROL
2245.align 4096
2246skip_80_30:
2247 .word 0xe23fe018 ! 65: STD_I std %r17, [%r31 + 0x0018]
2248splash_lsu_80_31:
2249 nop
2250 nop
2251 ta T_CHANGE_HPRIV
2252 set 0xbd7d5d6e, %r2
2253 mov 0x4, %r1
2254 sllx %r1, 32, %r1
2255 or %r1, %r2, %r2
2256 stxa %r2, [%r0] ASI_LSU_CONTROL
2257 ta T_CHANGE_NONHPRIV
2258 .word 0x1d400001 ! 69: FBPULE fbule
2259 .word 0x99508000 ! 73: RDPR_TSTATE rdpr %tstate, %r12
2260jmptr_80_36:
2261 nop
2262 nop
2263 best_set_reg(0xe1a00000, %r20, %r27)
2264 .word 0xb7c6c000 ! 77: JMPL_R jmpl %r27 + %r0, %r27
2265jmptr_80_39:
2266 nop
2267 nop
2268 best_set_reg(0xe0200000, %r20, %r27)
2269 .word 0xb7c6c000 ! 81: JMPL_R jmpl %r27 + %r0, %r27
2270 .word 0xe2c7c600 ! 85: LDSWA_R ldswa [%r31, %r0] 0x30, %r17
2271brcommon1_80_41:
2272 nop
2273 nop
2274 setx common_target, %r12, %r27
2275 lduw [%r27-0], %r12 ! Load common dest into dcache ..
2276 stuw %r12, [%r27-4] ! Load common dest into dcache ..
2277 ba,a .+12
2278 .word 0xe7e7d000 ! 1: CASA_I casa [%r31] 0x80, %r0, %r19
2279 ba,a .+8
2280 jmpl %r27-0, %r27
2281 .word 0x20800001 ! 89: BN bn,a <label_0x1>
2282 .word 0xe19fe180 ! 93: LDDFA_I ldda [%r31, 0x0180], %f16
2283demap_80_44:
2284 nop
2285 mov 0x80, %g3
2286 ta T_CHANGE_HPRIV
2287 .word 0x2acd0001 ! 1: BRNZ brnz,a,pt %r20,<label_0xd0001>
2288 stxa %g3, [%g3] 0x5f
2289 stxa %g3, [%g3] 0x57
2290 .word 0xc09fc2c0 ! 1: LDDA_R ldda [%r31, %r0] 0x16, %r0
2291 stxa %g3, [%g3] 0x5f
2292 .word 0xc0bfdd40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xea
2293 .word 0xe0bfdf20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf9
2294 .word 0xe09fdf20 ! 1: LDDA_R ldda [%r31, %r0] 0xf9, %r16
2295 stxa %g3, [%g3] 0x5f
2296 .word 0xc09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r0
2297 .word 0xc0bfdb40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xda
2298 .word 0xe09fda60 ! 1: LDDA_R ldda [%r31, %r0] 0xd3, %r16
2299 stxa %g3, [%g3] 0x5f
2300 .word 0xc1bfda00 ! 1: STDFA_R stda %f0, [%r0, %r31]
2301 .word 0xe09fde00 ! 1: LDDA_R ldda [%r31, %r0] 0xf0, %r16
2302 .word 0xc1bfdc40 ! 1: STDFA_R stda %f0, [%r0, %r31]
2303 wrhpr %g0, 0xb9a, %hpstate ! ta T_CHANGE_NONHPRIV
2304 .word 0xd61fe0c2 ! 97: LDD_I ldd [%r31 + 0x00c2], %r11
2305 .word 0x91928013 ! 101: WRPR_PIL_R wrpr %r10, %r19, %pil
2306 bl skip_80_49
2307 fbn skip_80_49
2308.align 2048
2309skip_80_49:
2310 .word 0xa3b444d0 ! 105: FCMPNE32 fcmpne32 %d48, %d16, %r17
2311brcommon2_80_51:
2312 nop
2313 nop
2314 setx common_target, %r12, %r27
2315 ba,a .+12
2316 .word 0xe5104012 ! 1: LDQF_R - [%r1, %r18], %f18
2317 ba,a .+8
2318 jmpl %r27-4, %r27
2319 .word 0x00800001 ! 109: BN bn <label_0x1>
2320 brgez,a,pn %r20, skip_80_52
2321 bl skip_80_52
2322.align 512
2323skip_80_52:
2324 .word 0xa9b504d2 ! 113: FCMPNE32 fcmpne32 %d20, %d18, %r20
2325 .word 0xd09fc6c0 ! 117: LDDA_R ldda [%r31, %r0] 0x36, %r8
2326brcommon2_80_55:
2327 nop
2328 nop
2329 setx common_target, %r12, %r27
2330 ba,a .+12
2331 .word 0x95a149c3 ! 1: FDIVd fdivd %f36, %f34, %f10
2332 ba,a .+8
2333 jmpl %r27-0, %r27
2334 .word 0xc19fdc00 ! 121: LDDFA_R ldda [%r31, %r0], %f0
2335 .word 0x02780002 ! 125: BPE <illegal instruction>
2336 nop
2337 nop
2338 set 0x7c308474, %r28 !TTID : 4 (mask2tid(0x80))
2339#if (defined PORTABLE_CORE || MAX_THREADS == 8)
2340 sethi %hi(0x3800), %r27
2341 andn %r28, %r27, %r28
2342#ifdef PORTABLE_CORE
2343 ! Add CID to vector
2344 ta T_CHANGE_HPRIV
2345 ldxa [%g0]0x63, %r27
2346 sllx %r27, 8, %r27
2347 or %r27, %r28, %r28
2348#endif
2349#else
2350 ! Add CID IF tid matches
2351ifelse(4,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
2352#endif
2353 sethi %hi(0x30000), %r27
2354 andn %r28, %r27, %r28
2355 ta T_CHANGE_HPRIV
2356ifelse(4,mask2tid(0x80),`.align 16')
2357 stxa %r28, [%g0] 0x73
2358intvec_80_57:
2359 .word 0xa3b344ca ! 129: FCMPNE32 fcmpne32 %d44, %d10, %r17
2360jmptr_80_58:
2361 nop
2362 nop
2363 best_set_reg(0xe0a00000, %r20, %r27)
2364 .word 0xb7c6c000 ! 133: JMPL_R jmpl %r27 + %r0, %r27
2365 .word 0x91920001 ! 137: WRPR_PIL_R wrpr %r8, %r1, %pil
2366iaw_80_63:
2367 nop
2368 nop
2369 ta T_CHANGE_HPRIV
2370 mov 8, %r18
2371 rd %asi, %r12
2372 wr %r0, 0x41, %asi
2373 set sync_thr_counter4, %r23
2374#ifndef SPC
2375 ldxa [%g0]0x63, %r8
2376 and %r8, 0x38, %r8 ! Core ID
2377#ifndef PORTABLE_CORE
2378 add %r8, %r23, %r23
2379#endif
2380#else
2381 mov 0, %r8
2382#endif
2383 mov 0x80, %r16
2384iaw_startwait80_63:
2385 cas [%r23],%g0,%r16 !lock
2386 brz,a %r16, continue_iaw_80_63
2387 mov (~0x80&0xf0), %r16
2388 ld [%r23], %r16
2389iaw_wait80_63:
2390 brnz %r16, iaw_wait80_63
2391 ld [%r23], %r16
2392 ba iaw_startwait80_63
2393 mov 0x80, %r16
2394continue_iaw_80_63:
2395 sllx %r16, %r8, %r16 !Mask for my core only
2396 ldxa [0x58]%asi, %r17 !Running_status
2397wait_for_stat_80_63:
2398 ldxa [0x50]%asi, %r13 !Running_rw
2399 cmp %r13, %r17
2400 bne,a %xcc, wait_for_stat_80_63
2401 ldxa [0x58]%asi, %r17 !Running_status
2402 stxa %r16, [0x68]%asi !Park (W1C)
2403 ldxa [0x50]%asi, %r14 !Running_rw
2404wait_for_iaw_80_63:
2405 ldxa [0x58]%asi, %r17 !Running_status
2406 cmp %r14, %r17
2407 bne,a %xcc, wait_for_iaw_80_63
2408 ldxa [0x50]%asi, %r14 !Running_rw
2409iaw_doit80_63:
2410 mov 0x38, %r18
2411iaw4_80_63:
2412 setx common_target, %r20, %r19
2413 or %r19, 0x1, %r19
2414 stxa %r19, [%r18]0x50
2415 stxa %r16, [0x60] %asi ! Unpark (W1S)
2416 st %g0, [%r23] !clear lock
2417 wr %r0, %r12, %asi ! restore %asi
2418 wrhpr %g0, 0x4c1, %hpstate ! ta T_CHANGE_NONHPRIV
2419 .word 0xd89fc080 ! 141: LDDA_R ldda [%r31, %r0] 0x04, %r12
2420pmu_80_66:
2421 nop
2422 nop
2423 setx 0xffffffb5ffffffaf, %g1, %g7
2424 .word 0xa3800007 ! 145: WR_PERF_COUNTER_R wr %r0, %r7, %-
2425 .word 0x87802036 ! 149: WRASI_I wr %r0, 0x0036, %asi
2426 .word 0xd8dfdc40 ! 153: LDXA_R ldxa [%r31, %r0] 0xe2, %r12
2427splash_hpstate_80_70:
2428 .word 0x81982d5d ! 157: WRHPR_HPSTATE_I wrhpr %r0, 0x0d5d, %hpstate
2429 .word 0xe077c000 ! 161: STX_R stx %r16, [%r31 + %r0]
2430jmptr_80_74:
2431 nop
2432 nop
2433 best_set_reg(0xe1200000, %r20, %r27)
2434 .word 0xb7c6c000 ! 165: JMPL_R jmpl %r27 + %r0, %r27
2435 nop
2436 nop
2437 ta T_CHANGE_HPRIV ! macro
2438donret_80_76:
2439 rd %pc, %r12
2440 mov HIGHVA_HIGHNUM, %r10
2441 sllx %r10, 32, %r10
2442 or %r12, %r10, %r12
2443 add %r12, (donretarg_80_76-donret_80_76), %r12
2444 add %r12, 0x4, %r11 ! seq tnpc
2445 andn %r12, %r10, %r12 ! low VA tpc
2446 wrpr %g0, 0x1, %tl
2447 wrpr %g0, %r12, %tpc
2448 wrpr %g0, %r11, %tnpc
2449 set (0x00d69100 | (28 << 24)), %r13
2450 and %r12, 0xfff, %r14
2451 sllx %r14, 32, %r14
2452 or %r13, %r14, %r20
2453 wrpr %r20, %g0, %tstate
2454 wrhpr %g0, 0x40a, %htstate
2455 best_set_reg(0x1da3, %g1, %g2)
2456 wrpr %g0, %g2, %pstate ! rand=0 (80)
2457 retry
2458.align 1024
2459donretarg_80_76:
2460 .word 0xe4ffd100 ! 169: SWAPA_R swapa %r18, [%r31 + %r0] 0x88
2461brcommon3_80_79:
2462 nop
2463 nop
2464 setx common_target, %r12, %r27
2465 lduw [%r27], %r12 ! Load common dest into dcache ..
2466 stuw %r12, [%r27] ! Load common dest into dcache ..
2467 ba,a .+12
2468 .word 0xd3e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r9
2469 ba,a .+8
2470 jmpl %r27+0, %r27
2471 stxa %r13, [%r0] ASI_LSU_CONTROL
2472 .word 0x95aac82a ! 173: FMOVGE fmovs %fcc1, %f10, %f10
2473 brgz,a,pt %r5, skip_80_80
2474 fbge,a,pn %fcc0, skip_80_80
2475.align 512
2476skip_80_80:
2477 .word 0x19400001 ! 177: FBPUGE fbuge
2478brcommon3_80_83:
2479 nop
2480 nop
2481 setx common_target, %r12, %r27
2482 lduw [%r27-4], %r12 ! Load common dest into dcache ..
2483 stuw %r12, [%r27-0] ! Load common dest into dcache ..
2484 ba,a .+12
2485 .word 0xe9e7c2c0 ! 1: CASA_I casa [%r31] 0x16, %r0, %r20
2486 ba,a .+8
2487 jmpl %r27+0, %r27
2488 .word 0x8198328b ! 181: WRHPR_HPSTATE_I wrhpr %r0, 0x128b, %hpstate
2489brcommon3_80_86:
2490 nop
2491 nop
2492 setx common_target, %r12, %r27
2493 lduw [%r27], %r12 ! Load common dest into dcache ..
2494 stuw %r12, [%r27] ! Load common dest into dcache ..
2495 ba,a .+12
2496 .word 0xe937c000 ! 1: STQF_R - %f20, [%r0, %r31]
2497 ba,a .+8
2498 jmpl %r27+0, %r27
2499 stxa %r12, [%r0] ASI_LSU_CONTROL
2500 .word 0xa7aac831 ! 185: FMOVGE fmovs %fcc1, %f17, %f19
2501 .word 0xe8800b20 ! 189: LDUWA_R lduwa [%r0, %r0] 0x59, %r20
2502 nop
2503 nop
2504 set 0xaff002f6, %r28 !TTID : 2 (mask2tid(0x80))
2505#if (defined PORTABLE_CORE || MAX_THREADS == 8)
2506 sethi %hi(0x3800), %r27
2507 andn %r28, %r27, %r28
2508#ifdef PORTABLE_CORE
2509 ! Add CID to vector
2510 ta T_CHANGE_HPRIV
2511 ldxa [%g0]0x63, %r27
2512 sllx %r27, 8, %r27
2513 or %r27, %r28, %r28
2514#endif
2515#else
2516 ! Add CID IF tid matches
2517ifelse(2,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
2518#endif
2519 sethi %hi(0x30000), %r27
2520 andn %r28, %r27, %r28
2521 ta T_CHANGE_HPRIV
2522ifelse(2,mask2tid(0x80),`.align 16')
2523 stxa %r28, [%g0] 0x73
2524intvec_80_89:
2525 .word 0x95a489c4 ! 193: FDIVd fdivd %f18, %f4, %f10
2526memptr_80_90:
2527 set user_data_start, %r31
2528 .word 0x8580b2fa ! 197: WRCCR_I wr %r2, 0x12fa, %ccr
2529splash_tba_80_93:
2530 nop
2531 ta T_CHANGE_PRIV
2532 set 0x120000, %r12
2533 .word 0x8b90000c ! 201: WRPR_TBA_R wrpr %r0, %r12, %tba
2534 fbl,a,pn %fcc0, skip_80_95
2535 stxa %r15, [%r0] ASI_LSU_CONTROL
2536 .word 0x91a509c2 ! 1: FDIVd fdivd %f20, %f2, %f8
2537 stxa %r6, [%r0] ASI_LSU_CONTROL
2538.align 128
2539skip_80_95:
2540 .word 0xc30fc000 ! 205: LDXFSR_R ld-fsr [%r31, %r0], %f1
2541memptr_80_97:
2542 set 0x60740000, %r31
2543 .word 0x858064e0 ! 209: WRCCR_I wr %r1, 0x04e0, %ccr
2544 .word 0xe137c000 ! 213: STQF_R - %f16, [%r0, %r31]
2545 .word 0x9191000a ! 217: WRPR_PIL_R wrpr %r4, %r10, %pil
2546 .word 0xe4c7d140 ! 221: LDSWA_R ldswa [%r31, %r0] 0x8a, %r18
2547 .word 0xe327c000 ! 225: STF_R st %f17, [%r0, %r31]
2548 .word 0xe397c720 ! 229: LDQFA_R - [%r31, %r0], %f17
2549brcommon1_80_107:
2550 nop
2551 nop
2552 setx common_target, %r12, %r27
2553 lduw [%r27-0], %r12 ! Load common dest into dcache ..
2554 stuw %r12, [%r27-4] ! Load common dest into dcache ..
2555 ba,a .+12
2556 .word 0xe3e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r17
2557 ba,a .+8
2558 jmpl %r27-4, %r27
2559 .word 0x00800001 ! 233: BN bn <label_0x1>
2560splash_tba_80_110:
2561 nop
2562 ta T_CHANGE_PRIV
2563 setx 0x0000000400380000, %r11, %r12
2564 .word 0x8b90000c ! 237: WRPR_TBA_R wrpr %r0, %r12, %tba
2565 fbl skip_80_113
2566 stxa %r14, [%r0] ASI_LSU_CONTROL
2567 fbuge skip_80_113
2568 stxa %r18, [%r0] ASI_LSU_CONTROL
2569.align 4096
2570skip_80_113:
2571 .word 0xf16fe1f1 ! 241: PREFETCH_I prefetch [%r31 + 0x01f1], #24
2572demap_80_114:
2573 nop
2574 mov 0x80, %g3
2575 ta T_CHANGE_HPRIV
2576 .word 0x3e800001 ! 1: BVC bvc,a <label_0x1>
2577 stxa %g3, [%g3] 0x57
2578 .word 0xe09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r16
2579 .word 0xc19fdc40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
2580 .word 0xc09fde00 ! 1: LDDA_R ldda [%r31, %r0] 0xf0, %r0
2581 stxa %g3, [%g3] 0x57
2582 .word 0xc0bfdc00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xe0
2583 .word 0xe09fc2c0 ! 1: LDDA_R ldda [%r31, %r0] 0x16, %r16
2584 stxa %g3, [%g3] 0x57
2585 .word 0xc0bfc3e0 ! 1: STDA_R stda %r0, [%r31 + %r0] 0x1f
2586 stxa %g3, [%g3] 0x57
2587 .word 0xc09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r0
2588 .word 0xc09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r0
2589 .word 0xe1bfc2c0 ! 1: STDFA_R stda %f16, [%r0, %r31]
2590 stxa %g3, [%g3] 0x5f
2591 stxa %g3, [%g3] 0x57
2592 .word 0xe0bfc3e0 ! 1: STDA_R stda %r16, [%r31 + %r0] 0x1f
2593 .word 0xc1bfdd40 ! 1: STDFA_R stda %f0, [%r0, %r31]
2594 wrhpr %g0, 0xec9, %hpstate ! ta T_CHANGE_NONHPRIV
2595 .word 0xda1fe099 ! 245: LDD_I ldd [%r31 + 0x0099], %r13
2596 .word 0xe19fe120 ! 249: LDDFA_I ldda [%r31, 0x0120], %f16
2597mondo_80_117:
2598 nop
2599 nop
2600 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
2601 ta T_CHANGE_PRIV
2602 stxa %r17, [%r0+0x3e0] %asi
2603 .word 0x87802039 ! 1: WRASI_I wr %r0, 0x0039, %asi
2604 .word 0x9d950010 ! 253: WRPR_WSTATE_R wrpr %r20, %r16, %wstate
2605cancelint_80_120:
2606 rdhpr %halt, %r10
2607 .word 0x85880000 ! 257: ALLCLEAN <illegal instruction>
2608brcommon1_80_121:
2609 nop
2610 nop
2611 setx common_target, %r12, %r27
2612 lduw [%r27-0], %r12 ! Load common dest into dcache ..
2613 stuw %r12, [%r27-4] ! Load common dest into dcache ..
2614 ba,a .+12
2615 .word 0xa7a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f50
2616 ba,a .+8
2617 jmpl %r27-0, %r27
2618 .word 0x00800001 ! 261: BN bn <label_0x1>
2619br_longdelay2_80_123:
2620 .word 0x27400001 ! 1: FBPUL fbul,a,pn %fcc0, <label_0x1>
2621 .word 0xdbe7c240 ! 265: CASA_I casa [%r31] 0x12, %r0, %r13
2622 .word 0x36780001 ! 269: BPGE <illegal instruction>
2623 .word 0x87802036 ! 273: WRASI_I wr %r0, 0x0036, %asi
2624splash_hpstate_80_128:
2625 ta T_CHANGE_NONHPRIV
2626 .word 0x819830c0 ! 277: WRHPR_HPSTATE_I wrhpr %r0, 0x10c0, %hpstate
2627 .word 0xe8bfd100 ! 281: STDA_R stda %r20, [%r31 + %r0] 0x88
2628splash_lsu_80_132:
2629 nop
2630 nop
2631 ta T_CHANGE_HPRIV
2632 set 0xae4ff450, %r2
2633 mov 0x6, %r1
2634 sllx %r1, 32, %r1
2635 or %r1, %r2, %r2
2636 stxa %r2, [%r0] ASI_LSU_CONTROL
2637 ta T_CHANGE_NONHPRIV
2638 .word 0x1d400001 ! 285: FBPULE fbule
2639 nop
2640 nop
2641 set 0x1ef094df, %r28 !TTID : 4 (mask2tid(0x80))
2642#if (defined PORTABLE_CORE || MAX_THREADS == 8)
2643 sethi %hi(0x3800), %r27
2644 andn %r28, %r27, %r28
2645#ifdef PORTABLE_CORE
2646 ! Add CID to vector
2647 ta T_CHANGE_HPRIV
2648 ldxa [%g0]0x63, %r27
2649 sllx %r27, 8, %r27
2650 or %r27, %r28, %r28
2651#endif
2652#else
2653 ! Add CID IF tid matches
2654ifelse(4,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
2655#endif
2656 sethi %hi(0x30000), %r27
2657 andn %r28, %r27, %r28
2658 ta T_CHANGE_HPRIV
2659ifelse(4,mask2tid(0x80),`.align 16')
2660 stxa %r28, [%g0] 0x73
2661intvec_80_135:
2662 .word 0xa7b184c9 ! 289: FCMPNE32 fcmpne32 %d6, %d40, %r19
2663memptr_80_137:
2664 set 0x60140000, %r31
2665 .word 0x85807147 ! 293: WRCCR_I wr %r1, 0x1147, %ccr
2666splash_lsu_80_140:
2667 nop
2668 nop
2669 ta T_CHANGE_HPRIV
2670 set 0xd1a4d016, %r2
2671 mov 0x4, %r1
2672 sllx %r1, 32, %r1
2673 or %r1, %r2, %r2
2674 stxa %r2, [%r0] ASI_LSU_CONTROL
2675 ta T_CHANGE_NONHPRIV
2676 .word 0x3d400001 ! 297: FBPULE fbule,a,pn %fcc0, <label_0x1>
2677pmu_80_142:
2678 nop
2679 nop
2680 setx 0xffffffb1ffffffa8, %g1, %g7
2681 .word 0xa3800007 ! 301: WR_PERF_COUNTER_R wr %r0, %r7, %-
2682brcommon2_80_145:
2683 nop
2684 nop
2685 setx common_target, %r12, %r27
2686 ba,a .+12
2687 .word 0x99a109d2 ! 1: FDIVd fdivd %f4, %f18, %f12
2688 ba,a .+8
2689 jmpl %r27-0, %r27
2690 .word 0xa1b7c7c0 ! 305: PDIST pdistn %d62, %d0, %d16
2691intveclr_80_146:
2692 nop
2693 nop
2694 ta T_CHANGE_HPRIV
2695 setx 0x0014fe507451692a, %r1, %r28
2696 stxa %r28, [%g0] 0x72
2697 .word 0x05400001 ! 309: FBPLG fblg
2698 .word 0xe49fe170 ! 313: LDDA_I ldda [%r31, + 0x0170] %asi, %r18
2699 nop
2700 nop
2701 ta T_CHANGE_HPRIV ! macro
2702donret_80_148:
2703 rd %pc, %r12
2704 mov HIGHVA_HIGHNUM, %r10
2705 sllx %r10, 32, %r10
2706 or %r12, %r10, %r12
2707 add %r12, (donretarg_80_148-donret_80_148+4), %r12
2708 add %r12, 0x4, %r11 ! seq tnpc
2709 andn %r11, %r10, %r11 ! low VA tnpc
2710 wrpr %g0, 0x1, %tl
2711 wrpr %g0, %r12, %tpc
2712 wrpr %g0, %r11, %tnpc
2713 set (0x00f44400 | (54 << 24)), %r13
2714 and %r12, 0xfff, %r14
2715 sllx %r14, 32, %r14
2716 or %r13, %r14, %r20
2717 wrpr %r20, %g0, %tstate
2718 wrhpr %g0, 0x1dd7, %htstate
2719 best_set_reg(0xc33, %g1, %g2)
2720 wrpr %g0, %g2, %pstate ! rand=0 (80)
2721 .word 0x32800001 ! 1: BNE bne,a <label_0x1>
2722 retry
2723donretarg_80_148:
2724 .word 0x95a509c8 ! 317: FDIVd fdivd %f20, %f8, %f10
2725jmptr_80_151:
2726 nop
2727 nop
2728 best_set_reg(0xe1a00000, %r20, %r27)
2729 .word 0xb7c6c000 ! 321: JMPL_R jmpl %r27 + %r0, %r27
2730splash_lsu_80_152:
2731 nop
2732 nop
2733 ta T_CHANGE_HPRIV
2734 set 0x3354211a, %r2
2735 mov 0x4, %r1
2736 sllx %r1, 32, %r1
2737 or %r1, %r2, %r2
2738 stxa %r2, [%r0] ASI_LSU_CONTROL
2739 .word 0x3d400001 ! 325: FBPULE fbule,a,pn %fcc0, <label_0x1>
2740demap_80_155:
2741 nop
2742 mov 0x80, %g3
2743 ta T_CHANGE_HPRIV
2744 .word 0x22c98002 ! 1: BRZ brz,a,pt %r6,<label_0x98002>
2745 stxa %g3, [%g3] 0x5f
2746 .word 0xc0bfda00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd0
2747 .word 0xc1bfdb20 ! 1: STDFA_R stda %f0, [%r0, %r31]
2748 .word 0xe09fc3e0 ! 1: LDDA_R ldda [%r31, %r0] 0x1f, %r16
2749 stxa %g3, [%g3] 0x57
2750 stxa %g3, [%g3] 0x57
2751 .word 0xc1bfdf20 ! 1: STDFA_R stda %f0, [%r0, %r31]
2752 .word 0xc19fdc00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
2753 stxa %g3, [%g3] 0x57
2754 .word 0xe09fc2c0 ! 1: LDDA_R ldda [%r31, %r0] 0x16, %r16
2755 .word 0xe0bfdb40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xda
2756 wrhpr %g0, 0x4a, %hpstate ! ta T_CHANGE_NONHPRIV
2757 .word 0xd61fe1e0 ! 329: LDD_I ldd [%r31 + 0x01e0], %r11
2758 nop
2759 nop
2760 mov 0x1, %r11
2761splash_cmpr_80_158:
2762 sllx %r11, 63, %r11
2763 not %r11, %r11
2764 rd %tick, %r10
2765#if (defined SPC || defined CMP1)
2766 add %r10, 0x200, %r10
2767#else
2768 add %r10, 0x550, %r10
2769#endif
2770 and %r10, %r11, %r10
2771 rd %tick, %r10
2772#if (defined SPC || defined CMP1)
2773 add %r10, 0x150, %r10
2774#else
2775 add %r10, 0x550, %r10
2776#endif
2777 and %r10, %r11, %r10
2778 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
2779#if (defined SPC || defined CMP1)
2780!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_80_158)+8 , 16, 16)) -> intp(0,0,21,*,760,*,d2,1)
2781!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_80_158)&0xffffffff)+8 , 16, 16)) -> intp(3,0,20,*,984,*,d2,1)
2782#endif
2783 wrhpr %g0, 0x0, %halt ! HALT
2784 .word 0x81b01021 ! 333: SIAM siam 1
2785splash_lsu_80_161:
2786 nop
2787 nop
2788 ta T_CHANGE_HPRIV
2789 set 0x0cd4cddd, %r2
2790 mov 0x7, %r1
2791 sllx %r1, 32, %r1
2792 or %r1, %r2, %r2
2793 .word 0x04cc4001 ! 1: BRLEZ brlez,pt %r17,<label_0xc4001>
2794 stxa %r2, [%r0] ASI_LSU_CONTROL
2795 .word 0x3d400001 ! 337: FBPULE fbule,a,pn %fcc0, <label_0x1>
2796 nop
2797 nop
2798 ta T_CHANGE_HPRIV ! macro
2799donret_80_162:
2800 rd %pc, %r12
2801 mov HIGHVA_HIGHNUM, %r10
2802 sllx %r10, 32, %r10
2803 or %r12, %r10, %r12
2804 add %r12, (donretarg_80_162-donret_80_162+4), %r12
2805 add %r12, 0x4, %r11 ! seq tnpc
2806 wrpr %g0, 0x1, %tl
2807 wrpr %g0, %r12, %tpc
2808 wrpr %g0, %r11, %tnpc
2809 set (0x000c5300 | (0x83 << 24)), %r13
2810 and %r12, 0xfff, %r14
2811 sllx %r14, 32, %r14
2812 or %r13, %r14, %r20
2813 wrpr %r20, %g0, %tstate
2814 wrhpr %g0, 0x1407, %htstate
2815 best_set_reg(0xf18, %g1, %g2)
2816 wrpr %g0, %g2, %pstate ! rand=0 (80)
2817 ldx [%r12+%r0], %g1
2818 retry
2819donretarg_80_162:
2820 .word 0x81982d13 ! 341: WRHPR_HPSTATE_I wrhpr %r0, 0x0d13, %hpstate
2821demap_80_165:
2822 nop
2823 mov 0x80, %g3
2824 ta T_CHANGE_HPRIV
2825 stxa %r7, [%r0] ASI_LSU_CONTROL
2826 stxa %g3, [%g3] 0x5f
2827 .word 0xe09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r16
2828 stxa %g3, [%g3] 0x5f
2829 stxa %g3, [%g3] 0x57
2830 stxa %g3, [%g3] 0x5f
2831 .word 0xc1bfdd40 ! 1: STDFA_R stda %f0, [%r0, %r31]
2832 .word 0xe19fda60 ! 1: LDDFA_R ldda [%r31, %r0], %f16
2833 wrhpr %g0, 0xbd0, %hpstate ! ta T_CHANGE_NONHPRIV
2834 .word 0xd41fe158 ! 345: LDD_I ldd [%r31 + 0x0158], %r10
2835memptr_80_166:
2836 set 0x60540000, %r31
2837 .word 0x858364a5 ! 349: WRCCR_I wr %r13, 0x04a5, %ccr
2838jmptr_80_167:
2839 nop
2840 nop
2841 best_set_reg(0xe0200000, %r20, %r27)
2842 .word 0xb7c6c000 ! 353: JMPL_R jmpl %r27 + %r0, %r27
2843memptr_80_169:
2844 set 0x60140000, %r31
2845 .word 0x85812d6e ! 357: WRCCR_I wr %r4, 0x0d6e, %ccr
2846 .word 0x91918004 ! 361: WRPR_PIL_R wrpr %r6, %r4, %pil
2847 .word 0xc32fc000 ! 365: STXFSR_R st-sfr %f1, [%r0, %r31]
2848trapasi_80_175:
2849 nop
2850 mov 0x10, %r1 ! (VA for ASI 0x49)
2851 .word 0xd4d84920 ! 369: LDXA_R ldxa [%r1, %r0] 0x49, %r10
2852splash_hpstate_80_178:
2853 .word 0x81982f43 ! 373: WRHPR_HPSTATE_I wrhpr %r0, 0x0f43, %hpstate
2854jmptr_80_179:
2855 nop
2856 nop
2857 best_set_reg(0xe0a00000, %r20, %r27)
2858 .word 0xb7c6c000 ! 377: JMPL_R jmpl %r27 + %r0, %r27
2859demap_80_181:
2860 nop
2861 mov 0x80, %g3
2862 ta T_CHANGE_HPRIV
2863 .word 0x20800001 ! 1: BN bn,a <label_0x1>
2864 stxa %g3, [%g3] 0x5f
2865 .word 0xc1bfdc40 ! 1: STDFA_R stda %f0, [%r0, %r31]
2866 stxa %g3, [%g3] 0x57
2867 .word 0xe19fdc00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
2868 .word 0xc1bfdb20 ! 1: STDFA_R stda %f0, [%r0, %r31]
2869 stxa %g3, [%g3] 0x5f
2870 .word 0xc1bfc2c0 ! 1: STDFA_R stda %f0, [%r0, %r31]
2871 .word 0xc0bfde20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf1
2872 stxa %g3, [%g3] 0x5f
2873 .word 0xe0bfde20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf1
2874 stxa %g3, [%g3] 0x5f
2875 .word 0xe19fc2c0 ! 1: LDDFA_R ldda [%r31, %r0], %f16
2876 .word 0xc0bfdf20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf9
2877 stxa %g3, [%g3] 0x57
2878 .word 0xc09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r0
2879 .word 0xc09fda60 ! 1: LDDA_R ldda [%r31, %r0] 0xd3, %r0
2880 stxa %g3, [%g3] 0x57
2881 stxa %g3, [%g3] 0x5f
2882 .word 0xe19fdc00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
2883 wrhpr %g0, 0xc40, %hpstate ! ta T_CHANGE_NONHPRIV
2884 .word 0xe61fe19e ! 381: LDD_I ldd [%r31 + 0x019e], %r19
2885 .word 0xe727e030 ! 385: STF_I st %f19, [0x0030, %r31]
2886intveclr_80_184:
2887 nop
2888 nop
2889 ta T_CHANGE_HPRIV
2890 setx 0xbfe4d711a6da2893, %r1, %r28
2891 stxa %r28, [%g0] 0x72
2892 .word 0x25400001 ! 389: FBPLG fblg,a,pn %fcc0, <label_0x1>
2893splash_tba_80_185:
2894 nop
2895 ta T_CHANGE_PRIV
2896 setx 0x00000004003a0000, %r11, %r12
2897 .word 0x8b90000c ! 393: WRPR_TBA_R wrpr %r0, %r12, %tba
2898 .word 0xc19fda60 ! 397: LDDFA_R ldda [%r31, %r0], %f0
2899splash_lsu_80_189:
2900 nop
2901 nop
2902 ta T_CHANGE_HPRIV
2903 set 0xf779b144, %r2
2904 mov 0x1, %r1
2905 sllx %r1, 32, %r1
2906 or %r1, %r2, %r2
2907 .word 0x1e800002 ! 1: BVC bvc <label_0x2>
2908 stxa %r2, [%r0] ASI_LSU_CONTROL
2909 .word 0x3d400001 ! 401: FBPULE fbule,a,pn %fcc0, <label_0x1>
2910br_longdelay1_80_191:
2911 .word 0x37400001 ! 1: FBPGE fbge,a,pn %fcc0, <label_0x1>
2912 .word 0xbfefc000 ! 405: RESTORE_R restore %r31, %r0, %r31
2913 .word 0xe19fe160 ! 409: LDDFA_I ldda [%r31, 0x0160], %f16
2914#if (defined SPC || defined CMP1)
2915!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_80_194) , 16, 16)) -> intp(5,0,2,*,704,*,ea,1)
2916!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_80_194)&0xffffffff) , 16, 16)) -> intp(5,0,30,*,712,*,ea,1)
2917#else
2918 nop
2919 nop
2920 set 0x6bb07fc2, %r28 !TTID : 7 (mask2tid(0x80))
2921#if (defined PORTABLE_CORE || MAX_THREADS == 8)
2922 sethi %hi(0x3800), %r27
2923 andn %r28, %r27, %r28
2924#ifdef PORTABLE_CORE
2925 ! Add CID to vector
2926 ta T_CHANGE_HPRIV
2927 ldxa [%g0]0x63, %r27
2928 sllx %r27, 8, %r27
2929 or %r27, %r28, %r28
2930#endif
2931#else
2932 ! Add CID IF tid matches
2933ifelse(7,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
2934#endif
2935ifelse(7,mask2tid(0x80),`.align 16')
2936 stxa %r28, [%g0] 0x73
2937#endif
2938intvec_80_194:
2939#if (defined SPC || defined CMP1)
2940 wrhpr %g0, 0x0, %halt ! HALT
2941#else
2942ifelse(7,mask2tid(0x80),`wrhpr %g0, 0x0, %halt ! HALT')
2943#endif
2944 .word 0x39400001 ! 413: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
2945memptr_80_198:
2946 set user_data_start, %r31
2947 .word 0x8583269a ! 417: WRCCR_I wr %r12, 0x069a, %ccr
2948demap_80_200:
2949 nop
2950 mov 0x80, %g3
2951 ta T_CHANGE_HPRIV
2952 .word 0x3b400001 ! 1: FBPLE fble,a,pn %fcc0, <label_0x1>
2953 stxa %g3, [%g3] 0x57
2954 stxa %g3, [%g3] 0x57
2955 stxa %g3, [%g3] 0x57
2956 .word 0xe19fc3e0 ! 1: LDDFA_R ldda [%r31, %r0], %f16
2957 .word 0xe19fdc40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
2958 stxa %g3, [%g3] 0x57
2959 .word 0xc0bfdb20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd9
2960 .word 0xe19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
2961 stxa %g3, [%g3] 0x5f
2962 .word 0xe0bfde20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf1
2963 stxa %g3, [%g3] 0x5f
2964 .word 0xc0bfdc40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xe2
2965 stxa %g3, [%g3] 0x5f
2966 .word 0xc09fde20 ! 1: LDDA_R ldda [%r31, %r0] 0xf1, %r0
2967 .word 0xe09fdf00 ! 1: LDDA_R ldda [%r31, %r0] 0xf8, %r16
2968 .word 0xc19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
2969 wrhpr %g0, 0xf09, %hpstate ! ta T_CHANGE_NONHPRIV
2970 .word 0xe61fe0ec ! 421: LDD_I ldd [%r31 + 0x00ec], %r19
2971 nop
2972 nop
2973 ta T_CHANGE_HPRIV
2974 mov 0x0, %r11
2975splash_cmpr_80_201:
2976 sllx %r11, 63, %r11
2977 not %r11, %r11
2978 rd %tick, %r10
2979#if (defined SPC || defined CMP1)
2980 add %r10, 0x250, %r10
2981#else
2982 add %r10, 0x380, %r10
2983#endif
2984 and %r10, %r11, %r10
2985 wrhpr %r10, %g0, %hsys_tick_cmpr
2986 rd %tick, %r10
2987#if (defined SPC || defined CMP1)
2988 add %r10, 0x250, %r10
2989#else
2990 add %r10, 0x380, %r10
2991#endif
2992 and %r10, %r11, %r10
2993 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
2994 .word 0x81982f57 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0f57, %hpstate
2995 .word 0x81b01021 ! 425: SIAM siam 1
2996 .word 0xe1bfdd40 ! 429: STDFA_R stda %f16, [%r0, %r31]
2997brcommon3_80_206:
2998 nop
2999 nop
3000 setx common_target, %r12, %r27
3001 lduw [%r27-4], %r12 ! Load common dest into dcache ..
3002 stuw %r12, [%r27-0] ! Load common dest into dcache ..
3003 ba,a .+12
3004 .word 0xe737c000 ! 1: STQF_R - %f19, [%r0, %r31]
3005 ba,a .+8
3006 jmpl %r27+0, %r27
3007 .word 0x20800001 ! 433: BN bn,a <label_0x1>
3008 .word 0xe727c000 ! 437: STF_R st %f19, [%r0, %r31]
3009 .word 0x8d802000 ! 441: WRFPRS_I wr %r0, 0x0000, %fprs
3010 .word 0xe0bfc240 ! 445: STDA_R stda %r16, [%r31 + %r0] 0x12
3011 .word 0xd297df00 ! 449: LDUHA_R lduha [%r31, %r0] 0xf8, %r9
3012splash_lsu_80_215:
3013 nop
3014 nop
3015 ta T_CHANGE_HPRIV
3016 set 0x2a92315a, %r2
3017 mov 0x1, %r1
3018 sllx %r1, 32, %r1
3019 or %r1, %r2, %r2
3020 stxa %r2, [%r0] ASI_LSU_CONTROL
3021 ta T_CHANGE_NONHPRIV
3022 .word 0x3d400001 ! 453: FBPULE fbule,a,pn %fcc0, <label_0x1>
3023dvapa_80_218:
3024 nop
3025 nop
3026 ta T_CHANGE_HPRIV
3027 mov 0x9c4, %r20
3028 mov 0x9, %r19
3029 sllx %r20, 23, %r20
3030 or %r19, %r20, %r19
3031 stxa %r19, [%g0] ASI_LSU_CONTROL
3032 mov 0x38, %r18
3033 stxa %r31, [%r18]0x58
3034 wrhpr %g0, 0xb53, %hpstate ! ta T_CHANGE_NONHPRIV
3035 .word 0xc1bfde00 ! 457: STDFA_R stda %f0, [%r0, %r31]
3036 .word 0xd2d7df00 ! 461: LDSHA_R ldsha [%r31, %r0] 0xf8, %r9
3037cancelint_80_219:
3038 rdhpr %halt, %r8
3039 .word 0x85880000 ! 465: ALLCLEAN <illegal instruction>
3040demap_80_222:
3041 nop
3042 mov 0x80, %g3
3043 ta T_CHANGE_HPRIV
3044 .word 0x2ac90001 ! 1: BRNZ brnz,a,pt %r4,<label_0x90001>
3045 stxa %g3, [%g3] 0x5f
3046 .word 0xe1bfdb40 ! 1: STDFA_R stda %f16, [%r0, %r31]
3047 .word 0xe09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r16
3048 stxa %g3, [%g3] 0x57
3049 stxa %g3, [%g3] 0x57
3050 .word 0xc19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
3051 .word 0xe0bfc2c0 ! 1: STDA_R stda %r16, [%r31 + %r0] 0x16
3052 stxa %g3, [%g3] 0x5f
3053 stxa %g3, [%g3] 0x5f
3054 .word 0xe0bfdc00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe0
3055 .word 0xe09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r16
3056 .word 0xc1bfdb40 ! 1: STDFA_R stda %f0, [%r0, %r31]
3057 wrhpr %g0, 0x851, %hpstate ! ta T_CHANGE_NONHPRIV
3058 .word 0xe01fe084 ! 469: LDD_I ldd [%r31 + 0x0084], %r16
3059 .word 0xe08008a0 ! 473: LDUWA_R lduwa [%r0, %r0] 0x45, %r16
3060splash_lsu_80_226:
3061 nop
3062 nop
3063 ta T_CHANGE_HPRIV
3064 set 0x2b704fa3, %r2
3065 mov 0x6, %r1
3066 sllx %r1, 32, %r1
3067 or %r1, %r2, %r2
3068 stxa %r2, [%r0] ASI_LSU_CONTROL
3069 .word 0x3d400001 ! 477: FBPULE fbule,a,pn %fcc0, <label_0x1>
3070 .word 0xc1bfdb40 ! 481: STDFA_R stda %f0, [%r0, %r31]
3071 .word 0x91920007 ! 485: WRPR_PIL_R wrpr %r8, %r7, %pil
3072 nop
3073 nop
3074 set 0x8f8025f8, %r28 !TTID : 5 (mask2tid(0x80))
3075#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3076 sethi %hi(0x3800), %r27
3077 andn %r28, %r27, %r28
3078#ifdef PORTABLE_CORE
3079 ! Add CID to vector
3080 ta T_CHANGE_HPRIV
3081 ldxa [%g0]0x63, %r27
3082 sllx %r27, 8, %r27
3083 or %r27, %r28, %r28
3084#endif
3085#else
3086 ! Add CID IF tid matches
3087ifelse(5,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3088#endif
3089 sethi %hi(0x30000), %r27
3090 andn %r28, %r27, %r28
3091 ta T_CHANGE_HPRIV
3092ifelse(5,mask2tid(0x80),`.align 16')
3093 stxa %r28, [%g0] 0x73
3094intvec_80_235:
3095 .word 0x19400002 ! 489: FBPUGE fbuge
3096memptr_80_237:
3097 set user_data_start, %r31
3098 .word 0x8581a84c ! 493: WRCCR_I wr %r6, 0x084c, %ccr
3099frzptr_80_239:
3100 nop
3101 nop
3102 best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
3103 ldx [%r27+0xc], %r20
3104 jmpl %r27, %r27
3105 .word 0x00800001 ! 497: BN bn <label_0x1>
3106mondo_80_240:
3107 nop
3108 nop
3109 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
3110 stxa %r19, [%r0+0x3e0] %asi
3111 .word 0x8780204f ! 1: WRASI_I wr %r0, 0x004f, %asi
3112 .word 0x9d94c013 ! 501: WRPR_WSTATE_R wrpr %r19, %r19, %wstate
3113 .word 0x2aca4001 ! 1: BRNZ brnz,a,pt %r9,<label_0xa4001>
3114 .word 0x8d903941 ! 505: WRPR_PSTATE_I wrpr %r0, 0x1941, %pstate
3115 .word 0x8980000a ! 509: WRTICK_R wr %r0, %r10, %tick
3116 .word 0xe43fe0b0 ! 513: STD_I std %r18, [%r31 + 0x00b0]
3117 .word 0x8d802004 ! 517: WRFPRS_I wr %r0, 0x0004, %fprs
3118intveclr_80_251:
3119 nop
3120 nop
3121 ta T_CHANGE_HPRIV
3122 setx 0x5949c8b551cb5f31, %r1, %r28
3123 stxa %r28, [%g0] 0x72
3124 wrhpr %g0, 0xc4b, %hpstate ! ta T_CHANGE_NONHPRIV
3125 .word 0x25400001 ! 521: FBPLG fblg,a,pn %fcc0, <label_0x1>
3126memptr_80_253:
3127 set 0x60340000, %r31
3128 .word 0x858220f4 ! 525: WRCCR_I wr %r8, 0x00f4, %ccr
3129 .word 0x1e800001 ! 1: BVC bvc <label_0x1>
3130 .word 0x8d9032a5 ! 529: WRPR_PSTATE_I wrpr %r0, 0x12a5, %pstate
3131 nop
3132 nop
3133 set 0x90e0ec1b, %r28 !TTID : 4 (mask2tid(0x80))
3134#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3135 sethi %hi(0x3800), %r27
3136 andn %r28, %r27, %r28
3137#ifdef PORTABLE_CORE
3138 ! Add CID to vector
3139 ta T_CHANGE_HPRIV
3140 ldxa [%g0]0x63, %r27
3141 sllx %r27, 8, %r27
3142 or %r27, %r28, %r28
3143#endif
3144#else
3145 ! Add CID IF tid matches
3146ifelse(4,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3147#endif
3148 sethi %hi(0x30000), %r27
3149 andn %r28, %r27, %r28
3150 ta T_CHANGE_HPRIV
3151ifelse(4,mask2tid(0x80),`.align 16')
3152 stxa %r28, [%g0] 0x73
3153intvec_80_259:
3154 .word 0x91b484d1 ! 533: FCMPNE32 fcmpne32 %d18, %d48, %r8
3155demap_80_261:
3156 nop
3157 mov 0x80, %g3
3158 ta T_CHANGE_HPRIV
3159 stxa %r11, [%r0] ASI_LSU_CONTROL
3160 stxa %g3, [%g3] 0x5f
3161 .word 0xe0bfdf20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf9
3162 .word 0xe19fc3e0 ! 1: LDDFA_R ldda [%r31, %r0], %f16
3163 .word 0xc19fdc00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
3164 stxa %g3, [%g3] 0x57
3165 stxa %g3, [%g3] 0x57
3166 .word 0xe09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r16
3167 stxa %g3, [%g3] 0x57
3168 stxa %g3, [%g3] 0x57
3169 stxa %g3, [%g3] 0x57
3170 .word 0xc0bfdb20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd9
3171 .word 0xc1bfda00 ! 1: STDFA_R stda %f0, [%r0, %r31]
3172 wrhpr %g0, 0xf4a, %hpstate ! ta T_CHANGE_NONHPRIV
3173 .word 0xd61fe08e ! 537: LDD_I ldd [%r31 + 0x008e], %r11
3174cancelint_80_263:
3175 rdhpr %halt, %r12
3176 .word 0x85880000 ! 541: ALLCLEAN <illegal instruction>
3177splash_lsu_80_264:
3178 nop
3179 nop
3180 ta T_CHANGE_HPRIV
3181 set 0xdf3e6699, %r2
3182 mov 0x6, %r1
3183 sllx %r1, 32, %r1
3184 or %r1, %r2, %r2
3185 stxa %r2, [%r0] ASI_LSU_CONTROL
3186 .word 0x1d400001 ! 545: FBPULE fbule
3187fpinit_80_267:
3188 nop
3189 setx fp_data_quads, %r19, %r20
3190 ldd [%r20], %f0
3191 ldd [%r20+8], %f4
3192 ld [%r20+16], %fsr
3193 ld [%r20+24], %r19
3194 wr %r19, %g0, %gsr
3195 .word 0x89a009a4 ! 549: FDIVs fdivs %f0, %f4, %f4
3196 .word 0xd137e030 ! 553: STQF_I - %f8, [0x0030, %r31]
3197#if (defined SPC || defined CMP1)
3198!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_80_272) , 16, 16)) -> intp(3,0,28,*,736,*,d1,1)
3199!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_80_272)&0xffffffff) , 16, 16)) -> intp(4,0,9,*,936,*,d1,1)
3200#else
3201 nop
3202 nop
3203 set 0xffb07b28, %r28 !TTID : 3 (mask2tid(0x80))
3204#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3205 sethi %hi(0x3800), %r27
3206 andn %r28, %r27, %r28
3207#ifdef PORTABLE_CORE
3208 ! Add CID to vector
3209 ta T_CHANGE_HPRIV
3210 ldxa [%g0]0x63, %r27
3211 sllx %r27, 8, %r27
3212 or %r27, %r28, %r28
3213#endif
3214#else
3215 ! Add CID IF tid matches
3216ifelse(3,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3217#endif
3218ifelse(3,mask2tid(0x80),`.align 16')
3219 stxa %r28, [%g0] 0x73
3220#endif
3221intvec_80_272:
3222 .word 0x19400001 ! 557: FBPUGE fbuge
3223fpinit_80_275:
3224 nop
3225 setx fp_data_quads, %r19, %r20
3226 ldd [%r20], %f0
3227 ldd [%r20+8], %f4
3228 ld [%r20+16], %fsr
3229 ld [%r20+24], %r19
3230 wr %r19, %g0, %gsr
3231 .word 0x91a009a4 ! 561: FDIVs fdivs %f0, %f4, %f8
3232 .word 0xe2cfdf00 ! 565: LDSBA_R ldsba [%r31, %r0] 0xf8, %r17
3233splash_lsu_80_280:
3234 nop
3235 nop
3236 ta T_CHANGE_HPRIV
3237 set 0x583711e4, %r2
3238 mov 0x4, %r1
3239 sllx %r1, 32, %r1
3240 or %r1, %r2, %r2
3241 stxa %r2, [%r0] ASI_LSU_CONTROL
3242 ta T_CHANGE_NONHPRIV
3243 .word 0x3d400001 ! 569: FBPULE fbule,a,pn %fcc0, <label_0x1>
3244 nop
3245 nop
3246 set 0xa900104b, %r28 !TTID : 0 (mask2tid(0x80))
3247#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3248 sethi %hi(0x3800), %r27
3249 andn %r28, %r27, %r28
3250#ifdef PORTABLE_CORE
3251 ! Add CID to vector
3252 ta T_CHANGE_HPRIV
3253 ldxa [%g0]0x63, %r27
3254 sllx %r27, 8, %r27
3255 or %r27, %r28, %r28
3256#endif
3257#else
3258 ! Add CID IF tid matches
3259ifelse(0,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3260#endif
3261 sethi %hi(0x30000), %r27
3262 andn %r28, %r27, %r28
3263 ta T_CHANGE_HPRIV
3264ifelse(0,mask2tid(0x80),`.align 16')
3265 stxa %r28, [%g0] 0x73
3266intvec_80_283:
3267 .word 0xa5a409c4 ! 573: FDIVd fdivd %f16, %f4, %f18
3268jmptr_80_284:
3269 nop
3270 nop
3271 best_set_reg(0xe1200000, %r20, %r27)
3272 .word 0xb7c6c000 ! 577: JMPL_R jmpl %r27 + %r0, %r27
3273 .word 0xc1bfe140 ! 581: STDFA_I stda %f0, [0x0140, %r31]
3274 .word 0xe937c000 ! 585: STQF_R - %f20, [%r0, %r31]
3275br_longdelay2_80_288:
3276 .word 0x0f400001 ! 1: FBPU fbu
3277 .word 0xe9e7c180 ! 589: CASA_I casa [%r31] 0x c, %r0, %r20
3278#if (defined SPC || defined CMP1)
3279!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_80_290) , 16, 16)) -> intp(4,0,7,*,912,*,9c,1)
3280!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_80_290)&0xffffffff) , 16, 16)) -> intp(4,0,30,*,704,*,9c,1)
3281#else
3282 nop
3283 nop
3284 set 0x8480c728, %r28 !TTID : 7 (mask2tid(0x80))
3285#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3286 sethi %hi(0x3800), %r27
3287 andn %r28, %r27, %r28
3288#ifdef PORTABLE_CORE
3289 ! Add CID to vector
3290 ta T_CHANGE_HPRIV
3291 ldxa [%g0]0x63, %r27
3292 sllx %r27, 8, %r27
3293 or %r27, %r28, %r28
3294#endif
3295#else
3296 ! Add CID IF tid matches
3297ifelse(7,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3298#endif
3299ifelse(7,mask2tid(0x80),`.align 16')
3300 stxa %r28, [%g0] 0x73
3301#endif
3302intvec_80_290:
3303#if (defined SPC || defined CMP1)
3304 wrhpr %g0, 0x0, %halt ! HALT
3305#else
3306ifelse(7,mask2tid(0x80),`wrhpr %g0, 0x0, %halt ! HALT')
3307#endif
3308 .word 0x19400001 ! 593: FBPUGE fbuge
3309demap_80_293:
3310 nop
3311 mov 0x80, %g3
3312 ta T_CHANGE_HPRIV
3313 .word 0x36800001 ! 1: BGE bge,a <label_0x1>
3314 stxa %g3, [%g3] 0x5f
3315 .word 0xe1bfda00 ! 1: STDFA_R stda %f16, [%r0, %r31]
3316 wrhpr %g0, 0x3db, %hpstate ! ta T_CHANGE_NONHPRIV
3317 .word 0xd01fe188 ! 597: LDD_I ldd [%r31 + 0x0188], %r8
3318splash_hpstate_80_294:
3319 .word 0x2b400001 ! 1: FBPUG fbug,a,pn %fcc0, <label_0x1>
3320 .word 0x8198229f ! 601: WRHPR_HPSTATE_I wrhpr %r0, 0x029f, %hpstate
3321brcommon1_80_295:
3322 nop
3323 nop
3324 setx common_target, %r12, %r27
3325 lduw [%r27-4], %r12 ! Load common dest into dcache ..
3326 stuw %r12, [%r27-0] ! Load common dest into dcache ..
3327 ba,a .+12
3328 .word 0x91a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f8
3329 ba,a .+8
3330 jmpl %r27-4, %r27
3331 .word 0xa3a089d4 ! 605: FDIVd fdivd %f2, %f20, %f48
3332brcommon3_80_296:
3333 nop
3334 nop
3335 setx common_target, %r12, %r27
3336 lduw [%r27-4], %r12 ! Load common dest into dcache ..
3337 stuw %r12, [%r27-0] ! Load common dest into dcache ..
3338 ba,a .+12
3339 .word 0xd1e7c720 ! 1: CASA_I casa [%r31] 0x39, %r0, %r8
3340 ba,a .+8
3341 jmpl %r27+0, %r27
3342 .word 0x20800001 ! 609: BN bn,a <label_0x1>
3343 .word 0xc32fc000 ! 613: STXFSR_R st-sfr %f1, [%r0, %r31]
3344jmptr_80_300:
3345 nop
3346 nop
3347 best_set_reg(0xe1a00000, %r20, %r27)
3348 .word 0xb7c6c000 ! 617: JMPL_R jmpl %r27 + %r0, %r27
3349 .word 0xe8c7c400 ! 621: LDSWA_R ldswa [%r31, %r0] 0x20, %r20
3350memptr_80_303:
3351 set user_data_start, %r31
3352 .word 0x8580ad2d ! 625: WRCCR_I wr %r2, 0x0d2d, %ccr
3353trapasi_80_305:
3354 nop
3355 mov 0x0, %r1 ! (VA for ASI 0x72)
3356 .word 0xe2d84e40 ! 629: LDXA_R ldxa [%r1, %r0] 0x72, %r17
3357 .word 0xe2d7c280 ! 633: LDSHA_R ldsha [%r31, %r0] 0x14, %r17
3358demap_80_307:
3359 nop
3360 mov 0x80, %g3
3361 ta T_CHANGE_HPRIV
3362 .word 0x0ac88001 ! 1: BRNZ brnz,pt %r2,<label_0x88001>
3363 stxa %g3, [%g3] 0x5f
3364 .word 0xe09fda60 ! 1: LDDA_R ldda [%r31, %r0] 0xd3, %r16
3365 stxa %g3, [%g3] 0x5f
3366 .word 0xe0bfc3e0 ! 1: STDA_R stda %r16, [%r31 + %r0] 0x1f
3367 stxa %g3, [%g3] 0x57
3368 .word 0xc0bfdf20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf9
3369 .word 0xc1bfda60 ! 1: STDFA_R stda %f0, [%r0, %r31]
3370 .word 0xe0bfdf20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf9
3371 stxa %g3, [%g3] 0x5f
3372 .word 0xc0bfda60 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd3
3373 stxa %g3, [%g3] 0x57
3374 .word 0xe09fc2c0 ! 1: LDDA_R ldda [%r31, %r0] 0x16, %r16
3375 .word 0xc19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
3376 .word 0xe19fdc00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
3377 stxa %g3, [%g3] 0x57
3378 wrhpr %g0, 0xa90, %hpstate ! ta T_CHANGE_NONHPRIV
3379 .word 0xd01fe0b9 ! 637: LDD_I ldd [%r31 + 0x00b9], %r8
3380 fbge,a,pn %fcc0, skip_80_310
3381 stxa %r12, [%r0] ASI_LSU_CONTROL
3382 .word 0xa9b4c4cc ! 1: FCMPNE32 fcmpne32 %d50, %d12, %r20
3383 stxa %r11, [%r0] ASI_LSU_CONTROL
3384.align 512
3385skip_80_310:
3386 .word 0xe63fe07c ! 641: STD_I std %r19, [%r31 + 0x007c]
3387 .word 0xd48fc400 ! 645: LDUBA_R lduba [%r31, %r0] 0x20, %r10
3388 nop
3389 nop
3390 ta T_CHANGE_HPRIV
3391 mov 0x1, %r11
3392splash_cmpr_80_314:
3393 sllx %r11, 63, %r11
3394 not %r11, %r11
3395 rd %tick, %r10
3396#if (defined SPC || defined CMP1)
3397 add %r10, 0x250, %r10
3398#else
3399 add %r10, 0xc00, %r10
3400#endif
3401 and %r10, %r11, %r10
3402 wrhpr %r10, %g0, %hsys_tick_cmpr
3403 wrhpr %g0, 0x0, %halt ! HALT
3404 ta T_CHANGE_PRIV
3405 rd %tick, %r10
3406#if (defined SPC || defined CMP1)
3407 add %r10, 0x150, %r10
3408#else
3409 add %r10, 0x380, %r10
3410#endif
3411 and %r10, %r11, %r10
3412 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
3413#if (defined SPC || defined CMP1)
3414!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_80_314)+8 , 16, 16)) -> intp(4,0,1,*,896,*,ef,1)
3415!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_80_314)&0xffffffff)+8 , 16, 16)) -> intp(7,0,15,*,656,*,ef,1)
3416#endif
3417 wrhpr %g0, 0x0, %halt ! HALT
3418 .word 0x81983f4c ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1f4c, %hpstate
3419 .word 0x81b01021 ! 649: SIAM siam 1
3420brcommon2_80_317:
3421 nop
3422 nop
3423 setx common_target, %r12, %r27
3424 ba,a .+12
3425 .word 0xd7144013 ! 1: LDQF_R - [%r17, %r19], %f11
3426 ba,a .+8
3427 jmpl %r27-0, %r27
3428 .word 0x00800001 ! 653: BN bn <label_0x1>
3429splash_lsu_80_319:
3430 nop
3431 nop
3432 ta T_CHANGE_HPRIV
3433 set 0x9e7af0b0, %r2
3434 mov 0x6, %r1
3435 sllx %r1, 32, %r1
3436 or %r1, %r2, %r2
3437 .word 0x2d400001 ! 1: FBPG fbg,a,pn %fcc0, <label_0x1>
3438 stxa %r2, [%r0] ASI_LSU_CONTROL
3439 ta T_CHANGE_NONHPRIV
3440 .word 0x1d400001 ! 657: FBPULE fbule
3441intveclr_80_321:
3442 nop
3443 nop
3444 ta T_CHANGE_HPRIV
3445 setx 0xa625cc07fad39bcb, %r1, %r28
3446 stxa %r28, [%g0] 0x72
3447 .word 0x25400001 ! 661: FBPLG fblg,a,pn %fcc0, <label_0x1>
3448demap_80_323:
3449 nop
3450 mov 0x80, %g3
3451 ta T_CHANGE_HPRIV
3452 stxa %r19, [%r0] ASI_LSU_CONTROL
3453 stxa %g3, [%g3] 0x5f
3454 .word 0xe0bfdd40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xea
3455 .word 0xc09fda00 ! 1: LDDA_R ldda [%r31, %r0] 0xd0, %r0
3456 stxa %g3, [%g3] 0x57
3457 stxa %g3, [%g3] 0x5f
3458 .word 0xe1bfdf00 ! 1: STDFA_R stda %f16, [%r0, %r31]
3459 .word 0xc0bfdf20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf9
3460 .word 0xc19fdc00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
3461 stxa %g3, [%g3] 0x5f
3462 .word 0xe1bfdc00 ! 1: STDFA_R stda %f16, [%r0, %r31]
3463 .word 0xc19fda60 ! 1: LDDFA_R ldda [%r31, %r0], %f0
3464 stxa %g3, [%g3] 0x5f
3465 .word 0xe09fda60 ! 1: LDDA_R ldda [%r31, %r0] 0xd3, %r16
3466 .word 0xc0bfdc00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xe0
3467 stxa %g3, [%g3] 0x5f
3468 .word 0xc19fdf20 ! 1: LDDFA_R ldda [%r31, %r0], %f0
3469 .word 0xe1bfdb20 ! 1: STDFA_R stda %f16, [%r0, %r31]
3470 .word 0xc09fc2c0 ! 1: LDDA_R ldda [%r31, %r0] 0x16, %r0
3471 wrhpr %g0, 0x588, %hpstate ! ta T_CHANGE_NONHPRIV
3472 .word 0xd81fe02a ! 665: LDD_I ldd [%r31 + 0x002a], %r12
3473jmptr_80_326:
3474 nop
3475 nop
3476 best_set_reg(0xe0200000, %r20, %r27)
3477 .word 0xb7c6c000 ! 669: JMPL_R jmpl %r27 + %r0, %r27
3478 fbe skip_80_328
3479 bpos skip_80_328
3480.align 512
3481skip_80_328:
3482 .word 0x9ba4c9c4 ! 673: FDIVd fdivd %f50, %f4, %f44
3483splash_lsu_80_330:
3484 nop
3485 nop
3486 ta T_CHANGE_HPRIV
3487 set 0x2c463206, %r2
3488 mov 0x2, %r1
3489 sllx %r1, 32, %r1
3490 or %r1, %r2, %r2
3491 stxa %r2, [%r0] ASI_LSU_CONTROL
3492 ta T_CHANGE_NONHPRIV
3493 .word 0x1d400002 ! 677: FBPULE fbule
3494intveclr_80_332:
3495 nop
3496 nop
3497 ta T_CHANGE_HPRIV
3498 setx 0xa98e1b927428b81f, %r1, %r28
3499 stxa %r28, [%g0] 0x72
3500 wrhpr %g0, 0x1db, %hpstate ! ta T_CHANGE_NONHPRIV
3501 .word 0x25400001 ! 681: FBPLG fblg,a,pn %fcc0, <label_0x1>
3502br_badelay3_80_335:
3503 .word 0x22800001 ! 1: BE be,a <label_0x1>
3504 .word 0x34800001 ! 1: BG bg,a <label_0x1>
3505 .word 0xa9a509cd ! 1: FDIVd fdivd %f20, %f44, %f20
3506 .word 0xa1a2c82c ! 685: FADDs fadds %f11, %f12, %f16
3507 bgu,a skip_80_336
3508 stxa %r16, [%r0] ASI_LSU_CONTROL
3509 bcc skip_80_336
3510 stxa %r14, [%r0] ASI_LSU_CONTROL
3511.align 512
3512skip_80_336:
3513 .word 0xd9e7d100 ! 689: CASA_I casa [%r31] 0x88, %r0, %r12
3514 nop
3515 nop
3516 ta T_CHANGE_HPRIV
3517 mov 0x1, %r11
3518splash_cmpr_80_339:
3519 sllx %r11, 63, %r11
3520 not %r11, %r11
3521 rd %tick, %r10
3522#if (defined SPC || defined CMP1)
3523 add %r10, 0x150, %r10
3524#else
3525 add %r10, 0x900, %r10
3526#endif
3527 and %r10, %r11, %r10
3528 wrhpr %r10, %g0, %hsys_tick_cmpr
3529 wrhpr %g0, 0x0, %halt ! HALT
3530 rd %tick, %r10
3531#if (defined SPC || defined CMP1)
3532 add %r10, 0x200, %r10
3533#else
3534 add %r10, 0x380, %r10
3535#endif
3536 and %r10, %r11, %r10
3537 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
3538#if (defined SPC || defined CMP1)
3539!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_80_339)+8 , 16, 16)) -> intp(2,0,6,*,752,*,9e,1)
3540!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_80_339)&0xffffffff)+8 , 16, 16)) -> intp(7,0,2,*,1008,*,9e,1)
3541#endif
3542 wrhpr %g0, 0x0, %halt ! HALT
3543 .word 0x81982f4d ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0f4d, %hpstate
3544 .word 0x81b01021 ! 693: SIAM siam 1
3545 brgez,pt %r9, skip_80_343
3546 stxa %r20, [%r0] ASI_LSU_CONTROL
3547 fbuge,a,pn %fcc0, skip_80_343
3548 stxa %r17, [%r0] ASI_LSU_CONTROL
3549.align 512
3550skip_80_343:
3551 .word 0xc30fc000 ! 697: LDXFSR_R ld-fsr [%r31, %r0], %f1
3552brcommon3_80_345:
3553 nop
3554 nop
3555 setx common_target, %r12, %r27
3556 lduw [%r27], %r12 ! Load common dest into dcache ..
3557 stuw %r12, [%r27] ! Load common dest into dcache ..
3558 ba,a .+12
3559 .word 0xe9e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r20
3560 ba,a .+8
3561 jmpl %r27+0, %r27
3562 stxa %r12, [%r0] ASI_LSU_CONTROL
3563 .word 0xa1aac82b ! 701: FMOVGE fmovs %fcc1, %f11, %f16
3564 .word 0xd71fe0f8 ! 705: LDDF_I ldd [%r31, 0x00f8], %f11
3565 nop
3566 nop
3567 ta T_CHANGE_HPRIV ! macro
3568donret_80_349:
3569 rd %pc, %r12
3570 mov HIGHVA_HIGHNUM, %r10
3571 sllx %r10, 32, %r10
3572 or %r12, %r10, %r12
3573 add %r12, (donretarg_80_349-donret_80_349), %r12
3574 add %r12, 0x4, %r11 ! seq tnpc
3575 andn %r11, %r10, %r11 ! low VA tnpc
3576 wrpr %g0, 0x1, %tl
3577 wrpr %g0, %r12, %tpc
3578 wrpr %g0, %r11, %tnpc
3579 set (0x0078fa00 | (0x88 << 24)), %r13
3580 and %r12, 0xfff, %r14
3581 sllx %r14, 32, %r14
3582 or %r13, %r14, %r20
3583 wrpr %r20, %g0, %tstate
3584 wrhpr %g0, 0x597, %htstate
3585 wrhpr %g0, 0x80b, %hpstate ! rand=1 (80)
3586 ldx [%r11+%r0], %g1
3587 done
3588donretarg_80_349:
3589 .word 0xa5a449d4 ! 709: FDIVd fdivd %f48, %f20, %f18
3590 .word 0x8980000a ! 713: WRTICK_R wr %r0, %r10, %tick
3591brcommon3_80_355:
3592 nop
3593 nop
3594 setx common_target, %r12, %r27
3595 lduw [%r27], %r12 ! Load common dest into dcache ..
3596 stuw %r12, [%r27] ! Load common dest into dcache ..
3597 ba,a .+12
3598 .word 0xd9e7df00 ! 1: CASA_I casa [%r31] 0xf8, %r0, %r12
3599 ba,a .+8
3600 jmpl %r27+0, %r27
3601 stxa %r17, [%r0] ASI_LSU_CONTROL
3602 .word 0xa7aac825 ! 717: FMOVGE fmovs %fcc1, %f5, %f19
3603 .word 0xd737e152 ! 721: STQF_I - %f11, [0x0152, %r31]
3604memptr_80_359:
3605 set 0x60340000, %r31
3606 .word 0x8584ff35 ! 725: WRCCR_I wr %r19, 0x1f35, %ccr
3607jmptr_80_361:
3608 nop
3609 nop
3610 best_set_reg(0xe0a00000, %r20, %r27)
3611 .word 0xb7c6c000 ! 729: JMPL_R jmpl %r27 + %r0, %r27
3612demap_80_363:
3613 nop
3614 mov 0x80, %g3
3615 ta T_CHANGE_HPRIV
3616 stxa %r10, [%r0] ASI_LSU_CONTROL
3617 stxa %g3, [%g3] 0x5f
3618 .word 0xe19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
3619 stxa %g3, [%g3] 0x57
3620 stxa %g3, [%g3] 0x57
3621 stxa %g3, [%g3] 0x5f
3622 .word 0xc19fdb40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
3623 wrhpr %g0, 0xd53, %hpstate ! ta T_CHANGE_NONHPRIV
3624 .word 0xd61fe168 ! 733: LDD_I ldd [%r31 + 0x0168], %r11
3625 nop
3626 nop
3627 ta T_CHANGE_HPRIV
3628 mov 0x1, %r11
3629splash_cmpr_80_365:
3630 sllx %r11, 63, %r11
3631 not %r11, %r11
3632 rd %tick, %r10
3633#if (defined SPC || defined CMP1)
3634 add %r10, 0x200, %r10
3635#else
3636 add %r10, 0x550, %r10
3637#endif
3638 and %r10, %r11, %r10
3639 wrhpr %r10, %g0, %hsys_tick_cmpr
3640 wrhpr %g0, 0x0, %halt ! HALT
3641 ta T_CHANGE_PRIV
3642 rd %tick, %r10
3643#if (defined SPC || defined CMP1)
3644 add %r10, 0x200, %r10
3645#else
3646 add %r10, 0x700, %r10
3647#endif
3648 and %r10, %r11, %r10
3649 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
3650#if (defined SPC || defined CMP1)
3651!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_80_365)+8 , 16, 16)) -> intp(5,0,30,*,656,*,98,1)
3652!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_80_365)&0xffffffff)+8 , 16, 16)) -> intp(6,0,2,*,720,*,98,1)
3653#endif
3654 wrhpr %g0, 0x0, %halt ! HALT
3655 .word 0x81983158 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1158, %hpstate
3656 .word 0x81b01021 ! 737: SIAM siam 1
3657 .word 0xd08008a0 ! 741: LDUWA_R lduwa [%r0, %r0] 0x45, %r8
3658 .word 0x8d802000 ! 745: WRFPRS_I wr %r0, 0x0000, %fprs
3659ibp_80_369:
3660 nop
3661 nop
3662 .word 0x9ba289ad ! 749: FDIVs fdivs %f10, %f13, %f13
3663demap_80_370:
3664 nop
3665 mov 0x80, %g3
3666 ta T_CHANGE_HPRIV
3667 .word 0x22800001 ! 1: BE be,a <label_0x1>
3668 stxa %g3, [%g3] 0x57
3669 .word 0xe0bfdc00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe0
3670 .word 0xc09fc3e0 ! 1: LDDA_R ldda [%r31, %r0] 0x1f, %r0
3671 .word 0xe1bfdf20 ! 1: STDFA_R stda %f16, [%r0, %r31]
3672 stxa %g3, [%g3] 0x5f
3673 .word 0xe0bfc3e0 ! 1: STDA_R stda %r16, [%r31 + %r0] 0x1f
3674 .word 0xc19fde00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
3675 .word 0xc19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
3676 stxa %g3, [%g3] 0x57
3677 .word 0xc19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
3678 .word 0xc0bfdf20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf9
3679 stxa %g3, [%g3] 0x57
3680 .word 0xe0bfc2c0 ! 1: STDA_R stda %r16, [%r31 + %r0] 0x16
3681 .word 0xc1bfdd40 ! 1: STDFA_R stda %f0, [%r0, %r31]
3682 stxa %g3, [%g3] 0x5f
3683 .word 0xc19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
3684 .word 0xc1bfda00 ! 1: STDFA_R stda %f0, [%r0, %r31]
3685 wrhpr %g0, 0xc8, %hpstate ! ta T_CHANGE_NONHPRIV
3686 .word 0xd81fe16a ! 753: LDD_I ldd [%r31 + 0x016a], %r12
3687memptr_80_374:
3688 set 0x60140000, %r31
3689 .word 0x85846dff ! 757: WRCCR_I wr %r17, 0x0dff, %ccr
3690splash_lsu_80_376:
3691 nop
3692 nop
3693 ta T_CHANGE_HPRIV
3694 set 0x91af646b, %r2
3695 mov 0x3, %r1
3696 sllx %r1, 32, %r1
3697 or %r1, %r2, %r2
3698 stxa %r2, [%r0] ASI_LSU_CONTROL
3699 ta T_CHANGE_NONHPRIV
3700 .word 0x3d400001 ! 761: FBPULE fbule,a,pn %fcc0, <label_0x1>
3701 nop
3702 nop
3703 set 0xe0d05273, %r28 !TTID : 2 (mask2tid(0x80))
3704#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3705 sethi %hi(0x3800), %r27
3706 andn %r28, %r27, %r28
3707#ifdef PORTABLE_CORE
3708 ! Add CID to vector
3709 ta T_CHANGE_HPRIV
3710 ldxa [%g0]0x63, %r27
3711 sllx %r27, 8, %r27
3712 or %r27, %r28, %r28
3713#endif
3714#else
3715 ! Add CID IF tid matches
3716ifelse(2,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3717#endif
3718 sethi %hi(0x30000), %r27
3719 andn %r28, %r27, %r28
3720 ta T_CHANGE_HPRIV
3721ifelse(2,mask2tid(0x80),`.align 16')
3722 stxa %r28, [%g0] 0x73
3723intvec_80_377:
3724 .word 0xa5a509d1 ! 765: FDIVd fdivd %f20, %f48, %f18
3725memptr_80_380:
3726 set 0x60540000, %r31
3727 .word 0x85843f4a ! 769: WRCCR_I wr %r16, 0x1f4a, %ccr
3728memptr_80_384:
3729 set user_data_start, %r31
3730 .word 0x8580f569 ! 773: WRCCR_I wr %r3, 0x1569, %ccr
3731intveclr_80_386:
3732 nop
3733 nop
3734 ta T_CHANGE_HPRIV
3735 setx 0x145cca5fe1e63c19, %r1, %r28
3736 stxa %r28, [%g0] 0x72
3737 .word 0x05400001 ! 777: FBPLG fblg
3738 .word 0x87802089 ! 781: WRASI_I wr %r0, 0x0089, %asi
3739 .word 0xda97d920 ! 785: LDUHA_R lduha [%r31, %r0] 0xc9, %r13
3740#if (defined SPC || defined CMP1)
3741!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_80_390) , 16, 16)) -> intp(7,0,31,*,992,*,9c,1)
3742!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_80_390)&0xffffffff) , 16, 16)) -> intp(7,0,31,*,704,*,9c,1)
3743#else
3744 nop
3745 nop
3746 set 0xd2f00820, %r28 !TTID : 0 (mask2tid(0x80))
3747#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3748 sethi %hi(0x3800), %r27
3749 andn %r28, %r27, %r28
3750#ifdef PORTABLE_CORE
3751 ! Add CID to vector
3752 ta T_CHANGE_HPRIV
3753 ldxa [%g0]0x63, %r27
3754 sllx %r27, 8, %r27
3755 or %r27, %r28, %r28
3756#endif
3757#else
3758 ! Add CID IF tid matches
3759ifelse(0,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3760#endif
3761ifelse(0,mask2tid(0x80),`.align 16')
3762 stxa %r28, [%g0] 0x73
3763#endif
3764intvec_80_390:
3765#if (defined SPC || defined CMP1)
3766 wrhpr %g0, 0x0, %halt ! HALT
3767#else
3768ifelse(0,mask2tid(0x80),`wrhpr %g0, 0x0, %halt ! HALT')
3769#endif
3770 .word 0x9bb484c1 ! 789: FCMPNE32 fcmpne32 %d18, %d32, %r13
3771demap_80_394:
3772 nop
3773 mov 0x80, %g3
3774 ta T_CHANGE_HPRIV
3775 stxa %r15, [%r0] ASI_LSU_CONTROL
3776 stxa %g3, [%g3] 0x57
3777 .word 0xe0bfde00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf0
3778 .word 0xc19fc2c0 ! 1: LDDFA_R ldda [%r31, %r0], %f0
3779 .word 0xe19fde00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
3780 stxa %g3, [%g3] 0x57
3781 stxa %g3, [%g3] 0x5f
3782 .word 0xe09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r16
3783 stxa %g3, [%g3] 0x57
3784 wrhpr %g0, 0x5c2, %hpstate ! ta T_CHANGE_NONHPRIV
3785 .word 0xe01fe011 ! 793: LDD_I ldd [%r31 + 0x0011], %r16
3786jmptr_80_397:
3787 nop
3788 nop
3789 best_set_reg(0xe1200000, %r20, %r27)
3790 .word 0xb7c6c000 ! 797: JMPL_R jmpl %r27 + %r0, %r27
3791 nop
3792 nop
3793 ta T_CHANGE_PRIV
3794 wrpr %g0, %g0, %gl
3795 nop
3796 nop
3797.text
3798 setx join_lbl_0_0, %g1, %g2
3799 jmp %g2
3800 nop
3801fork_lbl_0_7:
3802 wrhpr %g0, 0x201, %hpstate ! ta T_CHANGE_NONHPRIV
3803 .word 0x99454000 ! 1: RD_CLEAR_SOFTINT rd %clear_softint, %r12
3804splash_tba_40_1:
3805 nop
3806 ta T_CHANGE_PRIV
3807 setx 0x0000000400380000, %r11, %r12
3808 .word 0x8b90000c ! 5: WRPR_TBA_R wrpr %r0, %r12, %tba
3809jmptr_40_3:
3810 nop
3811 nop
3812 best_set_reg(0xe1200000, %r20, %r27)
3813 .word 0xb7c6c000 ! 9: JMPL_R jmpl %r27 + %r0, %r27
3814br_badelay2_40_5:
3815 .word 0x91a349c9 ! 1: FDIVd fdivd %f44, %f40, %f8
3816 .word 0xa97033b2 ! 1: POPC_I popc 0x13b2, %r20
3817 .word 0x91b48305 ! 13: ALIGNADDRESS alignaddr %r18, %r5, %r8
3818 .word 0xd28008a0 ! 17: LDUWA_R lduwa [%r0, %r0] 0x45, %r9
3819 .word 0xc1bfdc00 ! 21: STDFA_R stda %f0, [%r0, %r31]
3820jmptr_40_8:
3821 nop
3822 nop
3823 best_set_reg(0xe1a00000, %r20, %r27)
3824 .word 0xb7c6c000 ! 25: JMPL_R jmpl %r27 + %r0, %r27
3825 .word 0x8d802004 ! 29: WRFPRS_I wr %r0, 0x0004, %fprs
3826br_badelay2_40_11:
3827 .word 0x22800001 ! 1: BE be,a <label_0x1>
3828 .word 0xa5a449c7 ! 1: FDIVd fdivd %f48, %f38, %f18
3829 .word 0x9bb20303 ! 33: ALIGNADDRESS alignaddr %r8, %r3, %r13
3830splash_htba_40_14:
3831 nop
3832 ta T_CHANGE_HPRIV
3833 setx 0x0000000200280000, %r11, %r12
3834 .word 0x8b98000c ! 37: WRHPR_HTBA_R wrhpr %r0, %r12, %htba
3835#if (defined SPC || defined CMP1)
3836!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_16) , 16, 16)) -> intp(5,0,20,*,896,*,74,1)
3837!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_16)&0xffffffff) , 16, 16)) -> intp(2,0,10,*,680,*,74,1)
3838#else
3839 nop
3840 nop
3841 set 0x802008a4, %r28 !TTID : 0 (mask2tid(0x40))
3842#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3843 sethi %hi(0x3800), %r27
3844 andn %r28, %r27, %r28
3845#ifdef PORTABLE_CORE
3846 ! Add CID to vector
3847 ta T_CHANGE_HPRIV
3848 ldxa [%g0]0x63, %r27
3849 sllx %r27, 8, %r27
3850 or %r27, %r28, %r28
3851#endif
3852#else
3853 ! Add CID IF tid matches
3854ifelse(0,mask2tid(0x40),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
3855#endif
3856ifelse(0,mask2tid(0x40),`.align 16')
3857 stxa %r28, [%g0] 0x73
3858#endif
3859intvec_40_16:
3860 .word 0xa9a289d3 ! 41: FDIVd fdivd %f10, %f50, %f20
3861 .word 0xe1bfdc00 ! 45: STDFA_R stda %f16, [%r0, %r31]
3862 .word 0xe2800a80 ! 49: LDUWA_R lduwa [%r0, %r0] 0x54, %r17
3863splash_lsu_40_22:
3864 nop
3865 nop
3866 ta T_CHANGE_HPRIV
3867 set 0x946d8259, %r2
3868 mov 0x1, %r1
3869 sllx %r1, 32, %r1
3870 or %r1, %r2, %r2
3871 .word 0x26c84002 ! 1: BRLZ brlz,a,pt %r1,<label_0x84002>
3872 stxa %r2, [%r0] ASI_LSU_CONTROL
3873 .word 0x3d400001 ! 53: FBPULE fbule,a,pn %fcc0, <label_0x1>
3874memptr_40_24:
3875 set user_data_start, %r31
3876 .word 0x85827120 ! 57: WRCCR_I wr %r9, 0x1120, %ccr
3877demap_40_27:
3878 nop
3879 mov 0x80, %g3
3880 ta T_CHANGE_HPRIV
3881 .word 0x36800001 ! 1: BGE bge,a <label_0x1>
3882 stxa %g3, [%g3] 0x5f
3883 stxa %g3, [%g3] 0x5f
3884 .word 0xe09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r16
3885 .word 0xe19fde20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
3886 .word 0xe09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r16
3887 stxa %g3, [%g3] 0x5f
3888 .word 0xe1bfdb40 ! 1: STDFA_R stda %f16, [%r0, %r31]
3889 wrhpr %g0, 0xcc3, %hpstate ! ta T_CHANGE_NONHPRIV
3890 .word 0xe21fe1e2 ! 61: LDD_I ldd [%r31 + 0x01e2], %r17
3891 bn,a skip_40_30
3892 stxa %r11, [%r0] ASI_LSU_CONTROL
3893 fbuge skip_40_30
3894 stxa %r15, [%r0] ASI_LSU_CONTROL
3895.align 4096
3896skip_40_30:
3897 .word 0xc32fc000 ! 65: STXFSR_R st-sfr %f1, [%r0, %r31]
3898splash_lsu_40_31:
3899 nop
3900 nop
3901 ta T_CHANGE_HPRIV
3902 set 0x2be3f110, %r2
3903 mov 0x4, %r1
3904 sllx %r1, 32, %r1
3905 or %r1, %r2, %r2
3906 stxa %r2, [%r0] ASI_LSU_CONTROL
3907 ta T_CHANGE_NONHPRIV
3908 .word 0x1d400001 ! 69: FBPULE fbule
3909 .word 0x99508000 ! 73: RDPR_TSTATE rdpr %tstate, %r12
3910jmptr_40_36:
3911 nop
3912 nop
3913 best_set_reg(0xe0200000, %r20, %r27)
3914 .word 0xb7c6c000 ! 77: JMPL_R jmpl %r27 + %r0, %r27
3915jmptr_40_39:
3916 nop
3917 nop
3918 best_set_reg(0xe0a00000, %r20, %r27)
3919 .word 0xb7c6c000 ! 81: JMPL_R jmpl %r27 + %r0, %r27
3920 .word 0xe2c7d060 ! 85: LDSWA_R ldswa [%r31, %r0] 0x83, %r17
3921brcommon1_40_41:
3922 nop
3923 nop
3924 setx common_target, %r12, %r27
3925 lduw [%r27-0], %r12 ! Load common dest into dcache ..
3926 stuw %r12, [%r27-0] ! Load common dest into dcache ..
3927 ba,a .+12
3928 .word 0xe7e7df00 ! 1: CASA_I casa [%r31] 0xf8, %r0, %r19
3929 ba,a .+8
3930 jmpl %r27-0, %r27
3931 .word 0x93703adb ! 89: POPC_I popc 0x1adb, %r9
3932 .word 0xe19fe0c0 ! 93: LDDFA_I ldda [%r31, 0x00c0], %f16
3933demap_40_44:
3934 nop
3935 mov 0x80, %g3
3936 ta T_CHANGE_HPRIV
3937 .word 0x1d400001 ! 1: FBPULE fbule
3938 stxa %g3, [%g3] 0x5f
3939 stxa %g3, [%g3] 0x57
3940 .word 0xc19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
3941 stxa %g3, [%g3] 0x5f
3942 .word 0xe1bfdb20 ! 1: STDFA_R stda %f16, [%r0, %r31]
3943 .word 0xe09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r16
3944 .word 0xe0bfdb20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd9
3945 stxa %g3, [%g3] 0x57
3946 .word 0xe09fdb20 ! 1: LDDA_R ldda [%r31, %r0] 0xd9, %r16
3947 .word 0xe09fde20 ! 1: LDDA_R ldda [%r31, %r0] 0xf1, %r16
3948 .word 0xc0bfdc40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xe2
3949 stxa %g3, [%g3] 0x57
3950 .word 0xe09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r16
3951 .word 0xe19fc2c0 ! 1: LDDFA_R ldda [%r31, %r0], %f16
3952 .word 0xc19fde00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
3953 wrhpr %g0, 0x459, %hpstate ! ta T_CHANGE_NONHPRIV
3954 .word 0xd61fe090 ! 97: LDD_I ldd [%r31 + 0x0090], %r11
3955 .word 0x91948010 ! 101: WRPR_PIL_R wrpr %r18, %r16, %pil
3956 fbne skip_40_49
3957 bvs skip_40_49
3958.align 2048
3959skip_40_49:
3960 .word 0xa1a109c7 ! 105: FDIVd fdivd %f4, %f38, %f16
3961brcommon2_40_51:
3962 nop
3963 nop
3964 setx common_target, %r12, %r27
3965 ba,a .+12
3966 .word 0x9f8021f0 ! 1: SIR sir 0x01f0
3967 ba,a .+8
3968 jmpl %r27-4, %r27
3969 .word 0x00800001 ! 109: BN bn <label_0x1>
3970 fbue skip_40_52
3971 brlz,pt %r17, skip_40_52
3972.align 512
3973skip_40_52:
3974 .word 0x24c90002 ! 113: BRLEZ brlez,a,pt %r4,<label_0x90002>
3975 .word 0xd09fdf00 ! 117: LDDA_R ldda [%r31, %r0] 0xf8, %r8
3976brcommon2_40_55:
3977 nop
3978 nop
3979 setx common_target, %r12, %r27
3980 ba,a .+12
3981 .word 0xa7a7c960 ! 1: FMULq dis not found
3982
3983 ba,a .+8
3984 jmpl %r27-4, %r27
3985 .word 0xa1b7c7c0 ! 121: PDIST pdistn %d62, %d0, %d16
3986 .word 0x22780002 ! 125: BPE <illegal instruction>
3987 nop
3988 nop
3989 set 0xf20cb7c, %r28 !TTID : 3 (mask2tid(0x40))
3990#if (defined PORTABLE_CORE || MAX_THREADS == 8)
3991 sethi %hi(0x3800), %r27
3992 andn %r28, %r27, %r28
3993#ifdef PORTABLE_CORE
3994 ! Add CID to vector
3995 ta T_CHANGE_HPRIV
3996 ldxa [%g0]0x63, %r27
3997 sllx %r27, 8, %r27
3998 or %r27, %r28, %r28
3999#endif
4000#else
4001 ! Add CID IF tid matches
4002ifelse(3,mask2tid(0x40),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
4003#endif
4004 sethi %hi(0x30000), %r27
4005 andn %r28, %r27, %r28
4006 ta T_CHANGE_HPRIV
4007ifelse(3,mask2tid(0x40),`.align 16')
4008 stxa %r28, [%g0] 0x73
4009intvec_40_57:
4010 .word 0x99b4c4ca ! 129: FCMPNE32 fcmpne32 %d50, %d10, %r12
4011jmptr_40_58:
4012 nop
4013 nop
4014 best_set_reg(0xe1200000, %r20, %r27)
4015 .word 0xb7c6c000 ! 133: JMPL_R jmpl %r27 + %r0, %r27
4016 .word 0x9192400d ! 137: WRPR_PIL_R wrpr %r9, %r13, %pil
4017 .word 0xd89fd920 ! 141: LDDA_R ldda [%r31, %r0] 0xc9, %r12
4018pmu_40_66:
4019 nop
4020 nop
4021 setx 0xffffffbdffffffa5, %g1, %g7
4022 .word 0xa3800007 ! 145: WR_PERF_COUNTER_R wr %r0, %r7, %-
4023 .word 0x87802080 ! 149: WRASI_I wr %r0, 0x0080, %asi
4024 .word 0xd91fe160 ! 153: LDDF_I ldd [%r31, 0x0160], %f12
4025splash_hpstate_40_70:
4026 .word 0x8198245d ! 157: WRHPR_HPSTATE_I wrhpr %r0, 0x045d, %hpstate
4027 .word 0xe077c000 ! 161: STX_R stx %r16, [%r31 + %r0]
4028jmptr_40_74:
4029 nop
4030 nop
4031 best_set_reg(0xe1a00000, %r20, %r27)
4032 .word 0xb7c6c000 ! 165: JMPL_R jmpl %r27 + %r0, %r27
4033 nop
4034 nop
4035 ta T_CHANGE_HPRIV ! macro
4036donret_40_76:
4037 rd %pc, %r12
4038 mov HIGHVA_HIGHNUM, %r10
4039 sllx %r10, 32, %r10
4040 or %r12, %r10, %r12
4041 add %r12, (donretarg_40_76-donret_40_76), %r12
4042 add %r12, 0x4, %r11 ! seq tnpc
4043 andn %r12, %r10, %r12 ! low VA tpc
4044 wrpr %g0, 0x2, %tl
4045 wrpr %g0, %r12, %tpc
4046 wrpr %g0, %r11, %tnpc
4047 set (0x00750600 | (4 << 24)), %r13
4048 and %r12, 0xfff, %r14
4049 sllx %r14, 32, %r14
4050 or %r13, %r14, %r20
4051 wrpr %r20, %g0, %tstate
4052 wrhpr %g0, 0x1197, %htstate
4053 best_set_reg(0x10c9, %g1, %g2)
4054 wrpr %g0, %g2, %pstate ! rand=0 (40)
4055 retry
4056.align 1024
4057donretarg_40_76:
4058 .word 0xe4ffd100 ! 169: SWAPA_R swapa %r18, [%r31 + %r0] 0x88
4059brcommon3_40_79:
4060 nop
4061 nop
4062 setx common_target, %r12, %r27
4063 lduw [%r27], %r12 ! Load common dest into dcache ..
4064 stuw %r12, [%r27] ! Load common dest into dcache ..
4065 ba,a .+12
4066 .word 0xd3e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r9
4067 ba,a .+8
4068 jmpl %r27+0, %r27
4069 stxa %r19, [%r0] ASI_LSU_CONTROL
4070 .word 0xa1aac834 ! 173: FMOVGE fmovs %fcc1, %f20, %f16
4071 bn skip_40_80
4072 fbge skip_40_80
4073.align 512
4074skip_40_80:
4075 .word 0x9bb484cd ! 177: FCMPNE32 fcmpne32 %d18, %d44, %r13
4076brcommon3_40_83:
4077 nop
4078 nop
4079 setx common_target, %r12, %r27
4080 lduw [%r27-0], %r12 ! Load common dest into dcache ..
4081 stuw %r12, [%r27-4] ! Load common dest into dcache ..
4082 ba,a .+12
4083 .word 0xe9e7d060 ! 1: CASA_I casa [%r31] 0x83, %r0, %r20
4084 ba,a .+8
4085 jmpl %r27+0, %r27
4086 .word 0x00800001 ! 181: BN bn <label_0x1>
4087brcommon3_40_86:
4088 nop
4089 nop
4090 setx common_target, %r12, %r27
4091 lduw [%r27], %r12 ! Load common dest into dcache ..
4092 stuw %r12, [%r27] ! Load common dest into dcache ..
4093 ba,a .+12
4094 .word 0xe937c000 ! 1: STQF_R - %f20, [%r0, %r31]
4095 ba,a .+8
4096 jmpl %r27+0, %r27
4097 stxa %r12, [%r0] ASI_LSU_CONTROL
4098 .word 0x99aac831 ! 185: FMOVGE fmovs %fcc1, %f17, %f12
4099 .word 0xe8800b60 ! 189: LDUWA_R lduwa [%r0, %r0] 0x5b, %r20
4100 nop
4101 nop
4102 set 0xd2908f67, %r28 !TTID : 7 (mask2tid(0x40))
4103#if (defined PORTABLE_CORE || MAX_THREADS == 8)
4104 sethi %hi(0x3800), %r27
4105 andn %r28, %r27, %r28
4106#ifdef PORTABLE_CORE
4107 ! Add CID to vector
4108 ta T_CHANGE_HPRIV
4109 ldxa [%g0]0x63, %r27
4110 sllx %r27, 8, %r27
4111 or %r27, %r28, %r28
4112#endif
4113#else
4114 ! Add CID IF tid matches
4115ifelse(7,mask2tid(0x40),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
4116#endif
4117 sethi %hi(0x30000), %r27
4118 andn %r28, %r27, %r28
4119 ta T_CHANGE_HPRIV
4120ifelse(7,mask2tid(0x40),`.align 16')
4121 stxa %r28, [%g0] 0x73
4122intvec_40_89:
4123 .word 0x39400001 ! 193: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
4124memptr_40_90:
4125 set user_data_start, %r31
4126 .word 0x858338e9 ! 197: WRCCR_I wr %r12, 0x18e9, %ccr
4127splash_tba_40_93:
4128 nop
4129 ta T_CHANGE_PRIV
4130 set 0x120000, %r12
4131 .word 0x8b90000c ! 201: WRPR_TBA_R wrpr %r0, %r12, %tba
4132 brgez,pt %r20, skip_40_95
4133 stxa %r11, [%r0] ASI_LSU_CONTROL
4134 .word 0xa3b504d4 ! 1: FCMPNE32 fcmpne32 %d20, %d20, %r17
4135 stxa %r7, [%r0] ASI_LSU_CONTROL
4136.align 128
4137skip_40_95:
4138 .word 0xe7e7d100 ! 205: CASA_I casa [%r31] 0x88, %r0, %r19
4139memptr_40_97:
4140 set 0x60740000, %r31
4141 .word 0x8584ac3b ! 209: WRCCR_I wr %r18, 0x0c3b, %ccr
4142 .word 0xe137c000 ! 213: STQF_R - %f16, [%r0, %r31]
4143 .word 0x91940010 ! 217: WRPR_PIL_R wrpr %r16, %r16, %pil
4144 .word 0xe4c7d920 ! 221: LDSWA_R ldswa [%r31, %r0] 0xc9, %r18
4145 .word 0xe327c000 ! 225: STF_R st %f17, [%r0, %r31]
4146 .word 0xe397c240 ! 229: LDQFA_R - [%r31, %r0], %f17
4147brcommon1_40_107:
4148 nop
4149 nop
4150 setx common_target, %r12, %r27
4151 lduw [%r27-4], %r12 ! Load common dest into dcache ..
4152 stuw %r12, [%r27-0] ! Load common dest into dcache ..
4153 ba,a .+12
4154 .word 0xe3e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r17
4155 ba,a .+8
4156 jmpl %r27-0, %r27
4157 .word 0xa9a449d3 ! 233: FDIVd fdivd %f48, %f50, %f20
4158splash_tba_40_110:
4159 nop
4160 ta T_CHANGE_PRIV
4161 setx 0x00000004003a0000, %r11, %r12
4162 .word 0x8b90000c ! 237: WRPR_TBA_R wrpr %r0, %r12, %tba
4163 bvs,a skip_40_113
4164 stxa %r17, [%r0] ASI_LSU_CONTROL
4165 fbuge skip_40_113
4166 stxa %r12, [%r0] ASI_LSU_CONTROL
4167.align 4096
4168skip_40_113:
4169 .word 0xc32fc000 ! 241: STXFSR_R st-sfr %f1, [%r0, %r31]
4170demap_40_114:
4171 nop
4172 mov 0x80, %g3
4173 ta T_CHANGE_HPRIV
4174 .word 0x20800001 ! 1: BN bn,a <label_0x1>
4175 stxa %g3, [%g3] 0x5f
4176 .word 0xe0bfdd40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xea
4177 .word 0xe19fde20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
4178 .word 0xc19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
4179 stxa %g3, [%g3] 0x57
4180 .word 0xc19fc3e0 ! 1: LDDFA_R ldda [%r31, %r0], %f0
4181 .word 0xe1bfdc00 ! 1: STDFA_R stda %f16, [%r0, %r31]
4182 stxa %g3, [%g3] 0x57
4183 .word 0xe0bfdb40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xda
4184 stxa %g3, [%g3] 0x5f
4185 .word 0xe19fda60 ! 1: LDDFA_R ldda [%r31, %r0], %f16
4186 .word 0xe19fde20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
4187 .word 0xe09fdf00 ! 1: LDDA_R ldda [%r31, %r0] 0xf8, %r16
4188 stxa %g3, [%g3] 0x57
4189 stxa %g3, [%g3] 0x57
4190 .word 0xc19fda00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
4191 .word 0xc09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r0
4192 wrhpr %g0, 0x3da, %hpstate ! ta T_CHANGE_NONHPRIV
4193 .word 0xda1fe0a8 ! 245: LDD_I ldd [%r31 + 0x00a8], %r13
4194 .word 0xe19fe120 ! 249: LDDFA_I ldda [%r31, 0x0120], %f16
4195mondo_40_117:
4196 nop
4197 nop
4198 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
4199 ta T_CHANGE_PRIV
4200 stxa %r4, [%r0+0x3c0] %asi
4201 .word 0x87802004 ! 1: WRASI_I wr %r0, 0x0004, %asi
4202 .word 0x9d950014 ! 253: WRPR_WSTATE_R wrpr %r20, %r20, %wstate
4203cancelint_40_120:
4204 rdhpr %halt, %r16
4205 .word 0x85880000 ! 257: ALLCLEAN <illegal instruction>
4206brcommon1_40_121:
4207 nop
4208 nop
4209 setx common_target, %r12, %r27
4210 lduw [%r27-0], %r12 ! Load common dest into dcache ..
4211 stuw %r12, [%r27-0] ! Load common dest into dcache ..
4212 ba,a .+12
4213 .word 0xa7a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f50
4214 ba,a .+8
4215 jmpl %r27-4, %r27
4216 .word 0xa9b4c492 ! 261: FCMPLE32 fcmple32 %d50, %d18, %r20
4217br_longdelay2_40_123:
4218 .word 0x0b400002 ! 1: FBPUG fbug
4219 .word 0xf16fe0e0 ! 265: PREFETCH_I prefetch [%r31 + 0x00e0], #24
4220 .word 0x16780001 ! 269: BPGE <illegal instruction>
4221 .word 0x87802080 ! 273: WRASI_I wr %r0, 0x0080, %asi
4222splash_hpstate_40_128:
4223 ta T_CHANGE_NONHPRIV
4224 .word 0x81982cd1 ! 277: WRHPR_HPSTATE_I wrhpr %r0, 0x0cd1, %hpstate
4225 .word 0xe8bfd100 ! 281: STDA_R stda %r20, [%r31 + %r0] 0x88
4226splash_lsu_40_132:
4227 nop
4228 nop
4229 ta T_CHANGE_HPRIV
4230 set 0xf7a36088, %r2
4231 mov 0x6, %r1
4232 sllx %r1, 32, %r1
4233 or %r1, %r2, %r2
4234 stxa %r2, [%r0] ASI_LSU_CONTROL
4235 ta T_CHANGE_NONHPRIV
4236 .word 0x3d400001 ! 285: FBPULE fbule,a,pn %fcc0, <label_0x1>
4237 nop
4238 nop
4239 set 0x5710854b, %r28 !TTID : 5 (mask2tid(0x40))
4240#if (defined PORTABLE_CORE || MAX_THREADS == 8)
4241 sethi %hi(0x3800), %r27
4242 andn %r28, %r27, %r28
4243#ifdef PORTABLE_CORE
4244 ! Add CID to vector
4245 ta T_CHANGE_HPRIV
4246 ldxa [%g0]0x63, %r27
4247 sllx %r27, 8, %r27
4248 or %r27, %r28, %r28
4249#endif
4250#else
4251 ! Add CID IF tid matches
4252ifelse(5,mask2tid(0x40),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
4253#endif
4254 sethi %hi(0x30000), %r27
4255 andn %r28, %r27, %r28
4256 ta T_CHANGE_HPRIV
4257ifelse(5,mask2tid(0x40),`.align 16')
4258 stxa %r28, [%g0] 0x73
4259intvec_40_135:
4260 .word 0x19400001 ! 289: FBPUGE fbuge
4261memptr_40_137:
4262 set 0x60140000, %r31
4263 .word 0x85837868 ! 293: WRCCR_I wr %r13, 0x1868, %ccr
4264splash_lsu_40_140:
4265 nop
4266 nop
4267 ta T_CHANGE_HPRIV
4268 set 0xa586ae20, %r2
4269 mov 0x1, %r1
4270 sllx %r1, 32, %r1
4271 or %r1, %r2, %r2
4272 stxa %r2, [%r0] ASI_LSU_CONTROL
4273 ta T_CHANGE_NONHPRIV
4274 .word 0x3d400001 ! 297: FBPULE fbule,a,pn %fcc0, <label_0x1>
4275pmu_40_142:
4276 nop
4277 nop
4278 setx 0xffffffb5ffffffa5, %g1, %g7
4279 .word 0xa3800007 ! 301: WR_PERF_COUNTER_R wr %r0, %r7, %-
4280brcommon2_40_145:
4281 nop
4282 nop
4283 setx common_target, %r12, %r27
4284 ba,a .+12
4285 .word 0x99b7c700 ! 1: FMULD8SUx16 fmuld8ulx16 %f31, %f0, %d12
4286 ba,a .+8
4287 jmpl %r27-0, %r27
4288 .word 0xe19fdf00 ! 305: LDDFA_R ldda [%r31, %r0], %f16
4289intveclr_40_146:
4290 nop
4291 nop
4292 ta T_CHANGE_HPRIV
4293 setx 0xe1379f0b60fade23, %r1, %r28
4294 stxa %r28, [%g0] 0x72
4295 .word 0x25400001 ! 309: FBPLG fblg,a,pn %fcc0, <label_0x1>
4296 .word 0xe49fe150 ! 313: LDDA_I ldda [%r31, + 0x0150] %asi, %r18
4297 nop
4298 nop
4299 ta T_CHANGE_HPRIV ! macro
4300donret_40_148:
4301 rd %pc, %r12
4302 mov HIGHVA_HIGHNUM, %r10
4303 sllx %r10, 32, %r10
4304 or %r12, %r10, %r12
4305 add %r12, (donretarg_40_148-donret_40_148+4), %r12
4306 add %r12, 0x4, %r11 ! seq tnpc
4307 andn %r11, %r10, %r11 ! low VA tnpc
4308 wrpr %g0, 0x2, %tl
4309 wrpr %g0, %r12, %tpc
4310 wrpr %g0, %r11, %tnpc
4311 set (0x00fa8b00 | (28 << 24)), %r13
4312 and %r12, 0xfff, %r14
4313 sllx %r14, 32, %r14
4314 or %r13, %r14, %r20
4315 wrpr %r20, %g0, %tstate
4316 wrhpr %g0, 0x55f, %htstate
4317 best_set_reg(0x1dca, %g1, %g2)
4318 wrpr %g0, %g2, %pstate ! rand=0 (40)
4319 .word 0x0d400001 ! 1: FBPG fbg
4320 retry
4321donretarg_40_148:
4322 .word 0xa1a509d1 ! 317: FDIVd fdivd %f20, %f48, %f16
4323jmptr_40_151:
4324 nop
4325 nop
4326 best_set_reg(0xe0200000, %r20, %r27)
4327 .word 0xb7c6c000 ! 321: JMPL_R jmpl %r27 + %r0, %r27
4328splash_lsu_40_152:
4329 nop
4330 nop
4331 ta T_CHANGE_HPRIV
4332 set 0x00af0559, %r2
4333 mov 0x4, %r1
4334 sllx %r1, 32, %r1
4335 or %r1, %r2, %r2
4336 stxa %r2, [%r0] ASI_LSU_CONTROL
4337 .word 0x1d400001 ! 325: FBPULE fbule
4338demap_40_155:
4339 nop
4340 mov 0x80, %g3
4341 ta T_CHANGE_HPRIV
4342 .word 0x37400001 ! 1: FBPGE fbge,a,pn %fcc0, <label_0x1>
4343 stxa %g3, [%g3] 0x5f
4344 .word 0xe0bfdc40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe2
4345 .word 0xe0bfdd40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xea
4346 .word 0xe0bfdf00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf8
4347 stxa %g3, [%g3] 0x5f
4348 stxa %g3, [%g3] 0x5f
4349 .word 0xc19fda00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
4350 .word 0xe0bfdb40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xda
4351 stxa %g3, [%g3] 0x5f
4352 .word 0xe0bfc2c0 ! 1: STDA_R stda %r16, [%r31 + %r0] 0x16
4353 .word 0xe09fda00 ! 1: LDDA_R ldda [%r31, %r0] 0xd0, %r16
4354 wrhpr %g0, 0xbc0, %hpstate ! ta T_CHANGE_NONHPRIV
4355 .word 0xd61fe1bd ! 329: LDD_I ldd [%r31 + 0x01bd], %r11
4356 nop
4357 nop
4358 mov 0x1, %r11
4359splash_cmpr_40_158:
4360 sllx %r11, 63, %r11
4361 not %r11, %r11
4362 rd %tick, %r10
4363#if (defined SPC || defined CMP1)
4364 add %r10, 0x200, %r10
4365#else
4366 add %r10, 0x700, %r10
4367#endif
4368 and %r10, %r11, %r10
4369 rd %tick, %r10
4370#if (defined SPC || defined CMP1)
4371 add %r10, 0x150, %r10
4372#else
4373 add %r10, 0x900, %r10
4374#endif
4375 and %r10, %r11, %r10
4376 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
4377#if (defined SPC || defined CMP1)
4378!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_40_158)+8 , 16, 16)) -> intp(6,0,14,*,1000,*,c9,1)
4379!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_40_158)&0xffffffff)+8 , 16, 16)) -> intp(6,0,9,*,912,*,c9,1)
4380#endif
4381 wrhpr %g0, 0x0, %halt ! HALT
4382 .word 0x81b01021 ! 333: SIAM siam 1
4383splash_lsu_40_161:
4384 nop
4385 nop
4386 ta T_CHANGE_HPRIV
4387 set 0x9ab44416, %r2
4388 mov 0x7, %r1
4389 sllx %r1, 32, %r1
4390 or %r1, %r2, %r2
4391 .word 0x30800001 ! 1: BA ba,a <label_0x1>
4392 stxa %r2, [%r0] ASI_LSU_CONTROL
4393 .word 0x3d400001 ! 337: FBPULE fbule,a,pn %fcc0, <label_0x1>
4394 nop
4395 nop
4396 ta T_CHANGE_HPRIV ! macro
4397donret_40_162:
4398 rd %pc, %r12
4399 mov HIGHVA_HIGHNUM, %r10
4400 sllx %r10, 32, %r10
4401 or %r12, %r10, %r12
4402 add %r12, (donretarg_40_162-donret_40_162+4), %r12
4403 add %r12, 0x4, %r11 ! seq tnpc
4404 wrpr %g0, 0x1, %tl
4405 wrpr %g0, %r12, %tpc
4406 wrpr %g0, %r11, %tnpc
4407 set (0x00f49300 | (0x82 << 24)), %r13
4408 and %r12, 0xfff, %r14
4409 sllx %r14, 32, %r14
4410 or %r13, %r14, %r20
4411 wrpr %r20, %g0, %tstate
4412 wrhpr %g0, 0x1cc7, %htstate
4413 best_set_reg(0x611, %g1, %g2)
4414 wrpr %g0, %g2, %pstate ! rand=0 (40)
4415 ldx [%r12+%r0], %g1
4416 retry
4417donretarg_40_162:
4418 .word 0x81983c47 ! 341: WRHPR_HPSTATE_I wrhpr %r0, 0x1c47, %hpstate
4419demap_40_165:
4420 nop
4421 mov 0x80, %g3
4422 ta T_CHANGE_HPRIV
4423 stxa %r11, [%r0] ASI_LSU_CONTROL
4424 stxa %g3, [%g3] 0x57
4425 .word 0xc0bfc3e0 ! 1: STDA_R stda %r0, [%r31 + %r0] 0x1f
4426 stxa %g3, [%g3] 0x5f
4427 stxa %g3, [%g3] 0x57
4428 stxa %g3, [%g3] 0x5f
4429 .word 0xc09fdf20 ! 1: LDDA_R ldda [%r31, %r0] 0xf9, %r0
4430 .word 0xc09fda60 ! 1: LDDA_R ldda [%r31, %r0] 0xd3, %r0
4431 wrhpr %g0, 0xa49, %hpstate ! ta T_CHANGE_NONHPRIV
4432 .word 0xd41fe0d4 ! 345: LDD_I ldd [%r31 + 0x00d4], %r10
4433memptr_40_166:
4434 set 0x60540000, %r31
4435 .word 0x85817be0 ! 349: WRCCR_I wr %r5, 0x1be0, %ccr
4436jmptr_40_167:
4437 nop
4438 nop
4439 best_set_reg(0xe0a00000, %r20, %r27)
4440 .word 0xb7c6c000 ! 353: JMPL_R jmpl %r27 + %r0, %r27
4441memptr_40_169:
4442 set 0x60340000, %r31
4443 .word 0x8584f66d ! 357: WRCCR_I wr %r19, 0x166d, %ccr
4444 .word 0x91924013 ! 361: WRPR_PIL_R wrpr %r9, %r19, %pil
4445 .word 0xc32fc000 ! 365: STXFSR_R st-sfr %f1, [%r0, %r31]
4446trapasi_40_175:
4447 nop
4448 mov 0x8, %r1 ! (VA for ASI 0x49)
4449 .word 0xd4d84920 ! 369: LDXA_R ldxa [%r1, %r0] 0x49, %r10
4450splash_hpstate_40_178:
4451 .word 0x81982ec5 ! 373: WRHPR_HPSTATE_I wrhpr %r0, 0x0ec5, %hpstate
4452jmptr_40_179:
4453 nop
4454 nop
4455 best_set_reg(0xe1200000, %r20, %r27)
4456 .word 0xb7c6c000 ! 377: JMPL_R jmpl %r27 + %r0, %r27
4457demap_40_181:
4458 nop
4459 mov 0x80, %g3
4460 ta T_CHANGE_HPRIV
4461 .word 0x28800002 ! 1: BLEU bleu,a <label_0x2>
4462 stxa %g3, [%g3] 0x57
4463 .word 0xe1bfdb40 ! 1: STDFA_R stda %f16, [%r0, %r31]
4464 stxa %g3, [%g3] 0x57
4465 .word 0xc19fdc40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
4466 .word 0xc19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
4467 stxa %g3, [%g3] 0x57
4468 .word 0xc1bfc2c0 ! 1: STDFA_R stda %f0, [%r0, %r31]
4469 .word 0xe09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r16
4470 stxa %g3, [%g3] 0x57
4471 .word 0xe0bfdc40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe2
4472 stxa %g3, [%g3] 0x5f
4473 .word 0xc19fdb20 ! 1: LDDFA_R ldda [%r31, %r0], %f0
4474 .word 0xe1bfc3e0 ! 1: STDFA_R stda %f16, [%r0, %r31]
4475 stxa %g3, [%g3] 0x57
4476 .word 0xc0bfde00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf0
4477 .word 0xc19fde00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
4478 stxa %g3, [%g3] 0x5f
4479 stxa %g3, [%g3] 0x57
4480 .word 0xc0bfc2c0 ! 1: STDA_R stda %r0, [%r31 + %r0] 0x16
4481 wrhpr %g0, 0xd42, %hpstate ! ta T_CHANGE_NONHPRIV
4482 .word 0xe61fe152 ! 381: LDD_I ldd [%r31 + 0x0152], %r19
4483 .word 0xe727e11d ! 385: STF_I st %f19, [0x011d, %r31]
4484intveclr_40_184:
4485 nop
4486 nop
4487 ta T_CHANGE_HPRIV
4488 setx 0x4714a99c4779f95f, %r1, %r28
4489 stxa %r28, [%g0] 0x72
4490 .word 0x05400001 ! 389: FBPLG fblg
4491splash_tba_40_185:
4492 nop
4493 ta T_CHANGE_PRIV
4494 setx 0x0000000000380000, %r11, %r12
4495 .word 0x8b90000c ! 393: WRPR_TBA_R wrpr %r0, %r12, %tba
4496 .word 0xc19fdc40 ! 397: LDDFA_R ldda [%r31, %r0], %f0
4497splash_lsu_40_189:
4498 nop
4499 nop
4500 ta T_CHANGE_HPRIV
4501 set 0x43ddebc4, %r2
4502 mov 0x3, %r1
4503 sllx %r1, 32, %r1
4504 or %r1, %r2, %r2
4505 .word 0x2b400001 ! 1: FBPUG fbug,a,pn %fcc0, <label_0x1>
4506 stxa %r2, [%r0] ASI_LSU_CONTROL
4507 .word 0x1d400001 ! 401: FBPULE fbule
4508br_longdelay1_40_191:
4509 .word 0x26cd0001 ! 1: BRLZ brlz,a,pt %r20,<label_0xd0001>
4510 .word 0xbfefc000 ! 405: RESTORE_R restore %r31, %r0, %r31
4511 .word 0xe19fe1e0 ! 409: LDDFA_I ldda [%r31, 0x01e0], %f16
4512#if (defined SPC || defined CMP1)
4513!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_194) , 16, 16)) -> intp(3,0,2,*,712,*,ea,1)
4514!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_194)&0xffffffff) , 16, 16)) -> intp(0,0,31,*,1016,*,ea,1)
4515#else
4516 nop
4517 nop
4518 set 0x5250020d, %r28 !TTID : 2 (mask2tid(0x40))
4519#if (defined PORTABLE_CORE || MAX_THREADS == 8)
4520 sethi %hi(0x3800), %r27
4521 andn %r28, %r27, %r28
4522#ifdef PORTABLE_CORE
4523 ! Add CID to vector
4524 ta T_CHANGE_HPRIV
4525 ldxa [%g0]0x63, %r27
4526 sllx %r27, 8, %r27
4527 or %r27, %r28, %r28
4528#endif
4529#else
4530 ! Add CID IF tid matches
4531ifelse(2,mask2tid(0x40),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
4532#endif
4533ifelse(2,mask2tid(0x40),`.align 16')
4534 stxa %r28, [%g0] 0x73
4535#endif
4536intvec_40_194:
4537#if (defined SPC || defined CMP1)
4538 wrhpr %g0, 0x0, %halt ! HALT
4539#else
4540ifelse(2,mask2tid(0x40),`wrhpr %g0, 0x0, %halt ! HALT')
4541#endif
4542 .word 0xa3a509c5 ! 413: FDIVd fdivd %f20, %f36, %f48
4543memptr_40_198:
4544 set user_data_start, %r31
4545 .word 0x85806cc1 ! 417: WRCCR_I wr %r1, 0x0cc1, %ccr
4546demap_40_200:
4547 nop
4548 mov 0x80, %g3
4549 ta T_CHANGE_HPRIV
4550 .word 0x23400001 ! 1: FBPNE fbne,a,pn %fcc0, <label_0x1>
4551 stxa %g3, [%g3] 0x57
4552 stxa %g3, [%g3] 0x5f
4553 stxa %g3, [%g3] 0x57
4554 .word 0xc09fc3e0 ! 1: LDDA_R ldda [%r31, %r0] 0x1f, %r0
4555 .word 0xc1bfdd40 ! 1: STDFA_R stda %f0, [%r0, %r31]
4556 stxa %g3, [%g3] 0x57
4557 .word 0xc19fdb20 ! 1: LDDFA_R ldda [%r31, %r0], %f0
4558 .word 0xc19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
4559 stxa %g3, [%g3] 0x57
4560 .word 0xe19fdf20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
4561 stxa %g3, [%g3] 0x5f
4562 .word 0xc19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
4563 stxa %g3, [%g3] 0x57
4564 .word 0xe09fc2c0 ! 1: LDDA_R ldda [%r31, %r0] 0x16, %r16
4565 .word 0xe1bfdc00 ! 1: STDFA_R stda %f16, [%r0, %r31]
4566 .word 0xe19fda60 ! 1: LDDFA_R ldda [%r31, %r0], %f16
4567 wrhpr %g0, 0x91, %hpstate ! ta T_CHANGE_NONHPRIV
4568 .word 0xe61fe028 ! 421: LDD_I ldd [%r31 + 0x0028], %r19
4569 nop
4570 nop
4571 ta T_CHANGE_HPRIV
4572 mov 0x0, %r11
4573splash_cmpr_40_201:
4574 sllx %r11, 63, %r11
4575 not %r11, %r11
4576 rd %tick, %r10
4577#if (defined SPC || defined CMP1)
4578 add %r10, 0x200, %r10
4579#else
4580 add %r10, 0x700, %r10
4581#endif
4582 and %r10, %r11, %r10
4583 wrhpr %r10, %g0, %hsys_tick_cmpr
4584 ta T_CHANGE_PRIV
4585 rd %tick, %r10
4586#if (defined SPC || defined CMP1)
4587 add %r10, 0x200, %r10
4588#else
4589 add %r10, 0x550, %r10
4590#endif
4591 and %r10, %r11, %r10
4592 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
4593 .word 0x819837d1 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x17d1, %hpstate
4594 .word 0x81b01021 ! 425: SIAM siam 1
4595 .word 0xe1bfdb20 ! 429: STDFA_R stda %f16, [%r0, %r31]
4596brcommon3_40_206:
4597 nop
4598 nop
4599 setx common_target, %r12, %r27
4600 lduw [%r27-0], %r12 ! Load common dest into dcache ..
4601 stuw %r12, [%r27-4] ! Load common dest into dcache ..
4602 ba,a .+12
4603 .word 0xe737c000 ! 1: STQF_R - %f19, [%r0, %r31]
4604 ba,a .+8
4605 jmpl %r27+0, %r27
4606 .word 0x819839c1 ! 433: WRHPR_HPSTATE_I wrhpr %r0, 0x19c1, %hpstate
4607 .word 0xe727c000 ! 437: STF_R st %f19, [%r0, %r31]
4608 .word 0x8d802004 ! 441: WRFPRS_I wr %r0, 0x0004, %fprs
4609 .word 0xe01fe110 ! 445: LDD_I ldd [%r31 + 0x0110], %r16
4610 .word 0xd297d000 ! 449: LDUHA_R lduha [%r31, %r0] 0x80, %r9
4611splash_lsu_40_215:
4612 nop
4613 nop
4614 ta T_CHANGE_HPRIV
4615 set 0x7d0801c3, %r2
4616 mov 0x6, %r1
4617 sllx %r1, 32, %r1
4618 or %r1, %r2, %r2
4619 stxa %r2, [%r0] ASI_LSU_CONTROL
4620 ta T_CHANGE_NONHPRIV
4621 .word 0x1d400001 ! 453: FBPULE fbule
4622dvapa_40_218:
4623 nop
4624 nop
4625 ta T_CHANGE_HPRIV
4626 mov 0x98b, %r20
4627 mov 0x1, %r19
4628 sllx %r20, 23, %r20
4629 or %r19, %r20, %r19
4630 stxa %r19, [%g0] ASI_LSU_CONTROL
4631 mov 0x38, %r18
4632 stxa %r31, [%r18]0x58
4633 wrhpr %g0, 0x919, %hpstate ! ta T_CHANGE_NONHPRIV
4634 .word 0xc1bfdf00 ! 457: STDFA_R stda %f0, [%r0, %r31]
4635 .word 0xd2d7c280 ! 461: LDSHA_R ldsha [%r31, %r0] 0x14, %r9
4636cancelint_40_219:
4637 rdhpr %halt, %r11
4638 .word 0x85880000 ! 465: ALLCLEAN <illegal instruction>
4639demap_40_222:
4640 nop
4641 mov 0x80, %g3
4642 ta T_CHANGE_HPRIV
4643 .word 0x0ecac001 ! 1: BRGEZ brgez,pt %r11,<label_0xac001>
4644 stxa %g3, [%g3] 0x57
4645 .word 0xe19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
4646 .word 0xe09fda60 ! 1: LDDA_R ldda [%r31, %r0] 0xd3, %r16
4647 stxa %g3, [%g3] 0x57
4648 stxa %g3, [%g3] 0x57
4649 .word 0xc09fda60 ! 1: LDDA_R ldda [%r31, %r0] 0xd3, %r0
4650 .word 0xe19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
4651 stxa %g3, [%g3] 0x5f
4652 stxa %g3, [%g3] 0x5f
4653 .word 0xc0bfde20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf1
4654 .word 0xe09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r16
4655 .word 0xe1bfdc00 ! 1: STDFA_R stda %f16, [%r0, %r31]
4656 wrhpr %g0, 0xfdb, %hpstate ! ta T_CHANGE_NONHPRIV
4657 .word 0xe01fe158 ! 469: LDD_I ldd [%r31 + 0x0158], %r16
4658 .word 0xe08008a0 ! 473: LDUWA_R lduwa [%r0, %r0] 0x45, %r16
4659splash_lsu_40_226:
4660 nop
4661 nop
4662 ta T_CHANGE_HPRIV
4663 set 0x16cc264a, %r2
4664 mov 0x4, %r1
4665 sllx %r1, 32, %r1
4666 or %r1, %r2, %r2
4667 stxa %r2, [%r0] ASI_LSU_CONTROL
4668 .word 0x3d400001 ! 477: FBPULE fbule,a,pn %fcc0, <label_0x1>
4669 .word 0xe1bfde00 ! 481: STDFA_R stda %f16, [%r0, %r31]
4670 .word 0x9191c006 ! 485: WRPR_PIL_R wrpr %r7, %r6, %pil
4671 nop
4672 nop
4673 set 0x43e07eae, %r28 !TTID : 6 (mask2tid(0x40))
4674#if (defined PORTABLE_CORE || MAX_THREADS == 8)
4675 sethi %hi(0x3800), %r27
4676 andn %r28, %r27, %r28
4677#ifdef PORTABLE_CORE
4678 ! Add CID to vector
4679 ta T_CHANGE_HPRIV
4680 ldxa [%g0]0x63, %r27
4681 sllx %r27, 8, %r27
4682 or %r27, %r28, %r28
4683#endif
4684#else
4685 ! Add CID IF tid matches
4686ifelse(6,mask2tid(0x40),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
4687#endif
4688 sethi %hi(0x30000), %r27
4689 andn %r28, %r27, %r28
4690 ta T_CHANGE_HPRIV
4691ifelse(6,mask2tid(0x40),`.align 16')
4692 stxa %r28, [%g0] 0x73
4693intvec_40_235:
4694 .word 0x19400001 ! 489: FBPUGE fbuge
4695memptr_40_237:
4696 set user_data_start, %r31
4697 .word 0x8584b124 ! 493: WRCCR_I wr %r18, 0x1124, %ccr
4698frzptr_40_239:
4699 nop
4700 nop
4701 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
4702 ldx [%r27+0xc], %r20
4703 jmpl %r27, %r27
4704 .word 0x00800001 ! 497: BN bn <label_0x1>
4705mondo_40_240:
4706 nop
4707 nop
4708 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
4709 stxa %r3, [%r0+0x3d8] %asi
4710 .word 0x8780208a ! 1: WRASI_I wr %r0, 0x008a, %asi
4711 .word 0x9d91c014 ! 501: WRPR_WSTATE_R wrpr %r7, %r20, %wstate
4712 .word 0x3e800001 ! 1: BVC bvc,a <label_0x1>
4713 .word 0x8d902dd6 ! 505: WRPR_PSTATE_I wrpr %r0, 0x0dd6, %pstate
4714 .word 0x8980000a ! 509: WRTICK_R wr %r0, %r10, %tick
4715 .word 0xa5a00160 ! 513: FABSq dis not found
4716
4717 .word 0x8d802004 ! 517: WRFPRS_I wr %r0, 0x0004, %fprs
4718intveclr_40_251:
4719 nop
4720 nop
4721 ta T_CHANGE_HPRIV
4722 setx 0xfd2bcdc31319ac6f, %r1, %r28
4723 stxa %r28, [%g0] 0x72
4724 wrhpr %g0, 0xc00, %hpstate ! ta T_CHANGE_NONHPRIV
4725 .word 0x25400001 ! 521: FBPLG fblg,a,pn %fcc0, <label_0x1>
4726memptr_40_253:
4727 set 0x60740000, %r31
4728 .word 0x858430cc ! 525: WRCCR_I wr %r16, 0x10cc, %ccr
4729 .word 0x0f400001 ! 1: FBPU fbu
4730 .word 0x8d9021e7 ! 529: WRPR_PSTATE_I wrpr %r0, 0x01e7, %pstate
4731 nop
4732 nop
4733 set 0xd61037e5, %r28 !TTID : 7 (mask2tid(0x40))
4734#if (defined PORTABLE_CORE || MAX_THREADS == 8)
4735 sethi %hi(0x3800), %r27
4736 andn %r28, %r27, %r28
4737#ifdef PORTABLE_CORE
4738 ! Add CID to vector
4739 ta T_CHANGE_HPRIV
4740 ldxa [%g0]0x63, %r27
4741 sllx %r27, 8, %r27
4742 or %r27, %r28, %r28
4743#endif
4744#else
4745 ! Add CID IF tid matches
4746ifelse(7,mask2tid(0x40),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
4747#endif
4748 sethi %hi(0x30000), %r27
4749 andn %r28, %r27, %r28
4750 ta T_CHANGE_HPRIV
4751ifelse(7,mask2tid(0x40),`.align 16')
4752 stxa %r28, [%g0] 0x73
4753intvec_40_259:
4754 .word 0x19400001 ! 533: FBPUGE fbuge
4755demap_40_261:
4756 nop
4757 mov 0x80, %g3
4758 ta T_CHANGE_HPRIV
4759 stxa %r18, [%r0] ASI_LSU_CONTROL
4760 stxa %g3, [%g3] 0x57
4761 .word 0xc0bfdd40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xea
4762 .word 0xc0bfde00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf0
4763 .word 0xc19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
4764 stxa %g3, [%g3] 0x5f
4765 stxa %g3, [%g3] 0x57
4766 .word 0xc1bfda60 ! 1: STDFA_R stda %f0, [%r0, %r31]
4767 stxa %g3, [%g3] 0x5f
4768 stxa %g3, [%g3] 0x57
4769 stxa %g3, [%g3] 0x57
4770 .word 0xc1bfdb40 ! 1: STDFA_R stda %f0, [%r0, %r31]
4771 .word 0xc1bfdc40 ! 1: STDFA_R stda %f0, [%r0, %r31]
4772 wrhpr %g0, 0xa02, %hpstate ! ta T_CHANGE_NONHPRIV
4773 .word 0xd61fe1e4 ! 537: LDD_I ldd [%r31 + 0x01e4], %r11
4774cancelint_40_263:
4775 rdhpr %halt, %r20
4776 .word 0x85880000 ! 541: ALLCLEAN <illegal instruction>
4777splash_lsu_40_264:
4778 nop
4779 nop
4780 ta T_CHANGE_HPRIV
4781 set 0xffa43242, %r2
4782 mov 0x6, %r1
4783 sllx %r1, 32, %r1
4784 or %r1, %r2, %r2
4785 stxa %r2, [%r0] ASI_LSU_CONTROL
4786 .word 0x3d400001 ! 545: FBPULE fbule,a,pn %fcc0, <label_0x1>
4787fpinit_40_267:
4788 nop
4789 setx fp_data_quads, %r19, %r20
4790 ldd [%r20], %f0
4791 ldd [%r20+8], %f4
4792 ld [%r20+16], %fsr
4793 ld [%r20+24], %r19
4794 wr %r19, %g0, %gsr
4795 .word 0x89a009c4 ! 549: FDIVd fdivd %f0, %f4, %f4
4796 .word 0xd137e022 ! 553: STQF_I - %f8, [0x0022, %r31]
4797#if (defined SPC || defined CMP1)
4798!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_272) , 16, 16)) -> intp(0,0,11,*,696,*,48,1)
4799!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_272)&0xffffffff) , 16, 16)) -> intp(5,0,20,*,672,*,48,1)
4800#else
4801 nop
4802 nop
4803 set 0x73c01197, %r28 !TTID : 1 (mask2tid(0x40))
4804#if (defined PORTABLE_CORE || MAX_THREADS == 8)
4805 sethi %hi(0x3800), %r27
4806 andn %r28, %r27, %r28
4807#ifdef PORTABLE_CORE
4808 ! Add CID to vector
4809 ta T_CHANGE_HPRIV
4810 ldxa [%g0]0x63, %r27
4811 sllx %r27, 8, %r27
4812 or %r27, %r28, %r28
4813#endif
4814#else
4815 ! Add CID IF tid matches
4816ifelse(1,mask2tid(0x40),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
4817#endif
4818ifelse(1,mask2tid(0x40),`.align 16')
4819 stxa %r28, [%g0] 0x73
4820#endif
4821intvec_40_272:
4822#if (defined SPC || defined CMP1)
4823 wrhpr %g0, 0x0, %halt ! HALT
4824#else
4825ifelse(1,mask2tid(0x40),`wrhpr %g0, 0x0, %halt ! HALT')
4826#endif
4827 .word 0xa1a509c5 ! 557: FDIVd fdivd %f20, %f36, %f16
4828fpinit_40_275:
4829 nop
4830 setx fp_data_quads, %r19, %r20
4831 ldd [%r20], %f0
4832 ldd [%r20+8], %f4
4833 ld [%r20+16], %fsr
4834 ld [%r20+24], %r19
4835 wr %r19, %g0, %gsr
4836 .word 0x8da009c4 ! 561: FDIVd fdivd %f0, %f4, %f6
4837 .word 0xe2cfc280 ! 565: LDSBA_R ldsba [%r31, %r0] 0x14, %r17
4838splash_lsu_40_280:
4839 nop
4840 nop
4841 ta T_CHANGE_HPRIV
4842 set 0xf7a1c3e4, %r2
4843 mov 0x3, %r1
4844 sllx %r1, 32, %r1
4845 or %r1, %r2, %r2
4846 stxa %r2, [%r0] ASI_LSU_CONTROL
4847 ta T_CHANGE_NONHPRIV
4848 .word 0x3d400001 ! 569: FBPULE fbule,a,pn %fcc0, <label_0x1>
4849 nop
4850 nop
4851 set 0xc1400370, %r28 !TTID : 3 (mask2tid(0x40))
4852#if (defined PORTABLE_CORE || MAX_THREADS == 8)
4853 sethi %hi(0x3800), %r27
4854 andn %r28, %r27, %r28
4855#ifdef PORTABLE_CORE
4856 ! Add CID to vector
4857 ta T_CHANGE_HPRIV
4858 ldxa [%g0]0x63, %r27
4859 sllx %r27, 8, %r27
4860 or %r27, %r28, %r28
4861#endif
4862#else
4863 ! Add CID IF tid matches
4864ifelse(3,mask2tid(0x40),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
4865#endif
4866 sethi %hi(0x30000), %r27
4867 andn %r28, %r27, %r28
4868 ta T_CHANGE_HPRIV
4869ifelse(3,mask2tid(0x40),`.align 16')
4870 stxa %r28, [%g0] 0x73
4871intvec_40_283:
4872 .word 0x39400001 ! 573: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
4873jmptr_40_284:
4874 nop
4875 nop
4876 best_set_reg(0xe1a00000, %r20, %r27)
4877 .word 0xb7c6c000 ! 577: JMPL_R jmpl %r27 + %r0, %r27
4878 .word 0xe1bfe1c0 ! 581: STDFA_I stda %f16, [0x01c0, %r31]
4879 .word 0xe937c000 ! 585: STQF_R - %f20, [%r0, %r31]
4880br_longdelay2_40_288:
4881 .word 0x3f400001 ! 1: FBPO fbo,a,pn %fcc0, <label_0x1>
4882 .word 0xe9e7d140 ! 589: CASA_I casa [%r31] 0x8a, %r0, %r20
4883#if (defined SPC || defined CMP1)
4884!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_290) , 16, 16)) -> intp(1,0,11,*,896,*,55,1)
4885!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_290)&0xffffffff) , 16, 16)) -> intp(7,0,26,*,672,*,55,1)
4886#else
4887 nop
4888 nop
4889 set 0x6550c7f1, %r28 !TTID : 7 (mask2tid(0x40))
4890#if (defined PORTABLE_CORE || MAX_THREADS == 8)
4891 sethi %hi(0x3800), %r27
4892 andn %r28, %r27, %r28
4893#ifdef PORTABLE_CORE
4894 ! Add CID to vector
4895 ta T_CHANGE_HPRIV
4896 ldxa [%g0]0x63, %r27
4897 sllx %r27, 8, %r27
4898 or %r27, %r28, %r28
4899#endif
4900#else
4901 ! Add CID IF tid matches
4902ifelse(7,mask2tid(0x40),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
4903#endif
4904ifelse(7,mask2tid(0x40),`.align 16')
4905 stxa %r28, [%g0] 0x73
4906#endif
4907intvec_40_290:
4908#if (defined SPC || defined CMP1)
4909 wrhpr %g0, 0x0, %halt ! HALT
4910#else
4911ifelse(7,mask2tid(0x40),`wrhpr %g0, 0x0, %halt ! HALT')
4912#endif
4913 .word 0x97a1c9cd ! 593: FDIVd fdivd %f38, %f44, %f42
4914demap_40_293:
4915 nop
4916 mov 0x80, %g3
4917 ta T_CHANGE_HPRIV
4918 .word 0x30800001 ! 1: BA ba,a <label_0x1>
4919 stxa %g3, [%g3] 0x5f
4920 .word 0xe09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r16
4921 wrhpr %g0, 0xd58, %hpstate ! ta T_CHANGE_NONHPRIV
4922 .word 0xd01fe148 ! 597: LDD_I ldd [%r31 + 0x0148], %r8
4923splash_hpstate_40_294:
4924 .word 0x10800001 ! 1: BA ba <label_0x1>
4925 .word 0x81982fcb ! 601: WRHPR_HPSTATE_I wrhpr %r0, 0x0fcb, %hpstate
4926brcommon1_40_295:
4927 nop
4928 nop
4929 setx common_target, %r12, %r27
4930 lduw [%r27-4], %r12 ! Load common dest into dcache ..
4931 stuw %r12, [%r27-0] ! Load common dest into dcache ..
4932 ba,a .+12
4933 .word 0x91a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f8
4934 ba,a .+8
4935 jmpl %r27-4, %r27
4936 .word 0x97703344 ! 605: POPC_I popc 0x1344, %r11
4937brcommon3_40_296:
4938 nop
4939 nop
4940 setx common_target, %r12, %r27
4941 lduw [%r27-0], %r12 ! Load common dest into dcache ..
4942 stuw %r12, [%r27-0] ! Load common dest into dcache ..
4943 ba,a .+12
4944 .word 0xd1e7c720 ! 1: CASA_I casa [%r31] 0x39, %r0, %r8
4945 ba,a .+8
4946 jmpl %r27+0, %r27
4947 .word 0x8d9028cf ! 609: WRPR_PSTATE_I wrpr %r0, 0x08cf, %pstate
4948 .word 0xc32fc000 ! 613: STXFSR_R st-sfr %f1, [%r0, %r31]
4949jmptr_40_300:
4950 nop
4951 nop
4952 best_set_reg(0xe0200000, %r20, %r27)
4953 .word 0xb7c6c000 ! 617: JMPL_R jmpl %r27 + %r0, %r27
4954 .word 0xe8c7c400 ! 621: LDSWA_R ldswa [%r31, %r0] 0x20, %r20
4955memptr_40_303:
4956 set user_data_start, %r31
4957 .word 0x8584ec5f ! 625: WRCCR_I wr %r19, 0x0c5f, %ccr
4958trapasi_40_305:
4959 nop
4960 mov 0x0, %r1 ! (VA for ASI 0x72)
4961 .word 0xe2d84e40 ! 629: LDXA_R ldxa [%r1, %r0] 0x72, %r17
4962 .word 0xe2d7c3c0 ! 633: LDSHA_R ldsha [%r31, %r0] 0x1e, %r17
4963demap_40_307:
4964 nop
4965 mov 0x80, %g3
4966 ta T_CHANGE_HPRIV
4967 .word 0x22ccc001 ! 1: BRZ brz,a,pt %r19,<label_0xcc001>
4968 stxa %g3, [%g3] 0x5f
4969 .word 0xc0bfde00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf0
4970 stxa %g3, [%g3] 0x5f
4971 .word 0xc1bfc3e0 ! 1: STDFA_R stda %f0, [%r0, %r31]
4972 stxa %g3, [%g3] 0x5f
4973 .word 0xe19fdb20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
4974 .word 0xc0bfdc40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xe2
4975 .word 0xc09fda00 ! 1: LDDA_R ldda [%r31, %r0] 0xd0, %r0
4976 stxa %g3, [%g3] 0x57
4977 .word 0xc0bfdf20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf9
4978 stxa %g3, [%g3] 0x57
4979 .word 0xe19fda60 ! 1: LDDFA_R ldda [%r31, %r0], %f16
4980 .word 0xe0bfde20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf1
4981 .word 0xe19fdf20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
4982 stxa %g3, [%g3] 0x5f
4983 wrhpr %g0, 0xbc2, %hpstate ! ta T_CHANGE_NONHPRIV
4984 .word 0xd01fe160 ! 637: LDD_I ldd [%r31 + 0x0160], %r8
4985 bvc skip_40_310
4986 stxa %r8, [%r0] ASI_LSU_CONTROL
4987 .word 0x99a409d4 ! 1: FDIVd fdivd %f16, %f20, %f12
4988 stxa %r18, [%r0] ASI_LSU_CONTROL
4989.align 512
4990skip_40_310:
4991 .word 0xe63fe141 ! 641: STD_I std %r19, [%r31 + 0x0141]
4992 .word 0xd48fc600 ! 645: LDUBA_R lduba [%r31, %r0] 0x30, %r10
4993 nop
4994 nop
4995 ta T_CHANGE_HPRIV
4996 mov 0x1, %r11
4997splash_cmpr_40_314:
4998 sllx %r11, 63, %r11
4999 not %r11, %r11
5000 rd %tick, %r10
5001#if (defined SPC || defined CMP1)
5002 add %r10, 0x200, %r10
5003#else
5004 add %r10, 0x380, %r10
5005#endif
5006 and %r10, %r11, %r10
5007 wrhpr %r10, %g0, %hsys_tick_cmpr
5008 wrhpr %g0, 0x0, %halt ! HALT
5009 rd %tick, %r10
5010#if (defined SPC || defined CMP1)
5011 add %r10, 0x200, %r10
5012#else
5013 add %r10, 0xc00, %r10
5014#endif
5015 and %r10, %r11, %r10
5016 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
5017#if (defined SPC || defined CMP1)
5018!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_40_314)+8 , 16, 16)) -> intp(5,0,6,*,968,*,d2,1)
5019!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_40_314)&0xffffffff)+8 , 16, 16)) -> intp(5,0,5,*,704,*,d2,1)
5020#endif
5021 wrhpr %g0, 0x0, %halt ! HALT
5022 .word 0x819825cd ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x05cd, %hpstate
5023 .word 0x81b01021 ! 649: SIAM siam 1
5024brcommon2_40_317:
5025 nop
5026 nop
5027 setx common_target, %r12, %r27
5028 ba,a .+12
5029 .word 0x81dfc000 ! 1: FLUSH_R flush %r31, %r0, %r0
5030 ba,a .+8
5031 jmpl %r27-4, %r27
5032 .word 0x00800001 ! 653: BN bn <label_0x1>
5033splash_lsu_40_319:
5034 nop
5035 nop
5036 ta T_CHANGE_HPRIV
5037 set 0x89120688, %r2
5038 mov 0x7, %r1
5039 sllx %r1, 32, %r1
5040 or %r1, %r2, %r2
5041 .word 0x02ca4001 ! 1: BRZ brz,pt %r9,<label_0xa4001>
5042 stxa %r2, [%r0] ASI_LSU_CONTROL
5043 ta T_CHANGE_NONHPRIV
5044 .word 0x3d400001 ! 657: FBPULE fbule,a,pn %fcc0, <label_0x1>
5045intveclr_40_321:
5046 nop
5047 nop
5048 ta T_CHANGE_HPRIV
5049 setx 0x9d76bb6862018c7a, %r1, %r28
5050 stxa %r28, [%g0] 0x72
5051 .word 0x05400001 ! 661: FBPLG fblg
5052demap_40_323:
5053 nop
5054 mov 0x80, %g3
5055 ta T_CHANGE_HPRIV
5056 stxa %r17, [%r0] ASI_LSU_CONTROL
5057 stxa %g3, [%g3] 0x5f
5058 .word 0xe19fdc00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
5059 .word 0xe0bfda00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd0
5060 stxa %g3, [%g3] 0x57
5061 stxa %g3, [%g3] 0x57
5062 .word 0xe1bfdd40 ! 1: STDFA_R stda %f16, [%r0, %r31]
5063 .word 0xe19fde00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
5064 .word 0xe19fdf20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
5065 stxa %g3, [%g3] 0x57
5066 .word 0xe19fdb40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
5067 .word 0xe09fdf20 ! 1: LDDA_R ldda [%r31, %r0] 0xf9, %r16
5068 stxa %g3, [%g3] 0x57
5069 .word 0xe09fde00 ! 1: LDDA_R ldda [%r31, %r0] 0xf0, %r16
5070 .word 0xe19fdf20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
5071 stxa %g3, [%g3] 0x5f
5072 .word 0xe0bfc2c0 ! 1: STDA_R stda %r16, [%r31 + %r0] 0x16
5073 .word 0xc19fdc40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
5074 .word 0xe09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r16
5075 wrhpr %g0, 0x3c2, %hpstate ! ta T_CHANGE_NONHPRIV
5076 .word 0xd81fe110 ! 665: LDD_I ldd [%r31 + 0x0110], %r12
5077jmptr_40_326:
5078 nop
5079 nop
5080 best_set_reg(0xe0a00000, %r20, %r27)
5081 .word 0xb7c6c000 ! 669: JMPL_R jmpl %r27 + %r0, %r27
5082 bvs,a skip_40_328
5083 brlez,a,pn %r19, skip_40_328
5084.align 512
5085skip_40_328:
5086 .word 0x99a189c3 ! 673: FDIVd fdivd %f6, %f34, %f12
5087splash_lsu_40_330:
5088 nop
5089 nop
5090 ta T_CHANGE_HPRIV
5091 set 0x37ebc0d7, %r2
5092 mov 0x6, %r1
5093 sllx %r1, 32, %r1
5094 or %r1, %r2, %r2
5095 stxa %r2, [%r0] ASI_LSU_CONTROL
5096 ta T_CHANGE_NONHPRIV
5097 .word 0x3d400002 ! 677: FBPULE fbule,a,pn %fcc0, <label_0x2>
5098intveclr_40_332:
5099 nop
5100 nop
5101 ta T_CHANGE_HPRIV
5102 setx 0xa1afae430bc316a6, %r1, %r28
5103 stxa %r28, [%g0] 0x72
5104 wrhpr %g0, 0x801, %hpstate ! ta T_CHANGE_NONHPRIV
5105 .word 0x05400001 ! 681: FBPLG fblg
5106br_badelay3_40_335:
5107 .word 0x22800001 ! 1: BE be,a <label_0x1>
5108 .word 0x14800001 ! 1: BG bg <label_0x1>
5109 .word 0x99a409d2 ! 1: FDIVd fdivd %f16, %f18, %f12
5110 .word 0xa7a24828 ! 685: FADDs fadds %f9, %f8, %f19
5111 fble,a,pn %fcc0, skip_40_336
5112 stxa %r13, [%r0] ASI_LSU_CONTROL
5113 fbul skip_40_336
5114 stxa %r15, [%r0] ASI_LSU_CONTROL
5115.align 512
5116skip_40_336:
5117 .word 0xc32fc000 ! 689: STXFSR_R st-sfr %f1, [%r0, %r31]
5118 nop
5119 nop
5120 ta T_CHANGE_HPRIV
5121 mov 0x1, %r11
5122splash_cmpr_40_339:
5123 sllx %r11, 63, %r11
5124 not %r11, %r11
5125 rd %tick, %r10
5126#if (defined SPC || defined CMP1)
5127 add %r10, 0x150, %r10
5128#else
5129 add %r10, 0x380, %r10
5130#endif
5131 and %r10, %r11, %r10
5132 wrhpr %r10, %g0, %hsys_tick_cmpr
5133 wrhpr %g0, 0x0, %halt ! HALT
5134 rd %tick, %r10
5135#if (defined SPC || defined CMP1)
5136 add %r10, 0x100, %r10
5137#else
5138 add %r10, 0xc00, %r10
5139#endif
5140 and %r10, %r11, %r10
5141 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
5142#if (defined SPC || defined CMP1)
5143!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_40_339)+8 , 16, 16)) -> intp(0,0,26,*,1008,*,eb,1)
5144!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_40_339)&0xffffffff)+8 , 16, 16)) -> intp(1,0,0,*,664,*,eb,1)
5145#endif
5146 wrhpr %g0, 0x0, %halt ! HALT
5147 .word 0x81983cc5 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1cc5, %hpstate
5148 .word 0x81b01021 ! 693: SIAM siam 1
5149 fbl skip_40_343
5150 stxa %r16, [%r0] ASI_LSU_CONTROL
5151 brlez,a,pt %r1, skip_40_343
5152 stxa %r11, [%r0] ASI_LSU_CONTROL
5153.align 512
5154skip_40_343:
5155 .word 0xc30fc000 ! 697: LDXFSR_R ld-fsr [%r31, %r0], %f1
5156brcommon3_40_345:
5157 nop
5158 nop
5159 setx common_target, %r12, %r27
5160 lduw [%r27], %r12 ! Load common dest into dcache ..
5161 stuw %r12, [%r27] ! Load common dest into dcache ..
5162 ba,a .+12
5163 .word 0xe9e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r20
5164 ba,a .+8
5165 jmpl %r27+0, %r27
5166 stxa %r6, [%r0] ASI_LSU_CONTROL
5167 .word 0xa3aac834 ! 701: FMOVGE fmovs %fcc1, %f20, %f17
5168 .word 0xd71fe090 ! 705: LDDF_I ldd [%r31, 0x0090], %f11
5169 nop
5170 nop
5171 ta T_CHANGE_HPRIV ! macro
5172donret_40_349:
5173 rd %pc, %r12
5174 mov HIGHVA_HIGHNUM, %r10
5175 sllx %r10, 32, %r10
5176 or %r12, %r10, %r12
5177 add %r12, (donretarg_40_349-donret_40_349), %r12
5178 add %r12, 0x4, %r11 ! seq tnpc
5179 andn %r11, %r10, %r11 ! low VA tnpc
5180 wrpr %g0, 0x2, %tl
5181 wrpr %g0, %r12, %tpc
5182 wrpr %g0, %r11, %tnpc
5183 set (0x00cd6e00 | (0x89 << 24)), %r13
5184 and %r12, 0xfff, %r14
5185 sllx %r14, 32, %r14
5186 or %r13, %r14, %r20
5187 wrpr %r20, %g0, %tstate
5188 wrhpr %g0, 0x6dd, %htstate
5189 wrhpr %g0, 0x802, %hpstate ! rand=1 (40)
5190 ldx [%r11+%r0], %g1
5191 done
5192donretarg_40_349:
5193 .word 0x91a209d2 ! 709: FDIVd fdivd %f8, %f18, %f8
5194 .word 0x8980000a ! 713: WRTICK_R wr %r0, %r10, %tick
5195brcommon3_40_355:
5196 nop
5197 nop
5198 setx common_target, %r12, %r27
5199 lduw [%r27], %r12 ! Load common dest into dcache ..
5200 stuw %r12, [%r27] ! Load common dest into dcache ..
5201 ba,a .+12
5202 .word 0xd9e7d100 ! 1: CASA_I casa [%r31] 0x88, %r0, %r12
5203 ba,a .+8
5204 jmpl %r27+0, %r27
5205 stxa %r15, [%r0] ASI_LSU_CONTROL
5206 .word 0xa9aac826 ! 717: FMOVGE fmovs %fcc1, %f6, %f20
5207 .word 0xd737e05c ! 721: STQF_I - %f11, [0x005c, %r31]
5208memptr_40_359:
5209 set 0x60740000, %r31
5210 .word 0x8584f057 ! 725: WRCCR_I wr %r19, 0x1057, %ccr
5211jmptr_40_361:
5212 nop
5213 nop
5214 best_set_reg(0xe1200000, %r20, %r27)
5215 .word 0xb7c6c000 ! 729: JMPL_R jmpl %r27 + %r0, %r27
5216demap_40_363:
5217 nop
5218 mov 0x80, %g3
5219 ta T_CHANGE_HPRIV
5220 stxa %r16, [%r0] ASI_LSU_CONTROL
5221 stxa %g3, [%g3] 0x5f
5222 .word 0xe0bfdb20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd9
5223 stxa %g3, [%g3] 0x5f
5224 stxa %g3, [%g3] 0x57
5225 stxa %g3, [%g3] 0x57
5226 .word 0xc0bfdc40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xe2
5227 wrhpr %g0, 0xf08, %hpstate ! ta T_CHANGE_NONHPRIV
5228 .word 0xd61fe120 ! 733: LDD_I ldd [%r31 + 0x0120], %r11
5229 nop
5230 nop
5231 ta T_CHANGE_HPRIV
5232 mov 0x0, %r11
5233splash_cmpr_40_365:
5234 sllx %r11, 63, %r11
5235 not %r11, %r11
5236 rd %tick, %r10
5237#if (defined SPC || defined CMP1)
5238 add %r10, 0x100, %r10
5239#else
5240 add %r10, 0x900, %r10
5241#endif
5242 and %r10, %r11, %r10
5243 wrhpr %r10, %g0, %hsys_tick_cmpr
5244 rd %tick, %r10
5245#if (defined SPC || defined CMP1)
5246 add %r10, 0x100, %r10
5247#else
5248 add %r10, 0x380, %r10
5249#endif
5250 and %r10, %r11, %r10
5251 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
5252 .word 0x8198352f ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x152f, %hpstate
5253 .word 0x81b01021 ! 737: SIAM siam 1
5254 .word 0xd08008a0 ! 741: LDUWA_R lduwa [%r0, %r0] 0x45, %r8
5255 .word 0x8d802004 ! 745: WRFPRS_I wr %r0, 0x0004, %fprs
5256ibp_40_369:
5257 nop
5258 nop
5259 ta T_CHANGE_HPRIV
5260 mov 8, %r18
5261 rd %asi, %r12
5262 wr %r0, 0x41, %asi
5263 set sync_thr_counter4, %r23
5264#ifndef SPC
5265 ldxa [%g0]0x63, %r8
5266 and %r8, 0x38, %r8 ! Core ID
5267#ifndef PORTABLE_CORE
5268 add %r8, %r23, %r23
5269#endif
5270#else
5271 mov 0, %r8
5272#endif
5273 mov 0x40, %r16
5274ibp_startwait40_369:
5275 cas [%r23],%g0,%r16 !lock
5276 brz,a %r16, continue_ibp_40_369
5277 mov (~0x40&0xf0), %r16
5278 ld [%r23], %r16
5279ibp_wait40_369:
5280 brnz %r16, ibp_wait40_369
5281 ld [%r23], %r16
5282 ba ibp_startwait40_369
5283 mov 0x40, %r16
5284continue_ibp_40_369:
5285 sllx %r16, %r8, %r16 !Mask for my core only
5286 ldxa [0x58]%asi, %r17 !Running_status
5287wait_for_stat_40_369:
5288 ldxa [0x50]%asi, %r13 !Running_rw
5289 cmp %r13, %r17
5290 bne,a %xcc, wait_for_stat_40_369
5291 ldxa [0x58]%asi, %r17 !Running_status
5292 stxa %r16, [0x68]%asi !Park (W1C)
5293 ldxa [0x50]%asi, %r14 !Running_rw
5294wait_for_ibp_40_369:
5295 ldxa [0x58]%asi, %r17 !Running_status
5296 cmp %r14, %r17
5297 bne,a %xcc, wait_for_ibp_40_369
5298 ldxa [0x50]%asi, %r14 !Running_rw
5299ibp_doit40_369:
5300 best_set_reg(0x0000000b4aad2e4d,%r19, %r20)
5301 stxa %r20, [%r18]0x42
5302 stxa %r16, [0x60] %asi !Unpark (W1S)
5303 st %g0, [%r23] !clear lock
5304 wr %r0, %r12, %asi !restore %asi
5305 .word 0x87ad0a54 ! 749: FCMPd fcmpd %fcc<n>, %f20, %f20
5306demap_40_370:
5307 nop
5308 mov 0x80, %g3
5309 ta T_CHANGE_HPRIV
5310 .word 0x01400001 ! 1: FBPN fbn
5311 stxa %g3, [%g3] 0x57
5312 .word 0xc1bfdb40 ! 1: STDFA_R stda %f0, [%r0, %r31]
5313 .word 0xe09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r16
5314 .word 0xe09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r16
5315 stxa %g3, [%g3] 0x5f
5316 .word 0xe0bfda60 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd3
5317 .word 0xc0bfdb20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd9
5318 .word 0xe0bfdb20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd9
5319 stxa %g3, [%g3] 0x5f
5320 .word 0xe1bfc3e0 ! 1: STDFA_R stda %f16, [%r0, %r31]
5321 .word 0xc09fc3e0 ! 1: LDDA_R ldda [%r31, %r0] 0x1f, %r0
5322 stxa %g3, [%g3] 0x57
5323 .word 0xe0bfc3e0 ! 1: STDA_R stda %r16, [%r31 + %r0] 0x1f
5324 .word 0xc09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r0
5325 stxa %g3, [%g3] 0x57
5326 .word 0xe19fdb20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
5327 .word 0xe09fde00 ! 1: LDDA_R ldda [%r31, %r0] 0xf0, %r16
5328 wrhpr %g0, 0xc8b, %hpstate ! ta T_CHANGE_NONHPRIV
5329 .word 0xd81fe100 ! 753: LDD_I ldd [%r31 + 0x0100], %r12
5330memptr_40_374:
5331 set 0x60540000, %r31
5332 .word 0x8585318a ! 757: WRCCR_I wr %r20, 0x118a, %ccr
5333splash_lsu_40_376:
5334 nop
5335 nop
5336 ta T_CHANGE_HPRIV
5337 set 0x3c1874ef, %r2
5338 mov 0x2, %r1
5339 sllx %r1, 32, %r1
5340 or %r1, %r2, %r2
5341 stxa %r2, [%r0] ASI_LSU_CONTROL
5342 ta T_CHANGE_NONHPRIV
5343 .word 0x1d400001 ! 761: FBPULE fbule
5344 nop
5345 nop
5346 set 0x2e200790, %r28 !TTID : 7 (mask2tid(0x40))
5347#if (defined PORTABLE_CORE || MAX_THREADS == 8)
5348 sethi %hi(0x3800), %r27
5349 andn %r28, %r27, %r28
5350#ifdef PORTABLE_CORE
5351 ! Add CID to vector
5352 ta T_CHANGE_HPRIV
5353 ldxa [%g0]0x63, %r27
5354 sllx %r27, 8, %r27
5355 or %r27, %r28, %r28
5356#endif
5357#else
5358 ! Add CID IF tid matches
5359ifelse(7,mask2tid(0x40),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
5360#endif
5361 sethi %hi(0x30000), %r27
5362 andn %r28, %r27, %r28
5363 ta T_CHANGE_HPRIV
5364ifelse(7,mask2tid(0x40),`.align 16')
5365 stxa %r28, [%g0] 0x73
5366intvec_40_377:
5367 .word 0x95b104c5 ! 765: FCMPNE32 fcmpne32 %d4, %d36, %r10
5368memptr_40_380:
5369 set 0x60140000, %r31
5370 .word 0x85842f0b ! 769: WRCCR_I wr %r16, 0x0f0b, %ccr
5371memptr_40_384:
5372 set user_data_start, %r31
5373 .word 0x85842ff2 ! 773: WRCCR_I wr %r16, 0x0ff2, %ccr
5374intveclr_40_386:
5375 nop
5376 nop
5377 ta T_CHANGE_HPRIV
5378 setx 0xdc624369ade0bbd5, %r1, %r28
5379 stxa %r28, [%g0] 0x72
5380 .word 0x25400001 ! 777: FBPLG fblg,a,pn %fcc0, <label_0x1>
5381 .word 0x87802030 ! 781: WRASI_I wr %r0, 0x0030, %asi
5382 .word 0xda97df00 ! 785: LDUHA_R lduha [%r31, %r0] 0xf8, %r13
5383#if (defined SPC || defined CMP1)
5384!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_390) , 16, 16)) -> intp(2,0,27,*,640,*,cb,1)
5385!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_390)&0xffffffff) , 16, 16)) -> intp(2,0,7,*,696,*,cb,1)
5386#else
5387 nop
5388 nop
5389 set 0x2380d828, %r28 !TTID : 0 (mask2tid(0x40))
5390#if (defined PORTABLE_CORE || MAX_THREADS == 8)
5391 sethi %hi(0x3800), %r27
5392 andn %r28, %r27, %r28
5393#ifdef PORTABLE_CORE
5394 ! Add CID to vector
5395 ta T_CHANGE_HPRIV
5396 ldxa [%g0]0x63, %r27
5397 sllx %r27, 8, %r27
5398 or %r27, %r28, %r28
5399#endif
5400#else
5401 ! Add CID IF tid matches
5402ifelse(0,mask2tid(0x40),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
5403#endif
5404ifelse(0,mask2tid(0x40),`.align 16')
5405 stxa %r28, [%g0] 0x73
5406#endif
5407intvec_40_390:
5408#if (defined SPC || defined CMP1)
5409 wrhpr %g0, 0x0, %halt ! HALT
5410#else
5411ifelse(0,mask2tid(0x40),`wrhpr %g0, 0x0, %halt ! HALT')
5412#endif
5413 .word 0x19400001 ! 789: FBPUGE fbuge
5414demap_40_394:
5415 nop
5416 mov 0x80, %g3
5417 ta T_CHANGE_HPRIV
5418 stxa %r6, [%r0] ASI_LSU_CONTROL
5419 stxa %g3, [%g3] 0x5f
5420 .word 0xe19fda60 ! 1: LDDFA_R ldda [%r31, %r0], %f16
5421 .word 0xe09fde20 ! 1: LDDA_R ldda [%r31, %r0] 0xf1, %r16
5422 .word 0xc09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r0
5423 stxa %g3, [%g3] 0x5f
5424 stxa %g3, [%g3] 0x57
5425 .word 0xc1bfdc00 ! 1: STDFA_R stda %f0, [%r0, %r31]
5426 stxa %g3, [%g3] 0x57
5427 wrhpr %g0, 0xb93, %hpstate ! ta T_CHANGE_NONHPRIV
5428 .word 0xe01fe018 ! 793: LDD_I ldd [%r31 + 0x0018], %r16
5429jmptr_40_397:
5430 nop
5431 nop
5432 best_set_reg(0xe1a00000, %r20, %r27)
5433 .word 0xb7c6c000 ! 797: JMPL_R jmpl %r27 + %r0, %r27
5434 nop
5435 nop
5436 ta T_CHANGE_PRIV
5437 wrpr %g0, %g0, %gl
5438 nop
5439 nop
5440.text
5441 setx join_lbl_0_0, %g1, %g2
5442 jmp %g2
5443 nop
5444fork_lbl_0_6:
5445 nop
5446 nop
5447 mov 31, %g1
5448loopz_20_0:
5449 ldstub [%r31+0xd0], %r2
5450 brnz,a %r1, loopz_20_0
5451 dec %r1
5452 .word 0xe1bfc3e0 ! 2: STDFA_R stda %f16, [%r0, %r31]
5453 .word 0xf16fe010 ! 3: PREFETCH_I prefetch [%r31 + 0x0010], #24
5454 .word 0xda1fe170 ! 4: LDD_I ldd [%r31 + 0x0170], %r13
5455 .word 0x81b7c7c0 ! 5: PDIST pdistn %d62, %d0, %d0
5456 .word 0xdbe7e000 ! 5: CASA_R casa [%r31] %asi, %r0, %r13
5457 nop
5458 nop
5459 set 0xb0e0a6cd, %r28
5460#if (defined PORTABLE_CORE || MAX_THREADS == 8)
5461 sethi %hi(0x3800), %r27
5462 andn %r28, %r27, %r28
5463#ifdef PORTABLE_CORE
5464 ! Add CID to vector
5465 ta T_CHANGE_HPRIV
5466 ldxa [%g0]0x63, %r27
5467 sllx %r27, 8, %r27
5468 or %r27, %r28, %r28
5469#endif
5470#endif
5471 stxa %r28, [%g0] 0x73
5472 .word 0x19400001 ! 1: FBPUGE fbuge
5473intvec_st_20_2:
5474 .word 0x91a509d2 ! 6: FDIVd fdivd %f20, %f18, %f8
5475 .word 0xc19fdf20 ! 7: LDDFA_R ldda [%r31, %r0], %f0
5476 .word 0xd01fe1f0 ! 8: LDD_I ldd [%r31 + 0x01f0], %r8
5477 .word 0x97a449d2 ! 9: FDIVd fdivd %f48, %f18, %f42
5478 .word 0xd6dfd140 ! 9: LDXA_R ldxa [%r31, %r0] 0x8a, %r11
5479 nop
5480 nop
5481 set 0xb0e0a6cd, %r28
5482#if (defined PORTABLE_CORE || MAX_THREADS == 8)
5483 sethi %hi(0x3800), %r27
5484 andn %r28, %r27, %r28
5485#ifdef PORTABLE_CORE
5486 ! Add CID to vector
5487 ta T_CHANGE_HPRIV
5488 ldxa [%g0]0x63, %r27
5489 sllx %r27, 8, %r27
5490 or %r27, %r28, %r28
5491#endif
5492 wrhpr %g0, 0x713, %hpstate ! ta T_CHANGE_NONHPRIV
5493#endif
5494 stxa %r28, [%g0] 0x73
5495intvec_st_20_4:
5496 .word 0xa9b044ca ! 10: FCMPNE32 fcmpne32 %d32, %d10, %r20
5497 .word 0xc19fdd40 ! 11: LDDFA_R ldda [%r31, %r0], %f0
5498 .word 0xe1bfdb20 ! 12: STDFA_R stda %f16, [%r0, %r31]
5499 .word 0x97b104cd ! 13: FCMPNE32 fcmpne32 %d4, %d44, %r11
5500 .word 0x00800001 ! 13: BN bn <label_0x1>
5501 .word 0xf1efe030 ! 14: PREFETCHA_I prefetcha [%r31, + 0x0030] %asi, #24
5502 .word 0xf16fe0b0 ! 15: PREFETCH_I prefetch [%r31 + 0x00b0], #24
5503 .word 0xe9e7d920 ! 16: CASA_I casa [%r31] 0xc9, %r0, %r20
5504 .word 0xe89fd140 ! 17: LDDA_R ldda [%r31, %r0] 0x8a, %r20
5505 .word 0xe91fe100 ! 17: LDDF_I ldd [%r31, 0x0100], %f20
5506 nop
5507 nop
5508 set 0xb0e0a6cd, %r28
5509#if (defined PORTABLE_CORE || MAX_THREADS == 8)
5510 sethi %hi(0x3800), %r27
5511 andn %r28, %r27, %r28
5512#ifdef PORTABLE_CORE
5513 ! Add CID to vector
5514 ta T_CHANGE_HPRIV
5515 ldxa [%g0]0x63, %r27
5516 sllx %r27, 8, %r27
5517 or %r27, %r28, %r28
5518#endif
5519 wrhpr %g0, 0x101, %hpstate ! ta T_CHANGE_NONHPRIV
5520#endif
5521 stxa %r28, [%g0] 0x73
5522 .word 0x93a109d2 ! 1: FDIVd fdivd %f4, %f18, %f40
5523intvec_st_20_6:
5524 .word 0x39400001 ! 18: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
5525 .word 0x20800001 ! 19: BN bn,a <label_0x1>
5526 .word 0xc1bfda00 ! 20: STDFA_R stda %f0, [%r0, %r31]
5527 .word 0xa5a049c3 ! 21: FDIVd fdivd %f32, %f34, %f18
5528 .word 0xe19fc2c0 ! 21: LDDFA_R ldda [%r31, %r0], %f16
5529 nop
5530 nop
5531 mov 3, %g1
5532loopb_20_7:
5533 ldstub [%r31+0x80], %r2
5534 brnz,a %r1, loopb_20_7
5535 dec %r1
5536 .word 0xe19fc3e0 ! 22: LDDFA_R ldda [%r31, %r0], %f16
5537 .word 0xc1bfdd40 ! 23: STDFA_R stda %f0, [%r0, %r31]
5538 .word 0xe51fe1b0 ! 24: LDDF_I ldd [%r31, 0x01b0], %f18
5539 .word 0xc19fdc40 ! 25: LDDFA_R ldda [%r31, %r0], %f0
5540 .word 0xe497c2e0 ! 25: LDUHA_R lduha [%r31, %r0] 0x17, %r18
5541 .word 0xf1efe000 ! 26: PREFETCHA_I prefetcha [%r31, + 0x0000] %asi, #24
5542 .word 0x24cfc001 ! 27: BRLEZ brlez,a,pt %r31,<label_0xfc001>
5543 .word 0x81b7c7c0 ! 28: PDIST pdistn %d62, %d0, %d0
5544 .word 0xe43fe130 ! 29: STD_I std %r18, [%r31 + 0x0130]
5545 .word 0xf16fe130 ! 29: PREFETCH_I prefetch [%r31 + 0x0130], #24
5546 nop
5547 nop
5548 rd %tick, %r28
5549#if (defined PORTABLE_CORE || MAX_THREADS == 8)
5550 sethi %hi(0x3800), %r27
5551 andn %r28, %r27, %r28
5552#ifdef PORTABLE_CORE
5553 ! Add CID to vector
5554 ta T_CHANGE_HPRIV
5555 ldxa [%g0]0x63, %r27
5556 sllx %r27, 8, %r27
5557 or %r27, %r28, %r28
5558#endif
5559#endif
5560 sethi %hi(0x30000), %r27
5561 andn %r28, %r27, %r28
5562 ta T_CHANGE_HPRIV
5563 stxa %r28, [%g0] 0x73
5564intvec_st_20_9:
5565memptr_20_10:
5566 set 0x60740000, %r31
5567 .word 0x97a449d0 ! 30: FDIVd fdivd %f48, %f16, %f42
5568 .word 0x8580f859 ! 31: WRCCR_I wr %r3, 0x1859, %ccr
5569 .word 0xf1efe1f0 ! 32: PREFETCHA_I prefetcha [%r31, + 0x01f0] %asi, #24
5570 .word 0x19400001 ! 33: FBPUGE fbuge
5571 .word 0xd697d060 ! 33: LDUHA_R lduha [%r31, %r0] 0x83, %r11
5572 nop
5573 nop
5574 mov 39, %g1
5575loopb_20_12:
5576 ldstub [%r31+0x80], %r2
5577 brnz,a %r1, loopb_20_12
5578 dec %r1
5579 nop
5580 nop
5581 mov 11, %g1
5582loopz_20_13:
5583 ldstub [%r31+0x170], %r2
5584 brnz,a %r1, loopz_20_13
5585 dec %r1
5586 .word 0xc19fda60 ! 34: LDDFA_R ldda [%r31, %r0], %f0
5587 .word 0x19400001 ! 35: FBPUGE fbuge
5588 .word 0xda1fe050 ! 36: LDD_I ldd [%r31 + 0x0050], %r13
5589 .word 0xc19fdb40 ! 37: LDDFA_R ldda [%r31, %r0], %f0
5590 .word 0x9ba7c9c0 ! 37: FDIVd fdivd %f62, %f0, %f44
5591 nop
5592 nop
5593 rd %tick, %r28
5594#if (defined PORTABLE_CORE || MAX_THREADS == 8)
5595 sethi %hi(0x3800), %r27
5596 andn %r28, %r27, %r28
5597#ifdef PORTABLE_CORE
5598 ! Add CID to vector
5599 ta T_CHANGE_HPRIV
5600 ldxa [%g0]0x63, %r27
5601 sllx %r27, 8, %r27
5602 or %r27, %r28, %r28
5603#endif
5604 wrhpr %g0, 0x7d3, %hpstate ! ta T_CHANGE_NONHPRIV
5605#endif
5606 sethi %hi(0x30000), %r27
5607 andn %r28, %r27, %r28
5608 ta T_CHANGE_HPRIV
5609 stxa %r28, [%g0] 0x73
5610 .word 0xa1b404cd ! 1: FCMPNE32 fcmpne32 %d16, %d44, %r16
5611intvec_st_20_15:
5612 .word 0x95a2c9d2 ! 38: FDIVd fdivd %f42, %f18, %f10
5613 .word 0xe19fdc00 ! 39: LDDFA_R ldda [%r31, %r0], %f16
5614 .word 0xd41fe170 ! 40: LDD_I ldd [%r31 + 0x0170], %r10
5615 .word 0x97b4c4d2 ! 41: FCMPNE32 fcmpne32 %d50, %d18, %r11
5616 .word 0xc1bfde00 ! 41: STDFA_R stda %f0, [%r0, %r31]
5617 nop
5618 nop
5619 mov 11, %g1
5620loopb_20_17:
5621 ldstub [%r31+0x180], %r2
5622 brnz,a %r1, loopb_20_17
5623 dec %r1
5624 .word 0xe19fc2c0 ! 42: LDDFA_R ldda [%r31, %r0], %f16
5625 .word 0xa9a7c9c0 ! 43: FDIVd fdivd %f62, %f0, %f20
5626 .word 0xa1b7c7c0 ! 44: PDIST pdistn %d62, %d0, %d16
5627 .word 0xe1bfdc00 ! 45: STDFA_R stda %f16, [%r0, %r31]
5628 .word 0x19400001 ! 45: FBPUGE fbuge
5629 nop
5630 nop
5631 set 0xc4d03cc5, %r28
5632#if (defined PORTABLE_CORE || MAX_THREADS == 8)
5633 sethi %hi(0x3800), %r27
5634 andn %r28, %r27, %r28
5635#ifdef PORTABLE_CORE
5636 ! Add CID to vector
5637 ta T_CHANGE_HPRIV
5638 ldxa [%g0]0x63, %r27
5639 sllx %r27, 8, %r27
5640 or %r27, %r28, %r28
5641#endif
5642#endif
5643 stxa %r28, [%g0] 0x73
5644 .word 0xa1a2c9d2 ! 1: FDIVd fdivd %f42, %f18, %f16
5645intvec_st_20_18:
5646memptr_20_19:
5647 set 0x60340000, %r31
5648 nop
5649 nop
5650 set 0xc4d03cc5, %r28
5651#if (defined PORTABLE_CORE || MAX_THREADS == 8)
5652 sethi %hi(0x3800), %r27
5653 andn %r28, %r27, %r28
5654#ifdef PORTABLE_CORE
5655 ! Add CID to vector
5656 ta T_CHANGE_HPRIV
5657 ldxa [%g0]0x63, %r27
5658 sllx %r27, 8, %r27
5659 or %r27, %r28, %r28
5660#endif
5661#endif
5662 stxa %r28, [%g0] 0x73
5663intvec_st_20_20:
5664 .word 0x39400001 ! 46: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
5665 .word 0x8582210b ! 47: WRCCR_I wr %r8, 0x010b, %ccr
5666 .word 0xa3a0c9c9 ! 48: FDIVd fdivd %f34, %f40, %f48
5667 .word 0x39400001 ! 49: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
5668 .word 0x39400001 ! 49: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
5669memptr_20_21:
5670 set user_data_start, %r31
5671 .word 0xf1efe100 ! 50: PREFETCHA_I prefetcha [%r31, + 0x0100] %asi, #24
5672 .word 0x85843cf2 ! 51: WRCCR_I wr %r16, 0x1cf2, %ccr
5673 .word 0xe1bfdb20 ! 52: STDFA_R stda %f16, [%r0, %r31]
5674 .word 0xc32fe0b0 ! 53: STXFSR_I st-sfr %f1, [0x00b0, %r31]
5675 .word 0xe19fdd40 ! 53: LDDFA_R ldda [%r31, %r0], %f16
5676 nop
5677 nop
5678 mov 7, %g1
5679loopz_20_23:
5680 ldstub [%r31+0xd0], %r2
5681 brnz,a %r1, loopz_20_23
5682 dec %r1
5683 .word 0xc32fe090 ! 54: STXFSR_I st-sfr %f1, [0x0090, %r31]
5684 .word 0xf16fe1e0 ! 55: PREFETCH_I prefetch [%r31 + 0x01e0], #24
5685 .word 0xe1bfdf00 ! 56: STDFA_R stda %f16, [%r0, %r31]
5686 .word 0xe3e7e000 ! 57: CASA_R casa [%r31] %asi, %r0, %r17
5687 .word 0xe19fde20 ! 57: LDDFA_R ldda [%r31, %r0], %f16
5688memptr_20_25:
5689 set 0x60540000, %r31
5690 nop
5691 nop
5692 mov 51, %g1
5693loopb_20_26:
5694 ldstub [%r31+0x1d0], %r2
5695 brnz,a %r1, loopb_20_26
5696 dec %r1
5697 .word 0xe23fe1d0 ! 58: STD_I std %r17, [%r31 + 0x01d0]
5698 .word 0x8580e8ef ! 59: WRCCR_I wr %r3, 0x08ef, %ccr
5699 .word 0xe19fde00 ! 60: LDDFA_R ldda [%r31, %r0], %f16
5700 .word 0xe297c540 ! 61: LDUHA_R lduha [%r31, %r0] 0x2a, %r17
5701 .word 0x8584eb0a ! 61: WRCCR_I wr %r19, 0x0b0a, %ccr
5702 .word 0xe19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
5703 .word 0xe0bfdd40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xea
5704 .word 0xc19fc2c0 ! 1: LDDFA_R ldda [%r31, %r0], %f0
5705 .word 0xe1bfc2c0 ! 1: STDFA_R stda %f16, [%r0, %r31]
5706 nop
5707 nop
5708 mov 3, %g1
5709loopb_20_28:
5710 ldstub [%r31+0x110], %r2
5711 brnz,a %r1, loopb_20_28
5712 dec %r1
5713memptr_20_29:
5714 set 0x60340000, %r31
5715 .word 0xc19fde00 ! 62: LDDFA_R ldda [%r31, %r0], %f0
5716 .word 0x858435b9 ! 63: WRCCR_I wr %r16, 0x15b9, %ccr
5717 .word 0xe297c080 ! 64: LDUHA_R lduha [%r31, %r0] 0x04, %r17
5718 .word 0xc1bfc2c0 ! 65: STDFA_R stda %f0, [%r0, %r31]
5719 .word 0x8580a252 ! 65: WRCCR_I wr %r2, 0x0252, %ccr
5720 .word 0xe2dfc720 ! 66: LDXA_R ldxa [%r31, %r0] 0x39, %r17
5721 .word 0x24cfc001 ! 67: BRLEZ brlez,a,pt %r31,<label_0xfc001>
5722 .word 0xc19fdd40 ! 68: LDDFA_R ldda [%r31, %r0], %f0
5723 .word 0xe33fe140 ! 69: STDF_I std %f17, [0x0140, %r31]
5724 .word 0x81b7c7c0 ! 69: PDIST pdistn %d62, %d0, %d0
5725 nop
5726 nop
5727 mov 7, %g1
5728loopb_20_32:
5729 ldstub [%r31+0xf0], %r2
5730 brnz,a %r1, loopb_20_32
5731 dec %r1
5732memptr_20_33:
5733 set user_data_start, %r31
5734 nop
5735 nop
5736 mov 7, %g1
5737loopz_20_34:
5738 ldstub [%r31+0x190], %r2
5739 brnz,a %r1, loopz_20_34
5740 dec %r1
5741 .word 0xc1bfdc00 ! 70: STDFA_R stda %f0, [%r0, %r31]
5742 .word 0x8582faf5 ! 71: WRCCR_I wr %r11, 0x1af5, %ccr
5743 .word 0xe3e7e000 ! 72: CASA_R casa [%r31] %asi, %r0, %r17
5744 .word 0xc1bfdb20 ! 73: STDFA_R stda %f0, [%r0, %r31]
5745 .word 0x858126cd ! 73: WRCCR_I wr %r4, 0x06cd, %ccr
5746 nop
5747 nop
5748 rd %tick, %r28
5749#if (defined PORTABLE_CORE || MAX_THREADS == 8)
5750 sethi %hi(0x3800), %r27
5751 andn %r28, %r27, %r28
5752#ifdef PORTABLE_CORE
5753 ! Add CID to vector
5754 ta T_CHANGE_HPRIV
5755 ldxa [%g0]0x63, %r27
5756 sllx %r27, 8, %r27
5757 or %r27, %r28, %r28
5758#endif
5759 wrhpr %g0, 0x98b, %hpstate ! ta T_CHANGE_NONHPRIV
5760#endif
5761 sethi %hi(0x30000), %r27
5762 andn %r28, %r27, %r28
5763 ta T_CHANGE_HPRIV
5764 stxa %r28, [%g0] 0x73
5765 .word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
5766intvec_st_20_35:
5767 .word 0x19400001 ! 74: FBPUGE fbuge
5768 .word 0xd03fe0d0 ! 75: STD_I std %r8, [%r31 + 0x00d0]
5769 .word 0xc19fdd40 ! 76: LDDFA_R ldda [%r31, %r0], %f0
5770 .word 0x9bb484d2 ! 77: FCMPNE32 fcmpne32 %d18, %d18, %r13
5771 .word 0xc19fc2c0 ! 77: LDDFA_R ldda [%r31, %r0], %f0
5772memptr_20_37:
5773 set 0x60140000, %r31
5774 nop
5775 nop
5776 set 0xc4d03cc5, %r28
5777#if (defined PORTABLE_CORE || MAX_THREADS == 8)
5778 sethi %hi(0x3800), %r27
5779 andn %r28, %r27, %r28
5780#ifdef PORTABLE_CORE
5781 ! Add CID to vector
5782 ta T_CHANGE_HPRIV
5783 ldxa [%g0]0x63, %r27
5784 sllx %r27, 8, %r27
5785 or %r27, %r28, %r28
5786#endif
5787 wrhpr %g0, 0x202, %hpstate ! ta T_CHANGE_NONHPRIV
5788#endif
5789 stxa %r28, [%g0] 0x73
5790 .word 0x93b144c5 ! 1: FCMPNE32 fcmpne32 %d36, %d36, %r9
5791intvec_st_20_38:
5792 .word 0x81b7c7c0 ! 78: PDIST pdistn %d62, %d0, %d0
5793 .word 0x858075ab ! 79: WRCCR_I wr %r1, 0x15ab, %ccr
5794 .word 0xa3b444d2 ! 80: FCMPNE32 fcmpne32 %d48, %d18, %r17
5795 .word 0x81b7c7c0 ! 81: PDIST pdistn %d62, %d0, %d0
5796 .word 0x858173cc ! 81: WRCCR_I wr %r5, 0x13cc, %ccr
5797 .word 0xc32fe0e0 ! 82: STXFSR_I st-sfr %f1, [0x00e0, %r31]
5798 .word 0x81b7c7c0 ! 83: PDIST pdistn %d62, %d0, %d0
5799 .word 0xe19fda00 ! 84: LDDFA_R ldda [%r31, %r0], %f16
5800 .word 0xe23fe0d0 ! 85: STD_I std %r17, [%r31 + 0x00d0]
5801 .word 0xa1b7c7c0 ! 85: PDIST pdistn %d62, %d0, %d16
5802 nop
5803 nop
5804 set 0xc4d03cc5, %r28
5805#if (defined PORTABLE_CORE || MAX_THREADS == 8)
5806 sethi %hi(0x3800), %r27
5807 andn %r28, %r27, %r28
5808#ifdef PORTABLE_CORE
5809 ! Add CID to vector
5810 ta T_CHANGE_HPRIV
5811 ldxa [%g0]0x63, %r27
5812 sllx %r27, 8, %r27
5813 or %r27, %r28, %r28
5814#endif
5815#endif
5816 stxa %r28, [%g0] 0x73
5817intvec_st_20_40:
5818 .word 0xe3e7d040 ! 86: CASA_I casa [%r31] 0x82, %r0, %r17
5819 .word 0xf16fe1b0 ! 87: PREFETCH_I prefetch [%r31 + 0x01b0], #24
5820 .word 0xa7a349c1 ! 88: FDIVd fdivd %f44, %f32, %f50
5821 .word 0xe73fe0f0 ! 89: STDF_I std %f19, [0x00f0, %r31]
5822 .word 0x04cfc001 ! 89: BRLEZ brlez,pt %r31,<label_0xfc001>
5823memptr_20_42:
5824 set user_data_start, %r31
5825 nop
5826 nop
5827 rd %tick, %r28
5828#if (defined PORTABLE_CORE || MAX_THREADS == 8)
5829 sethi %hi(0x3800), %r27
5830 andn %r28, %r27, %r28
5831#ifdef PORTABLE_CORE
5832 ! Add CID to vector
5833 ta T_CHANGE_HPRIV
5834 ldxa [%g0]0x63, %r27
5835 sllx %r27, 8, %r27
5836 or %r27, %r28, %r28
5837#endif
5838#endif
5839 sethi %hi(0x30000), %r27
5840 andn %r28, %r27, %r28
5841 ta T_CHANGE_HPRIV
5842 stxa %r28, [%g0] 0x73
5843 .word 0xa3b444c7 ! 1: FCMPNE32 fcmpne32 %d48, %d38, %r17
5844intvec_st_20_43:
5845 .word 0xe1bfde00 ! 90: STDFA_R stda %f16, [%r0, %r31]
5846 .word 0x85836aab ! 91: WRCCR_I wr %r13, 0x0aab, %ccr
5847 .word 0xa9a409d3 ! 92: FDIVd fdivd %f16, %f50, %f20
5848 .word 0xe1bfdc00 ! 93: STDFA_R stda %f16, [%r0, %r31]
5849 .word 0x97b444c6 ! 93: FCMPNE32 fcmpne32 %d48, %d6, %r11
5850 .word 0xd73fe180 ! 94: STDF_I std %f11, [0x0180, %r31]
5851 .word 0xd7e7c240 ! 95: CASA_I casa [%r31] 0x12, %r0, %r11
5852 .word 0x81b7c7c0 ! 96: PDIST pdistn %d62, %d0, %d0
5853 .word 0xd7e7c280 ! 97: CASA_I casa [%r31] 0x14, %r0, %r11
5854 .word 0x87afca40 ! 97: FCMPd fcmpd %fcc<n>, %f62, %f0
5855 .word 0xe19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
5856 .word 0xe1bfdd40 ! 1: STDFA_R stda %f16, [%r0, %r31]
5857 .word 0xe1bfda60 ! 1: STDFA_R stda %f16, [%r0, %r31]
5858 .word 0xe1bfdc40 ! 1: STDFA_R stda %f16, [%r0, %r31]
5859 .word 0xe1bfde20 ! 1: STDFA_R stda %f16, [%r0, %r31]
5860 .word 0xc0bfdd40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xea
5861 .word 0xe0bfc2c0 ! 1: STDA_R stda %r16, [%r31 + %r0] 0x16
5862 .word 0xe1bfde00 ! 1: STDFA_R stda %f16, [%r0, %r31]
5863 .word 0xc1bfc3e0 ! 1: STDFA_R stda %f0, [%r0, %r31]
5864 .word 0xe09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r16
5865 nop
5866 nop
5867 mov 47, %g1
5868loopb_20_45:
5869 ldstub [%r31+0x170], %r2
5870 brnz,a %r1, loopb_20_45
5871 dec %r1
5872memptr_20_46:
5873 set 0x60340000, %r31
5874 .word 0xe1bfdc00 ! 98: STDFA_R stda %f16, [%r0, %r31]
5875 .word 0x8584623a ! 99: WRCCR_I wr %r17, 0x023a, %ccr
5876 .word 0xe1bfdb20 ! 100: STDFA_R stda %f16, [%r0, %r31]
5877 .word 0xc19fde00 ! 101: LDDFA_R ldda [%r31, %r0], %f0
5878 .word 0xc1bfdf20 ! 101: STDFA_R stda %f0, [%r0, %r31]
5879 nop
5880 nop
5881 mov 31, %g1
5882loopz_20_48:
5883 ldstub [%r31+0x110], %r2
5884 brnz,a %r1, loopz_20_48
5885 dec %r1
5886 .word 0xd7e7e000 ! 102: CASA_R casa [%r31] %asi, %r0, %r11
5887 .word 0xc19fde20 ! 103: LDDFA_R ldda [%r31, %r0], %f0
5888 .word 0xd697c200 ! 104: LDUHA_R lduha [%r31, %r0] 0x10, %r11
5889 .word 0xd71fe100 ! 105: LDDF_I ldd [%r31, 0x0100], %f11
5890 .word 0x81b7c7c0 ! 105: PDIST pdistn %d62, %d0, %d0
5891 nop
5892 nop
5893 mov 43, %g1
5894loopb_20_50:
5895 ldstub [%r31+0x120], %r2
5896 brnz,a %r1, loopb_20_50
5897 dec %r1
5898 .word 0xc1bfdb40 ! 106: STDFA_R stda %f0, [%r0, %r31]
5899 .word 0x00800001 ! 107: BN bn <label_0x1>
5900 .word 0xe1bfdf20 ! 108: STDFA_R stda %f16, [%r0, %r31]
5901 .word 0xe19fda00 ! 109: LDDFA_R ldda [%r31, %r0], %f16
5902 .word 0xc19fc2c0 ! 109: LDDFA_R ldda [%r31, %r0], %f0
5903 .word 0xe3128003 ! 1: LDQF_R - [%r10, %r3], %f17
5904 .word 0xa1b7c7c0 ! 110: PDIST pdistn %d62, %d0, %d16
5905 .word 0xf16fe1d0 ! 111: PREFETCH_I prefetch [%r31 + 0x01d0], #24
5906 .word 0xd9e7c080 ! 112: CASA_I casa [%r31] 0x 4, %r0, %r12
5907 .word 0xe19fdd40 ! 113: LDDFA_R ldda [%r31, %r0], %f16
5908 .word 0xd9e7d040 ! 113: CASA_I casa [%r31] 0x82, %r0, %r12
5909 nop
5910 nop
5911 mov 51, %g1
5912loopb_20_53:
5913 ldstub [%r31+0xb0], %r2
5914 brnz,a %r1, loopb_20_53
5915 dec %r1
5916 .word 0xc1bfdf20 ! 114: STDFA_R stda %f0, [%r0, %r31]
5917 .word 0xe3e7dc40 ! 115: CASA_I casa [%r31] 0xe2, %r0, %r17
5918 .word 0xe21fe100 ! 116: LDD_I ldd [%r31 + 0x0100], %r17
5919 .word 0xc1bfc2c0 ! 117: STDFA_R stda %f0, [%r0, %r31]
5920 .word 0xe29fdd40 ! 117: LDDA_R ldda [%r31, %r0] 0xea, %r17
5921 nop
5922 nop
5923 set 0xc4d03cc5, %r28
5924#if (defined PORTABLE_CORE || MAX_THREADS == 8)
5925 sethi %hi(0x3800), %r27
5926 andn %r28, %r27, %r28
5927#ifdef PORTABLE_CORE
5928 ! Add CID to vector
5929 ta T_CHANGE_HPRIV
5930 ldxa [%g0]0x63, %r27
5931 sllx %r27, 8, %r27
5932 or %r27, %r28, %r28
5933#endif
5934#endif
5935 stxa %r28, [%g0] 0x73
5936 .word 0x91b1c4d3 ! 1: FCMPNE32 fcmpne32 %d38, %d50, %r8
5937intvec_st_20_54:
5938 .word 0xe19fdc00 ! 118: LDDFA_R ldda [%r31, %r0], %f16
5939 .word 0xe19fdb40 ! 119: LDDFA_R ldda [%r31, %r0], %f16
5940 .word 0xa3b484d4 ! 120: FCMPNE32 fcmpne32 %d18, %d20, %r17
5941 .word 0xc1bfdd40 ! 121: STDFA_R stda %f0, [%r0, %r31]
5942 .word 0xa7a509d0 ! 121: FDIVd fdivd %f20, %f16, %f50
5943 .word 0x9ba409c1 ! 1: FDIVd fdivd %f16, %f32, %f44
5944 nop
5945 nop
5946 set 0xc4d03cc5, %r28
5947#if (defined PORTABLE_CORE || MAX_THREADS == 8)
5948 sethi %hi(0x3800), %r27
5949 andn %r28, %r27, %r28
5950#ifdef PORTABLE_CORE
5951 ! Add CID to vector
5952 ta T_CHANGE_HPRIV
5953 ldxa [%g0]0x63, %r27
5954 sllx %r27, 8, %r27
5955 or %r27, %r28, %r28
5956#endif
5957 wrhpr %g0, 0x3c9, %hpstate ! ta T_CHANGE_NONHPRIV
5958#endif
5959 stxa %r28, [%g0] 0x73
5960 .word 0x39400002 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
5961intvec_st_20_56:
5962 .word 0x95a2c9d4 ! 122: FDIVd fdivd %f42, %f20, %f10
5963 .word 0x95a7c9c0 ! 123: FDIVd fdivd %f62, %f0, %f10
5964 .word 0xe1bfde20 ! 124: STDFA_R stda %f16, [%r0, %r31]
5965 .word 0x19400001 ! 125: FBPUGE fbuge
5966 .word 0x95a7c9c0 ! 125: FDIVd fdivd %f62, %f0, %f10
5967 .word 0xc1bfdc00 ! 126: STDFA_R stda %f0, [%r0, %r31]
5968 .word 0xe1bfdf20 ! 127: STDFA_R stda %f16, [%r0, %r31]
5969 .word 0xd49fc400 ! 128: LDDA_R ldda [%r31, %r0] 0x20, %r10
5970 .word 0xc19fde00 ! 129: LDDFA_R ldda [%r31, %r0], %f0
5971 .word 0xc32fe0c0 ! 129: STXFSR_I st-sfr %f1, [0x00c0, %r31]
5972 .word 0xd81fe040 ! 130: LDD_I ldd [%r31 + 0x0040], %r12
5973 .word 0x81b7c7c0 ! 131: PDIST pdistn %d62, %d0, %d0
5974 .word 0xf1efe1f0 ! 132: PREFETCHA_I prefetcha [%r31, + 0x01f0] %asi, #24
5975 .word 0xd897d100 ! 133: LDUHA_R lduha [%r31, %r0] 0x88, %r12
5976 .word 0xa1b7c7c0 ! 133: PDIST pdistn %d62, %d0, %d16
5977memptr_20_59:
5978 set 0x60340000, %r31
5979 .word 0xd81fe000 ! 134: LDD_I ldd [%r31 + 0x0000], %r12
5980 .word 0x8580b1ca ! 135: WRCCR_I wr %r2, 0x11ca, %ccr
5981 .word 0xc19fc3e0 ! 136: LDDFA_R ldda [%r31, %r0], %f0
5982 .word 0xd9e7dc40 ! 137: CASA_I casa [%r31] 0xe2, %r0, %r12
5983 .word 0x20800001 ! 137: BN bn,a <label_0x1>
5984 nop
5985 nop
5986 mov 39, %g1
5987loopz_20_61:
5988 ldstub [%r31+0x1e0], %r2
5989 brnz,a %r1, loopz_20_61
5990 dec %r1
5991 nop
5992 nop
5993 set 0x7c308474, %r28
5994#if (defined PORTABLE_CORE || MAX_THREADS == 8)
5995 sethi %hi(0x3800), %r27
5996 andn %r28, %r27, %r28
5997#ifdef PORTABLE_CORE
5998 ! Add CID to vector
5999 ta T_CHANGE_HPRIV
6000 ldxa [%g0]0x63, %r27
6001 sllx %r27, 8, %r27
6002 or %r27, %r28, %r28
6003#endif
6004#endif
6005 stxa %r28, [%g0] 0x73
6006intvec_st_20_62:
6007 .word 0xd91fe190 ! 138: LDDF_I ldd [%r31, 0x0190], %f12
6008 .word 0x81b7c7c0 ! 139: PDIST pdistn %d62, %d0, %d0
6009 .word 0x39400001 ! 140: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
6010 .word 0xd91fe1a0 ! 141: LDDF_I ldd [%r31, 0x01a0], %f12
6011 .word 0xa1b7c7c0 ! 141: PDIST pdistn %d62, %d0, %d16
6012 nop
6013 nop
6014 mov 59, %g1
6015loopz_20_64:
6016 ldstub [%r31+0xb0], %r2
6017 brnz,a %r1, loopz_20_64
6018 dec %r1
6019memptr_20_65:
6020 set 0x60140000, %r31
6021 .word 0xd9e7e000 ! 142: CASA_R casa [%r31] %asi, %r0, %r12
6022 .word 0x8584b9f8 ! 143: WRCCR_I wr %r18, 0x19f8, %ccr
6023 .word 0xc1bfdc00 ! 144: STDFA_R stda %f0, [%r0, %r31]
6024 .word 0xd81fe0f0 ! 145: LDD_I ldd [%r31 + 0x00f0], %r12
6025 .word 0xc1bfde20 ! 145: STDFA_R stda %f0, [%r0, %r31]
6026 nop
6027 nop
6028 mov 19, %g1
6029loopz_20_67:
6030 ldstub [%r31+0x70], %r2
6031 brnz,a %r1, loopz_20_67
6032 dec %r1
6033 .word 0xd9e7e000 ! 146: CASA_R casa [%r31] %asi, %r0, %r12
6034 .word 0x24cfc001 ! 147: BRLEZ brlez,a,pt %r31,<label_0xfc001>
6035 .word 0x20800001 ! 148: BN bn,a <label_0x1>
6036 .word 0xc32fe050 ! 149: STXFSR_I st-sfr %f1, [0x0050, %r31]
6037 .word 0x20800001 ! 149: BN bn,a <label_0x1>
6038 .word 0xa1b7c7c0 ! 150: PDIST pdistn %d62, %d0, %d16
6039 .word 0x81b7c7c0 ! 151: PDIST pdistn %d62, %d0, %d0
6040 .word 0xc32fe110 ! 152: STXFSR_I st-sfr %f1, [0x0110, %r31]
6041 .word 0x81b7c7c0 ! 153: PDIST pdistn %d62, %d0, %d0
6042 .word 0xa1b7c7c0 ! 153: PDIST pdistn %d62, %d0, %d16
6043 nop
6044 nop
6045 mov 19, %g1
6046loopb_20_69:
6047 ldstub [%r31+0x40], %r2
6048 brnz,a %r1, loopb_20_69
6049 dec %r1
6050 .word 0xe1bfc3e0 ! 154: STDFA_R stda %f16, [%r0, %r31]
6051 .word 0xd9e7d100 ! 155: CASA_I casa [%r31] 0x88, %r0, %r12
6052 .word 0xc1bfdc00 ! 156: STDFA_R stda %f0, [%r0, %r31]
6053 .word 0xa1b7c7c0 ! 157: PDIST pdistn %d62, %d0, %d16
6054 .word 0xc1bfdd40 ! 157: STDFA_R stda %f0, [%r0, %r31]
6055 nop
6056 nop
6057 rd %tick, %r28
6058#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6059 sethi %hi(0x3800), %r27
6060 andn %r28, %r27, %r28
6061#ifdef PORTABLE_CORE
6062 ! Add CID to vector
6063 ta T_CHANGE_HPRIV
6064 ldxa [%g0]0x63, %r27
6065 sllx %r27, 8, %r27
6066 or %r27, %r28, %r28
6067#endif
6068#endif
6069 sethi %hi(0x30000), %r27
6070 andn %r28, %r27, %r28
6071 ta T_CHANGE_HPRIV
6072 stxa %r28, [%g0] 0x73
6073 .word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
6074intvec_st_20_71:
6075 nop
6076 nop
6077 set 0x7c308474, %r28
6078#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6079 sethi %hi(0x3800), %r27
6080 andn %r28, %r27, %r28
6081#ifdef PORTABLE_CORE
6082 ! Add CID to vector
6083 ta T_CHANGE_HPRIV
6084 ldxa [%g0]0x63, %r27
6085 sllx %r27, 8, %r27
6086 or %r27, %r28, %r28
6087#endif
6088#endif
6089 stxa %r28, [%g0] 0x73
6090 .word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
6091intvec_st_20_72:
6092 .word 0x91b444d3 ! 158: FCMPNE32 fcmpne32 %d48, %d50, %r8
6093 .word 0x91a7c9c0 ! 159: FDIVd fdivd %f62, %f0, %f8
6094 .word 0x39400001 ! 160: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
6095 .word 0xa1a509d2 ! 161: FDIVd fdivd %f20, %f18, %f16
6096 .word 0x24cfc002 ! 161: BRLEZ brlez,a,pt %r31,<label_0xfc002>
6097 nop
6098 nop
6099 mov 3, %g1
6100loopz_20_73:
6101 ldstub [%r31+0xf0], %r2
6102 brnz,a %r1, loopz_20_73
6103 dec %r1
6104 .word 0x20800001 ! 162: BN bn,a <label_0x1>
6105 .word 0xe19fc3e0 ! 163: LDDFA_R ldda [%r31, %r0], %f16
6106 .word 0xe01fc000 ! 164: LDD_R ldd [%r31 + %r0], %r16
6107 .word 0xa1b7c7c0 ! 165: PDIST pdistn %d62, %d0, %d16
6108 .word 0xe11fe010 ! 165: LDDF_I ldd [%r31, 0x0010], %f16
6109 nop
6110 nop
6111 mov 31, %g1
6112loopb_20_75:
6113 ldstub [%r31+0x120], %r2
6114 brnz,a %r1, loopb_20_75
6115 dec %r1
6116 .word 0xc1bfdf00 ! 166: STDFA_R stda %f0, [%r0, %r31]
6117 .word 0xe19fdc40 ! 167: LDDFA_R ldda [%r31, %r0], %f16
6118 .word 0xe0dfc380 ! 168: LDXA_R ldxa [%r31, %r0] 0x1c, %r16
6119 .word 0xc1bfdc40 ! 169: STDFA_R stda %f0, [%r0, %r31]
6120 .word 0xe03fe1e0 ! 169: STD_I std %r16, [%r31 + 0x01e0]
6121 nop
6122 nop
6123 mov 51, %g1
6124loopz_20_77:
6125 ldstub [%r31+0x150], %r2
6126 brnz,a %r1, loopz_20_77
6127 dec %r1
6128 nop
6129 nop
6130 set 0x7c308474, %r28
6131#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6132 sethi %hi(0x3800), %r27
6133 andn %r28, %r27, %r28
6134#ifdef PORTABLE_CORE
6135 ! Add CID to vector
6136 ta T_CHANGE_HPRIV
6137 ldxa [%g0]0x63, %r27
6138 sllx %r27, 8, %r27
6139 or %r27, %r28, %r28
6140#endif
6141 wrhpr %g0, 0x1db, %hpstate ! ta T_CHANGE_NONHPRIV
6142#endif
6143 stxa %r28, [%g0] 0x73
6144 .word 0xa5b4c4d2 ! 1: FCMPNE32 fcmpne32 %d50, %d18, %r18
6145intvec_st_20_78:
6146 .word 0xe41fc000 ! 170: LDD_R ldd [%r31 + %r0], %r18
6147 .word 0x20800001 ! 171: BN bn,a <label_0x1>
6148 .word 0x93b204d3 ! 172: FCMPNE32 fcmpne32 %d8, %d50, %r9
6149 .word 0xd21fc000 ! 173: LDD_R ldd [%r31 + %r0], %r9
6150 .word 0x19400001 ! 173: FBPUGE fbuge
6151 .word 0xe697c2c0 ! 174: LDUHA_R lduha [%r31, %r0] 0x16, %r19
6152 .word 0xc1bfdc40 ! 175: STDFA_R stda %f0, [%r0, %r31]
6153 .word 0xe697c2e0 ! 176: LDUHA_R lduha [%r31, %r0] 0x17, %r19
6154 .word 0xf1efe080 ! 177: PREFETCHA_I prefetcha [%r31, + 0x0080] %asi, #24
6155 .word 0xc19fdb40 ! 177: LDDFA_R ldda [%r31, %r0], %f0
6156 nop
6157 nop
6158 mov 55, %g1
6159loopb_20_81:
6160 ldstub [%r31+0x150], %r2
6161 brnz,a %r1, loopb_20_81
6162 dec %r1
6163 nop
6164 nop
6165 set 0x7c308474, %r28
6166#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6167 sethi %hi(0x3800), %r27
6168 andn %r28, %r27, %r28
6169#ifdef PORTABLE_CORE
6170 ! Add CID to vector
6171 ta T_CHANGE_HPRIV
6172 ldxa [%g0]0x63, %r27
6173 sllx %r27, 8, %r27
6174 or %r27, %r28, %r28
6175#endif
6176#endif
6177 stxa %r28, [%g0] 0x73
6178intvec_st_20_82:
6179 .word 0xe1bfdf20 ! 178: STDFA_R stda %f16, [%r0, %r31]
6180 .word 0xa1b7c7c0 ! 179: PDIST pdistn %d62, %d0, %d16
6181 .word 0xa9b284cd ! 180: FCMPNE32 fcmpne32 %d10, %d44, %r20
6182 .word 0xe19fdc00 ! 181: LDDFA_R ldda [%r31, %r0], %f16
6183 .word 0xc19fda60 ! 181: LDDFA_R ldda [%r31, %r0], %f0
6184 nop
6185 nop
6186 set 0x7c308474, %r28
6187#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6188 sethi %hi(0x3800), %r27
6189 andn %r28, %r27, %r28
6190#ifdef PORTABLE_CORE
6191 ! Add CID to vector
6192 ta T_CHANGE_HPRIV
6193 ldxa [%g0]0x63, %r27
6194 sllx %r27, 8, %r27
6195 or %r27, %r28, %r28
6196#endif
6197 wrhpr %g0, 0xcd2, %hpstate ! ta T_CHANGE_NONHPRIV
6198#endif
6199 stxa %r28, [%g0] 0x73
6200intvec_st_20_84:
6201 nop
6202 nop
6203 rd %tick, %r28
6204#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6205 sethi %hi(0x3800), %r27
6206 andn %r28, %r27, %r28
6207#ifdef PORTABLE_CORE
6208 ! Add CID to vector
6209 ta T_CHANGE_HPRIV
6210 ldxa [%g0]0x63, %r27
6211 sllx %r27, 8, %r27
6212 or %r27, %r28, %r28
6213#endif
6214#endif
6215 sethi %hi(0x30000), %r27
6216 andn %r28, %r27, %r28
6217 ta T_CHANGE_HPRIV
6218 stxa %r28, [%g0] 0x73
6219intvec_st_20_85:
6220 .word 0x97a4c9d1 ! 182: FDIVd fdivd %f50, %f48, %f42
6221 .word 0xd7e7d100 ! 183: CASA_I casa [%r31] 0x88, %r0, %r11
6222 .word 0x19400001 ! 184: FBPUGE fbuge
6223 .word 0x9bb284c4 ! 185: FCMPNE32 fcmpne32 %d10, %d4, %r13
6224 .word 0xa9a289d0 ! 185: FDIVd fdivd %f10, %f16, %f20
6225memptr_20_87:
6226 set user_data_start, %r31
6227 .word 0xe83fe150 ! 186: STD_I std %r20, [%r31 + 0x0150]
6228 .word 0x8584613a ! 187: WRCCR_I wr %r17, 0x013a, %ccr
6229 .word 0xe81fe0e0 ! 188: LDD_I ldd [%r31 + 0x00e0], %r20
6230 .word 0xe9e7d920 ! 189: CASA_I casa [%r31] 0xc9, %r0, %r20
6231 .word 0x8584a2e8 ! 189: WRCCR_I wr %r18, 0x02e8, %ccr
6232memptr_20_88:
6233 set 0x60540000, %r31
6234 .word 0xe1bfc3e0 ! 190: STDFA_R stda %f16, [%r0, %r31]
6235 .word 0x8584a6b0 ! 191: WRCCR_I wr %r18, 0x06b0, %ccr
6236 .word 0xe897c180 ! 192: LDUHA_R lduha [%r31, %r0] 0x0c, %r20
6237 .word 0xa1b7c7c0 ! 193: PDIST pdistn %d62, %d0, %d16
6238 .word 0x85847688 ! 193: WRCCR_I wr %r17, 0x1688, %ccr
6239 .word 0xc19fdf20 ! 194: LDDFA_R ldda [%r31, %r0], %f0
6240 .word 0x20800001 ! 195: BN bn,a <label_0x1>
6241 .word 0xd71fe0a0 ! 196: LDDF_I ldd [%r31, 0x00a0], %f11
6242 .word 0xc19fc2c0 ! 197: LDDFA_R ldda [%r31, %r0], %f0
6243 .word 0xe19fda00 ! 197: LDDFA_R ldda [%r31, %r0], %f16
6244 nop
6245 nop
6246 mov 35, %g1
6247loopz_20_91:
6248 ldstub [%r31+0x1a0], %r2
6249 brnz,a %r1, loopz_20_91
6250 dec %r1
6251 nop
6252 nop
6253 mov 11, %g1
6254loopb_20_92:
6255 ldstub [%r31+0x180], %r2
6256 brnz,a %r1, loopb_20_92
6257 dec %r1
6258 .word 0xd61fc000 ! 198: LDD_R ldd [%r31 + %r0], %r11
6259 .word 0xe1bfda60 ! 199: STDFA_R stda %f16, [%r0, %r31]
6260 .word 0xe19fdf20 ! 200: LDDFA_R ldda [%r31, %r0], %f16
6261 .word 0xd71fe0c0 ! 201: LDDF_I ldd [%r31, 0x00c0], %f11
6262 .word 0x20800002 ! 201: BN bn,a <label_0x2>
6263 nop
6264 nop
6265 set 0xaff002f6, %r28
6266#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6267 sethi %hi(0x3800), %r27
6268 andn %r28, %r27, %r28
6269#ifdef PORTABLE_CORE
6270 ! Add CID to vector
6271 ta T_CHANGE_HPRIV
6272 ldxa [%g0]0x63, %r27
6273 sllx %r27, 8, %r27
6274 or %r27, %r28, %r28
6275#endif
6276 wrhpr %g0, 0xad0, %hpstate ! ta T_CHANGE_NONHPRIV
6277#endif
6278 stxa %r28, [%g0] 0x73
6279intvec_st_20_94:
6280 .word 0xa3b484d0 ! 202: FCMPNE32 fcmpne32 %d18, %d16, %r17
6281 .word 0x81b7c7c0 ! 203: PDIST pdistn %d62, %d0, %d0
6282 .word 0xe21fe180 ! 204: LDD_I ldd [%r31 + 0x0180], %r17
6283 .word 0xa7a489d4 ! 205: FDIVd fdivd %f18, %f20, %f50
6284 .word 0xc1bfdb40 ! 205: STDFA_R stda %f0, [%r0, %r31]
6285memptr_20_96:
6286 set user_data_start, %r31
6287 .word 0xe69fc400 ! 206: LDDA_R ldda [%r31, %r0] 0x20, %r19
6288 .word 0x8584b4f5 ! 207: WRCCR_I wr %r18, 0x14f5, %ccr
6289 .word 0xc19fda00 ! 208: LDDFA_R ldda [%r31, %r0], %f0
6290 .word 0xc32fe1e0 ! 209: STXFSR_I st-sfr %f1, [0x01e0, %r31]
6291 .word 0xc1bfdf00 ! 209: STDFA_R stda %f0, [%r0, %r31]
6292 nop
6293 nop
6294 set 0xaff002f6, %r28
6295#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6296 sethi %hi(0x3800), %r27
6297 andn %r28, %r27, %r28
6298#ifdef PORTABLE_CORE
6299 ! Add CID to vector
6300 ta T_CHANGE_HPRIV
6301 ldxa [%g0]0x63, %r27
6302 sllx %r27, 8, %r27
6303 or %r27, %r28, %r28
6304#endif
6305#endif
6306 stxa %r28, [%g0] 0x73
6307 .word 0xa7a2c9cb ! 1: FDIVd fdivd %f42, %f42, %f50
6308intvec_st_20_98:
6309memptr_20_99:
6310 set user_data_start, %r31
6311 .word 0xa1b244c4 ! 210: FCMPNE32 fcmpne32 %d40, %d4, %r16
6312 .word 0x8581256f ! 211: WRCCR_I wr %r4, 0x056f, %ccr
6313 .word 0x20800001 ! 212: BN bn,a <label_0x1>
6314 .word 0x39400001 ! 213: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
6315 .word 0x81b7c7c0 ! 213: PDIST pdistn %d62, %d0, %d0
6316 nop
6317 nop
6318 set 0xaff002f6, %r28
6319#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6320 sethi %hi(0x3800), %r27
6321 andn %r28, %r27, %r28
6322#ifdef PORTABLE_CORE
6323 ! Add CID to vector
6324 ta T_CHANGE_HPRIV
6325 ldxa [%g0]0x63, %r27
6326 sllx %r27, 8, %r27
6327 or %r27, %r28, %r28
6328#endif
6329#endif
6330 stxa %r28, [%g0] 0x73
6331intvec_st_20_100:
6332 nop
6333 nop
6334 rd %tick, %r28
6335#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6336 sethi %hi(0x3800), %r27
6337 andn %r28, %r27, %r28
6338#ifdef PORTABLE_CORE
6339 ! Add CID to vector
6340 ta T_CHANGE_HPRIV
6341 ldxa [%g0]0x63, %r27
6342 sllx %r27, 8, %r27
6343 or %r27, %r28, %r28
6344#endif
6345#endif
6346 sethi %hi(0x30000), %r27
6347 andn %r28, %r27, %r28
6348 ta T_CHANGE_HPRIV
6349 stxa %r28, [%g0] 0x73
6350intvec_st_20_101:
6351 .word 0xa1b484d2 ! 214: FCMPNE32 fcmpne32 %d18, %d18, %r16
6352 .word 0xc1bfdc40 ! 215: STDFA_R stda %f0, [%r0, %r31]
6353 .word 0x39400001 ! 216: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
6354 .word 0x95a149d0 ! 217: FDIVd fdivd %f36, %f16, %f10
6355 .word 0x20800001 ! 217: BN bn,a <label_0x1>
6356 nop
6357 nop
6358 mov 39, %g1
6359loopz_20_103:
6360 ldstub [%r31+0xe0], %r2
6361 brnz,a %r1, loopz_20_103
6362 dec %r1
6363 .word 0xd41fe1e0 ! 218: LDD_I ldd [%r31 + 0x01e0], %r10
6364 .word 0xe19fdf00 ! 219: LDDFA_R ldda [%r31, %r0], %f16
6365 .word 0xf1efe190 ! 220: PREFETCHA_I prefetcha [%r31, + 0x0190] %asi, #24
6366 .word 0xc32fe130 ! 221: STXFSR_I st-sfr %f1, [0x0130, %r31]
6367 .word 0xd43fe150 ! 221: STD_I std %r10, [%r31 + 0x0150]
6368 nop
6369 nop
6370 set 0xaff002f6, %r28
6371#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6372 sethi %hi(0x3800), %r27
6373 andn %r28, %r27, %r28
6374#ifdef PORTABLE_CORE
6375 ! Add CID to vector
6376 ta T_CHANGE_HPRIV
6377 ldxa [%g0]0x63, %r27
6378 sllx %r27, 8, %r27
6379 or %r27, %r28, %r28
6380#endif
6381 wrhpr %g0, 0x6c8, %hpstate ! ta T_CHANGE_NONHPRIV
6382#endif
6383 stxa %r28, [%g0] 0x73
6384 .word 0xa5b404cd ! 1: FCMPNE32 fcmpne32 %d16, %d44, %r18
6385intvec_st_20_104:
6386 .word 0xc1bfdb20 ! 222: STDFA_R stda %f0, [%r0, %r31]
6387 .word 0xe43fe020 ! 223: STD_I std %r18, [%r31 + 0x0020]
6388 .word 0xa3b084c1 ! 224: FCMPNE32 fcmpne32 %d2, %d32, %r17
6389 .word 0xc1bfc2c0 ! 225: STDFA_R stda %f0, [%r0, %r31]
6390 .word 0x19400001 ! 225: FBPUGE fbuge
6391 nop
6392 nop
6393 mov 19, %g1
6394loopb_20_105:
6395 ldstub [%r31+0x170], %r2
6396 brnz,a %r1, loopb_20_105
6397 dec %r1
6398 .word 0xc1bfdc00 ! 226: STDFA_R stda %f0, [%r0, %r31]
6399 .word 0xc19fdc00 ! 227: LDDFA_R ldda [%r31, %r0], %f0
6400 .word 0xe297c540 ! 228: LDUHA_R lduha [%r31, %r0] 0x2a, %r17
6401 .word 0xe19fdf00 ! 229: LDDFA_R ldda [%r31, %r0], %f16
6402 .word 0x00800001 ! 229: BN bn <label_0x1>
6403memptr_20_106:
6404 set 0x60540000, %r31
6405 .word 0xc19fdc00 ! 230: LDDFA_R ldda [%r31, %r0], %f0
6406 .word 0x8581b488 ! 231: WRCCR_I wr %r6, 0x1488, %ccr
6407 .word 0xe2dfd040 ! 232: LDXA_R ldxa [%r31, %r0] 0x82, %r17
6408 .word 0xe19fda60 ! 233: LDDFA_R ldda [%r31, %r0], %f16
6409 .word 0xe3e7dd40 ! 233: CASA_I casa [%r31] 0xea, %r0, %r17
6410 nop
6411 nop
6412 set 0xaff002f6, %r28
6413#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6414 sethi %hi(0x3800), %r27
6415 andn %r28, %r27, %r28
6416#ifdef PORTABLE_CORE
6417 ! Add CID to vector
6418 ta T_CHANGE_HPRIV
6419 ldxa [%g0]0x63, %r27
6420 sllx %r27, 8, %r27
6421 or %r27, %r28, %r28
6422#endif
6423 wrhpr %g0, 0x9d0, %hpstate ! ta T_CHANGE_NONHPRIV
6424#endif
6425 stxa %r28, [%g0] 0x73
6426 .word 0x93b104cb ! 1: FCMPNE32 fcmpne32 %d4, %d42, %r9
6427intvec_st_20_108:
6428memptr_20_109:
6429 set 0x60340000, %r31
6430 .word 0x39400001 ! 234: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
6431 .word 0x85823c81 ! 235: WRCCR_I wr %r8, 0x1c81, %ccr
6432 .word 0xa1b7c7c0 ! 236: PDIST pdistn %d62, %d0, %d16
6433 .word 0x99b444d2 ! 237: FCMPNE32 fcmpne32 %d48, %d18, %r12
6434 .word 0x85813a1d ! 237: WRCCR_I wr %r4, 0x1a1d, %ccr
6435 nop
6436 nop
6437 rd %tick, %r28
6438#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6439 sethi %hi(0x3800), %r27
6440 andn %r28, %r27, %r28
6441#ifdef PORTABLE_CORE
6442 ! Add CID to vector
6443 ta T_CHANGE_HPRIV
6444 ldxa [%g0]0x63, %r27
6445 sllx %r27, 8, %r27
6446 or %r27, %r28, %r28
6447#endif
6448 wrhpr %g0, 0x8d9, %hpstate ! ta T_CHANGE_NONHPRIV
6449#endif
6450 sethi %hi(0x30000), %r27
6451 andn %r28, %r27, %r28
6452 ta T_CHANGE_HPRIV
6453 stxa %r28, [%g0] 0x73
6454 .word 0x93a489d3 ! 1: FDIVd fdivd %f18, %f50, %f40
6455intvec_st_20_111:
6456 nop
6457 nop
6458 set 0xaff002f6, %r28
6459#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6460 sethi %hi(0x3800), %r27
6461 andn %r28, %r27, %r28
6462#ifdef PORTABLE_CORE
6463 ! Add CID to vector
6464 ta T_CHANGE_HPRIV
6465 ldxa [%g0]0x63, %r27
6466 sllx %r27, 8, %r27
6467 or %r27, %r28, %r28
6468#endif
6469 wrhpr %g0, 0xb1a, %hpstate ! ta T_CHANGE_NONHPRIV
6470#endif
6471 stxa %r28, [%g0] 0x73
6472 .word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
6473intvec_st_20_112:
6474 .word 0x97b444d3 ! 238: FCMPNE32 fcmpne32 %d48, %d50, %r11
6475 .word 0xd7e7df00 ! 239: CASA_I casa [%r31] 0xf8, %r0, %r11
6476 .word 0x39400001 ! 240: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
6477 .word 0x9ba409d2 ! 241: FDIVd fdivd %f16, %f18, %f44
6478 .word 0x24cfc001 ! 241: BRLEZ brlez,a,pt %r31,<label_0xfc001>
6479 .word 0xc1bfdc40 ! 242: STDFA_R stda %f0, [%r0, %r31]
6480 .word 0x81b7c7c0 ! 243: PDIST pdistn %d62, %d0, %d0
6481 .word 0xda97c3c0 ! 244: LDUHA_R lduha [%r31, %r0] 0x1e, %r13
6482 .word 0x20800001 ! 245: BN bn,a <label_0x1>
6483 .word 0xc32fe190 ! 245: STXFSR_I st-sfr %f1, [0x0190, %r31]
6484 .word 0xe19fc2c0 ! 1: LDDFA_R ldda [%r31, %r0], %f16
6485 .word 0xe09fde20 ! 1: LDDA_R ldda [%r31, %r0] 0xf1, %r16
6486 .word 0xc1bfda00 ! 1: STDFA_R stda %f0, [%r0, %r31]
6487 .word 0xc09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r0
6488 .word 0xe1bfde00 ! 1: STDFA_R stda %f16, [%r0, %r31]
6489 .word 0xc09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r0
6490 .word 0xe09fda00 ! 1: LDDA_R ldda [%r31, %r0] 0xd0, %r16
6491 .word 0xc09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r0
6492 .word 0xe19fdc00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
6493 .word 0xc0bfdf20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf9
6494 .word 0xe19fdf20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
6495 .word 0xda9fc180 ! 246: LDDA_R ldda [%r31, %r0] 0x0c, %r13
6496 .word 0x24cfc001 ! 247: BRLEZ brlez,a,pt %r31,<label_0xfc001>
6497 .word 0xdb3fe080 ! 248: STDF_I std %f13, [0x0080, %r31]
6498 .word 0xc32fe0c0 ! 249: STXFSR_I st-sfr %f1, [0x00c0, %r31]
6499 .word 0xdb1fe100 ! 249: LDDF_I ldd [%r31, 0x0100], %f13
6500 nop
6501 nop
6502 rd %tick, %r28
6503#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6504 sethi %hi(0x3800), %r27
6505 andn %r28, %r27, %r28
6506#ifdef PORTABLE_CORE
6507 ! Add CID to vector
6508 ta T_CHANGE_HPRIV
6509 ldxa [%g0]0x63, %r27
6510 sllx %r27, 8, %r27
6511 or %r27, %r28, %r28
6512#endif
6513#endif
6514 sethi %hi(0x30000), %r27
6515 andn %r28, %r27, %r28
6516 ta T_CHANGE_HPRIV
6517 stxa %r28, [%g0] 0x73
6518intvec_st_20_115:
6519 nop
6520 nop
6521 set 0xaff002f6, %r28
6522#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6523 sethi %hi(0x3800), %r27
6524 andn %r28, %r27, %r28
6525#ifdef PORTABLE_CORE
6526 ! Add CID to vector
6527 ta T_CHANGE_HPRIV
6528 ldxa [%g0]0x63, %r27
6529 sllx %r27, 8, %r27
6530 or %r27, %r28, %r28
6531#endif
6532 wrhpr %g0, 0xf82, %hpstate ! ta T_CHANGE_NONHPRIV
6533#endif
6534 stxa %r28, [%g0] 0x73
6535 .word 0x91a409d2 ! 1: FDIVd fdivd %f16, %f18, %f8
6536intvec_st_20_116:
6537 .word 0x39400001 ! 250: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
6538 .word 0x91b7c4c0 ! 251: FCMPNE32 fcmpne32 %d62, %d0, %r8
6539 .word 0x39400001 ! 252: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
6540 .word 0x95b044c5 ! 253: FCMPNE32 fcmpne32 %d32, %d36, %r10
6541 .word 0xf16fe1c0 ! 253: PREFETCH_I prefetch [%r31 + 0x01c0], #24
6542 .word 0x87802010 ! 1: WRASI_I wr %r0, 0x0010, %asi
6543memptr_20_118:
6544 set 0x60140000, %r31
6545 nop
6546 nop
6547 rd %tick, %r28
6548#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6549 sethi %hi(0x3800), %r27
6550 andn %r28, %r27, %r28
6551#ifdef PORTABLE_CORE
6552 ! Add CID to vector
6553 ta T_CHANGE_HPRIV
6554 ldxa [%g0]0x63, %r27
6555 sllx %r27, 8, %r27
6556 or %r27, %r28, %r28
6557#endif
6558#endif
6559 sethi %hi(0x30000), %r27
6560 andn %r28, %r27, %r28
6561 ta T_CHANGE_HPRIV
6562 stxa %r28, [%g0] 0x73
6563intvec_st_20_119:
6564 .word 0xe1bfdb40 ! 254: STDFA_R stda %f16, [%r0, %r31]
6565 .word 0x8582f460 ! 255: WRCCR_I wr %r11, 0x1460, %ccr
6566 .word 0x97a289d1 ! 256: FDIVd fdivd %f10, %f48, %f42
6567 .word 0x20800002 ! 257: BN bn,a <label_0x2>
6568 .word 0x8584f3f0 ! 257: WRCCR_I wr %r19, 0x13f0, %ccr
6569 .word 0xc32fe140 ! 258: STXFSR_I st-sfr %f1, [0x0140, %r31]
6570 .word 0x81b7c7c0 ! 259: PDIST pdistn %d62, %d0, %d0
6571 .word 0xc19fdd40 ! 260: LDDFA_R ldda [%r31, %r0], %f0
6572 .word 0xe73fe1f0 ! 261: STDF_I std %f19, [0x01f0, %r31]
6573 .word 0xc19fda00 ! 261: LDDFA_R ldda [%r31, %r0], %f0
6574 nop
6575 nop
6576 mov 35, %g1
6577loopz_20_122:
6578 ldstub [%r31+0x130], %r2
6579 brnz,a %r1, loopz_20_122
6580 dec %r1
6581 .word 0xc32fe190 ! 262: STXFSR_I st-sfr %f1, [0x0190, %r31]
6582 .word 0x00800001 ! 263: BN bn <label_0x1>
6583 .word 0xa1b7c7c0 ! 264: PDIST pdistn %d62, %d0, %d16
6584 .word 0xdbe7e000 ! 265: CASA_R casa [%r31] %asi, %r0, %r13
6585 .word 0xc19fdb20 ! 265: LDDFA_R ldda [%r31, %r0], %f0
6586 nop
6587 nop
6588 mov 47, %g1
6589loopb_20_124:
6590 ldstub [%r31+0], %r2
6591 brnz,a %r1, loopb_20_124
6592 dec %r1
6593memptr_20_125:
6594 set 0x60140000, %r31
6595 .word 0xc1bfda00 ! 266: STDFA_R stda %f0, [%r0, %r31]
6596 .word 0x85852dd0 ! 267: WRCCR_I wr %r20, 0x0dd0, %ccr
6597 .word 0x00800001 ! 268: BN bn <label_0x1>
6598 .word 0xe1bfda00 ! 269: STDFA_R stda %f16, [%r0, %r31]
6599 .word 0xe19fdc40 ! 269: LDDFA_R ldda [%r31, %r0], %f16
6600 nop
6601 nop
6602 mov 27, %g1
6603loopb_20_126:
6604 ldstub [%r31+0x140], %r2
6605 brnz,a %r1, loopb_20_126
6606 dec %r1
6607 .word 0xc1bfdf20 ! 270: STDFA_R stda %f0, [%r0, %r31]
6608 .word 0xc19fda60 ! 271: LDDFA_R ldda [%r31, %r0], %f0
6609 .word 0xf1efe080 ! 272: PREFETCHA_I prefetcha [%r31, + 0x0080] %asi, #24
6610 .word 0xe19fc3e0 ! 273: LDDFA_R ldda [%r31, %r0], %f16
6611 .word 0xa1b7c7c0 ! 273: PDIST pdistn %d62, %d0, %d16
6612memptr_20_127:
6613 set 0x60140000, %r31
6614 .word 0xf1efe100 ! 274: PREFETCHA_I prefetcha [%r31, + 0x0100] %asi, #24
6615 .word 0x85843a18 ! 275: WRCCR_I wr %r16, 0x1a18, %ccr
6616 .word 0xe19fde20 ! 276: LDDFA_R ldda [%r31, %r0], %f16
6617 .word 0xda1fe1c0 ! 277: LDD_I ldd [%r31 + 0x01c0], %r13
6618 .word 0x85836500 ! 277: WRCCR_I wr %r13, 0x0500, %ccr
6619 nop
6620 nop
6621 mov 47, %g1
6622loopz_20_129:
6623 ldstub [%r31+0x180], %r2
6624 brnz,a %r1, loopz_20_129
6625 dec %r1
6626 nop
6627 nop
6628 set 0xaff002f6, %r28
6629#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6630 sethi %hi(0x3800), %r27
6631 andn %r28, %r27, %r28
6632#ifdef PORTABLE_CORE
6633 ! Add CID to vector
6634 ta T_CHANGE_HPRIV
6635 ldxa [%g0]0x63, %r27
6636 sllx %r27, 8, %r27
6637 or %r27, %r28, %r28
6638#endif
6639#endif
6640 stxa %r28, [%g0] 0x73
6641 .word 0x19400001 ! 1: FBPUGE fbuge
6642intvec_st_20_130:
6643 .word 0xda1fc000 ! 278: LDD_R ldd [%r31 + %r0], %r13
6644 .word 0xda3fe030 ! 279: STD_I std %r13, [%r31 + 0x0030]
6645 .word 0xa1b284cb ! 280: FCMPNE32 fcmpne32 %d10, %d42, %r16
6646 .word 0xe01fe060 ! 281: LDD_I ldd [%r31 + 0x0060], %r16
6647 .word 0xf16fe190 ! 281: PREFETCH_I prefetch [%r31 + 0x0190], #24
6648 nop
6649 nop
6650 rd %tick, %r28
6651#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6652 sethi %hi(0x3800), %r27
6653 andn %r28, %r27, %r28
6654#ifdef PORTABLE_CORE
6655 ! Add CID to vector
6656 ta T_CHANGE_HPRIV
6657 ldxa [%g0]0x63, %r27
6658 sllx %r27, 8, %r27
6659 or %r27, %r28, %r28
6660#endif
6661#endif
6662 sethi %hi(0x30000), %r27
6663 andn %r28, %r27, %r28
6664 ta T_CHANGE_HPRIV
6665 stxa %r28, [%g0] 0x73
6666 .word 0xa9a509d3 ! 1: FDIVd fdivd %f20, %f50, %f20
6667intvec_st_20_131:
6668 .word 0x00800001 ! 282: BN bn <label_0x1>
6669 .word 0x00800001 ! 283: BN bn <label_0x1>
6670 .word 0xa5a489c8 ! 284: FDIVd fdivd %f18, %f8, %f18
6671 .word 0xc1bfdc00 ! 285: STDFA_R stda %f0, [%r0, %r31]
6672 .word 0xc1bfdb20 ! 285: STDFA_R stda %f0, [%r0, %r31]
6673memptr_20_133:
6674 set 0x60140000, %r31
6675 nop
6676 nop
6677 mov 31, %g1
6678loopb_20_134:
6679 ldstub [%r31+0x120], %r2
6680 brnz,a %r1, loopb_20_134
6681 dec %r1
6682 .word 0xe1bfdb20 ! 286: STDFA_R stda %f16, [%r0, %r31]
6683 .word 0x8582762d ! 287: WRCCR_I wr %r9, 0x162d, %ccr
6684 .word 0xe1bfda00 ! 288: STDFA_R stda %f16, [%r0, %r31]
6685 .word 0xc19fdc40 ! 289: LDDFA_R ldda [%r31, %r0], %f0
6686 .word 0x8580f1cc ! 289: WRCCR_I wr %r3, 0x11cc, %ccr
6687 nop
6688 nop
6689 mov 31, %g1
6690loopb_20_136:
6691 ldstub [%r31+0x120], %r2
6692 brnz,a %r1, loopb_20_136
6693 dec %r1
6694 .word 0x00800001 ! 290: BN bn <label_0x1>
6695 .word 0x04cfc001 ! 291: BRLEZ brlez,pt %r31,<label_0xfc001>
6696 .word 0xe19fda60 ! 292: LDDFA_R ldda [%r31, %r0], %f16
6697 .word 0xa1b7c7c0 ! 293: PDIST pdistn %d62, %d0, %d16
6698 .word 0xe1bfde00 ! 293: STDFA_R stda %f16, [%r0, %r31]
6699 nop
6700 nop
6701 set 0x1ef094df, %r28
6702#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6703 sethi %hi(0x3800), %r27
6704 andn %r28, %r27, %r28
6705#ifdef PORTABLE_CORE
6706 ! Add CID to vector
6707 ta T_CHANGE_HPRIV
6708 ldxa [%g0]0x63, %r27
6709 sllx %r27, 8, %r27
6710 or %r27, %r28, %r28
6711#endif
6712 wrhpr %g0, 0xe88, %hpstate ! ta T_CHANGE_NONHPRIV
6713#endif
6714 stxa %r28, [%g0] 0x73
6715intvec_st_20_138:
6716 nop
6717 nop
6718 mov 15, %g1
6719loopb_20_139:
6720 ldstub [%r31+0x190], %r2
6721 brnz,a %r1, loopb_20_139
6722 dec %r1
6723 .word 0xa5a089d1 ! 294: FDIVd fdivd %f2, %f48, %f18
6724 .word 0xa1b7c7c0 ! 295: PDIST pdistn %d62, %d0, %d16
6725 .word 0xc19fde20 ! 296: LDDFA_R ldda [%r31, %r0], %f0
6726 .word 0xa3b284d1 ! 297: FCMPNE32 fcmpne32 %d10, %d48, %r17
6727 .word 0xe19fdb40 ! 297: LDDFA_R ldda [%r31, %r0], %f16
6728 nop
6729 nop
6730 rd %tick, %r28
6731#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6732 sethi %hi(0x3800), %r27
6733 andn %r28, %r27, %r28
6734#ifdef PORTABLE_CORE
6735 ! Add CID to vector
6736 ta T_CHANGE_HPRIV
6737 ldxa [%g0]0x63, %r27
6738 sllx %r27, 8, %r27
6739 or %r27, %r28, %r28
6740#endif
6741 wrhpr %g0, 0x64b, %hpstate ! ta T_CHANGE_NONHPRIV
6742#endif
6743 sethi %hi(0x30000), %r27
6744 andn %r28, %r27, %r28
6745 ta T_CHANGE_HPRIV
6746 stxa %r28, [%g0] 0x73
6747intvec_st_20_141:
6748 .word 0xe3e7d140 ! 298: CASA_I casa [%r31] 0x8a, %r0, %r17
6749 .word 0x39400001 ! 299: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
6750 .word 0x99a309c4 ! 300: FDIVd fdivd %f12, %f4, %f12
6751 .word 0xf1efe010 ! 301: PREFETCHA_I prefetcha [%r31, + 0x0010] %asi, #24
6752 .word 0x19400001 ! 301: FBPUGE fbuge
6753 nop
6754 nop
6755 mov 43, %g1
6756loopz_20_143:
6757 ldstub [%r31+0x1a0], %r2
6758 brnz,a %r1, loopz_20_143
6759 dec %r1
6760memptr_20_144:
6761 set user_data_start, %r31
6762 .word 0xd9e7e000 ! 302: CASA_R casa [%r31] %asi, %r0, %r12
6763 .word 0x85843314 ! 303: WRCCR_I wr %r16, 0x1314, %ccr
6764 .word 0xc32fe0a0 ! 304: STXFSR_I st-sfr %f1, [0x00a0, %r31]
6765 .word 0xd9e7e000 ! 305: CASA_R casa [%r31] %asi, %r0, %r12
6766 .word 0xc32fe040 ! 305: STXFSR_I st-sfr %f1, [0x0040, %r31]
6767 .word 0xa3a409c3 ! 1: FDIVd fdivd %f16, %f34, %f48
6768 .word 0xe51fe080 ! 306: LDDF_I ldd [%r31, 0x0080], %f18
6769 .word 0x04cfc001 ! 307: BRLEZ brlez,pt %r31,<label_0xfc001>
6770 .word 0xf1efe1d0 ! 308: PREFETCHA_I prefetcha [%r31, + 0x01d0] %asi, #24
6771 .word 0xe41fe010 ! 309: LDD_I ldd [%r31 + 0x0010], %r18
6772 .word 0xe53fe0e0 ! 309: STDF_I std %f18, [0x00e0, %r31]
6773 .word 0xe5e7c080 ! 310: CASA_I casa [%r31] 0x 4, %r0, %r18
6774 .word 0x87afca40 ! 311: FCMPd fcmpd %fcc<n>, %f62, %f0
6775 .word 0xe1bfdd40 ! 312: STDFA_R stda %f16, [%r0, %r31]
6776 .word 0xe41fe010 ! 313: LDD_I ldd [%r31 + 0x0010], %r18
6777 .word 0xe1bfc3e0 ! 313: STDFA_R stda %f16, [%r0, %r31]
6778 nop
6779 nop
6780 mov 7, %g1
6781loopb_20_147:
6782 ldstub [%r31+0x1e0], %r2
6783 brnz,a %r1, loopb_20_147
6784 dec %r1
6785 .word 0xa1b7c7c0 ! 314: PDIST pdistn %d62, %d0, %d16
6786 .word 0xe19fda60 ! 315: LDDFA_R ldda [%r31, %r0], %f16
6787 .word 0xc1bfda00 ! 316: STDFA_R stda %f0, [%r0, %r31]
6788 .word 0x20800001 ! 317: BN bn,a <label_0x1>
6789 .word 0xa1b7c7c0 ! 317: PDIST pdistn %d62, %d0, %d16
6790 nop
6791 nop
6792 rd %tick, %r28
6793#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6794 sethi %hi(0x3800), %r27
6795 andn %r28, %r27, %r28
6796#ifdef PORTABLE_CORE
6797 ! Add CID to vector
6798 ta T_CHANGE_HPRIV
6799 ldxa [%g0]0x63, %r27
6800 sllx %r27, 8, %r27
6801 or %r27, %r28, %r28
6802#endif
6803 wrhpr %g0, 0x15b, %hpstate ! ta T_CHANGE_NONHPRIV
6804#endif
6805 sethi %hi(0x30000), %r27
6806 andn %r28, %r27, %r28
6807 ta T_CHANGE_HPRIV
6808 stxa %r28, [%g0] 0x73
6809 .word 0x99b204d3 ! 1: FCMPNE32 fcmpne32 %d8, %d50, %r12
6810intvec_st_20_149:
6811 nop
6812 nop
6813 mov 11, %g1
6814loopz_20_150:
6815 ldstub [%r31+0x110], %r2
6816 brnz,a %r1, loopz_20_150
6817 dec %r1
6818 .word 0xa3a409d0 ! 318: FDIVd fdivd %f16, %f16, %f48
6819 .word 0x87afca40 ! 319: FCMPd fcmpd %fcc<n>, %f62, %f0
6820 .word 0xc32fe000 ! 320: STXFSR_I st-sfr %f1, [0x0000, %r31]
6821 .word 0xa7a409c7 ! 321: FDIVd fdivd %f16, %f38, %f50
6822 .word 0xa7a7c9c0 ! 321: FDIVd fdivd %f62, %f0, %f50
6823 .word 0xe6dfc2c0 ! 322: LDXA_R ldxa [%r31, %r0] 0x16, %r19
6824 .word 0xe19fc3e0 ! 323: LDDFA_R ldda [%r31, %r0], %f16
6825 .word 0xa1b7c7c0 ! 324: PDIST pdistn %d62, %d0, %d16
6826 .word 0xc32fe1d0 ! 325: STXFSR_I st-sfr %f1, [0x01d0, %r31]
6827 .word 0xc1bfc2c0 ! 325: STDFA_R stda %f0, [%r0, %r31]
6828 nop
6829 nop
6830 rd %tick, %r28
6831#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6832 sethi %hi(0x3800), %r27
6833 andn %r28, %r27, %r28
6834#ifdef PORTABLE_CORE
6835 ! Add CID to vector
6836 ta T_CHANGE_HPRIV
6837 ldxa [%g0]0x63, %r27
6838 sllx %r27, 8, %r27
6839 or %r27, %r28, %r28
6840#endif
6841 wrhpr %g0, 0x85b, %hpstate ! ta T_CHANGE_NONHPRIV
6842#endif
6843 sethi %hi(0x30000), %r27
6844 andn %r28, %r27, %r28
6845 ta T_CHANGE_HPRIV
6846 stxa %r28, [%g0] 0x73
6847 .word 0xa5b444c1 ! 1: FCMPNE32 fcmpne32 %d48, %d32, %r18
6848intvec_st_20_153:
6849 nop
6850 nop
6851 mov 31, %g1
6852loopz_20_154:
6853 ldstub [%r31+0x1f0], %r2
6854 brnz,a %r1, loopz_20_154
6855 dec %r1
6856 .word 0x97a409d0 ! 326: FDIVd fdivd %f16, %f16, %f42
6857 .word 0x97a7c9c0 ! 327: FDIVd fdivd %f62, %f0, %f42
6858 .word 0xd7e7e000 ! 328: CASA_R casa [%r31] %asi, %r0, %r11
6859 .word 0x39400001 ! 329: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
6860 .word 0xd63fe110 ! 329: STD_I std %r11, [%r31 + 0x0110]
6861 .word 0xe09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r16
6862 .word 0xe0bfdc00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe0
6863 .word 0xc09fde00 ! 1: LDDA_R ldda [%r31, %r0] 0xf0, %r0
6864 .word 0xe1bfdb40 ! 1: STDFA_R stda %f16, [%r0, %r31]
6865 .word 0xc09fc3e0 ! 1: LDDA_R ldda [%r31, %r0] 0x1f, %r0
6866 .word 0xe1bfdf00 ! 1: STDFA_R stda %f16, [%r0, %r31]
6867 .word 0xc1bfdb40 ! 1: STDFA_R stda %f0, [%r0, %r31]
6868memptr_20_156:
6869 set user_data_start, %r31
6870 nop
6871 nop
6872 mov 51, %g1
6873loopb_20_157:
6874 ldstub [%r31+0x80], %r2
6875 brnz,a %r1, loopb_20_157
6876 dec %r1
6877 .word 0xf1efe1d0 ! 330: PREFETCHA_I prefetcha [%r31, + 0x01d0] %asi, #24
6878 .word 0x85846537 ! 331: WRCCR_I wr %r17, 0x0537, %ccr
6879 .word 0xc19fda00 ! 332: LDDFA_R ldda [%r31, %r0], %f0
6880 .word 0xd6dfc2c0 ! 333: LDXA_R ldxa [%r31, %r0] 0x16, %r11
6881 .word 0x858437e2 ! 333: WRCCR_I wr %r16, 0x17e2, %ccr
6882memptr_20_159:
6883 set user_data_start, %r31
6884 nop
6885 nop
6886 set 0x1ef094df, %r28
6887#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6888 sethi %hi(0x3800), %r27
6889 andn %r28, %r27, %r28
6890#ifdef PORTABLE_CORE
6891 ! Add CID to vector
6892 ta T_CHANGE_HPRIV
6893 ldxa [%g0]0x63, %r27
6894 sllx %r27, 8, %r27
6895 or %r27, %r28, %r28
6896#endif
6897#endif
6898 stxa %r28, [%g0] 0x73
6899intvec_st_20_160:
6900 .word 0x81b7c7c0 ! 334: PDIST pdistn %d62, %d0, %d0
6901 .word 0x85842b5b ! 335: WRCCR_I wr %r16, 0x0b5b, %ccr
6902 .word 0x95b404ca ! 336: FCMPNE32 fcmpne32 %d16, %d10, %r10
6903 .word 0x20800001 ! 337: BN bn,a <label_0x1>
6904 .word 0x8582fb74 ! 337: WRCCR_I wr %r11, 0x1b74, %ccr
6905 .word 0xd4dfdc40 ! 338: LDXA_R ldxa [%r31, %r0] 0xe2, %r10
6906 .word 0xf16fe0a0 ! 339: PREFETCH_I prefetch [%r31 + 0x00a0], #24
6907 .word 0xf1efe1d0 ! 340: PREFETCHA_I prefetcha [%r31, + 0x01d0] %asi, #24
6908 .word 0xd43fe170 ! 341: STD_I std %r10, [%r31 + 0x0170]
6909 .word 0xd49fc200 ! 341: LDDA_R ldda [%r31, %r0] 0x10, %r10
6910 nop
6911 nop
6912 mov 59, %g1
6913loopb_20_163:
6914 ldstub [%r31+0x190], %r2
6915 brnz,a %r1, loopb_20_163
6916 dec %r1
6917 nop
6918 nop
6919 mov 19, %g1
6920loopb_20_164:
6921 ldstub [%r31+0x170], %r2
6922 brnz,a %r1, loopb_20_164
6923 dec %r1
6924 .word 0xe19fdc40 ! 342: LDDFA_R ldda [%r31, %r0], %f16
6925 .word 0x00800001 ! 343: BN bn <label_0x1>
6926 .word 0xc1bfdc40 ! 344: STDFA_R stda %f0, [%r0, %r31]
6927 .word 0xe1bfdc00 ! 345: STDFA_R stda %f16, [%r0, %r31]
6928 .word 0x81b7c7c0 ! 345: PDIST pdistn %d62, %d0, %d0
6929 .word 0xc09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r0
6930 .word 0xe09fdf00 ! 1: LDDA_R ldda [%r31, %r0] 0xf8, %r16
6931 .word 0xc0bfdb40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xda
6932 .word 0xe19fde20 ! 346: LDDFA_R ldda [%r31, %r0], %f16
6933 .word 0x19400001 ! 347: FBPUGE fbuge
6934 .word 0xa1b7c7c0 ! 348: PDIST pdistn %d62, %d0, %d16
6935 .word 0xe19fc2c0 ! 349: LDDFA_R ldda [%r31, %r0], %f16
6936 .word 0xf16fe140 ! 349: PREFETCH_I prefetch [%r31 + 0x0140], #24
6937 .word 0xe19fdc00 ! 350: LDDFA_R ldda [%r31, %r0], %f16
6938 .word 0xc19fdc00 ! 351: LDDFA_R ldda [%r31, %r0], %f0
6939 .word 0xd51fe000 ! 352: LDDF_I ldd [%r31, 0x0000], %f10
6940 .word 0xc1bfdc00 ! 353: STDFA_R stda %f0, [%r0, %r31]
6941 .word 0xd5e7c720 ! 353: CASA_I casa [%r31] 0x39, %r0, %r10
6942 nop
6943 nop
6944 mov 43, %g1
6945loopb_20_168:
6946 ldstub [%r31+0x190], %r2
6947 brnz,a %r1, loopb_20_168
6948 dec %r1
6949 .word 0xc19fdc00 ! 354: LDDFA_R ldda [%r31, %r0], %f0
6950 .word 0x95a7c9c0 ! 355: FDIVd fdivd %f62, %f0, %f10
6951 .word 0xd41fe060 ! 356: LDD_I ldd [%r31 + 0x0060], %r10
6952 .word 0xe1bfc3e0 ! 357: STDFA_R stda %f16, [%r0, %r31]
6953 .word 0xd5e7c180 ! 357: CASA_I casa [%r31] 0x c, %r0, %r10
6954memptr_20_170:
6955 set 0x60540000, %r31
6956 nop
6957 nop
6958 rd %tick, %r28
6959#if (defined PORTABLE_CORE || MAX_THREADS == 8)
6960 sethi %hi(0x3800), %r27
6961 andn %r28, %r27, %r28
6962#ifdef PORTABLE_CORE
6963 ! Add CID to vector
6964 ta T_CHANGE_HPRIV
6965 ldxa [%g0]0x63, %r27
6966 sllx %r27, 8, %r27
6967 or %r27, %r28, %r28
6968#endif
6969#endif
6970 sethi %hi(0x30000), %r27
6971 andn %r28, %r27, %r28
6972 ta T_CHANGE_HPRIV
6973 stxa %r28, [%g0] 0x73
6974intvec_st_20_171:
6975 .word 0xc1bfdb20 ! 358: STDFA_R stda %f0, [%r0, %r31]
6976 .word 0x85847c2a ! 359: WRCCR_I wr %r17, 0x1c2a, %ccr
6977 .word 0x19400001 ! 360: FBPUGE fbuge
6978 .word 0xc19fdc40 ! 361: LDDFA_R ldda [%r31, %r0], %f0
6979 .word 0x8582670c ! 361: WRCCR_I wr %r9, 0x070c, %ccr
6980 nop
6981 nop
6982 mov 3, %g1
6983loopb_20_173:
6984 ldstub [%r31+0x110], %r2
6985 brnz,a %r1, loopb_20_173
6986 dec %r1
6987 .word 0xc19fdf00 ! 362: LDDFA_R ldda [%r31, %r0], %f0
6988 .word 0x00800001 ! 363: BN bn <label_0x1>
6989 .word 0xd5e7c200 ! 364: CASA_I casa [%r31] 0x10, %r0, %r10
6990 .word 0xc1bfde20 ! 365: STDFA_R stda %f0, [%r0, %r31]
6991 .word 0xe1bfdb20 ! 365: STDFA_R stda %f16, [%r0, %r31]
6992memptr_20_174:
6993 set user_data_start, %r31
6994 .word 0xf1efe140 ! 366: PREFETCHA_I prefetcha [%r31, + 0x0140] %asi, #24
6995 .word 0x858269ca ! 367: WRCCR_I wr %r9, 0x09ca, %ccr
6996 .word 0xe19fdb20 ! 368: LDDFA_R ldda [%r31, %r0], %f16
6997 .word 0xc32fe0e0 ! 369: STXFSR_I st-sfr %f1, [0x00e0, %r31]
6998 .word 0x8584a4e5 ! 369: WRCCR_I wr %r18, 0x04e5, %ccr
6999 nop
7000 nop
7001 set 0x1ef094df, %r28
7002#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7003 sethi %hi(0x3800), %r27
7004 andn %r28, %r27, %r28
7005#ifdef PORTABLE_CORE
7006 ! Add CID to vector
7007 ta T_CHANGE_HPRIV
7008 ldxa [%g0]0x63, %r27
7009 sllx %r27, 8, %r27
7010 or %r27, %r28, %r28
7011#endif
7012 wrhpr %g0, 0x991, %hpstate ! ta T_CHANGE_NONHPRIV
7013#endif
7014 stxa %r28, [%g0] 0x73
7015intvec_st_20_176:
7016 nop
7017 nop
7018 mov 47, %g1
7019loopz_20_177:
7020 ldstub [%r31+0x1a0], %r2
7021 brnz,a %r1, loopz_20_177
7022 dec %r1
7023 .word 0xa9a449d0 ! 370: FDIVd fdivd %f48, %f16, %f20
7024 .word 0x00800001 ! 371: BN bn <label_0x1>
7025 .word 0xe9e7e000 ! 372: CASA_R casa [%r31] %asi, %r0, %r20
7026 .word 0x9ba449d2 ! 373: FDIVd fdivd %f48, %f18, %f44
7027 .word 0xe1bfda00 ! 373: STDFA_R stda %f16, [%r0, %r31]
7028 .word 0x00800001 ! 374: BN bn <label_0x1>
7029 .word 0xdbe7c600 ! 375: CASA_I casa [%r31] 0x30, %r0, %r13
7030 .word 0xda9fc6c0 ! 376: LDDA_R ldda [%r31, %r0] 0x36, %r13
7031 .word 0xe19fdb20 ! 377: LDDFA_R ldda [%r31, %r0], %f16
7032 .word 0xda1fe0f0 ! 377: LDD_I ldd [%r31 + 0x00f0], %r13
7033 nop
7034 nop
7035 set 0x1ef094df, %r28
7036#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7037 sethi %hi(0x3800), %r27
7038 andn %r28, %r27, %r28
7039#ifdef PORTABLE_CORE
7040 ! Add CID to vector
7041 ta T_CHANGE_HPRIV
7042 ldxa [%g0]0x63, %r27
7043 sllx %r27, 8, %r27
7044 or %r27, %r28, %r28
7045#endif
7046#endif
7047 stxa %r28, [%g0] 0x73
7048intvec_st_20_180:
7049 .word 0x81b7c7c0 ! 378: PDIST pdistn %d62, %d0, %d0
7050 .word 0xa1b7c7c0 ! 379: PDIST pdistn %d62, %d0, %d16
7051 .word 0x9ba4c9d3 ! 380: FDIVd fdivd %f50, %f50, %f44
7052 .word 0xe1bfda60 ! 381: STDFA_R stda %f16, [%r0, %r31]
7053 .word 0xa7a4c9ca ! 381: FDIVd fdivd %f50, %f10, %f50
7054 .word 0xc09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r0
7055 .word 0xc19fdc00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
7056 .word 0xe19fde20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
7057 .word 0xc09fde00 ! 1: LDDA_R ldda [%r31, %r0] 0xf0, %r0
7058 .word 0xe19fdc40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
7059 .word 0xe0bfde00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf0
7060 .word 0xe09fdf20 ! 1: LDDA_R ldda [%r31, %r0] 0xf9, %r16
7061 .word 0xe09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r16
7062 .word 0xc09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r0
7063 .word 0xc19fda60 ! 1: LDDFA_R ldda [%r31, %r0], %f0
7064 .word 0xe09fda00 ! 1: LDDA_R ldda [%r31, %r0] 0xd0, %r16
7065memptr_20_182:
7066 set 0x60340000, %r31
7067 nop
7068 nop
7069 mov 59, %g1
7070loopb_20_183:
7071 ldstub [%r31+0x70], %r2
7072 brnz,a %r1, loopb_20_183
7073 dec %r1
7074 .word 0xe1bfde20 ! 382: STDFA_R stda %f16, [%r0, %r31]
7075 .word 0x8584ee05 ! 383: WRCCR_I wr %r19, 0x0e05, %ccr
7076 .word 0xc19fdc40 ! 384: LDDFA_R ldda [%r31, %r0], %f0
7077 .word 0xc19fdb20 ! 385: LDDFA_R ldda [%r31, %r0], %f0
7078 .word 0x85823d17 ! 385: WRCCR_I wr %r8, 0x1d17, %ccr
7079 .word 0xa1b7c7c0 ! 386: PDIST pdistn %d62, %d0, %d16
7080 .word 0xe19fdf00 ! 387: LDDFA_R ldda [%r31, %r0], %f16
7081 .word 0xe19fdd40 ! 388: LDDFA_R ldda [%r31, %r0], %f16
7082 .word 0xc1bfdd40 ! 389: STDFA_R stda %f0, [%r0, %r31]
7083 .word 0xc19fdf00 ! 389: LDDFA_R ldda [%r31, %r0], %f0
7084 .word 0xe61fe090 ! 390: LDD_I ldd [%r31 + 0x0090], %r19
7085 .word 0xe19fda00 ! 391: LDDFA_R ldda [%r31, %r0], %f16
7086 .word 0xe6dfc2e0 ! 392: LDXA_R ldxa [%r31, %r0] 0x17, %r19
7087 .word 0xe6dfdd40 ! 393: LDXA_R ldxa [%r31, %r0] 0xea, %r19
7088 .word 0xe697c240 ! 393: LDUHA_R lduha [%r31, %r0] 0x12, %r19
7089 nop
7090 nop
7091 set 0x1ef094df, %r28
7092#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7093 sethi %hi(0x3800), %r27
7094 andn %r28, %r27, %r28
7095#ifdef PORTABLE_CORE
7096 ! Add CID to vector
7097 ta T_CHANGE_HPRIV
7098 ldxa [%g0]0x63, %r27
7099 sllx %r27, 8, %r27
7100 or %r27, %r28, %r28
7101#endif
7102#endif
7103 stxa %r28, [%g0] 0x73
7104 .word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
7105intvec_st_20_186:
7106memptr_20_187:
7107 set 0x60340000, %r31
7108 .word 0xa1a249cc ! 394: FDIVd fdivd %f40, %f12, %f16
7109 .word 0x85853f44 ! 395: WRCCR_I wr %r20, 0x1f44, %ccr
7110 .word 0xe19fde00 ! 396: LDDFA_R ldda [%r31, %r0], %f16
7111 .word 0xa9a509d1 ! 397: FDIVd fdivd %f20, %f48, %f20
7112 .word 0x8583750a ! 397: WRCCR_I wr %r13, 0x150a, %ccr
7113 nop
7114 nop
7115 mov 23, %g1
7116loopb_20_188:
7117 ldstub [%r31+48], %r2
7118 brnz,a %r1, loopb_20_188
7119 dec %r1
7120 .word 0xc19fda00 ! 398: LDDFA_R ldda [%r31, %r0], %f0
7121 .word 0xe1bfda60 ! 399: STDFA_R stda %f16, [%r0, %r31]
7122 .word 0xe19fdc00 ! 400: LDDFA_R ldda [%r31, %r0], %f16
7123 .word 0xc1bfdb20 ! 401: STDFA_R stda %f0, [%r0, %r31]
7124 .word 0xe19fda00 ! 401: LDDFA_R ldda [%r31, %r0], %f16
7125 nop
7126 nop
7127 mov 59, %g1
7128loopz_20_190:
7129 ldstub [%r31+0xa0], %r2
7130 brnz,a %r1, loopz_20_190
7131 dec %r1
7132 .word 0xe81fe010 ! 402: LDD_I ldd [%r31 + 0x0010], %r20
7133 .word 0xc1bfde00 ! 403: STDFA_R stda %f0, [%r0, %r31]
7134 .word 0x20800001 ! 404: BN bn,a <label_0x1>
7135 .word 0xe81fc000 ! 405: LDD_R ldd [%r31 + %r0], %r20
7136 .word 0xc1bfc2c0 ! 405: STDFA_R stda %f0, [%r0, %r31]
7137 nop
7138 nop
7139 mov 7, %g1
7140loopz_20_192:
7141 ldstub [%r31+0x190], %r2
7142 brnz,a %r1, loopz_20_192
7143 dec %r1
7144 .word 0xe9e7e000 ! 406: CASA_R casa [%r31] %asi, %r0, %r20
7145 .word 0xe19fdb20 ! 407: LDDFA_R ldda [%r31, %r0], %f16
7146 .word 0x20800001 ! 408: BN bn,a <label_0x1>
7147 .word 0xe91fe0b0 ! 409: LDDF_I ldd [%r31, 0x00b0], %f20
7148 .word 0x20800001 ! 409: BN bn,a <label_0x1>
7149 nop
7150 nop
7151 rd %tick, %r28
7152#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7153 sethi %hi(0x3800), %r27
7154 andn %r28, %r27, %r28
7155#ifdef PORTABLE_CORE
7156 ! Add CID to vector
7157 ta T_CHANGE_HPRIV
7158 ldxa [%g0]0x63, %r27
7159 sllx %r27, 8, %r27
7160 or %r27, %r28, %r28
7161#endif
7162#endif
7163 sethi %hi(0x30000), %r27
7164 andn %r28, %r27, %r28
7165 ta T_CHANGE_HPRIV
7166 stxa %r28, [%g0] 0x73
7167 .word 0x91b344d0 ! 1: FCMPNE32 fcmpne32 %d44, %d16, %r8
7168intvec_st_20_193:
7169 .word 0xa1b7c7c0 ! 410: PDIST pdistn %d62, %d0, %d16
7170 .word 0x87afca40 ! 411: FCMPd fcmpd %fcc<n>, %f62, %f0
7171 .word 0x39400001 ! 412: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
7172 .word 0xe1bfde00 ! 413: STDFA_R stda %f16, [%r0, %r31]
7173 .word 0x24cfc001 ! 413: BRLEZ brlez,a,pt %r31,<label_0xfc001>
7174 nop
7175 nop
7176 rd %tick, %r28
7177#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7178 sethi %hi(0x3800), %r27
7179 andn %r28, %r27, %r28
7180#ifdef PORTABLE_CORE
7181 ! Add CID to vector
7182 ta T_CHANGE_HPRIV
7183 ldxa [%g0]0x63, %r27
7184 sllx %r27, 8, %r27
7185 or %r27, %r28, %r28
7186#endif
7187 wrhpr %g0, 0x5db, %hpstate ! ta T_CHANGE_NONHPRIV
7188#endif
7189 sethi %hi(0x30000), %r27
7190 andn %r28, %r27, %r28
7191 ta T_CHANGE_HPRIV
7192 stxa %r28, [%g0] 0x73
7193 .word 0x39400002 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
7194intvec_st_20_195:
7195memptr_20_196:
7196 set 0x60140000, %r31
7197 nop
7198 nop
7199 rd %tick, %r28
7200#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7201 sethi %hi(0x3800), %r27
7202 andn %r28, %r27, %r28
7203#ifdef PORTABLE_CORE
7204 ! Add CID to vector
7205 ta T_CHANGE_HPRIV
7206 ldxa [%g0]0x63, %r27
7207 sllx %r27, 8, %r27
7208 or %r27, %r28, %r28
7209#endif
7210#endif
7211 sethi %hi(0x30000), %r27
7212 andn %r28, %r27, %r28
7213 ta T_CHANGE_HPRIV
7214 stxa %r28, [%g0] 0x73
7215 .word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
7216intvec_st_20_197:
7217 .word 0xa5a509d3 ! 414: FDIVd fdivd %f20, %f50, %f18
7218 .word 0x8584e21d ! 415: WRCCR_I wr %r19, 0x021d, %ccr
7219 .word 0xa7b4c4c1 ! 416: FCMPNE32 fcmpne32 %d50, %d32, %r19
7220 .word 0xa7a189d1 ! 417: FDIVd fdivd %f6, %f48, %f50
7221 .word 0x8584be68 ! 417: WRCCR_I wr %r18, 0x1e68, %ccr
7222memptr_20_199:
7223 set 0x60740000, %r31
7224 .word 0xe63fe140 ! 418: STD_I std %r19, [%r31 + 0x0140]
7225 .word 0x85842ddc ! 419: WRCCR_I wr %r16, 0x0ddc, %ccr
7226 .word 0xc32fe0e0 ! 420: STXFSR_I st-sfr %f1, [0x00e0, %r31]
7227 .word 0xe69fd000 ! 421: LDDA_R ldda [%r31, %r0] 0x80, %r19
7228 .word 0x8581e465 ! 421: WRCCR_I wr %r7, 0x0465, %ccr
7229 .word 0xe1bfde20 ! 1: STDFA_R stda %f16, [%r0, %r31]
7230 .word 0xe1bfc3e0 ! 1: STDFA_R stda %f16, [%r0, %r31]
7231 .word 0xc1bfdb40 ! 1: STDFA_R stda %f0, [%r0, %r31]
7232 .word 0xc0bfdb20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd9
7233 .word 0xe09fde20 ! 1: LDDA_R ldda [%r31, %r0] 0xf1, %r16
7234 .word 0xe0bfdf20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf9
7235 .word 0xe1bfc3e0 ! 1: STDFA_R stda %f16, [%r0, %r31]
7236 .word 0xe19fdf20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
7237 .word 0xe19fc3e0 ! 1: LDDFA_R ldda [%r31, %r0], %f16
7238 .word 0xc1bfdc00 ! 422: STDFA_R stda %f0, [%r0, %r31]
7239 .word 0x19400001 ! 423: FBPUGE fbuge
7240 .word 0xe71fe0a0 ! 424: LDDF_I ldd [%r31, 0x00a0], %f19
7241 .word 0xa1b7c7c0 ! 425: PDIST pdistn %d62, %d0, %d16
7242 .word 0x19400001 ! 425: FBPUGE fbuge
7243 nop
7244 nop
7245 set 0x6bb07fc2, %r28
7246#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7247 sethi %hi(0x3800), %r27
7248 andn %r28, %r27, %r28
7249#ifdef PORTABLE_CORE
7250 ! Add CID to vector
7251 ta T_CHANGE_HPRIV
7252 ldxa [%g0]0x63, %r27
7253 sllx %r27, 8, %r27
7254 or %r27, %r28, %r28
7255#endif
7256 wrhpr %g0, 0x1d0, %hpstate ! ta T_CHANGE_NONHPRIV
7257#endif
7258 stxa %r28, [%g0] 0x73
7259intvec_st_20_202:
7260 nop
7261 nop
7262 rd %tick, %r28
7263#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7264 sethi %hi(0x3800), %r27
7265 andn %r28, %r27, %r28
7266#ifdef PORTABLE_CORE
7267 ! Add CID to vector
7268 ta T_CHANGE_HPRIV
7269 ldxa [%g0]0x63, %r27
7270 sllx %r27, 8, %r27
7271 or %r27, %r28, %r28
7272#endif
7273#endif
7274 sethi %hi(0x30000), %r27
7275 andn %r28, %r27, %r28
7276 ta T_CHANGE_HPRIV
7277 stxa %r28, [%g0] 0x73
7278 .word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
7279intvec_st_20_203:
7280 .word 0xa9b0c4cb ! 426: FCMPNE32 fcmpne32 %d34, %d42, %r20
7281 .word 0xc19fdd40 ! 427: LDDFA_R ldda [%r31, %r0], %f0
7282 .word 0xa3a089d2 ! 428: FDIVd fdivd %f2, %f18, %f48
7283 .word 0xa5a449c4 ! 429: FDIVd fdivd %f48, %f4, %f18
7284 .word 0x39400001 ! 429: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
7285 nop
7286 nop
7287 set 0x6bb07fc2, %r28
7288#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7289 sethi %hi(0x3800), %r27
7290 andn %r28, %r27, %r28
7291#ifdef PORTABLE_CORE
7292 ! Add CID to vector
7293 ta T_CHANGE_HPRIV
7294 ldxa [%g0]0x63, %r27
7295 sllx %r27, 8, %r27
7296 or %r27, %r28, %r28
7297#endif
7298#endif
7299 stxa %r28, [%g0] 0x73
7300 .word 0xa1a309d2 ! 1: FDIVd fdivd %f12, %f18, %f16
7301intvec_st_20_204:
7302memptr_20_205:
7303 set 0x60540000, %r31
7304 .word 0xa3b1c4c6 ! 430: FCMPNE32 fcmpne32 %d38, %d6, %r17
7305 .word 0x8580e55b ! 431: WRCCR_I wr %r3, 0x055b, %ccr
7306 .word 0xe19fda60 ! 432: LDDFA_R ldda [%r31, %r0], %f16
7307 .word 0xa7a349d2 ! 433: FDIVd fdivd %f44, %f18, %f50
7308 .word 0x8580f851 ! 433: WRCCR_I wr %r3, 0x1851, %ccr
7309memptr_20_207:
7310 set user_data_start, %r31
7311 .word 0x20800001 ! 434: BN bn,a <label_0x1>
7312 .word 0x85837fca ! 435: WRCCR_I wr %r13, 0x1fca, %ccr
7313 .word 0xe1bfdc40 ! 436: STDFA_R stda %f16, [%r0, %r31]
7314 .word 0x20800001 ! 437: BN bn,a <label_0x1>
7315 .word 0x8584ba6a ! 437: WRCCR_I wr %r18, 0x1a6a, %ccr
7316memptr_20_208:
7317 set 0x60540000, %r31
7318 .word 0x20800001 ! 438: BN bn,a <label_0x1>
7319 .word 0x85846a88 ! 439: WRCCR_I wr %r17, 0x0a88, %ccr
7320 .word 0x20800001 ! 440: BN bn,a <label_0x1>
7321 .word 0x20800001 ! 441: BN bn,a <label_0x1>
7322 .word 0x85822436 ! 441: WRCCR_I wr %r8, 0x0436, %ccr
7323 nop
7324 nop
7325 mov 11, %g1
7326loopz_20_209:
7327 ldstub [%r31+0x90], %r2
7328 brnz,a %r1, loopz_20_209
7329 dec %r1
7330 .word 0xa1b7c7c0 ! 442: PDIST pdistn %d62, %d0, %d16
7331 .word 0xe1bfc3e0 ! 443: STDFA_R stda %f16, [%r0, %r31]
7332 .word 0xe61fc000 ! 444: LDD_R ldd [%r31 + %r0], %r19
7333 .word 0x20800001 ! 445: BN bn,a <label_0x1>
7334 .word 0x81b7c7c0 ! 445: PDIST pdistn %d62, %d0, %d0
7335 nop
7336 nop
7337 rd %tick, %r28
7338#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7339 sethi %hi(0x3800), %r27
7340 andn %r28, %r27, %r28
7341#ifdef PORTABLE_CORE
7342 ! Add CID to vector
7343 ta T_CHANGE_HPRIV
7344 ldxa [%g0]0x63, %r27
7345 sllx %r27, 8, %r27
7346 or %r27, %r28, %r28
7347#endif
7348#endif
7349 sethi %hi(0x30000), %r27
7350 andn %r28, %r27, %r28
7351 ta T_CHANGE_HPRIV
7352 stxa %r28, [%g0] 0x73
7353intvec_st_20_211:
7354memptr_20_212:
7355 set 0x60340000, %r31
7356 nop
7357 nop
7358 rd %tick, %r28
7359#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7360 sethi %hi(0x3800), %r27
7361 andn %r28, %r27, %r28
7362#ifdef PORTABLE_CORE
7363 ! Add CID to vector
7364 ta T_CHANGE_HPRIV
7365 ldxa [%g0]0x63, %r27
7366 sllx %r27, 8, %r27
7367 or %r27, %r28, %r28
7368#endif
7369 wrhpr %g0, 0x48a, %hpstate ! ta T_CHANGE_NONHPRIV
7370#endif
7371 sethi %hi(0x30000), %r27
7372 andn %r28, %r27, %r28
7373 ta T_CHANGE_HPRIV
7374 stxa %r28, [%g0] 0x73
7375 .word 0xa1b244c6 ! 1: FCMPNE32 fcmpne32 %d40, %d6, %r16
7376intvec_st_20_213:
7377 .word 0x39400002 ! 446: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
7378 .word 0x8582320b ! 447: WRCCR_I wr %r8, 0x120b, %ccr
7379 .word 0xa3b044d1 ! 448: FCMPNE32 fcmpne32 %d32, %d48, %r17
7380 .word 0x93a209d2 ! 449: FDIVd fdivd %f8, %f18, %f40
7381 .word 0x85842ffb ! 449: WRCCR_I wr %r16, 0x0ffb, %ccr
7382 nop
7383 nop
7384 mov 11, %g1
7385loopb_20_214:
7386 ldstub [%r31+0x1d0], %r2
7387 brnz,a %r1, loopb_20_214
7388 dec %r1
7389 .word 0xe1bfdf00 ! 450: STDFA_R stda %f16, [%r0, %r31]
7390 .word 0xd3e7c2c0 ! 451: CASA_I casa [%r31] 0x16, %r0, %r9
7391 .word 0xd31fe090 ! 452: LDDF_I ldd [%r31, 0x0090], %f9
7392 .word 0xc1bfda60 ! 453: STDFA_R stda %f0, [%r0, %r31]
7393 .word 0xf16fe130 ! 453: PREFETCH_I prefetch [%r31 + 0x0130], #24
7394memptr_20_216:
7395 set user_data_start, %r31
7396 nop
7397 nop
7398 mov 19, %g1
7399loopz_20_217:
7400 ldstub [%r31+16], %r2
7401 brnz,a %r1, loopz_20_217
7402 dec %r1
7403 .word 0xd23fe090 ! 454: STD_I std %r9, [%r31 + 0x0090]
7404 .word 0x858377ab ! 455: WRCCR_I wr %r13, 0x17ab, %ccr
7405 .word 0xd21fc000 ! 456: LDD_R ldd [%r31 + %r0], %r9
7406 .word 0xc32fe0f0 ! 457: STXFSR_I st-sfr %f1, [0x00f0, %r31]
7407 .word 0x8584300f ! 457: WRCCR_I wr %r16, 0x100f, %ccr
7408 .word 0xe19fde20 ! 458: LDDFA_R ldda [%r31, %r0], %f16
7409 .word 0x00800001 ! 459: BN bn <label_0x1>
7410 .word 0xd29fdf00 ! 460: LDDA_R ldda [%r31, %r0] 0xf8, %r9
7411 .word 0x00800001 ! 461: BN bn <label_0x1>
7412 .word 0xd21fe180 ! 461: LDD_I ldd [%r31 + 0x0180], %r9
7413 .word 0xe19fdc00 ! 462: LDDFA_R ldda [%r31, %r0], %f16
7414 .word 0xe19fdc00 ! 463: LDDFA_R ldda [%r31, %r0], %f16
7415 .word 0xe1bfdb40 ! 464: STDFA_R stda %f16, [%r0, %r31]
7416 .word 0xe1bfde00 ! 465: STDFA_R stda %f16, [%r0, %r31]
7417 .word 0xc1bfc3e0 ! 465: STDFA_R stda %f0, [%r0, %r31]
7418 nop
7419 nop
7420 mov 43, %g1
7421loopz_20_220:
7422 ldstub [%r31+0x70], %r2
7423 brnz,a %r1, loopz_20_220
7424 dec %r1
7425 nop
7426 nop
7427 rd %tick, %r28
7428#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7429 sethi %hi(0x3800), %r27
7430 andn %r28, %r27, %r28
7431#ifdef PORTABLE_CORE
7432 ! Add CID to vector
7433 ta T_CHANGE_HPRIV
7434 ldxa [%g0]0x63, %r27
7435 sllx %r27, 8, %r27
7436 or %r27, %r28, %r28
7437#endif
7438 wrhpr %g0, 0xa18, %hpstate ! ta T_CHANGE_NONHPRIV
7439#endif
7440 sethi %hi(0x30000), %r27
7441 andn %r28, %r27, %r28
7442 ta T_CHANGE_HPRIV
7443 stxa %r28, [%g0] 0x73
7444 .word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
7445intvec_st_20_221:
7446 .word 0xe1e7e000 ! 466: CASA_R casa [%r31] %asi, %r0, %r16
7447 .word 0xc1bfdb40 ! 467: STDFA_R stda %f0, [%r0, %r31]
7448 .word 0x19400001 ! 468: FBPUGE fbuge
7449 .word 0xe01fc000 ! 469: LDD_R ldd [%r31 + %r0], %r16
7450 .word 0x00800001 ! 469: BN bn <label_0x1>
7451 .word 0xe19fdf20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
7452 .word 0xe1bfda00 ! 1: STDFA_R stda %f16, [%r0, %r31]
7453 .word 0xc0bfdf00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf8
7454 .word 0xc0bfdc40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xe2
7455 .word 0xe19fdc00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
7456 .word 0xe19fdb40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
7457 .word 0xc0bfdc40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xe2
7458 nop
7459 nop
7460 rd %tick, %r28
7461#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7462 sethi %hi(0x3800), %r27
7463 andn %r28, %r27, %r28
7464#ifdef PORTABLE_CORE
7465 ! Add CID to vector
7466 ta T_CHANGE_HPRIV
7467 ldxa [%g0]0x63, %r27
7468 sllx %r27, 8, %r27
7469 or %r27, %r28, %r28
7470#endif
7471#endif
7472 sethi %hi(0x30000), %r27
7473 andn %r28, %r27, %r28
7474 ta T_CHANGE_HPRIV
7475 stxa %r28, [%g0] 0x73
7476intvec_st_20_223:
7477memptr_20_224:
7478 set 0x60140000, %r31
7479 .word 0x39400002 ! 470: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
7480 .word 0x8582688f ! 471: WRCCR_I wr %r9, 0x088f, %ccr
7481 .word 0x20800002 ! 472: BN bn,a <label_0x2>
7482 .word 0x19400001 ! 473: FBPUGE fbuge
7483 .word 0x00800001 ! 473: BN bn <label_0x1>
7484 nop
7485 nop
7486 mov 19, %g1
7487loopb_20_225:
7488 ldstub [%r31+0x1f0], %r2
7489 brnz,a %r1, loopb_20_225
7490 dec %r1
7491 .word 0xe1e7d040 ! 474: CASA_I casa [%r31] 0x82, %r0, %r16
7492 .word 0x00800001 ! 475: BN bn <label_0x1>
7493 .word 0xe1bfdf20 ! 476: STDFA_R stda %f16, [%r0, %r31]
7494 .word 0xe01fe030 ! 477: LDD_I ldd [%r31 + 0x0030], %r16
7495 .word 0xe1bfdc40 ! 477: STDFA_R stda %f16, [%r0, %r31]
7496 nop
7497 nop
7498 mov 15, %g1
7499loopz_20_227:
7500 ldstub [%r31+0x1a0], %r2
7501 brnz,a %r1, loopz_20_227
7502 dec %r1
7503memptr_20_228:
7504 set user_data_start, %r31
7505 nop
7506 nop
7507 mov 3, %g1
7508loopb_20_229:
7509 ldstub [%r31+0x150], %r2
7510 brnz,a %r1, loopb_20_229
7511 dec %r1
7512 .word 0xc32fe070 ! 478: STXFSR_I st-sfr %f1, [0x0070, %r31]
7513 .word 0x85847525 ! 479: WRCCR_I wr %r17, 0x1525, %ccr
7514 .word 0xc1bfdf00 ! 480: STDFA_R stda %f0, [%r0, %r31]
7515 .word 0xe11fe070 ! 481: LDDF_I ldd [%r31, 0x0070], %f16
7516 .word 0xc19fdc40 ! 481: LDDFA_R ldda [%r31, %r0], %f0
7517 nop
7518 nop
7519 set 0x6bb07fc2, %r28
7520#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7521 sethi %hi(0x3800), %r27
7522 andn %r28, %r27, %r28
7523#ifdef PORTABLE_CORE
7524 ! Add CID to vector
7525 ta T_CHANGE_HPRIV
7526 ldxa [%g0]0x63, %r27
7527 sllx %r27, 8, %r27
7528 or %r27, %r28, %r28
7529#endif
7530 wrhpr %g0, 0x600, %hpstate ! ta T_CHANGE_NONHPRIV
7531#endif
7532 stxa %r28, [%g0] 0x73
7533intvec_st_20_230:
7534 nop
7535 nop
7536 mov 59, %g1
7537loopz_20_231:
7538 ldstub [%r31+0x1f0], %r2
7539 brnz,a %r1, loopz_20_231
7540 dec %r1
7541 .word 0xa1b184d2 ! 482: FCMPNE32 fcmpne32 %d6, %d18, %r16
7542 .word 0x81b7c7c0 ! 483: PDIST pdistn %d62, %d0, %d0
7543 .word 0xe01fe140 ! 484: LDD_I ldd [%r31 + 0x0140], %r16
7544 .word 0x99a4c9c7 ! 485: FDIVd fdivd %f50, %f38, %f12
7545 .word 0x00800001 ! 485: BN bn <label_0x1>
7546 nop
7547 nop
7548 rd %tick, %r28
7549#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7550 sethi %hi(0x3800), %r27
7551 andn %r28, %r27, %r28
7552#ifdef PORTABLE_CORE
7553 ! Add CID to vector
7554 ta T_CHANGE_HPRIV
7555 ldxa [%g0]0x63, %r27
7556 sllx %r27, 8, %r27
7557 or %r27, %r28, %r28
7558#endif
7559 wrhpr %g0, 0x289, %hpstate ! ta T_CHANGE_NONHPRIV
7560#endif
7561 sethi %hi(0x30000), %r27
7562 andn %r28, %r27, %r28
7563 ta T_CHANGE_HPRIV
7564 stxa %r28, [%g0] 0x73
7565intvec_st_20_233:
7566memptr_20_234:
7567 set user_data_start, %r31
7568 .word 0xa1a509ca ! 486: FDIVd fdivd %f20, %f10, %f16
7569 .word 0x8584e1fc ! 487: WRCCR_I wr %r19, 0x01fc, %ccr
7570 .word 0xe1e7c080 ! 488: CASA_I casa [%r31] 0x 4, %r0, %r16
7571 .word 0xa1a209d3 ! 489: FDIVd fdivd %f8, %f50, %f16
7572 .word 0x8582af83 ! 489: WRCCR_I wr %r10, 0x0f83, %ccr
7573 nop
7574 nop
7575 set 0x8f8025f8, %r28
7576#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7577 sethi %hi(0x3800), %r27
7578 andn %r28, %r27, %r28
7579#ifdef PORTABLE_CORE
7580 ! Add CID to vector
7581 ta T_CHANGE_HPRIV
7582 ldxa [%g0]0x63, %r27
7583 sllx %r27, 8, %r27
7584 or %r27, %r28, %r28
7585#endif
7586#endif
7587 stxa %r28, [%g0] 0x73
7588intvec_st_20_236:
7589 .word 0xd6dfc200 ! 490: LDXA_R ldxa [%r31, %r0] 0x10, %r11
7590 .word 0x04cfc001 ! 491: BRLEZ brlez,pt %r31,<label_0xfc001>
7591 .word 0x95b484d2 ! 492: FCMPNE32 fcmpne32 %d18, %d18, %r10
7592 .word 0xd4dfd100 ! 493: LDXA_R ldxa [%r31, %r0] 0x88, %r10
7593 .word 0xd43fe0c0 ! 493: STD_I std %r10, [%r31 + 0x00c0]
7594 nop
7595 nop
7596 set 0x8f8025f8, %r28
7597#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7598 sethi %hi(0x3800), %r27
7599 andn %r28, %r27, %r28
7600#ifdef PORTABLE_CORE
7601 ! Add CID to vector
7602 ta T_CHANGE_HPRIV
7603 ldxa [%g0]0x63, %r27
7604 sllx %r27, 8, %r27
7605 or %r27, %r28, %r28
7606#endif
7607#endif
7608 stxa %r28, [%g0] 0x73
7609 .word 0x97b504d2 ! 1: FCMPNE32 fcmpne32 %d20, %d18, %r11
7610intvec_st_20_238:
7611 .word 0x81b7c7c0 ! 494: PDIST pdistn %d62, %d0, %d0
7612 .word 0xe19fc2c0 ! 495: LDDFA_R ldda [%r31, %r0], %f16
7613 .word 0x99a089c8 ! 496: FDIVd fdivd %f2, %f8, %f12
7614 .word 0xe19fdc00 ! 497: LDDFA_R ldda [%r31, %r0], %f16
7615 .word 0xc19fdb40 ! 497: LDDFA_R ldda [%r31, %r0], %f0
7616 .word 0xf1efe000 ! 498: PREFETCHA_I prefetcha [%r31, + 0x0000] %asi, #24
7617 .word 0x00800001 ! 499: BN bn <label_0x1>
7618 .word 0xd897d060 ! 500: LDUHA_R lduha [%r31, %r0] 0x83, %r12
7619 .word 0xd83fe1b0 ! 501: STD_I std %r12, [%r31 + 0x01b0]
7620 .word 0xd91fe0d0 ! 501: LDDF_I ldd [%r31, 0x00d0], %f12
7621 .word 0x87802036 ! 1: WRASI_I wr %r0, 0x0036, %asi
7622 nop
7623 nop
7624 mov 27, %g1
7625loopb_20_241:
7626 ldstub [%r31+0x1a0], %r2
7627 brnz,a %r1, loopb_20_241
7628 dec %r1
7629memptr_20_242:
7630 set 0x60740000, %r31
7631 .word 0xc19fdd40 ! 502: LDDFA_R ldda [%r31, %r0], %f0
7632 .word 0x8584e195 ! 503: WRCCR_I wr %r19, 0x0195, %ccr
7633 .word 0xd8dfc280 ! 504: LDXA_R ldxa [%r31, %r0] 0x14, %r12
7634 .word 0xc1bfda60 ! 505: STDFA_R stda %f0, [%r0, %r31]
7635 .word 0xd9e7c200 ! 505: CASA_I casa [%r31] 0x10, %r0, %r12
7636 nop
7637 nop
7638 mov 31, %g1
7639loopb_20_244:
7640 ldstub [%r31+0x140], %r2
7641 brnz,a %r1, loopb_20_244
7642 dec %r1
7643 .word 0xe1bfde00 ! 506: STDFA_R stda %f16, [%r0, %r31]
7644 .word 0xe1bfde00 ! 507: STDFA_R stda %f16, [%r0, %r31]
7645 .word 0xd93fe0d0 ! 508: STDF_I std %f12, [0x00d0, %r31]
7646 .word 0xc1bfdc00 ! 509: STDFA_R stda %f0, [%r0, %r31]
7647 .word 0xc1bfdb20 ! 509: STDFA_R stda %f0, [%r0, %r31]
7648 nop
7649 nop
7650 set 0x8f8025f8, %r28
7651#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7652 sethi %hi(0x3800), %r27
7653 andn %r28, %r27, %r28
7654#ifdef PORTABLE_CORE
7655 ! Add CID to vector
7656 ta T_CHANGE_HPRIV
7657 ldxa [%g0]0x63, %r27
7658 sllx %r27, 8, %r27
7659 or %r27, %r28, %r28
7660#endif
7661 wrhpr %g0, 0x582, %hpstate ! ta T_CHANGE_NONHPRIV
7662#endif
7663 stxa %r28, [%g0] 0x73
7664 .word 0x19400001 ! 1: FBPUGE fbuge
7665intvec_st_20_246:
7666 .word 0xa5a509d3 ! 510: FDIVd fdivd %f20, %f50, %f18
7667 .word 0x87afca40 ! 511: FCMPd fcmpd %fcc<n>, %f62, %f0
7668 .word 0xe53fe1a0 ! 512: STDF_I std %f18, [0x01a0, %r31]
7669 .word 0x39400001 ! 513: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
7670 .word 0xe4dfc180 ! 513: LDXA_R ldxa [%r31, %r0] 0x0c, %r18
7671 nop
7672 nop
7673 mov 59, %g1
7674loopb_20_248:
7675 ldstub [%r31+0x1a0], %r2
7676 brnz,a %r1, loopb_20_248
7677 dec %r1
7678 nop
7679 nop
7680 mov 39, %g1
7681loopb_20_249:
7682 ldstub [%r31+0xb0], %r2
7683 brnz,a %r1, loopb_20_249
7684 dec %r1
7685 .word 0xc1bfde00 ! 514: STDFA_R stda %f0, [%r0, %r31]
7686 .word 0xe43fe060 ! 515: STD_I std %r18, [%r31 + 0x0060]
7687 .word 0xe19fdc40 ! 516: LDDFA_R ldda [%r31, %r0], %f16
7688 .word 0xc1bfdb20 ! 517: STDFA_R stda %f0, [%r0, %r31]
7689 .word 0xe1bfde00 ! 517: STDFA_R stda %f16, [%r0, %r31]
7690 nop
7691 nop
7692 mov 23, %g1
7693loopb_20_250:
7694 ldstub [%r31+0xc0], %r2
7695 brnz,a %r1, loopb_20_250
7696 dec %r1
7697 .word 0xc19fdd40 ! 518: LDDFA_R ldda [%r31, %r0], %f0
7698 .word 0xe5e7c720 ! 519: CASA_I casa [%r31] 0x39, %r0, %r18
7699 .word 0x20800001 ! 520: BN bn,a <label_0x1>
7700 .word 0xc1bfde20 ! 521: STDFA_R stda %f0, [%r0, %r31]
7701 .word 0x20800001 ! 521: BN bn,a <label_0x1>
7702memptr_20_252:
7703 set user_data_start, %r31
7704 .word 0xe43fe0e0 ! 522: STD_I std %r18, [%r31 + 0x00e0]
7705 .word 0x858426af ! 523: WRCCR_I wr %r16, 0x06af, %ccr
7706 .word 0xa1b7c7c0 ! 524: PDIST pdistn %d62, %d0, %d16
7707 .word 0xe41fe150 ! 525: LDD_I ldd [%r31 + 0x0150], %r18
7708 .word 0xa1b7c7c0 ! 525: PDIST pdistn %d62, %d0, %d16
7709 nop
7710 nop
7711 mov 3, %g1
7712loopz_20_254:
7713 ldstub [%r31+0xc0], %r2
7714 brnz,a %r1, loopz_20_254
7715 dec %r1
7716 nop
7717 nop
7718 mov 35, %g1
7719loopb_20_255:
7720 ldstub [%r31+0x130], %r2
7721 brnz,a %r1, loopb_20_255
7722 dec %r1
7723 .word 0xe41fc000 ! 526: LDD_R ldd [%r31 + %r0], %r18
7724 .word 0xe19fdb20 ! 527: LDDFA_R ldda [%r31, %r0], %f16
7725 .word 0xe1bfda00 ! 528: STDFA_R stda %f16, [%r0, %r31]
7726 .word 0xe41fc000 ! 529: LDD_R ldd [%r31 + %r0], %r18
7727 .word 0xc19fdb40 ! 529: LDDFA_R ldda [%r31, %r0], %f0
7728memptr_20_257:
7729 set 0x60740000, %r31
7730 nop
7731 nop
7732 set 0x8f8025f8, %r28
7733#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7734 sethi %hi(0x3800), %r27
7735 andn %r28, %r27, %r28
7736#ifdef PORTABLE_CORE
7737 ! Add CID to vector
7738 ta T_CHANGE_HPRIV
7739 ldxa [%g0]0x63, %r27
7740 sllx %r27, 8, %r27
7741 or %r27, %r28, %r28
7742#endif
7743 wrhpr %g0, 0xa10, %hpstate ! ta T_CHANGE_NONHPRIV
7744#endif
7745 stxa %r28, [%g0] 0x73
7746intvec_st_20_258:
7747 .word 0xa1b7c7c0 ! 530: PDIST pdistn %d62, %d0, %d16
7748 .word 0x8582a19a ! 531: WRCCR_I wr %r10, 0x019a, %ccr
7749 .word 0x93b504c1 ! 532: FCMPNE32 fcmpne32 %d20, %d32, %r9
7750 .word 0xc1bfdf20 ! 533: STDFA_R stda %f0, [%r0, %r31]
7751 .word 0x85817092 ! 533: WRCCR_I wr %r5, 0x1092, %ccr
7752 nop
7753 nop
7754 set 0x90e0ec1b, %r28
7755#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7756 sethi %hi(0x3800), %r27
7757 andn %r28, %r27, %r28
7758#ifdef PORTABLE_CORE
7759 ! Add CID to vector
7760 ta T_CHANGE_HPRIV
7761 ldxa [%g0]0x63, %r27
7762 sllx %r27, 8, %r27
7763 or %r27, %r28, %r28
7764#endif
7765 wrhpr %g0, 0x253, %hpstate ! ta T_CHANGE_NONHPRIV
7766#endif
7767 stxa %r28, [%g0] 0x73
7768 .word 0x39400002 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
7769intvec_st_20_260:
7770 .word 0xd73fe100 ! 534: STDF_I std %f11, [0x0100, %r31]
7771 .word 0xa1b7c7c0 ! 535: PDIST pdistn %d62, %d0, %d16
7772 .word 0x19400001 ! 536: FBPUGE fbuge
7773 .word 0xd61fe0f0 ! 537: LDD_I ldd [%r31 + 0x00f0], %r11
7774 .word 0xa1b7c7c0 ! 537: PDIST pdistn %d62, %d0, %d16
7775 .word 0xc19fda00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
7776 .word 0xc1bfdf00 ! 1: STDFA_R stda %f0, [%r0, %r31]
7777 .word 0xe0bfdf20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf9
7778 .word 0xe0bfde00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf0
7779 .word 0xc09fda00 ! 1: LDDA_R ldda [%r31, %r0] 0xd0, %r0
7780 .word 0xc09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r0
7781 nop
7782 nop
7783 set 0x90e0ec1b, %r28
7784#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7785 sethi %hi(0x3800), %r27
7786 andn %r28, %r27, %r28
7787#ifdef PORTABLE_CORE
7788 ! Add CID to vector
7789 ta T_CHANGE_HPRIV
7790 ldxa [%g0]0x63, %r27
7791 sllx %r27, 8, %r27
7792 or %r27, %r28, %r28
7793#endif
7794 wrhpr %g0, 0x3, %hpstate ! ta T_CHANGE_NONHPRIV
7795#endif
7796 stxa %r28, [%g0] 0x73
7797 .word 0x39400002 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
7798intvec_st_20_262:
7799 .word 0xd71fe1f0 ! 538: LDDF_I ldd [%r31, 0x01f0], %f11
7800 .word 0x20800001 ! 539: BN bn,a <label_0x1>
7801 .word 0x19400002 ! 540: FBPUGE fbuge
7802 .word 0xd71fe0e0 ! 541: LDDF_I ldd [%r31, 0x00e0], %f11
7803 .word 0xe1bfde00 ! 541: STDFA_R stda %f16, [%r0, %r31]
7804 .word 0xd09fd040 ! 542: LDDA_R ldda [%r31, %r0] 0x82, %r8
7805 .word 0x91a7c9c0 ! 543: FDIVd fdivd %f62, %f0, %f8
7806 .word 0xd13fe1b0 ! 544: STDF_I std %f8, [0x01b0, %r31]
7807 .word 0xd097d000 ! 545: LDUHA_R lduha [%r31, %r0] 0x80, %r8
7808 .word 0xd09fc240 ! 545: LDDA_R ldda [%r31, %r0] 0x12, %r8
7809 nop
7810 nop
7811 mov 15, %g1
7812loopb_20_265:
7813 ldstub [%r31+0x120], %r2
7814 brnz,a %r1, loopb_20_265
7815 dec %r1
7816 nop
7817 nop
7818 mov 15, %g1
7819loopz_20_266:
7820 ldstub [%r31+0x50], %r2
7821 brnz,a %r1, loopz_20_266
7822 dec %r1
7823 .word 0xc19fdf20 ! 546: LDDFA_R ldda [%r31, %r0], %f0
7824 .word 0x91a7c9c0 ! 547: FDIVd fdivd %f62, %f0, %f8
7825 .word 0xd1e7e000 ! 548: CASA_R casa [%r31] %asi, %r0, %r8
7826 .word 0xe19fdf00 ! 549: LDDFA_R ldda [%r31, %r0], %f16
7827 .word 0xd1e7c400 ! 549: CASA_I casa [%r31] 0x20, %r0, %r8
7828 nop
7829 nop
7830 mov 11, %g1
7831loopz_20_268:
7832 ldstub [%r31+0x40], %r2
7833 brnz,a %r1, loopz_20_268
7834 dec %r1
7835memptr_20_269:
7836 set 0x60540000, %r31
7837 .word 0xd01fe090 ! 550: LDD_I ldd [%r31 + 0x0090], %r8
7838 .word 0x8584e823 ! 551: WRCCR_I wr %r19, 0x0823, %ccr
7839 .word 0x00800001 ! 552: BN bn <label_0x1>
7840 .word 0xd11fe090 ! 553: LDDF_I ldd [%r31, 0x0090], %f8
7841 .word 0xe19fdc40 ! 553: LDDFA_R ldda [%r31, %r0], %f16
7842memptr_20_270:
7843 set user_data_start, %r31
7844 nop
7845 nop
7846 mov 3, %g1
7847loopz_20_271:
7848 ldstub [%r31+0x50], %r2
7849 brnz,a %r1, loopz_20_271
7850 dec %r1
7851 .word 0x81b7c7c0 ! 554: PDIST pdistn %d62, %d0, %d0
7852 .word 0x8580a570 ! 555: WRCCR_I wr %r2, 0x0570, %ccr
7853 .word 0xd01fc000 ! 556: LDD_R ldd [%r31 + %r0], %r8
7854 .word 0xe19fda60 ! 557: LDDFA_R ldda [%r31, %r0], %f16
7855 .word 0xd11fe190 ! 557: LDDF_I ldd [%r31, 0x0190], %f8
7856 nop
7857 nop
7858 rd %tick, %r28
7859#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7860 sethi %hi(0x3800), %r27
7861 andn %r28, %r27, %r28
7862#ifdef PORTABLE_CORE
7863 ! Add CID to vector
7864 ta T_CHANGE_HPRIV
7865 ldxa [%g0]0x63, %r27
7866 sllx %r27, 8, %r27
7867 or %r27, %r28, %r28
7868#endif
7869 wrhpr %g0, 0xa12, %hpstate ! ta T_CHANGE_NONHPRIV
7870#endif
7871 sethi %hi(0x30000), %r27
7872 andn %r28, %r27, %r28
7873 ta T_CHANGE_HPRIV
7874 stxa %r28, [%g0] 0x73
7875 .word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
7876intvec_st_20_273:
7877 nop
7878 nop
7879 set 0xffb07b28, %r28
7880#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7881 sethi %hi(0x3800), %r27
7882 andn %r28, %r27, %r28
7883#ifdef PORTABLE_CORE
7884 ! Add CID to vector
7885 ta T_CHANGE_HPRIV
7886 ldxa [%g0]0x63, %r27
7887 sllx %r27, 8, %r27
7888 or %r27, %r28, %r28
7889#endif
7890 wrhpr %g0, 0xb81, %hpstate ! ta T_CHANGE_NONHPRIV
7891#endif
7892 stxa %r28, [%g0] 0x73
7893 .word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
7894intvec_st_20_274:
7895 .word 0xa3a189d1 ! 558: FDIVd fdivd %f6, %f48, %f48
7896 .word 0x39400001 ! 559: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
7897 .word 0x9bb2c4c4 ! 560: FCMPNE32 fcmpne32 %d42, %d4, %r13
7898 .word 0x91b444d4 ! 561: FCMPNE32 fcmpne32 %d48, %d20, %r8
7899 .word 0x87afca40 ! 561: FCMPd fcmpd %fcc<n>, %f62, %f0
7900 nop
7901 nop
7902 mov 55, %g1
7903loopb_20_276:
7904 ldstub [%r31+0xb0], %r2
7905 brnz,a %r1, loopb_20_276
7906 dec %r1
7907 nop
7908 nop
7909 mov 59, %g1
7910loopz_20_277:
7911 ldstub [%r31+0xf0], %r2
7912 brnz,a %r1, loopz_20_277
7913 dec %r1
7914 .word 0xc19fda60 ! 562: LDDFA_R ldda [%r31, %r0], %f0
7915 .word 0xd03fe030 ! 563: STD_I std %r8, [%r31 + 0x0030]
7916 .word 0xd11fe1f0 ! 564: LDDF_I ldd [%r31, 0x01f0], %f8
7917 .word 0xc1bfda60 ! 565: STDFA_R stda %f0, [%r0, %r31]
7918 .word 0x24cfc002 ! 565: BRLEZ brlez,a,pt %r31,<label_0xfc002>
7919 nop
7920 nop
7921 set 0xffb07b28, %r28
7922#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7923 sethi %hi(0x3800), %r27
7924 andn %r28, %r27, %r28
7925#ifdef PORTABLE_CORE
7926 ! Add CID to vector
7927 ta T_CHANGE_HPRIV
7928 ldxa [%g0]0x63, %r27
7929 sllx %r27, 8, %r27
7930 or %r27, %r28, %r28
7931#endif
7932 wrhpr %g0, 0x351, %hpstate ! ta T_CHANGE_NONHPRIV
7933#endif
7934 stxa %r28, [%g0] 0x73
7935 .word 0xa1b1c4d0 ! 1: FCMPNE32 fcmpne32 %d38, %d16, %r16
7936intvec_st_20_278:
7937 nop
7938 nop
7939 rd %tick, %r28
7940#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7941 sethi %hi(0x3800), %r27
7942 andn %r28, %r27, %r28
7943#ifdef PORTABLE_CORE
7944 ! Add CID to vector
7945 ta T_CHANGE_HPRIV
7946 ldxa [%g0]0x63, %r27
7947 sllx %r27, 8, %r27
7948 or %r27, %r28, %r28
7949#endif
7950 wrhpr %g0, 0x5d3, %hpstate ! ta T_CHANGE_NONHPRIV
7951#endif
7952 sethi %hi(0x30000), %r27
7953 andn %r28, %r27, %r28
7954 ta T_CHANGE_HPRIV
7955 stxa %r28, [%g0] 0x73
7956 .word 0xa3b184ca ! 1: FCMPNE32 fcmpne32 %d6, %d10, %r17
7957intvec_st_20_279:
7958 .word 0xa3a509c7 ! 566: FDIVd fdivd %f20, %f38, %f48
7959 .word 0xe3e7c2c0 ! 567: CASA_I casa [%r31] 0x16, %r0, %r17
7960 .word 0xa7a489c8 ! 568: FDIVd fdivd %f18, %f8, %f50
7961 .word 0x91a089c1 ! 569: FDIVd fdivd %f2, %f32, %f8
7962 .word 0x39400001 ! 569: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
7963 nop
7964 nop
7965 rd %tick, %r28
7966#if (defined PORTABLE_CORE || MAX_THREADS == 8)
7967 sethi %hi(0x3800), %r27
7968 andn %r28, %r27, %r28
7969#ifdef PORTABLE_CORE
7970 ! Add CID to vector
7971 ta T_CHANGE_HPRIV
7972 ldxa [%g0]0x63, %r27
7973 sllx %r27, 8, %r27
7974 or %r27, %r28, %r28
7975#endif
7976#endif
7977 sethi %hi(0x30000), %r27
7978 andn %r28, %r27, %r28
7979 ta T_CHANGE_HPRIV
7980 stxa %r28, [%g0] 0x73
7981 .word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
7982intvec_st_20_281:
7983 nop
7984 nop
7985 mov 15, %g1
7986loopz_20_282:
7987 ldstub [%r31+48], %r2
7988 brnz,a %r1, loopz_20_282
7989 dec %r1
7990 .word 0x19400001 ! 570: FBPUGE fbuge
7991 .word 0xe19fdb20 ! 571: LDDFA_R ldda [%r31, %r0], %f16
7992 .word 0xd1e7e000 ! 572: CASA_R casa [%r31] %asi, %r0, %r8
7993 .word 0x97a449c9 ! 573: FDIVd fdivd %f48, %f40, %f42
7994 .word 0xd61fc000 ! 573: LDD_R ldd [%r31 + %r0], %r11
7995 .word 0xe91fe0f0 ! 574: LDDF_I ldd [%r31, 0x00f0], %f20
7996 .word 0x20800002 ! 575: BN bn,a <label_0x2>
7997 .word 0xc19fde20 ! 576: LDDFA_R ldda [%r31, %r0], %f0
7998 .word 0xe89fc280 ! 577: LDDA_R ldda [%r31, %r0] 0x14, %r20
7999 .word 0xc1bfdf20 ! 577: STDFA_R stda %f0, [%r0, %r31]
8000 nop
8001 nop
8002 rd %tick, %r28
8003#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8004 sethi %hi(0x3800), %r27
8005 andn %r28, %r27, %r28
8006#ifdef PORTABLE_CORE
8007 ! Add CID to vector
8008 ta T_CHANGE_HPRIV
8009 ldxa [%g0]0x63, %r27
8010 sllx %r27, 8, %r27
8011 or %r27, %r28, %r28
8012#endif
8013#endif
8014 sethi %hi(0x30000), %r27
8015 andn %r28, %r27, %r28
8016 ta T_CHANGE_HPRIV
8017 stxa %r28, [%g0] 0x73
8018 .word 0xa1b444cc ! 1: FCMPNE32 fcmpne32 %d48, %d12, %r16
8019intvec_st_20_285:
8020 .word 0x93a509d0 ! 578: FDIVd fdivd %f20, %f16, %f40
8021 .word 0x24cfc001 ! 579: BRLEZ brlez,a,pt %r31,<label_0xfc001>
8022 .word 0x20800001 ! 580: BN bn,a <label_0x1>
8023 .word 0xa9a149d2 ! 581: FDIVd fdivd %f36, %f18, %f20
8024 .word 0x39400001 ! 581: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
8025memptr_20_286:
8026 set 0x60140000, %r31
8027 .word 0x81b7c7c0 ! 582: PDIST pdistn %d62, %d0, %d0
8028 .word 0x8584e55b ! 583: WRCCR_I wr %r19, 0x055b, %ccr
8029 .word 0xe9e7d040 ! 584: CASA_I casa [%r31] 0x82, %r0, %r20
8030 .word 0xa1b7c7c0 ! 585: PDIST pdistn %d62, %d0, %d16
8031 .word 0xe897c080 ! 585: LDUHA_R lduha [%r31, %r0] 0x04, %r20
8032 nop
8033 nop
8034 mov 23, %g1
8035loopb_20_287:
8036 ldstub [%r31+0xf0], %r2
8037 brnz,a %r1, loopb_20_287
8038 dec %r1
8039 .word 0xc1bfdb40 ! 586: STDFA_R stda %f0, [%r0, %r31]
8040 .word 0xa9a7c9c0 ! 587: FDIVd fdivd %f62, %f0, %f20
8041 .word 0xe9e7c2c0 ! 588: CASA_I casa [%r31] 0x16, %r0, %r20
8042 .word 0xc19fdd40 ! 589: LDDFA_R ldda [%r31, %r0], %f0
8043 .word 0xa9b7c4c0 ! 589: FCMPNE32 fcmpne32 %d62, %d0, %r20
8044 nop
8045 nop
8046 mov 23, %g1
8047loopz_20_289:
8048 ldstub [%r31+0x190], %r2
8049 brnz,a %r1, loopz_20_289
8050 dec %r1
8051 .word 0x00800001 ! 590: BN bn <label_0x1>
8052 .word 0xc19fda60 ! 591: LDDFA_R ldda [%r31, %r0], %f0
8053 .word 0xe9e7e000 ! 592: CASA_R casa [%r31] %asi, %r0, %r20
8054 .word 0xe1bfda60 ! 593: STDFA_R stda %f16, [%r0, %r31]
8055 .word 0xc1bfdc40 ! 593: STDFA_R stda %f0, [%r0, %r31]
8056memptr_20_291:
8057 set user_data_start, %r31
8058 nop
8059 nop
8060 mov 7, %g1
8061loopb_20_292:
8062 ldstub [%r31+0x60], %r2
8063 brnz,a %r1, loopb_20_292
8064 dec %r1
8065 .word 0xc32fe140 ! 594: STXFSR_I st-sfr %f1, [0x0140, %r31]
8066 .word 0x85847540 ! 595: WRCCR_I wr %r17, 0x1540, %ccr
8067 .word 0xe19fdb40 ! 596: LDDFA_R ldda [%r31, %r0], %f16
8068 .word 0xd09fdc40 ! 597: LDDA_R ldda [%r31, %r0] 0xe2, %r8
8069 .word 0xc19fde00 ! 597: LDDFA_R ldda [%r31, %r0], %f0
8070 .word 0xe09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r16
8071 .word 0xc19fdf20 ! 598: LDDFA_R ldda [%r31, %r0], %f0
8072 .word 0x91b7c4c0 ! 599: FCMPNE32 fcmpne32 %d62, %d0, %r8
8073 .word 0xd09fdc40 ! 600: LDDA_R ldda [%r31, %r0] 0xe2, %r8
8074 .word 0x00800001 ! 601: BN bn <label_0x1>
8075 .word 0xd03fe070 ! 601: STD_I std %r8, [%r31 + 0x0070]
8076 .word 0xc19fdc00 ! 602: LDDFA_R ldda [%r31, %r0], %f0
8077 .word 0x20800001 ! 603: BN bn,a <label_0x1>
8078 .word 0xd03fe1a0 ! 604: STD_I std %r8, [%r31 + 0x01a0]
8079 .word 0xe19fde00 ! 605: LDDFA_R ldda [%r31, %r0], %f16
8080 .word 0xc1bfde00 ! 605: STDFA_R stda %f0, [%r0, %r31]
8081 .word 0xd03fe1a0 ! 606: STD_I std %r8, [%r31 + 0x01a0]
8082 .word 0xe1bfde00 ! 607: STDFA_R stda %f16, [%r0, %r31]
8083 .word 0xc1bfde00 ! 608: STDFA_R stda %f0, [%r0, %r31]
8084 .word 0xf1efe1b0 ! 609: PREFETCHA_I prefetcha [%r31, + 0x01b0] %asi, #24
8085 .word 0x20800001 ! 609: BN bn,a <label_0x1>
8086 nop
8087 nop
8088 mov 47, %g1
8089loopz_20_297:
8090 ldstub [%r31+0x150], %r2
8091 brnz,a %r1, loopz_20_297
8092 dec %r1
8093 nop
8094 nop
8095 set 0x8480c728, %r28
8096#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8097 sethi %hi(0x3800), %r27
8098 andn %r28, %r27, %r28
8099#ifdef PORTABLE_CORE
8100 ! Add CID to vector
8101 ta T_CHANGE_HPRIV
8102 ldxa [%g0]0x63, %r27
8103 sllx %r27, 8, %r27
8104 or %r27, %r28, %r28
8105#endif
8106 wrhpr %g0, 0xd99, %hpstate ! ta T_CHANGE_NONHPRIV
8107#endif
8108 stxa %r28, [%g0] 0x73
8109intvec_st_20_298:
8110 .word 0xc32fe070 ! 610: STXFSR_I st-sfr %f1, [0x0070, %r31]
8111 .word 0x91a7c9c0 ! 611: FDIVd fdivd %f62, %f0, %f8
8112 .word 0x19400001 ! 612: FBPUGE fbuge
8113 .word 0xd01fe080 ! 613: LDD_I ldd [%r31 + 0x0080], %r8
8114 .word 0xa5b044d0 ! 613: FCMPNE32 fcmpne32 %d32, %d16, %r18
8115 nop
8116 nop
8117 rd %tick, %r28
8118#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8119 sethi %hi(0x3800), %r27
8120 andn %r28, %r27, %r28
8121#ifdef PORTABLE_CORE
8122 ! Add CID to vector
8123 ta T_CHANGE_HPRIV
8124 ldxa [%g0]0x63, %r27
8125 sllx %r27, 8, %r27
8126 or %r27, %r28, %r28
8127#endif
8128#endif
8129 sethi %hi(0x30000), %r27
8130 andn %r28, %r27, %r28
8131 ta T_CHANGE_HPRIV
8132 stxa %r28, [%g0] 0x73
8133intvec_st_20_299:
8134 .word 0x00800001 ! 614: BN bn <label_0x1>
8135 .word 0xa5a7c9c0 ! 615: FDIVd fdivd %f62, %f0, %f18
8136 .word 0xa9a409d3 ! 616: FDIVd fdivd %f16, %f50, %f20
8137 .word 0x81b7c7c0 ! 617: PDIST pdistn %d62, %d0, %d0
8138 .word 0xe9e7d100 ! 617: CASA_I casa [%r31] 0x88, %r0, %r20
8139memptr_20_301:
8140 set 0x60740000, %r31
8141 .word 0xc32fe100 ! 618: STXFSR_I st-sfr %f1, [0x0100, %r31]
8142 .word 0x8580672c ! 619: WRCCR_I wr %r1, 0x072c, %ccr
8143 .word 0x81b7c7c0 ! 620: PDIST pdistn %d62, %d0, %d0
8144 .word 0xe9e7d920 ! 621: CASA_I casa [%r31] 0xc9, %r0, %r20
8145 .word 0x85846a95 ! 621: WRCCR_I wr %r17, 0x0a95, %ccr
8146 nop
8147 nop
8148 mov 3, %g1
8149loopz_20_302:
8150 ldstub [%r31+0x70], %r2
8151 brnz,a %r1, loopz_20_302
8152 dec %r1
8153 .word 0xe91fe180 ! 622: LDDF_I ldd [%r31, 0x0180], %f20
8154 .word 0x19400002 ! 623: FBPUGE fbuge
8155 .word 0xe81fe1a0 ! 624: LDD_I ldd [%r31 + 0x01a0], %r20
8156 .word 0xe93fe170 ! 625: STDF_I std %f20, [0x0170, %r31]
8157 .word 0xa9b7c4c0 ! 625: FCMPNE32 fcmpne32 %d62, %d0, %r20
8158 nop
8159 nop
8160 set 0x8480c728, %r28
8161#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8162 sethi %hi(0x3800), %r27
8163 andn %r28, %r27, %r28
8164#ifdef PORTABLE_CORE
8165 ! Add CID to vector
8166 ta T_CHANGE_HPRIV
8167 ldxa [%g0]0x63, %r27
8168 sllx %r27, 8, %r27
8169 or %r27, %r28, %r28
8170#endif
8171#endif
8172 stxa %r28, [%g0] 0x73
8173 .word 0x95a309d0 ! 1: FDIVd fdivd %f12, %f16, %f10
8174intvec_st_20_304:
8175 .word 0xa1a149c8 ! 626: FDIVd fdivd %f36, %f8, %f16
8176 .word 0xa1a7c9c0 ! 627: FDIVd fdivd %f62, %f0, %f16
8177 .word 0xc32fe080 ! 628: STXFSR_I st-sfr %f1, [0x0080, %r31]
8178 .word 0xa3b284cd ! 629: FCMPNE32 fcmpne32 %d10, %d44, %r17
8179 .word 0xc32fe0d0 ! 629: STXFSR_I st-sfr %f1, [0x00d0, %r31]
8180 .word 0xe297c720 ! 630: LDUHA_R lduha [%r31, %r0] 0x39, %r17
8181 .word 0xe19fda00 ! 631: LDDFA_R ldda [%r31, %r0], %f16
8182 .word 0xa1b7c7c0 ! 632: PDIST pdistn %d62, %d0, %d16
8183 .word 0xe29fd100 ! 633: LDDA_R ldda [%r31, %r0] 0x88, %r17
8184 .word 0x00800002 ! 633: BN bn <label_0x2>
8185 nop
8186 nop
8187 set 0x8480c728, %r28
8188#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8189 sethi %hi(0x3800), %r27
8190 andn %r28, %r27, %r28
8191#ifdef PORTABLE_CORE
8192 ! Add CID to vector
8193 ta T_CHANGE_HPRIV
8194 ldxa [%g0]0x63, %r27
8195 sllx %r27, 8, %r27
8196 or %r27, %r28, %r28
8197#endif
8198 wrhpr %g0, 0xd02, %hpstate ! ta T_CHANGE_NONHPRIV
8199#endif
8200 stxa %r28, [%g0] 0x73
8201intvec_st_20_306:
8202 .word 0x95b4c4c2 ! 634: FCMPNE32 fcmpne32 %d50, %d2, %r10
8203 .word 0xa1b7c7c0 ! 635: PDIST pdistn %d62, %d0, %d16
8204 .word 0x00800001 ! 636: BN bn <label_0x1>
8205 .word 0x99b144ca ! 637: FCMPNE32 fcmpne32 %d36, %d10, %r12
8206 .word 0xe1bfde20 ! 637: STDFA_R stda %f16, [%r0, %r31]
8207 .word 0xc09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r0
8208 .word 0xe0bfdc40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe2
8209 .word 0xc09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r0
8210 .word 0xc19fda60 ! 1: LDDFA_R ldda [%r31, %r0], %f0
8211 .word 0xe1bfde00 ! 1: STDFA_R stda %f16, [%r0, %r31]
8212 .word 0xe09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r16
8213 .word 0xc1bfdc40 ! 1: STDFA_R stda %f0, [%r0, %r31]
8214 .word 0xc09fdf20 ! 1: LDDA_R ldda [%r31, %r0] 0xf9, %r0
8215 .word 0xe09fdf20 ! 1: LDDA_R ldda [%r31, %r0] 0xf9, %r16
8216 nop
8217 nop
8218 set 0x8480c728, %r28
8219#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8220 sethi %hi(0x3800), %r27
8221 andn %r28, %r27, %r28
8222#ifdef PORTABLE_CORE
8223 ! Add CID to vector
8224 ta T_CHANGE_HPRIV
8225 ldxa [%g0]0x63, %r27
8226 sllx %r27, 8, %r27
8227 or %r27, %r28, %r28
8228#endif
8229#endif
8230 stxa %r28, [%g0] 0x73
8231 .word 0x91b4c4c8 ! 1: FCMPNE32 fcmpne32 %d50, %d8, %r8
8232intvec_st_20_308:
8233 nop
8234 nop
8235 mov 59, %g1
8236loopz_20_309:
8237 ldstub [%r31+0x180], %r2
8238 brnz,a %r1, loopz_20_309
8239 dec %r1
8240 .word 0x95a409c4 ! 638: FDIVd fdivd %f16, %f4, %f10
8241 .word 0x20800002 ! 639: BN bn,a <label_0x2>
8242 .word 0xc32fe130 ! 640: STXFSR_I st-sfr %f1, [0x0130, %r31]
8243 .word 0xa7b444c6 ! 641: FCMPNE32 fcmpne32 %d48, %d6, %r19
8244 .word 0xe7e7e000 ! 641: CASA_R casa [%r31] %asi, %r0, %r19
8245memptr_20_311:
8246 set 0x60740000, %r31
8247 nop
8248 nop
8249 set 0x8480c728, %r28
8250#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8251 sethi %hi(0x3800), %r27
8252 andn %r28, %r27, %r28
8253#ifdef PORTABLE_CORE
8254 ! Add CID to vector
8255 ta T_CHANGE_HPRIV
8256 ldxa [%g0]0x63, %r27
8257 sllx %r27, 8, %r27
8258 or %r27, %r28, %r28
8259#endif
8260 wrhpr %g0, 0xcc9, %hpstate ! ta T_CHANGE_NONHPRIV
8261#endif
8262 stxa %r28, [%g0] 0x73
8263intvec_st_20_312:
8264 .word 0xe19fde20 ! 642: LDDFA_R ldda [%r31, %r0], %f16
8265 .word 0x85817787 ! 643: WRCCR_I wr %r5, 0x1787, %ccr
8266 .word 0x95a509d3 ! 644: FDIVd fdivd %f20, %f50, %f10
8267 .word 0xe1bfda00 ! 645: STDFA_R stda %f16, [%r0, %r31]
8268 .word 0x85806499 ! 645: WRCCR_I wr %r1, 0x0499, %ccr
8269 nop
8270 nop
8271 rd %tick, %r28
8272#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8273 sethi %hi(0x3800), %r27
8274 andn %r28, %r27, %r28
8275#ifdef PORTABLE_CORE
8276 ! Add CID to vector
8277 ta T_CHANGE_HPRIV
8278 ldxa [%g0]0x63, %r27
8279 sllx %r27, 8, %r27
8280 or %r27, %r28, %r28
8281#endif
8282 wrhpr %g0, 0xe42, %hpstate ! ta T_CHANGE_NONHPRIV
8283#endif
8284 sethi %hi(0x30000), %r27
8285 andn %r28, %r27, %r28
8286 ta T_CHANGE_HPRIV
8287 stxa %r28, [%g0] 0x73
8288intvec_st_20_313:
8289 .word 0x19400002 ! 646: FBPUGE fbuge
8290 .word 0xc19fde20 ! 647: LDDFA_R ldda [%r31, %r0], %f0
8291 .word 0xd5e7d920 ! 648: CASA_I casa [%r31] 0xc9, %r0, %r10
8292 .word 0x95b1c4d1 ! 649: FCMPNE32 fcmpne32 %d38, %d48, %r10
8293 .word 0x81b7c7c0 ! 649: PDIST pdistn %d62, %d0, %d0
8294 nop
8295 nop
8296 mov 39, %g1
8297loopz_20_315:
8298 ldstub [%r31+0x50], %r2
8299 brnz,a %r1, loopz_20_315
8300 dec %r1
8301 nop
8302 nop
8303 set 0x8480c728, %r28
8304#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8305 sethi %hi(0x3800), %r27
8306 andn %r28, %r27, %r28
8307#ifdef PORTABLE_CORE
8308 ! Add CID to vector
8309 ta T_CHANGE_HPRIV
8310 ldxa [%g0]0x63, %r27
8311 sllx %r27, 8, %r27
8312 or %r27, %r28, %r28
8313#endif
8314 wrhpr %g0, 0x998, %hpstate ! ta T_CHANGE_NONHPRIV
8315#endif
8316 stxa %r28, [%g0] 0x73
8317intvec_st_20_316:
8318 .word 0xc32fe1c0 ! 650: STXFSR_I st-sfr %f1, [0x01c0, %r31]
8319 .word 0xc19fda00 ! 651: LDDFA_R ldda [%r31, %r0], %f0
8320 .word 0xa9a4c9d3 ! 652: FDIVd fdivd %f50, %f50, %f20
8321 .word 0xe9e7e000 ! 653: CASA_R casa [%r31] %asi, %r0, %r20
8322 .word 0xc19fdf00 ! 653: LDDFA_R ldda [%r31, %r0], %f0
8323 .word 0xd3144014 ! 1: LDQF_R - [%r17, %r20], %f9
8324memptr_20_318:
8325 set user_data_start, %r31
8326 .word 0xdadfc380 ! 654: LDXA_R ldxa [%r31, %r0] 0x1c, %r13
8327 .word 0x858530af ! 655: WRCCR_I wr %r20, 0x10af, %ccr
8328 .word 0xda9fdf00 ! 656: LDDA_R ldda [%r31, %r0] 0xf8, %r13
8329 .word 0xc32fe110 ! 657: STXFSR_I st-sfr %f1, [0x0110, %r31]
8330 .word 0xdbe7c200 ! 657: CASA_I casa [%r31] 0x10, %r0, %r13
8331 nop
8332 nop
8333 mov 3, %g1
8334loopz_20_320:
8335 ldstub [%r31+0x170], %r2
8336 brnz,a %r1, loopz_20_320
8337 dec %r1
8338 .word 0xda97c200 ! 658: LDUHA_R lduha [%r31, %r0] 0x10, %r13
8339 .word 0x9ba7c9c0 ! 659: FDIVd fdivd %f62, %f0, %f44
8340 .word 0xda1fe140 ! 660: LDD_I ldd [%r31 + 0x0140], %r13
8341 .word 0xdadfd160 ! 661: LDXA_R ldxa [%r31, %r0] 0x8b, %r13
8342 .word 0xc32fe1c0 ! 661: STXFSR_I st-sfr %f1, [0x01c0, %r31]
8343 nop
8344 nop
8345 set 0x8480c728, %r28
8346#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8347 sethi %hi(0x3800), %r27
8348 andn %r28, %r27, %r28
8349#ifdef PORTABLE_CORE
8350 ! Add CID to vector
8351 ta T_CHANGE_HPRIV
8352 ldxa [%g0]0x63, %r27
8353 sllx %r27, 8, %r27
8354 or %r27, %r28, %r28
8355#endif
8356#endif
8357 stxa %r28, [%g0] 0x73
8358 .word 0xa1b504c2 ! 1: FCMPNE32 fcmpne32 %d20, %d2, %r16
8359intvec_st_20_322:
8360 .word 0xc1bfdf20 ! 662: STDFA_R stda %f0, [%r0, %r31]
8361 .word 0xa1b7c4c0 ! 663: FCMPNE32 fcmpne32 %d62, %d0, %r16
8362 .word 0xa9a349d2 ! 664: FDIVd fdivd %f44, %f18, %f20
8363 .word 0x20800001 ! 665: BN bn,a <label_0x1>
8364 .word 0xf16fe1b0 ! 665: PREFETCH_I prefetch [%r31 + 0x01b0], #24
8365 .word 0xc0bfdc00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xe0
8366 .word 0xe0bfde00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf0
8367 .word 0xe19fdb20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
8368 .word 0xe09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r16
8369 .word 0xe09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r16
8370 .word 0xc19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
8371 .word 0xe0bfdb40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xda
8372 .word 0xc19fda00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
8373 .word 0xe0bfdf20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf9
8374 .word 0xe09fda60 ! 1: LDDA_R ldda [%r31, %r0] 0xd3, %r16
8375 .word 0xe0bfdc40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe2
8376 .word 0xe1bfde00 ! 1: STDFA_R stda %f16, [%r0, %r31]
8377memptr_20_324:
8378 set user_data_start, %r31
8379 nop
8380 nop
8381 rd %tick, %r28
8382#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8383 sethi %hi(0x3800), %r27
8384 andn %r28, %r27, %r28
8385#ifdef PORTABLE_CORE
8386 ! Add CID to vector
8387 ta T_CHANGE_HPRIV
8388 ldxa [%g0]0x63, %r27
8389 sllx %r27, 8, %r27
8390 or %r27, %r28, %r28
8391#endif
8392#endif
8393 sethi %hi(0x30000), %r27
8394 andn %r28, %r27, %r28
8395 ta T_CHANGE_HPRIV
8396 stxa %r28, [%g0] 0x73
8397 .word 0x99a509c1 ! 1: FDIVd fdivd %f20, %f32, %f12
8398intvec_st_20_325:
8399 .word 0xd91fe010 ! 666: LDDF_I ldd [%r31, 0x0010], %f12
8400 .word 0x8581b1d8 ! 667: WRCCR_I wr %r6, 0x11d8, %ccr
8401 .word 0x39400001 ! 668: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
8402 .word 0xd9e7c3c0 ! 669: CASA_I casa [%r31] 0x1e, %r0, %r12
8403 .word 0x8581a831 ! 669: WRCCR_I wr %r6, 0x0831, %ccr
8404 nop
8405 nop
8406 mov 23, %g1
8407loopz_20_327:
8408 ldstub [%r31+0xb0], %r2
8409 brnz,a %r1, loopz_20_327
8410 dec %r1
8411 .word 0xd91fe160 ! 670: LDDF_I ldd [%r31, 0x0160], %f12
8412 .word 0x81b7c7c0 ! 671: PDIST pdistn %d62, %d0, %d0
8413 .word 0xd81fc000 ! 672: LDD_R ldd [%r31 + %r0], %r12
8414 .word 0xd897c380 ! 673: LDUHA_R lduha [%r31, %r0] 0x1c, %r12
8415 .word 0xd81fc000 ! 673: LDD_R ldd [%r31 + %r0], %r12
8416 nop
8417 nop
8418 mov 35, %g1
8419loopz_20_329:
8420 ldstub [%r31+0x90], %r2
8421 brnz,a %r1, loopz_20_329
8422 dec %r1
8423 .word 0xe19fdb20 ! 674: LDDFA_R ldda [%r31, %r0], %f16
8424 .word 0xe19fda60 ! 675: LDDFA_R ldda [%r31, %r0], %f16
8425 .word 0xd9e7e000 ! 676: CASA_R casa [%r31] %asi, %r0, %r12
8426 .word 0xc19fc3e0 ! 677: LDDFA_R ldda [%r31, %r0], %f0
8427 .word 0xc19fdf20 ! 677: LDDFA_R ldda [%r31, %r0], %f0
8428 nop
8429 nop
8430 rd %tick, %r28
8431#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8432 sethi %hi(0x3800), %r27
8433 andn %r28, %r27, %r28
8434#ifdef PORTABLE_CORE
8435 ! Add CID to vector
8436 ta T_CHANGE_HPRIV
8437 ldxa [%g0]0x63, %r27
8438 sllx %r27, 8, %r27
8439 or %r27, %r28, %r28
8440#endif
8441#endif
8442 sethi %hi(0x30000), %r27
8443 andn %r28, %r27, %r28
8444 ta T_CHANGE_HPRIV
8445 stxa %r28, [%g0] 0x73
8446 .word 0xa3b504ca ! 1: FCMPNE32 fcmpne32 %d20, %d10, %r17
8447intvec_st_20_331:
8448 .word 0xe1bfdb20 ! 678: STDFA_R stda %f16, [%r0, %r31]
8449 .word 0xa3b7c4c0 ! 679: FCMPNE32 fcmpne32 %d62, %d0, %r17
8450 .word 0x19400001 ! 680: FBPUGE fbuge
8451 .word 0xa1b7c7c0 ! 681: PDIST pdistn %d62, %d0, %d16
8452 .word 0x39400001 ! 681: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
8453 nop
8454 nop
8455 mov 59, %g1
8456loopb_20_333:
8457 ldstub [%r31+0xc0], %r2
8458 brnz,a %r1, loopb_20_333
8459 dec %r1
8460 nop
8461 nop
8462 mov 27, %g1
8463loopz_20_334:
8464 ldstub [%r31+0x70], %r2
8465 brnz,a %r1, loopz_20_334
8466 dec %r1
8467 .word 0xc19fdb20 ! 682: LDDFA_R ldda [%r31, %r0], %f0
8468 .word 0xa3b7c4c0 ! 683: FCMPNE32 fcmpne32 %d62, %d0, %r17
8469 .word 0xe3e7e000 ! 684: CASA_R casa [%r31] %asi, %r0, %r17
8470 .word 0xc1bfdb40 ! 685: STDFA_R stda %f0, [%r0, %r31]
8471 .word 0x87afca40 ! 685: FCMPd fcmpd %fcc<n>, %f62, %f0
8472 .word 0xd91fe1a0 ! 686: LDDF_I ldd [%r31, 0x01a0], %f12
8473 .word 0xc19fdc00 ! 687: LDDFA_R ldda [%r31, %r0], %f0
8474 .word 0xd81fe1f0 ! 688: LDD_I ldd [%r31 + 0x01f0], %r12
8475 .word 0xd81fe1d0 ! 689: LDD_I ldd [%r31 + 0x01d0], %r12
8476 .word 0xc19fdc00 ! 689: LDDFA_R ldda [%r31, %r0], %f0
8477 nop
8478 nop
8479 rd %tick, %r28
8480#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8481 sethi %hi(0x3800), %r27
8482 andn %r28, %r27, %r28
8483#ifdef PORTABLE_CORE
8484 ! Add CID to vector
8485 ta T_CHANGE_HPRIV
8486 ldxa [%g0]0x63, %r27
8487 sllx %r27, 8, %r27
8488 or %r27, %r28, %r28
8489#endif
8490 wrhpr %g0, 0xf49, %hpstate ! ta T_CHANGE_NONHPRIV
8491#endif
8492 sethi %hi(0x30000), %r27
8493 andn %r28, %r27, %r28
8494 ta T_CHANGE_HPRIV
8495 stxa %r28, [%g0] 0x73
8496intvec_st_20_337:
8497memptr_20_338:
8498 set 0x60140000, %r31
8499 .word 0x39400001 ! 690: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
8500 .word 0x85822a79 ! 691: WRCCR_I wr %r8, 0x0a79, %ccr
8501 .word 0xd91fe1c0 ! 692: LDDF_I ldd [%r31, 0x01c0], %f12
8502 .word 0xa7b444d0 ! 693: FCMPNE32 fcmpne32 %d48, %d16, %r19
8503 .word 0xe69fc080 ! 693: LDDA_R ldda [%r31, %r0] 0x04, %r19
8504 nop
8505 nop
8506 set 0x8480c728, %r28
8507#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8508 sethi %hi(0x3800), %r27
8509 andn %r28, %r27, %r28
8510#ifdef PORTABLE_CORE
8511 ! Add CID to vector
8512 ta T_CHANGE_HPRIV
8513 ldxa [%g0]0x63, %r27
8514 sllx %r27, 8, %r27
8515 or %r27, %r28, %r28
8516#endif
8517#endif
8518 stxa %r28, [%g0] 0x73
8519intvec_st_20_340:
8520memptr_20_341:
8521 set 0x60740000, %r31
8522 nop
8523 nop
8524 mov 59, %g1
8525loopz_20_342:
8526 ldstub [%r31+0x1b0], %r2
8527 brnz,a %r1, loopz_20_342
8528 dec %r1
8529 .word 0x99a489d0 ! 694: FDIVd fdivd %f18, %f16, %f12
8530 .word 0x85852e98 ! 695: WRCCR_I wr %r20, 0x0e98, %ccr
8531 .word 0xd9e7e000 ! 696: CASA_R casa [%r31] %asi, %r0, %r12
8532 .word 0xa5b184d1 ! 697: FCMPNE32 fcmpne32 %d6, %d48, %r18
8533 .word 0xe5e7e000 ! 697: CASA_R casa [%r31] %asi, %r0, %r18
8534 nop
8535 nop
8536 set 0x8480c728, %r28
8537#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8538 sethi %hi(0x3800), %r27
8539 andn %r28, %r27, %r28
8540#ifdef PORTABLE_CORE
8541 ! Add CID to vector
8542 ta T_CHANGE_HPRIV
8543 ldxa [%g0]0x63, %r27
8544 sllx %r27, 8, %r27
8545 or %r27, %r28, %r28
8546#endif
8547 wrhpr %g0, 0xad8, %hpstate ! ta T_CHANGE_NONHPRIV
8548#endif
8549 stxa %r28, [%g0] 0x73
8550 .word 0x9ba4c9c8 ! 1: FDIVd fdivd %f50, %f8, %f44
8551intvec_st_20_344:
8552 .word 0x19400002 ! 698: FBPUGE fbuge
8553 .word 0x81b7c7c0 ! 699: PDIST pdistn %d62, %d0, %d0
8554 .word 0x00800001 ! 700: BN bn <label_0x1>
8555 .word 0xa9a209d0 ! 701: FDIVd fdivd %f8, %f16, %f20
8556 .word 0x00800001 ! 701: BN bn <label_0x1>
8557memptr_20_346:
8558 set 0x60740000, %r31
8559 .word 0xd1e7c3c0 ! 702: CASA_I casa [%r31] 0x1e, %r0, %r8
8560 .word 0x85837932 ! 703: WRCCR_I wr %r13, 0x1932, %ccr
8561 .word 0xc1bfdf00 ! 704: STDFA_R stda %f0, [%r0, %r31]
8562 .word 0xc32fe010 ! 705: STXFSR_I st-sfr %f1, [0x0010, %r31]
8563 .word 0x85847be9 ! 705: WRCCR_I wr %r17, 0x1be9, %ccr
8564 nop
8565 nop
8566 rd %tick, %r28
8567#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8568 sethi %hi(0x3800), %r27
8569 andn %r28, %r27, %r28
8570#ifdef PORTABLE_CORE
8571 ! Add CID to vector
8572 ta T_CHANGE_HPRIV
8573 ldxa [%g0]0x63, %r27
8574 sllx %r27, 8, %r27
8575 or %r27, %r28, %r28
8576#endif
8577#endif
8578 sethi %hi(0x30000), %r27
8579 andn %r28, %r27, %r28
8580 ta T_CHANGE_HPRIV
8581 stxa %r28, [%g0] 0x73
8582 .word 0x97a489ca ! 1: FDIVd fdivd %f18, %f10, %f42
8583intvec_st_20_347:
8584memptr_20_348:
8585 set user_data_start, %r31
8586 .word 0x39400001 ! 706: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
8587 .word 0x8584b8b9 ! 707: WRCCR_I wr %r18, 0x18b9, %ccr
8588 .word 0xc1bfdf00 ! 708: STDFA_R stda %f0, [%r0, %r31]
8589 .word 0x99a449c9 ! 709: FDIVd fdivd %f48, %f40, %f12
8590 .word 0x85846d75 ! 709: WRCCR_I wr %r17, 0x0d75, %ccr
8591 nop
8592 nop
8593 set 0x8480c728, %r28
8594#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8595 sethi %hi(0x3800), %r27
8596 andn %r28, %r27, %r28
8597#ifdef PORTABLE_CORE
8598 ! Add CID to vector
8599 ta T_CHANGE_HPRIV
8600 ldxa [%g0]0x63, %r27
8601 sllx %r27, 8, %r27
8602 or %r27, %r28, %r28
8603#endif
8604#endif
8605 stxa %r28, [%g0] 0x73
8606intvec_st_20_350:
8607 nop
8608 nop
8609 mov 3, %g1
8610loopb_20_351:
8611 ldstub [%r31+0xd0], %r2
8612 brnz,a %r1, loopb_20_351
8613 dec %r1
8614 .word 0xa7b404d2 ! 710: FCMPNE32 fcmpne32 %d16, %d18, %r19
8615 .word 0xc1bfdc00 ! 711: STDFA_R stda %f0, [%r0, %r31]
8616 .word 0xe1bfdc40 ! 712: STDFA_R stda %f16, [%r0, %r31]
8617 .word 0x39400001 ! 713: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
8618 .word 0x81b7c7c0 ! 713: PDIST pdistn %d62, %d0, %d0
8619 nop
8620 nop
8621 rd %tick, %r28
8622#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8623 sethi %hi(0x3800), %r27
8624 andn %r28, %r27, %r28
8625#ifdef PORTABLE_CORE
8626 ! Add CID to vector
8627 ta T_CHANGE_HPRIV
8628 ldxa [%g0]0x63, %r27
8629 sllx %r27, 8, %r27
8630 or %r27, %r28, %r28
8631#endif
8632#endif
8633 sethi %hi(0x30000), %r27
8634 andn %r28, %r27, %r28
8635 ta T_CHANGE_HPRIV
8636 stxa %r28, [%g0] 0x73
8637intvec_st_20_353:
8638 nop
8639 nop
8640 mov 27, %g1
8641loopz_20_354:
8642 ldstub [%r31+0x150], %r2
8643 brnz,a %r1, loopz_20_354
8644 dec %r1
8645 .word 0x99a409d3 ! 714: FDIVd fdivd %f16, %f50, %f12
8646 .word 0x81b7c7c0 ! 715: PDIST pdistn %d62, %d0, %d0
8647 .word 0xd81fe1b0 ! 716: LDD_I ldd [%r31 + 0x01b0], %r12
8648 .word 0x39400001 ! 717: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
8649 .word 0xd91fe040 ! 717: LDDF_I ldd [%r31, 0x0040], %f12
8650 nop
8651 nop
8652 mov 47, %g1
8653loopz_20_356:
8654 ldstub [%r31+0x70], %r2
8655 brnz,a %r1, loopz_20_356
8656 dec %r1
8657 .word 0xd61fe1f0 ! 718: LDD_I ldd [%r31 + 0x01f0], %r11
8658 .word 0xe1bfdb40 ! 719: STDFA_R stda %f16, [%r0, %r31]
8659 .word 0xe19fdf00 ! 720: LDDFA_R ldda [%r31, %r0], %f16
8660 .word 0xd7e7e000 ! 721: CASA_R casa [%r31] %asi, %r0, %r11
8661 .word 0xe1bfc3e0 ! 721: STDFA_R stda %f16, [%r0, %r31]
8662memptr_20_357:
8663 set user_data_start, %r31
8664 nop
8665 nop
8666 set 0x8480c728, %r28
8667#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8668 sethi %hi(0x3800), %r27
8669 andn %r28, %r27, %r28
8670#ifdef PORTABLE_CORE
8671 ! Add CID to vector
8672 ta T_CHANGE_HPRIV
8673 ldxa [%g0]0x63, %r27
8674 sllx %r27, 8, %r27
8675 or %r27, %r28, %r28
8676#endif
8677#endif
8678 stxa %r28, [%g0] 0x73
8679intvec_st_20_358:
8680 .word 0x81b7c7c0 ! 722: PDIST pdistn %d62, %d0, %d0
8681 .word 0x8582e1ee ! 723: WRCCR_I wr %r11, 0x01ee, %ccr
8682 .word 0x39400001 ! 724: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
8683 .word 0xc1bfda00 ! 725: STDFA_R stda %f0, [%r0, %r31]
8684 .word 0x85852f8c ! 725: WRCCR_I wr %r20, 0x0f8c, %ccr
8685memptr_20_360:
8686 set user_data_start, %r31
8687 .word 0xd73fe0e0 ! 726: STDF_I std %f11, [0x00e0, %r31]
8688 .word 0x85846383 ! 727: WRCCR_I wr %r17, 0x0383, %ccr
8689 .word 0xa1b7c7c0 ! 728: PDIST pdistn %d62, %d0, %d16
8690 .word 0xf1efe180 ! 729: PREFETCHA_I prefetcha [%r31, + 0x0180] %asi, #24
8691 .word 0xc19fdc40 ! 729: LDDFA_R ldda [%r31, %r0], %f0
8692 nop
8693 nop
8694 mov 47, %g1
8695loopb_20_362:
8696 ldstub [%r31+0x1e0], %r2
8697 brnz,a %r1, loopb_20_362
8698 dec %r1
8699 .word 0xc1bfdb40 ! 730: STDFA_R stda %f0, [%r0, %r31]
8700 .word 0x20800001 ! 731: BN bn,a <label_0x1>
8701 .word 0xd71fe120 ! 732: LDDF_I ldd [%r31, 0x0120], %f11
8702 .word 0xe1bfda00 ! 733: STDFA_R stda %f16, [%r0, %r31]
8703 .word 0xc19fda60 ! 733: LDDFA_R ldda [%r31, %r0], %f0
8704 .word 0xe19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
8705 .word 0xe09fda60 ! 1: LDDA_R ldda [%r31, %r0] 0xd3, %r16
8706 nop
8707 nop
8708 mov 63, %g1
8709loopz_20_364:
8710 ldstub [%r31+0x70], %r2
8711 brnz,a %r1, loopz_20_364
8712 dec %r1
8713 .word 0xd61fc000 ! 734: LDD_R ldd [%r31 + %r0], %r11
8714 .word 0x24cfc002 ! 735: BRLEZ brlez,a,pt %r31,<label_0xfc002>
8715 .word 0x20800001 ! 736: BN bn,a <label_0x1>
8716 .word 0xd7e7e000 ! 737: CASA_R casa [%r31] %asi, %r0, %r11
8717 .word 0x97b7c4c0 ! 737: FCMPNE32 fcmpne32 %d62, %d0, %r11
8718 nop
8719 nop
8720 set 0x8480c728, %r28
8721#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8722 sethi %hi(0x3800), %r27
8723 andn %r28, %r27, %r28
8724#ifdef PORTABLE_CORE
8725 ! Add CID to vector
8726 ta T_CHANGE_HPRIV
8727 ldxa [%g0]0x63, %r27
8728 sllx %r27, 8, %r27
8729 or %r27, %r28, %r28
8730#endif
8731#endif
8732 stxa %r28, [%g0] 0x73
8733intvec_st_20_366:
8734 .word 0xd6dfd920 ! 738: LDXA_R ldxa [%r31, %r0] 0xc9, %r11
8735 .word 0xe1bfdb20 ! 739: STDFA_R stda %f16, [%r0, %r31]
8736 .word 0x91a489d0 ! 740: FDIVd fdivd %f18, %f16, %f8
8737 .word 0xd13fe170 ! 741: STDF_I std %f8, [0x0170, %r31]
8738 .word 0x39400001 ! 741: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
8739 nop
8740 nop
8741 rd %tick, %r28
8742#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8743 sethi %hi(0x3800), %r27
8744 andn %r28, %r27, %r28
8745#ifdef PORTABLE_CORE
8746 ! Add CID to vector
8747 ta T_CHANGE_HPRIV
8748 ldxa [%g0]0x63, %r27
8749 sllx %r27, 8, %r27
8750 or %r27, %r28, %r28
8751#endif
8752#endif
8753 sethi %hi(0x30000), %r27
8754 andn %r28, %r27, %r28
8755 ta T_CHANGE_HPRIV
8756 stxa %r28, [%g0] 0x73
8757intvec_st_20_367:
8758 .word 0xa7a409c3 ! 742: FDIVd fdivd %f16, %f34, %f50
8759 .word 0x81b7c7c0 ! 743: PDIST pdistn %d62, %d0, %d0
8760 .word 0xe63fe010 ! 744: STD_I std %r19, [%r31 + 0x0010]
8761 .word 0xa1b304cb ! 745: FCMPNE32 fcmpne32 %d12, %d42, %r16
8762 .word 0xe19fdb40 ! 745: LDDFA_R ldda [%r31, %r0], %f16
8763 nop
8764 nop
8765 mov 55, %g1
8766loopz_20_368:
8767 ldstub [%r31+0x1b0], %r2
8768 brnz,a %r1, loopz_20_368
8769 dec %r1
8770 .word 0xe01fe0e0 ! 746: LDD_I ldd [%r31 + 0x00e0], %r16
8771 .word 0x20800001 ! 747: BN bn,a <label_0x1>
8772 .word 0x20800001 ! 748: BN bn,a <label_0x1>
8773 .word 0xe11fe010 ! 749: LDDF_I ldd [%r31, 0x0010], %f16
8774 .word 0xc1bfda60 ! 749: STDFA_R stda %f0, [%r0, %r31]
8775 .word 0xd81fe0a0 ! 750: LDD_I ldd [%r31 + 0x00a0], %r12
8776 .word 0xa1b7c7c0 ! 751: PDIST pdistn %d62, %d0, %d16
8777 .word 0xc19fc3e0 ! 752: LDDFA_R ldda [%r31, %r0], %f0
8778 .word 0xd897df00 ! 753: LDUHA_R lduha [%r31, %r0] 0xf8, %r12
8779 .word 0x81b7c7c0 ! 753: PDIST pdistn %d62, %d0, %d0
8780 .word 0xc19fc3e0 ! 1: LDDFA_R ldda [%r31, %r0], %f0
8781 .word 0xc1bfda00 ! 1: STDFA_R stda %f0, [%r0, %r31]
8782 .word 0xc09fde00 ! 1: LDDA_R ldda [%r31, %r0] 0xf0, %r0
8783 .word 0xe1bfda60 ! 1: STDFA_R stda %f16, [%r0, %r31]
8784 .word 0xe0bfde20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf1
8785 .word 0xc0bfdf00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf8
8786 .word 0xe19fdb40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
8787 .word 0xe0bfc3e0 ! 1: STDA_R stda %r16, [%r31 + %r0] 0x1f
8788 .word 0xc09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r0
8789 .word 0xe1bfdc40 ! 1: STDFA_R stda %f16, [%r0, %r31]
8790 .word 0xc19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
8791 .word 0xc1bfdd40 ! 1: STDFA_R stda %f0, [%r0, %r31]
8792 nop
8793 nop
8794 mov 63, %g1
8795loopb_20_371:
8796 ldstub [%r31+0xb0], %r2
8797 brnz,a %r1, loopb_20_371
8798 dec %r1
8799memptr_20_372:
8800 set user_data_start, %r31
8801 nop
8802 nop
8803 mov 15, %g1
8804loopb_20_373:
8805 ldstub [%r31+0xc0], %r2
8806 brnz,a %r1, loopb_20_373
8807 dec %r1
8808 .word 0xe19fdd40 ! 754: LDDFA_R ldda [%r31, %r0], %f16
8809 .word 0x858522a4 ! 755: WRCCR_I wr %r20, 0x02a4, %ccr
8810 .word 0xe19fda00 ! 756: LDDFA_R ldda [%r31, %r0], %f16
8811 .word 0xc1bfde00 ! 757: STDFA_R stda %f0, [%r0, %r31]
8812 .word 0xe19fda00 ! 757: LDDFA_R ldda [%r31, %r0], %f16
8813memptr_20_375:
8814 set user_data_start, %r31
8815 .word 0xa1b7c7c0 ! 758: PDIST pdistn %d62, %d0, %d16
8816 .word 0x8582edcc ! 759: WRCCR_I wr %r11, 0x0dcc, %ccr
8817 .word 0xe19fdc00 ! 760: LDDFA_R ldda [%r31, %r0], %f16
8818 .word 0xe19fdd40 ! 761: LDDFA_R ldda [%r31, %r0], %f16
8819 .word 0x8584fce3 ! 761: WRCCR_I wr %r19, 0x1ce3, %ccr
8820 .word 0x81b7c7c0 ! 762: PDIST pdistn %d62, %d0, %d0
8821 .word 0xf16fe090 ! 763: PREFETCH_I prefetch [%r31 + 0x0090], #24
8822 .word 0xc32fe090 ! 764: STXFSR_I st-sfr %f1, [0x0090, %r31]
8823 .word 0x81b7c7c0 ! 765: PDIST pdistn %d62, %d0, %d0
8824 .word 0xf16fe140 ! 765: PREFETCH_I prefetch [%r31 + 0x0140], #24
8825 nop
8826 nop
8827 mov 43, %g1
8828loopz_20_378:
8829 ldstub [%r31+0xd0], %r2
8830 brnz,a %r1, loopz_20_378
8831 dec %r1
8832 nop
8833 nop
8834 mov 3, %g1
8835loopb_20_379:
8836 ldstub [%r31+0xb0], %r2
8837 brnz,a %r1, loopb_20_379
8838 dec %r1
8839 .word 0xdbe7e000 ! 766: CASA_R casa [%r31] %asi, %r0, %r13
8840 .word 0xe1bfdf00 ! 767: STDFA_R stda %f16, [%r0, %r31]
8841 .word 0xe1bfdb40 ! 768: STDFA_R stda %f16, [%r0, %r31]
8842 .word 0xdb1fe020 ! 769: LDDF_I ldd [%r31, 0x0020], %f13
8843 .word 0xe19fdb20 ! 769: LDDFA_R ldda [%r31, %r0], %f16
8844 nop
8845 nop
8846 mov 19, %g1
8847loopz_20_381:
8848 ldstub [%r31+0x160], %r2
8849 brnz,a %r1, loopz_20_381
8850 dec %r1
8851memptr_20_382:
8852 set 0x60340000, %r31
8853 nop
8854 nop
8855 rd %tick, %r28
8856#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8857 sethi %hi(0x3800), %r27
8858 andn %r28, %r27, %r28
8859#ifdef PORTABLE_CORE
8860 ! Add CID to vector
8861 ta T_CHANGE_HPRIV
8862 ldxa [%g0]0x63, %r27
8863 sllx %r27, 8, %r27
8864 or %r27, %r28, %r28
8865#endif
8866#endif
8867 sethi %hi(0x30000), %r27
8868 andn %r28, %r27, %r28
8869 ta T_CHANGE_HPRIV
8870 stxa %r28, [%g0] 0x73
8871 .word 0x91b284ca ! 1: FCMPNE32 fcmpne32 %d10, %d10, %r8
8872intvec_st_20_383:
8873 .word 0xd01fc000 ! 770: LDD_R ldd [%r31 + %r0], %r8
8874 .word 0x8581f5a3 ! 771: WRCCR_I wr %r7, 0x15a3, %ccr
8875 .word 0x9bb484c9 ! 772: FCMPNE32 fcmpne32 %d18, %d40, %r13
8876 .word 0xda1fc000 ! 773: LDD_R ldd [%r31 + %r0], %r13
8877 .word 0x85822122 ! 773: WRCCR_I wr %r8, 0x0122, %ccr
8878 nop
8879 nop
8880 rd %tick, %r28
8881#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8882 sethi %hi(0x3800), %r27
8883 andn %r28, %r27, %r28
8884#ifdef PORTABLE_CORE
8885 ! Add CID to vector
8886 ta T_CHANGE_HPRIV
8887 ldxa [%g0]0x63, %r27
8888 sllx %r27, 8, %r27
8889 or %r27, %r28, %r28
8890#endif
8891#endif
8892 sethi %hi(0x30000), %r27
8893 andn %r28, %r27, %r28
8894 ta T_CHANGE_HPRIV
8895 stxa %r28, [%g0] 0x73
8896 .word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
8897intvec_st_20_385:
8898 .word 0x19400002 ! 774: FBPUGE fbuge
8899 .word 0x19400001 ! 775: FBPUGE fbuge
8900 .word 0xe19fda00 ! 776: LDDFA_R ldda [%r31, %r0], %f16
8901 .word 0x39400002 ! 777: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
8902 .word 0x87afca40 ! 777: FCMPd fcmpd %fcc<n>, %f62, %f0
8903 nop
8904 nop
8905 mov 59, %g1
8906loopb_20_387:
8907 ldstub [%r31+48], %r2
8908 brnz,a %r1, loopb_20_387
8909 dec %r1
8910 .word 0xdadfc380 ! 778: LDXA_R ldxa [%r31, %r0] 0x1c, %r13
8911 .word 0xda3fe120 ! 779: STD_I std %r13, [%r31 + 0x0120]
8912 .word 0xe1bfdf00 ! 780: STDFA_R stda %f16, [%r0, %r31]
8913 .word 0xda9fc280 ! 781: LDDA_R ldda [%r31, %r0] 0x14, %r13
8914 .word 0x24cfc001 ! 781: BRLEZ brlez,a,pt %r31,<label_0xfc001>
8915memptr_20_388:
8916 set 0x60740000, %r31
8917 .word 0xc19fdb20 ! 782: LDDFA_R ldda [%r31, %r0], %f0
8918 .word 0x8584e3c8 ! 783: WRCCR_I wr %r19, 0x03c8, %ccr
8919 .word 0x81b7c7c0 ! 784: PDIST pdistn %d62, %d0, %d0
8920 .word 0xa1b7c7c0 ! 785: PDIST pdistn %d62, %d0, %d16
8921 .word 0x8581fd8a ! 785: WRCCR_I wr %r7, 0x1d8a, %ccr
8922 nop
8923 nop
8924 rd %tick, %r28
8925#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8926 sethi %hi(0x3800), %r27
8927 andn %r28, %r27, %r28
8928#ifdef PORTABLE_CORE
8929 ! Add CID to vector
8930 ta T_CHANGE_HPRIV
8931 ldxa [%g0]0x63, %r27
8932 sllx %r27, 8, %r27
8933 or %r27, %r28, %r28
8934#endif
8935#endif
8936 sethi %hi(0x30000), %r27
8937 andn %r28, %r27, %r28
8938 ta T_CHANGE_HPRIV
8939 stxa %r28, [%g0] 0x73
8940intvec_st_20_389:
8941 .word 0x95b504c3 ! 786: FCMPNE32 fcmpne32 %d20, %d34, %r10
8942 .word 0x24cfc001 ! 787: BRLEZ brlez,a,pt %r31,<label_0xfc001>
8943 .word 0xc1bfdd40 ! 788: STDFA_R stda %f0, [%r0, %r31]
8944 .word 0x39400001 ! 789: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
8945 .word 0x39400002 ! 789: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
8946 nop
8947 nop
8948 rd %tick, %r28
8949#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8950 sethi %hi(0x3800), %r27
8951 andn %r28, %r27, %r28
8952#ifdef PORTABLE_CORE
8953 ! Add CID to vector
8954 ta T_CHANGE_HPRIV
8955 ldxa [%g0]0x63, %r27
8956 sllx %r27, 8, %r27
8957 or %r27, %r28, %r28
8958#endif
8959 wrhpr %g0, 0xc49, %hpstate ! ta T_CHANGE_NONHPRIV
8960#endif
8961 sethi %hi(0x30000), %r27
8962 andn %r28, %r27, %r28
8963 ta T_CHANGE_HPRIV
8964 stxa %r28, [%g0] 0x73
8965intvec_st_20_391:
8966memptr_20_392:
8967 set 0x60140000, %r31
8968 nop
8969 nop
8970 rd %tick, %r28
8971#if (defined PORTABLE_CORE || MAX_THREADS == 8)
8972 sethi %hi(0x3800), %r27
8973 andn %r28, %r27, %r28
8974#ifdef PORTABLE_CORE
8975 ! Add CID to vector
8976 ta T_CHANGE_HPRIV
8977 ldxa [%g0]0x63, %r27
8978 sllx %r27, 8, %r27
8979 or %r27, %r28, %r28
8980#endif
8981#endif
8982 sethi %hi(0x30000), %r27
8983 andn %r28, %r27, %r28
8984 ta T_CHANGE_HPRIV
8985 stxa %r28, [%g0] 0x73
8986 .word 0x19400001 ! 1: FBPUGE fbuge
8987intvec_st_20_393:
8988 .word 0x39400001 ! 790: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
8989 .word 0x85852638 ! 791: WRCCR_I wr %r20, 0x0638, %ccr
8990 .word 0x19400001 ! 792: FBPUGE fbuge
8991 .word 0xa1b404cd ! 793: FCMPNE32 fcmpne32 %d16, %d44, %r16
8992 .word 0x858324a2 ! 793: WRCCR_I wr %r12, 0x04a2, %ccr
8993 .word 0xe19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
8994 .word 0xc1bfdd40 ! 1: STDFA_R stda %f0, [%r0, %r31]
8995 .word 0xe19fdc00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
8996 .word 0xe19fda60 ! 1: LDDFA_R ldda [%r31, %r0], %f16
8997 nop
8998 nop
8999 rd %tick, %r28
9000#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9001 sethi %hi(0x3800), %r27
9002 andn %r28, %r27, %r28
9003#ifdef PORTABLE_CORE
9004 ! Add CID to vector
9005 ta T_CHANGE_HPRIV
9006 ldxa [%g0]0x63, %r27
9007 sllx %r27, 8, %r27
9008 or %r27, %r28, %r28
9009#endif
9010#endif
9011 sethi %hi(0x30000), %r27
9012 andn %r28, %r27, %r28
9013 ta T_CHANGE_HPRIV
9014 stxa %r28, [%g0] 0x73
9015intvec_st_20_395:
9016 nop
9017 nop
9018 mov 59, %g1
9019loopz_20_396:
9020 ldstub [%r31+0x170], %r2
9021 brnz,a %r1, loopz_20_396
9022 dec %r1
9023 .word 0x95b244c6 ! 794: FCMPNE32 fcmpne32 %d40, %d6, %r10
9024 .word 0x87afca40 ! 795: FCMPd fcmpd %fcc<n>, %f62, %f0
9025 .word 0xc32fe190 ! 796: STXFSR_I st-sfr %f1, [0x0190, %r31]
9026 .word 0x39400001 ! 797: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
9027 .word 0xd41fe0f0 ! 797: LDD_I ldd [%r31 + 0x00f0], %r10
9028 .word 0xe19fc3e0 ! 798: LDDFA_R ldda [%r31, %r0], %f16
9029 .word 0xc1bfda00 ! 799: STDFA_R stda %f0, [%r0, %r31]
9030 .word 0xd51fe0c0 ! 800: LDDF_I ldd [%r31, 0x00c0], %f10
9031 .word 0xe19fda00 ! 801: LDDFA_R ldda [%r31, %r0], %f16
9032 .word 0xc1bfde20 ! 801: STDFA_R stda %f0, [%r0, %r31]
9033 nop
9034 nop
9035 ta T_CHANGE_PRIV
9036 wrpr %g0, %g0, %gl
9037 nop
9038 nop
9039.text
9040 setx join_lbl_0_0, %g1, %g2
9041 jmp %g2
9042 nop
9043fork_lbl_0_5:
9044master_thread_stuff:
9045
9046setup_tick:
9047 setx 0xf99fc6fe021168a9, %r1, %r17
9048 wrpr %g0, %r17, %tick
9049
9050 rd %asi, %r12
9051#ifdef XIR_RND_CORES
9052setup_xir_10:
9053 setx 0x00bf768f3f16303e, %r1, %r28
9054 mov 0x30, %r17
9055 stxa %r28, [%r17] 0x41
9056#endif
9057#ifdef SPLASH_HIDECR
9058 mov 8, %r1
9059 set SPLASH_HIDECR, %r2
9060 sllx %r2, 32, %r2
9061 stxa %r2, [%r1] 0x45
9062#endif
9063#if (MULTIPASS > 0)
9064 mov 0x38, %g1
9065 ldxa [%g1]ASI_SCRATCHPAD, %r10
9066 brnz %g1, unlock_sync_thds_10
9067 wrpr %g0, %g0, %pstate
9068#endif
9069#ifndef NO_INTERNAL_SPU
9070setup_spu_10:
9071 wr %g0, 0x40, %asi
9072 !# allocate control word queue (e.g., setup head/tail/first/last registers)
9073 set CWQ_BASE, %l6
9074
9075#ifndef SPC
9076 ldxa [%g0]0x63, %o2
9077 and %o2, 0x38, %o2
9078#ifndef PORTABLE_CORE
9079 sllx %o2, 5, %o2 !(CID*256)
9080 add %l6, %o2, %l6
9081#endif
9082#endif
9083 !# write base addr to first, head, and tail ptr
9084 !# first store to first
9085 stxa %l6, [%g0 + ASI_SPU_CWQ_FIRST] %asi !# first store to first
9086
9087 stxa %l6, [%g0 + ASI_SPU_CWQ_HEAD] %asi !# then to head
9088 stxa %l6, [%g0 + ASI_SPU_CWQ_TAIL] %asi !# then to tail
9089 setx CWQ_LAST, %g1, %l5 !# then end of CWQ region to LAST
9090#ifndef SPC
9091 add %l5, %o2, %l5
9092#endif
9093 stxa %l5, [%g0 + ASI_SPU_CWQ_LAST] %asi
9094
9095 !# set CWQ control word ([39:37] is strand ID ..)
9096 best_set_reg(0x20610050, %l1, %l2) !# Control Word
9097 sllx %l2, 32, %l2
9098
9099 !# write CWQ entry (%l6 points to CWQ)
9100 stx %l2, [%l6 + 0x0]
9101
9102 setx msg, %g1, %l2
9103 stx %l2, [%l6 + 0x8] !# source address
9104
9105 stx %g0, [%l6 + 0x10] !# Authentication Key Address (40-bit)
9106 stx %g0, [%l6 + 0x18] !# Authentication IV Address (40-bit)
9107 stx %g0, [%l6 + 0x20] !# Authentication FSAS Address (40-bit)
9108 stx %g0, [%l6 + 0x28] !# Encryption Key Address (40-bit)
9109 stx %g0, [%l6 + 0x30] !# Encryption Initialization Vector Address (40-bit)
9110
9111 setx results, %g1, %o3
9112 stx %o3, [%l6 + 0x38] !# Destination Address (40-bit)
9113
9114 membar #Sync
9115
9116 ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l2
9117 add %l2, 0x40, %l2
9118 stxa %l2, [%g0 + ASI_SPU_CWQ_TAIL] %asi
9119
9120 !# Kick off the CWQ operation by writing to the CWQ_CSR
9121 !# Set the enabled bit and reset the other bits
9122 or %g0, 0x1, %g1
9123 stxa %g1, [%g0 + ASI_SPU_CWQ_CSR] %asi
9124#endif
9125unlock_sync_thds_10:
9126 set sync_thr_counter6, %r23
9127#if (!defined SPC && ! defined PORTABLE_CORE)
9128 ldxa [%g0]0x63, %o2
9129 and %o2, 0x38, %o2
9130 add %o2, %r23, %r23
9131#endif
9132 st %r0, [%r23] !unlock sync_thr_counter6
9133 sub %r23, 64, %r23
9134 st %r0, [%r23] !unlock sync_thr_counter5
9135 sub %r23, 64, %r23
9136 st %r0, [%r23] !unlock sync_thr_counter4
9137
9138 wr %r0, %r12, %asi
9139 wrhpr %g0, 0x70a, %hpstate ! ta T_CHANGE_NONHPRIV
9140 .word 0xa1454000 ! 1: RD_CLEAR_SOFTINT rd %clear_softint, %r16
9141splash_tba_10_1:
9142 nop
9143 ta T_CHANGE_PRIV
9144 setx 0x00000004003a0000, %r11, %r12
9145 .word 0x8b90000c ! 5: WRPR_TBA_R wrpr %r0, %r12, %tba
9146jmptr_10_3:
9147 nop
9148 nop
9149 best_set_reg(0xe1a00000, %r20, %r27)
9150 .word 0xb7c6c000 ! 9: JMPL_R jmpl %r27 + %r0, %r27
9151br_badelay2_10_5:
9152 .word 0xa5a489d1 ! 1: FDIVd fdivd %f18, %f48, %f18
9153 .word 0xa7703a46 ! 1: POPC_I popc 0x1a46, %r19
9154 .word 0xa3b10308 ! 13: ALIGNADDRESS alignaddr %r4, %r8, %r17
9155 .word 0xd2800b60 ! 17: LDUWA_R lduwa [%r0, %r0] 0x5b, %r9
9156 .word 0xe1bfdc00 ! 21: STDFA_R stda %f16, [%r0, %r31]
9157jmptr_10_8:
9158 nop
9159 nop
9160 best_set_reg(0xe0200000, %r20, %r27)
9161 .word 0xb7c6c000 ! 25: JMPL_R jmpl %r27 + %r0, %r27
9162 .word 0x8d802000 ! 29: WRFPRS_I wr %r0, 0x0000, %fprs
9163br_badelay2_10_11:
9164 .word 0x02800001 ! 1: BE be <label_0x1>
9165 .word 0xa7a289d3 ! 1: FDIVd fdivd %f10, %f50, %f50
9166 .word 0x91b10309 ! 33: ALIGNADDRESS alignaddr %r4, %r9, %r8
9167splash_htba_10_14:
9168 nop
9169 ta T_CHANGE_HPRIV
9170 setx 0x00000002002a0000, %r11, %r12
9171 .word 0x8b98000c ! 37: WRHPR_HTBA_R wrhpr %r0, %r12, %htba
9172#if (defined SPC || defined CMP1)
9173!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_16) , 16, 16)) -> intp(5,0,19,*,896,*,b6,1)
9174!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_16)&0xffffffff) , 16, 16)) -> intp(4,0,9,*,648,*,b6,1)
9175#else
9176 nop
9177 nop
9178 set 0xd440d1cf, %r28 !TTID : 1 (mask2tid(0x10))
9179#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9180 sethi %hi(0x3800), %r27
9181 andn %r28, %r27, %r28
9182#ifdef PORTABLE_CORE
9183 ! Add CID to vector
9184 ta T_CHANGE_HPRIV
9185 ldxa [%g0]0x63, %r27
9186 sllx %r27, 8, %r27
9187 or %r27, %r28, %r28
9188#endif
9189#else
9190 ! Add CID IF tid matches
9191ifelse(1,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9192#endif
9193ifelse(1,mask2tid(0x10),`.align 16')
9194 stxa %r28, [%g0] 0x73
9195#endif
9196intvec_10_16:
9197 .word 0x9bb044cb ! 41: FCMPNE32 fcmpne32 %d32, %d42, %r13
9198 .word 0xe1bfdf20 ! 45: STDFA_R stda %f16, [%r0, %r31]
9199 .word 0xe28008a0 ! 49: LDUWA_R lduwa [%r0, %r0] 0x45, %r17
9200splash_lsu_10_22:
9201 nop
9202 nop
9203 ta T_CHANGE_HPRIV
9204 set 0x13ac3938, %r2
9205 mov 0x5, %r1
9206 sllx %r1, 32, %r1
9207 or %r1, %r2, %r2
9208 .word 0x32800001 ! 1: BNE bne,a <label_0x1>
9209 stxa %r2, [%r0] ASI_LSU_CONTROL
9210 .word 0x3d400001 ! 53: FBPULE fbule,a,pn %fcc0, <label_0x1>
9211memptr_10_24:
9212 set user_data_start, %r31
9213 .word 0x8584ae68 ! 57: WRCCR_I wr %r18, 0x0e68, %ccr
9214demap_10_27:
9215 nop
9216 mov 0x80, %g3
9217 ta T_CHANGE_HPRIV
9218 .word 0x04800001 ! 1: BLE ble <label_0x1>
9219 stxa %g3, [%g3] 0x5f
9220 stxa %g3, [%g3] 0x5f
9221 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9222 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9223 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9224 stxa %g3, [%g3] 0x57
9225 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9226 wrhpr %g0, 0x280, %hpstate ! ta T_CHANGE_NONHPRIV
9227 .word 0xe21fe16e ! 61: LDD_I ldd [%r31 + 0x016e], %r17
9228 bvc,a skip_10_30
9229 stxa %r13, [%r0] ASI_LSU_CONTROL
9230 .word 0x97b144cd ! 1: FCMPNE32 fcmpne32 %d36, %d44, %r11
9231 stxa %r12, [%r0] ASI_LSU_CONTROL
9232.align 4096
9233skip_10_30:
9234 .word 0xf16fe1b4 ! 65: PREFETCH_I prefetch [%r31 + 0x01b4], #24
9235splash_lsu_10_31:
9236 nop
9237 nop
9238 ta T_CHANGE_HPRIV
9239 set 0xde2b2f98, %r2
9240 mov 0x4, %r1
9241 sllx %r1, 32, %r1
9242 or %r1, %r2, %r2
9243 stxa %r2, [%r0] ASI_LSU_CONTROL
9244 ta T_CHANGE_NONHPRIV
9245 .word 0x1d400001 ! 69: FBPULE fbule
9246 .word 0x97508000 ! 73: RDPR_TSTATE rdpr %tstate, %r11
9247jmptr_10_36:
9248 nop
9249 nop
9250 best_set_reg(0xe0a00000, %r20, %r27)
9251 .word 0xb7c6c000 ! 77: JMPL_R jmpl %r27 + %r0, %r27
9252jmptr_10_39:
9253 nop
9254 nop
9255 best_set_reg(0xe1200000, %r20, %r27)
9256 .word 0xb7c6c000 ! 81: JMPL_R jmpl %r27 + %r0, %r27
9257 .word 0xe2c7d040 ! 85: LDSWA_R ldswa [%r31, %r0] 0x82, %r17
9258brcommon1_10_41:
9259 nop
9260 nop
9261 setx common_target, %r12, %r27
9262 lduw [%r27-0], %r12 ! Load common dest into dcache ..
9263 stuw %r12, [%r27-4] ! Load common dest into dcache ..
9264 ba,a .+12
9265 .word 0xe7e7c720 ! 1: CASA_I casa [%r31] 0x39, %r0, %r19
9266 ba,a .+8
9267 jmpl %r27-0, %r27
9268 .word 0xa5b28494 ! 89: FCMPLE32 fcmple32 %d10, %d20, %r18
9269 .word 0xc19fe020 ! 93: LDDFA_I ldda [%r31, 0x0020], %f0
9270demap_10_44:
9271 nop
9272 mov 0x80, %g3
9273 ta T_CHANGE_HPRIV
9274 .word 0x23400001 ! 1: FBPNE fbne,a,pn %fcc0, <label_0x1>
9275 stxa %g3, [%g3] 0x57
9276 stxa %g3, [%g3] 0x57
9277 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9278 stxa %g3, [%g3] 0x5f
9279 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9280 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9281 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9282 stxa %g3, [%g3] 0x57
9283 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9284 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9285 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9286 stxa %g3, [%g3] 0x57
9287 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9288 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9289 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9290 wrhpr %g0, 0x6c0, %hpstate ! ta T_CHANGE_NONHPRIV
9291 .word 0xd61fe17a ! 97: LDD_I ldd [%r31 + 0x017a], %r11
9292cmp_10_47:
9293 nop
9294 nop
9295 ta T_CHANGE_HPRIV
9296 rd %asi, %r12
9297 wr %r0, 0x41, %asi
9298 set sync_thr_counter4, %r23
9299#ifndef SPC
9300 ldxa [%g0]0x63, %r8
9301 and %r8, 0x38, %r8 ! Core ID
9302#ifndef PORTABLE_CORE
9303 add %r8, %r23, %r23
9304#endif
9305 mov 0xff, %r9
9306#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
9307 xor %r9, 0x10, %r9 ! My core mask
9308#else
9309 xor %r9, 0x10, %r9
9310#endif
9311 sllx %r9, %r8, %r9 ! My core mask
9312#else
9313 mov 0, %r8
9314 mov 0xff, %r9
9315 xor %r9, 0x10, %r9 ! My core mask
9316#endif
9317 mov 0x10, %r10
9318cmp_startwait10_47:
9319 cas [%r23],%g0,%r10 !lock
9320 brz,a %r10, continue_cmp_10_47
9321 ldxa [0x50]%asi, %r13 !Running_rw
9322 ld [%r23], %r10
9323cmp_wait10_47:
9324 brnz,a %r10, cmp_wait10_47
9325 ld [%r23], %r10
9326 ba cmp_startwait10_47
9327 mov 0x10, %r10
9328continue_cmp_10_47:
9329 ldxa [0x58]%asi, %r14 !Running_status
9330 xnor %r14, %r13, %r14 !Bits equal
9331#ifndef PORTABLE_CORE
9332 brz,a %r8, cmp_multi_core_10_47
9333#endif
9334 mov 0xb9, %r17
9335#ifndef PORTABLE_CORE
9336 best_set_reg(0x4deaa4d2a7d0fddf, %r16, %r17)
9337#else
9338 sllx %r17, %r8, %r17
9339#endif
9340cmp_multi_core_10_47:
9341 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
9342 and %r14, %r9, %r14 !Apply core-mask
9343 stxa %r14, [0x60]%asi
9344 st %g0, [%r23] !clear lock
9345 wr %g0, %r12, %asi
9346 wrhpr %g0, 0x510, %hpstate ! ta T_CHANGE_NONHPRIV
9347 .word 0x91948011 ! 101: WRPR_PIL_R wrpr %r18, %r17, %pil
9348 ba,a skip_10_49
9349 brlz,a,pt %r19, skip_10_49
9350.align 2048
9351skip_10_49:
9352 .word 0x04cc4001 ! 105: BRLEZ brlez,pt %r17,<label_0xc4001>
9353brcommon2_10_51:
9354 nop
9355 nop
9356 setx common_target, %r12, %r27
9357 ba,a .+12
9358 .word 0x9f802100 ! 1: SIR sir 0x0100
9359 ba,a .+8
9360 jmpl %r27-4, %r27
9361 .word 0x00800001 ! 109: BN bn <label_0x1>
9362 brnz,a,pn %r10, skip_10_52
9363 fbe skip_10_52
9364.align 512
9365skip_10_52:
9366 .word 0xa3a4c9d4 ! 113: FDIVd fdivd %f50, %f20, %f48
9367 .word 0xd09fc6c0 ! 117: LDDA_R ldda [%r31, %r0] 0x36, %r8
9368brcommon2_10_55:
9369 nop
9370 nop
9371 setx common_target, %r12, %r27
9372 ba,a .+12
9373 .word 0xa7a7c960 ! 1: FMULq dis not found
9374
9375 ba,a .+8
9376 jmpl %r27-0, %r27
9377 .word 0xa1b7c7c0 ! 121: PDIST pdistn %d62, %d0, %d16
9378 .word 0x22780001 ! 125: BPE <illegal instruction>
9379 nop
9380 nop
9381 set 0x27101a85, %r28 !TTID : 2 (mask2tid(0x10))
9382#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9383 sethi %hi(0x3800), %r27
9384 andn %r28, %r27, %r28
9385#ifdef PORTABLE_CORE
9386 ! Add CID to vector
9387 ta T_CHANGE_HPRIV
9388 ldxa [%g0]0x63, %r27
9389 sllx %r27, 8, %r27
9390 or %r27, %r28, %r28
9391#endif
9392#else
9393 ! Add CID IF tid matches
9394ifelse(2,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9395#endif
9396 sethi %hi(0x30000), %r27
9397 andn %r28, %r27, %r28
9398 ta T_CHANGE_HPRIV
9399ifelse(2,mask2tid(0x10),`.align 16')
9400 stxa %r28, [%g0] 0x73
9401intvec_10_57:
9402 .word 0x39400001 ! 129: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
9403jmptr_10_58:
9404 nop
9405 nop
9406 best_set_reg(0xe1a00000, %r20, %r27)
9407 .word 0xb7c6c000 ! 133: JMPL_R jmpl %r27 + %r0, %r27
9408cmp_10_60:
9409 nop
9410 nop
9411 ta T_CHANGE_HPRIV
9412 rd %asi, %r12
9413 wr %r0, 0x41, %asi
9414 set sync_thr_counter4, %r23
9415#ifndef SPC
9416 ldxa [%g0]0x63, %r8
9417 and %r8, 0x38, %r8 ! Core ID
9418#ifndef PORTABLE_CORE
9419 add %r8, %r23, %r23
9420#endif
9421 mov 0xff, %r9
9422#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
9423 xor %r9, 0x10, %r9 ! My core mask
9424#else
9425 xor %r9, 0x10, %r9
9426#endif
9427 sllx %r9, %r8, %r9 ! My core mask
9428#else
9429 mov 0, %r8
9430 mov 0xff, %r9
9431 xor %r9, 0x10, %r9 ! My core mask
9432#endif
9433 mov 0x10, %r10
9434cmp_startwait10_60:
9435 cas [%r23],%g0,%r10 !lock
9436 brz,a %r10, continue_cmp_10_60
9437 ldxa [0x50]%asi, %r13 !Running_rw
9438 ld [%r23], %r10
9439cmp_wait10_60:
9440 brnz,a %r10, cmp_wait10_60
9441 ld [%r23], %r10
9442 ba cmp_startwait10_60
9443 mov 0x10, %r10
9444continue_cmp_10_60:
9445 ldxa [0x58]%asi, %r14 !Running_status
9446 xnor %r14, %r13, %r14 !Bits equal
9447#ifndef PORTABLE_CORE
9448 brz,a %r8, cmp_multi_core_10_60
9449#endif
9450 mov 0x8c, %r17
9451#ifndef PORTABLE_CORE
9452 best_set_reg(0xdda46d3417e4a408, %r16, %r17)
9453#else
9454 sllx %r17, %r8, %r17
9455#endif
9456cmp_multi_core_10_60:
9457 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
9458 and %r14, %r9, %r14 !Apply core-mask
9459 stxa %r14, [0x68]%asi
9460 st %g0, [%r23] !clear lock
9461 wr %g0, %r12, %asi
9462 .word 0x91914010 ! 137: WRPR_PIL_R wrpr %r5, %r16, %pil
9463 .word 0xd9e7c240 ! 141: CASA_I casa [%r31] 0x12, %r0, %r12
9464pmu_10_66:
9465 nop
9466 nop
9467 setx 0xffffffb1ffffffa0, %g1, %g7
9468 .word 0xa3800007 ! 145: WR_PERF_COUNTER_R wr %r0, %r7, %-
9469 .word 0x87802036 ! 149: WRASI_I wr %r0, 0x0036, %asi
9470cmp_10_68:
9471 nop
9472 nop
9473 ta T_CHANGE_HPRIV
9474 rd %asi, %r12
9475 wr %r0, 0x41, %asi
9476 set sync_thr_counter4, %r23
9477#ifndef SPC
9478 ldxa [%g0]0x63, %r8
9479 and %r8, 0x38, %r8 ! Core ID
9480#ifndef PORTABLE_CORE
9481 add %r8, %r23, %r23
9482#endif
9483 mov 0xff, %r9
9484#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
9485 xor %r9, 0x10, %r9 ! My core mask
9486#else
9487 xor %r9, 0x10, %r9
9488#endif
9489 sllx %r9, %r8, %r9 ! My core mask
9490#else
9491 mov 0, %r8
9492 mov 0xff, %r9
9493 xor %r9, 0x10, %r9 ! My core mask
9494#endif
9495 mov 0x10, %r10
9496cmp_startwait10_68:
9497 cas [%r23],%g0,%r10 !lock
9498 brz,a %r10, continue_cmp_10_68
9499 ldxa [0x50]%asi, %r13 !Running_rw
9500 ld [%r23], %r10
9501cmp_wait10_68:
9502 brnz,a %r10, cmp_wait10_68
9503 ld [%r23], %r10
9504 ba cmp_startwait10_68
9505 mov 0x10, %r10
9506continue_cmp_10_68:
9507 ldxa [0x58]%asi, %r14 !Running_status
9508 xnor %r14, %r13, %r14 !Bits equal
9509#ifndef PORTABLE_CORE
9510 brz,a %r8, cmp_multi_core_10_68
9511#endif
9512 mov 0xec, %r17
9513#ifndef PORTABLE_CORE
9514 best_set_reg(0x37208b74d13b341e, %r16, %r17)
9515#else
9516 sllx %r17, %r8, %r17
9517#endif
9518cmp_multi_core_10_68:
9519 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
9520 and %r14, %r9, %r14 !Apply core-mask
9521 stxa %r14, [0x68]%asi
9522 st %g0, [%r23] !clear lock
9523 wr %g0, %r12, %asi
9524 wrhpr %g0, 0x65b, %hpstate ! ta T_CHANGE_NONHPRIV
9525 .word 0xd93fe150 ! 153: STDF_I std %f12, [0x0150, %r31]
9526splash_hpstate_10_70:
9527 .word 0x81982a42 ! 157: WRHPR_HPSTATE_I wrhpr %r0, 0x0a42, %hpstate
9528 .word 0xe077c000 ! 161: STX_R stx %r16, [%r31 + %r0]
9529jmptr_10_74:
9530 nop
9531 nop
9532 best_set_reg(0xe0200000, %r20, %r27)
9533 .word 0xb7c6c000 ! 165: JMPL_R jmpl %r27 + %r0, %r27
9534 nop
9535 nop
9536 ta T_CHANGE_HPRIV ! macro
9537donret_10_76:
9538 rd %pc, %r12
9539 mov HIGHVA_HIGHNUM, %r10
9540 sllx %r10, 32, %r10
9541 or %r12, %r10, %r12
9542 add %r12, (donretarg_10_76-donret_10_76), %r12
9543 add %r12, 0x4, %r11 ! seq tnpc
9544 andn %r12, %r10, %r12 ! low VA tpc
9545 wrpr %g0, 0x1, %tl
9546 wrpr %g0, %r12, %tpc
9547 wrpr %g0, %r11, %tnpc
9548 set (0x00659c00 | (0x83 << 24)), %r13
9549 and %r12, 0xfff, %r14
9550 sllx %r14, 32, %r14
9551 or %r13, %r14, %r20
9552 wrpr %r20, %g0, %tstate
9553 wrhpr %g0, 0x6f4, %htstate
9554 best_set_reg(0xc0, %g1, %g2)
9555 wrpr %g0, %g2, %pstate ! rand=0 (10)
9556 retry
9557.align 1024
9558donretarg_10_76:
9559 .word 0xe4ffd100 ! 169: SWAPA_R swapa %r18, [%r31 + %r0] 0x88
9560brcommon3_10_79:
9561 nop
9562 nop
9563 setx common_target, %r12, %r27
9564 lduw [%r27], %r12 ! Load common dest into dcache ..
9565 stuw %r12, [%r27] ! Load common dest into dcache ..
9566 ba,a .+12
9567 .word 0xd3e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r9
9568 ba,a .+8
9569 jmpl %r27+0, %r27
9570 stxa %r20, [%r0] ASI_LSU_CONTROL
9571 .word 0x99aac823 ! 173: FMOVGE fmovs %fcc1, %f3, %f12
9572 brnz,pt %r4, skip_10_80
9573 fbn,a,pn %fcc0, skip_10_80
9574.align 512
9575skip_10_80:
9576 .word 0x19400001 ! 177: FBPUGE fbuge
9577brcommon3_10_83:
9578 nop
9579 nop
9580 setx common_target, %r12, %r27
9581 lduw [%r27-0], %r12 ! Load common dest into dcache ..
9582 stuw %r12, [%r27-0] ! Load common dest into dcache ..
9583 ba,a .+12
9584 .word 0xe9e7c240 ! 1: CASA_I casa [%r31] 0x12, %r0, %r20
9585 ba,a .+8
9586 jmpl %r27+0, %r27
9587 .word 0x819834df ! 181: WRHPR_HPSTATE_I wrhpr %r0, 0x14df, %hpstate
9588brcommon3_10_86:
9589 nop
9590 nop
9591 setx common_target, %r12, %r27
9592 lduw [%r27], %r12 ! Load common dest into dcache ..
9593 stuw %r12, [%r27] ! Load common dest into dcache ..
9594 ba,a .+12
9595 .word 0xe937c000 ! 1: STQF_R - %f20, [%r0, %r31]
9596 ba,a .+8
9597 jmpl %r27+0, %r27
9598 stxa %r14, [%r0] ASI_LSU_CONTROL
9599 .word 0xa7aac82b ! 185: FMOVGE fmovs %fcc1, %f11, %f19
9600 .word 0xe8800b00 ! 189: LDUWA_R lduwa [%r0, %r0] 0x58, %r20
9601 nop
9602 nop
9603 set 0xb870bef7, %r28 !TTID : 6 (mask2tid(0x10))
9604#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9605 sethi %hi(0x3800), %r27
9606 andn %r28, %r27, %r28
9607#ifdef PORTABLE_CORE
9608 ! Add CID to vector
9609 ta T_CHANGE_HPRIV
9610 ldxa [%g0]0x63, %r27
9611 sllx %r27, 8, %r27
9612 or %r27, %r28, %r28
9613#endif
9614#else
9615 ! Add CID IF tid matches
9616ifelse(6,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9617#endif
9618 sethi %hi(0x30000), %r27
9619 andn %r28, %r27, %r28
9620 ta T_CHANGE_HPRIV
9621ifelse(6,mask2tid(0x10),`.align 16')
9622 stxa %r28, [%g0] 0x73
9623intvec_10_89:
9624 .word 0x39400001 ! 193: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
9625memptr_10_90:
9626 set user_data_start, %r31
9627 .word 0x85827174 ! 197: WRCCR_I wr %r9, 0x1174, %ccr
9628splash_tba_10_93:
9629 nop
9630 ta T_CHANGE_PRIV
9631 set 0x120000, %r12
9632 .word 0x8b90000c ! 201: WRPR_TBA_R wrpr %r0, %r12, %tba
9633 bge,a skip_10_95
9634 stxa %r13, [%r0] ASI_LSU_CONTROL
9635 .word 0x9ba1c9c5 ! 1: FDIVd fdivd %f38, %f36, %f44
9636 stxa %r12, [%r0] ASI_LSU_CONTROL
9637.align 128
9638skip_10_95:
9639 .word 0xc32fc000 ! 205: STXFSR_R st-sfr %f1, [%r0, %r31]
9640memptr_10_97:
9641 set 0x60540000, %r31
9642 .word 0x85846d34 ! 209: WRCCR_I wr %r17, 0x0d34, %ccr
9643 .word 0xe137c000 ! 213: STQF_R - %f16, [%r0, %r31]
9644cmp_10_102:
9645 nop
9646 nop
9647 ta T_CHANGE_HPRIV
9648 rd %asi, %r12
9649 wr %r0, 0x41, %asi
9650 set sync_thr_counter4, %r23
9651#ifndef SPC
9652 ldxa [%g0]0x63, %r8
9653 and %r8, 0x38, %r8 ! Core ID
9654#ifndef PORTABLE_CORE
9655 add %r8, %r23, %r23
9656#endif
9657 mov 0xff, %r9
9658#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
9659 xor %r9, 0x10, %r9 ! My core mask
9660#else
9661 xor %r9, 0x10, %r9
9662#endif
9663 sllx %r9, %r8, %r9 ! My core mask
9664#else
9665 mov 0, %r8
9666 mov 0xff, %r9
9667 xor %r9, 0x10, %r9 ! My core mask
9668#endif
9669 mov 0x10, %r10
9670cmp_startwait10_102:
9671 cas [%r23],%g0,%r10 !lock
9672 brz,a %r10, continue_cmp_10_102
9673 ldxa [0x50]%asi, %r13 !Running_rw
9674 ld [%r23], %r10
9675cmp_wait10_102:
9676 brnz,a %r10, cmp_wait10_102
9677 ld [%r23], %r10
9678 ba cmp_startwait10_102
9679 mov 0x10, %r10
9680continue_cmp_10_102:
9681 ldxa [0x58]%asi, %r14 !Running_status
9682 xnor %r14, %r13, %r14 !Bits equal
9683#ifndef PORTABLE_CORE
9684 brz,a %r8, cmp_multi_core_10_102
9685#endif
9686 mov 0xf0, %r17
9687#ifndef PORTABLE_CORE
9688 best_set_reg(0xe8921b98688e360c, %r16, %r17)
9689#else
9690 sllx %r17, %r8, %r17
9691#endif
9692cmp_multi_core_10_102:
9693 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
9694 and %r14, %r9, %r14 !Apply core-mask
9695 stxa %r14, [0x68]%asi
9696 st %g0, [%r23] !clear lock
9697 wr %g0, %r12, %asi
9698 wrhpr %g0, 0xada, %hpstate ! ta T_CHANGE_NONHPRIV
9699 .word 0x91914006 ! 217: WRPR_PIL_R wrpr %r5, %r6, %pil
9700 .word 0xe4c7dd40 ! 221: LDSWA_R ldswa [%r31, %r0] 0xea, %r18
9701 .word 0xe327c000 ! 225: STF_R st %f17, [%r0, %r31]
9702 .word 0xe397d100 ! 229: LDQFA_R - [%r31, %r0], %f17
9703brcommon1_10_107:
9704 nop
9705 nop
9706 setx common_target, %r12, %r27
9707 lduw [%r27-4], %r12 ! Load common dest into dcache ..
9708 stuw %r12, [%r27-0] ! Load common dest into dcache ..
9709 ba,a .+12
9710 .word 0xe3e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r17
9711 ba,a .+8
9712 jmpl %r27-0, %r27
9713 .word 0x93b10493 ! 233: FCMPLE32 fcmple32 %d4, %d50, %r9
9714splash_tba_10_110:
9715 nop
9716 ta T_CHANGE_PRIV
9717 setx 0x0000000000380000, %r11, %r12
9718 .word 0x8b90000c ! 237: WRPR_TBA_R wrpr %r0, %r12, %tba
9719 fbge skip_10_113
9720 stxa %r12, [%r0] ASI_LSU_CONTROL
9721 .word 0x87ac4a47 ! 1: FCMPd fcmpd %fcc<n>, %f48, %f38
9722 stxa %r13, [%r0] ASI_LSU_CONTROL
9723.align 4096
9724skip_10_113:
9725 .word 0xc30fc000 ! 241: LDXFSR_R ld-fsr [%r31, %r0], %f1
9726demap_10_114:
9727 nop
9728 mov 0x80, %g3
9729 ta T_CHANGE_HPRIV
9730 .word 0x24800001 ! 1: BLE ble,a <label_0x1>
9731 stxa %g3, [%g3] 0x5f
9732 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9733 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9734 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9735 stxa %g3, [%g3] 0x5f
9736 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9737 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9738 stxa %g3, [%g3] 0x5f
9739 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9740 stxa %g3, [%g3] 0x57
9741 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9742 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9743 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9744 stxa %g3, [%g3] 0x57
9745 stxa %g3, [%g3] 0x57
9746 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9747 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9748 wrhpr %g0, 0x20b, %hpstate ! ta T_CHANGE_NONHPRIV
9749 .word 0xda1fe152 ! 245: LDD_I ldd [%r31 + 0x0152], %r13
9750 .word 0xe19fe060 ! 249: LDDFA_I ldda [%r31, 0x0060], %f16
9751mondo_10_117:
9752 nop
9753 nop
9754 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
9755 ta T_CHANGE_PRIV
9756 stxa %r19, [%r0+0x3e0] %asi
9757 stxa %r19, [%r0+0x3d0] %asi
9758 .word 0x8780201c ! 1: WRASI_I wr %r0, 0x001c, %asi
9759 .word 0x9d948001 ! 253: WRPR_WSTATE_R wrpr %r18, %r1, %wstate
9760cancelint_10_120:
9761 rdhpr %halt, %r19
9762 .word 0x85880000 ! 257: ALLCLEAN <illegal instruction>
9763brcommon1_10_121:
9764 nop
9765 nop
9766 setx common_target, %r12, %r27
9767 lduw [%r27-4], %r12 ! Load common dest into dcache ..
9768 stuw %r12, [%r27-4] ! Load common dest into dcache ..
9769 ba,a .+12
9770 .word 0xa7a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f50
9771 ba,a .+8
9772 jmpl %r27-4, %r27
9773 .word 0x00800001 ! 261: BN bn <label_0x1>
9774br_longdelay2_10_123:
9775 .word 0x27400001 ! 1: FBPUL fbul,a,pn %fcc0, <label_0x1>
9776 .word 0xf16fe170 ! 265: PREFETCH_I prefetch [%r31 + 0x0170], #24
9777 .word 0x36780001 ! 269: BPGE <illegal instruction>
9778 .word 0x87802036 ! 273: WRASI_I wr %r0, 0x0036, %asi
9779splash_hpstate_10_128:
9780 ta T_CHANGE_NONHPRIV
9781 .word 0x81982e15 ! 277: WRHPR_HPSTATE_I wrhpr %r0, 0x0e15, %hpstate
9782 .word 0xe8bfd100 ! 281: STDA_R stda %r20, [%r31 + %r0] 0x88
9783splash_lsu_10_132:
9784 nop
9785 nop
9786 ta T_CHANGE_HPRIV
9787 set 0xa12cde7d, %r2
9788 mov 0x6, %r1
9789 sllx %r1, 32, %r1
9790 or %r1, %r2, %r2
9791 stxa %r2, [%r0] ASI_LSU_CONTROL
9792 ta T_CHANGE_NONHPRIV
9793 .word 0x3d400001 ! 285: FBPULE fbule,a,pn %fcc0, <label_0x1>
9794 nop
9795 nop
9796 set 0x7d80e5bc, %r28 !TTID : 5 (mask2tid(0x10))
9797#if (defined PORTABLE_CORE || MAX_THREADS == 8)
9798 sethi %hi(0x3800), %r27
9799 andn %r28, %r27, %r28
9800#ifdef PORTABLE_CORE
9801 ! Add CID to vector
9802 ta T_CHANGE_HPRIV
9803 ldxa [%g0]0x63, %r27
9804 sllx %r27, 8, %r27
9805 or %r27, %r28, %r28
9806#endif
9807#else
9808 ! Add CID IF tid matches
9809ifelse(5,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
9810#endif
9811 sethi %hi(0x30000), %r27
9812 andn %r28, %r27, %r28
9813 ta T_CHANGE_HPRIV
9814ifelse(5,mask2tid(0x10),`.align 16')
9815 stxa %r28, [%g0] 0x73
9816intvec_10_135:
9817 .word 0x91a489d0 ! 289: FDIVd fdivd %f18, %f16, %f8
9818memptr_10_137:
9819 set 0x60540000, %r31
9820 .word 0x858138a2 ! 293: WRCCR_I wr %r4, 0x18a2, %ccr
9821splash_lsu_10_140:
9822 nop
9823 nop
9824 ta T_CHANGE_HPRIV
9825 set 0xe350ee81, %r2
9826 mov 0x4, %r1
9827 sllx %r1, 32, %r1
9828 or %r1, %r2, %r2
9829 stxa %r2, [%r0] ASI_LSU_CONTROL
9830 ta T_CHANGE_NONHPRIV
9831 .word 0x1d400001 ! 297: FBPULE fbule
9832pmu_10_142:
9833 nop
9834 nop
9835 setx 0xffffffbfffffffab, %g1, %g7
9836 .word 0xa3800007 ! 301: WR_PERF_COUNTER_R wr %r0, %r7, %-
9837brcommon2_10_145:
9838 nop
9839 nop
9840 setx common_target, %r12, %r27
9841 ba,a .+12
9842 .word 0x99b7c700 ! 1: FMULD8SUx16 fmuld8ulx16 %f31, %f0, %d12
9843 ba,a .+8
9844 jmpl %r27-0, %r27
9845 .word 0xe19fdb40 ! 305: LDDFA_R ldda [%r31, %r0], %f16
9846intveclr_10_146:
9847 nop
9848 nop
9849 ta T_CHANGE_HPRIV
9850 setx 0x32526ea8e9c58683, %r1, %r28
9851 stxa %r28, [%g0] 0x72
9852 .word 0x05400002 ! 309: FBPLG fblg
9853 .word 0xe49fe130 ! 313: LDDA_I ldda [%r31, + 0x0130] %asi, %r18
9854 nop
9855 nop
9856 ta T_CHANGE_HPRIV ! macro
9857donret_10_148:
9858 rd %pc, %r12
9859 mov HIGHVA_HIGHNUM, %r10
9860 sllx %r10, 32, %r10
9861 or %r12, %r10, %r12
9862 add %r12, (donretarg_10_148-donret_10_148+4), %r12
9863 add %r12, 0x4, %r11 ! seq tnpc
9864 andn %r11, %r10, %r11 ! low VA tnpc
9865 wrpr %g0, 0x1, %tl
9866 wrpr %g0, %r12, %tpc
9867 wrpr %g0, %r11, %tnpc
9868 set (0x00de1700 | (16 << 24)), %r13
9869 and %r12, 0xfff, %r14
9870 sllx %r14, 32, %r14
9871 or %r13, %r14, %r20
9872 wrpr %r20, %g0, %tstate
9873 wrhpr %g0, 0x1f03, %htstate
9874 best_set_reg(0xb23, %g1, %g2)
9875 wrpr %g0, %g2, %pstate ! rand=0 (10)
9876 .word 0x23400001 ! 1: FBPNE fbne,a,pn %fcc0, <label_0x1>
9877 retry
9878donretarg_10_148:
9879 .word 0x93a289c6 ! 317: FDIVd fdivd %f10, %f6, %f40
9880jmptr_10_151:
9881 nop
9882 nop
9883 best_set_reg(0xe0a00000, %r20, %r27)
9884 .word 0xb7c6c000 ! 321: JMPL_R jmpl %r27 + %r0, %r27
9885splash_lsu_10_152:
9886 nop
9887 nop
9888 ta T_CHANGE_HPRIV
9889 set 0x8faf3be9, %r2
9890 mov 0x1, %r1
9891 sllx %r1, 32, %r1
9892 or %r1, %r2, %r2
9893 stxa %r2, [%r0] ASI_LSU_CONTROL
9894 .word 0x3d400001 ! 325: FBPULE fbule,a,pn %fcc0, <label_0x1>
9895demap_10_155:
9896 nop
9897 mov 0x80, %g3
9898 ta T_CHANGE_HPRIV
9899 .word 0x03400001 ! 1: FBPNE fbne
9900 stxa %g3, [%g3] 0x57
9901 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9902 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9903 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
9904 stxa %g3, [%g3] 0x5f
9905 stxa %g3, [%g3] 0x57
9906 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9907 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9908 stxa %g3, [%g3] 0x57
9909 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9910 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9911 wrhpr %g0, 0x1da, %hpstate ! ta T_CHANGE_NONHPRIV
9912 .word 0xd61fe1cc ! 329: LDD_I ldd [%r31 + 0x01cc], %r11
9913 nop
9914 nop
9915 mov 0x1, %r11
9916splash_cmpr_10_158:
9917 sllx %r11, 63, %r11
9918 not %r11, %r11
9919 rd %tick, %r10
9920#if (defined SPC || defined CMP1)
9921 add %r10, 0x250, %r10
9922#else
9923 add %r10, 0x380, %r10
9924#endif
9925 and %r10, %r11, %r10
9926 ta T_CHANGE_PRIV
9927 rd %tick, %r10
9928#if (defined SPC || defined CMP1)
9929 add %r10, 0x250, %r10
9930#else
9931 add %r10, 0x900, %r10
9932#endif
9933 and %r10, %r11, %r10
9934 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
9935#if (defined SPC || defined CMP1)
9936!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_10_158)+8 , 16, 16)) -> intp(0,0,9,*,920,*,f5,1)
9937!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_10_158)&0xffffffff)+8 , 16, 16)) -> intp(4,0,31,*,1000,*,f5,1)
9938#endif
9939 wrhpr %g0, 0x0, %halt ! HALT
9940 .word 0x81b01021 ! 333: SIAM siam 1
9941splash_lsu_10_161:
9942 nop
9943 nop
9944 ta T_CHANGE_HPRIV
9945 set 0x76502226, %r2
9946 mov 0x6, %r1
9947 sllx %r1, 32, %r1
9948 or %r1, %r2, %r2
9949 .word 0x37400002 ! 1: FBPGE fbge,a,pn %fcc0, <label_0x2>
9950 stxa %r2, [%r0] ASI_LSU_CONTROL
9951 .word 0x3d400001 ! 337: FBPULE fbule,a,pn %fcc0, <label_0x1>
9952 nop
9953 nop
9954 ta T_CHANGE_HPRIV ! macro
9955donret_10_162:
9956 rd %pc, %r12
9957 mov HIGHVA_HIGHNUM, %r10
9958 sllx %r10, 32, %r10
9959 or %r12, %r10, %r12
9960 add %r12, (donretarg_10_162-donret_10_162+4), %r12
9961 add %r12, 0x4, %r11 ! seq tnpc
9962 wrpr %g0, 0x2, %tl
9963 wrpr %g0, %r12, %tpc
9964 wrpr %g0, %r11, %tnpc
9965 set (0x005c4b00 | (0x8b << 24)), %r13
9966 and %r12, 0xfff, %r14
9967 sllx %r14, 32, %r14
9968 or %r13, %r14, %r20
9969 wrpr %r20, %g0, %tstate
9970 wrhpr %g0, 0x546, %htstate
9971 best_set_reg(0xa5b, %g1, %g2)
9972 wrpr %g0, %g2, %pstate ! rand=0 (10)
9973 ldx [%r12+%r0], %g1
9974 retry
9975donretarg_10_162:
9976 .word 0x8d90271f ! 341: WRPR_PSTATE_I wrpr %r0, 0x071f, %pstate
9977demap_10_165:
9978 nop
9979 mov 0x80, %g3
9980 ta T_CHANGE_HPRIV
9981 stxa %r15, [%r0] ASI_LSU_CONTROL
9982 stxa %g3, [%g3] 0x5f
9983 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9984 stxa %g3, [%g3] 0x57
9985 stxa %g3, [%g3] 0x57
9986 stxa %g3, [%g3] 0x5f
9987 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
9988 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
9989 wrhpr %g0, 0xf42, %hpstate ! ta T_CHANGE_NONHPRIV
9990 .word 0xd41fe175 ! 345: LDD_I ldd [%r31 + 0x0175], %r10
9991memptr_10_166:
9992 set 0x60740000, %r31
9993 .word 0x858473b7 ! 349: WRCCR_I wr %r17, 0x13b7, %ccr
9994jmptr_10_167:
9995 nop
9996 nop
9997 best_set_reg(0xe1200000, %r20, %r27)
9998 .word 0xb7c6c000 ! 353: JMPL_R jmpl %r27 + %r0, %r27
9999memptr_10_169:
10000 set 0x60140000, %r31
10001 .word 0x85843c31 ! 357: WRCCR_I wr %r16, 0x1c31, %ccr
10002cmp_10_172:
10003 nop
10004 nop
10005 ta T_CHANGE_HPRIV
10006 rd %asi, %r12
10007 wr %r0, 0x41, %asi
10008 set sync_thr_counter4, %r23
10009#ifndef SPC
10010 ldxa [%g0]0x63, %r8
10011 and %r8, 0x38, %r8 ! Core ID
10012#ifndef PORTABLE_CORE
10013 add %r8, %r23, %r23
10014#endif
10015 mov 0xff, %r9
10016#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
10017 xor %r9, 0x10, %r9 ! My core mask
10018#else
10019 xor %r9, 0x10, %r9
10020#endif
10021 sllx %r9, %r8, %r9 ! My core mask
10022#else
10023 mov 0, %r8
10024 mov 0xff, %r9
10025 xor %r9, 0x10, %r9 ! My core mask
10026#endif
10027 mov 0x10, %r10
10028cmp_startwait10_172:
10029 cas [%r23],%g0,%r10 !lock
10030 brz,a %r10, continue_cmp_10_172
10031 ldxa [0x50]%asi, %r13 !Running_rw
10032 ld [%r23], %r10
10033cmp_wait10_172:
10034 brnz,a %r10, cmp_wait10_172
10035 ld [%r23], %r10
10036 ba cmp_startwait10_172
10037 mov 0x10, %r10
10038continue_cmp_10_172:
10039 ldxa [0x58]%asi, %r14 !Running_status
10040 xnor %r14, %r13, %r14 !Bits equal
10041#ifndef PORTABLE_CORE
10042 brz,a %r8, cmp_multi_core_10_172
10043#endif
10044 mov 0x8f, %r17
10045#ifndef PORTABLE_CORE
10046 best_set_reg(0xf52bba20436cba48, %r16, %r17)
10047#else
10048 sllx %r17, %r8, %r17
10049#endif
10050cmp_multi_core_10_172:
10051 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
10052 and %r14, %r9, %r14 !Apply core-mask
10053 stxa %r14, [0x68]%asi
10054 st %g0, [%r23] !clear lock
10055 wr %g0, %r12, %asi
10056 .word 0x91904012 ! 361: WRPR_PIL_R wrpr %r1, %r18, %pil
10057 .word 0xc32fc000 ! 365: STXFSR_R st-sfr %f1, [%r0, %r31]
10058trapasi_10_175:
10059 nop
10060 mov 0x10, %r1 ! (VA for ASI 0x49)
10061 .word 0xd4d84920 ! 369: LDXA_R ldxa [%r1, %r0] 0x49, %r10
10062splash_hpstate_10_178:
10063 .word 0x81982f46 ! 373: WRHPR_HPSTATE_I wrhpr %r0, 0x0f46, %hpstate
10064jmptr_10_179:
10065 nop
10066 nop
10067 best_set_reg(0xe1a00000, %r20, %r27)
10068 .word 0xb7c6c000 ! 377: JMPL_R jmpl %r27 + %r0, %r27
10069demap_10_181:
10070 nop
10071 mov 0x80, %g3
10072 ta T_CHANGE_HPRIV
10073 .word 0x3a800001 ! 1: BCC bcc,a <label_0x1>
10074 stxa %g3, [%g3] 0x5f
10075 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10076 stxa %g3, [%g3] 0x5f
10077 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10078 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10079 stxa %g3, [%g3] 0x57
10080 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10081 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10082 stxa %g3, [%g3] 0x57
10083 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10084 stxa %g3, [%g3] 0x57
10085 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10086 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10087 stxa %g3, [%g3] 0x57
10088 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10089 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10090 stxa %g3, [%g3] 0x5f
10091 stxa %g3, [%g3] 0x5f
10092 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10093 wrhpr %g0, 0xc9a, %hpstate ! ta T_CHANGE_NONHPRIV
10094 .word 0xe61fe13c ! 381: LDD_I ldd [%r31 + 0x013c], %r19
10095 .word 0xe727e077 ! 385: STF_I st %f19, [0x0077, %r31]
10096intveclr_10_184:
10097 nop
10098 nop
10099 ta T_CHANGE_HPRIV
10100 setx 0xcb1d52f6c3160732, %r1, %r28
10101 stxa %r28, [%g0] 0x72
10102 .word 0x25400001 ! 389: FBPLG fblg,a,pn %fcc0, <label_0x1>
10103splash_tba_10_185:
10104 nop
10105 ta T_CHANGE_PRIV
10106 setx 0x00000000003a0000, %r11, %r12
10107 .word 0x8b90000c ! 393: WRPR_TBA_R wrpr %r0, %r12, %tba
10108 .word 0xe19fdb40 ! 397: LDDFA_R ldda [%r31, %r0], %f16
10109splash_lsu_10_189:
10110 nop
10111 nop
10112 ta T_CHANGE_HPRIV
10113 set 0x55ddb87b, %r2
10114 mov 0x5, %r1
10115 sllx %r1, 32, %r1
10116 or %r1, %r2, %r2
10117 .word 0x0f400002 ! 1: FBPU fbu
10118 stxa %r2, [%r0] ASI_LSU_CONTROL
10119 .word 0x1d400001 ! 401: FBPULE fbule
10120br_longdelay1_10_191:
10121 .word 0x0b400001 ! 1: FBPUG fbug
10122 .word 0x9d97c000 ! 405: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10123 .word 0xe19fe100 ! 409: LDDFA_I ldda [%r31, 0x0100], %f16
10124#if (defined SPC || defined CMP1)
10125!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_194) , 16, 16)) -> intp(4,0,12,*,752,*,fc,1)
10126!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_194)&0xffffffff) , 16, 16)) -> intp(1,0,17,*,720,*,fc,1)
10127#else
10128 nop
10129 nop
10130 set 0x8af01637, %r28 !TTID : 6 (mask2tid(0x10))
10131#if (defined PORTABLE_CORE || MAX_THREADS == 8)
10132 sethi %hi(0x3800), %r27
10133 andn %r28, %r27, %r28
10134#ifdef PORTABLE_CORE
10135 ! Add CID to vector
10136 ta T_CHANGE_HPRIV
10137 ldxa [%g0]0x63, %r27
10138 sllx %r27, 8, %r27
10139 or %r27, %r28, %r28
10140#endif
10141#else
10142 ! Add CID IF tid matches
10143ifelse(6,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
10144#endif
10145ifelse(6,mask2tid(0x10),`.align 16')
10146 stxa %r28, [%g0] 0x73
10147#endif
10148intvec_10_194:
10149#if (defined SPC || defined CMP1)
10150 wrhpr %g0, 0x0, %halt ! HALT
10151#else
10152ifelse(6,mask2tid(0x10),`wrhpr %g0, 0x0, %halt ! HALT')
10153#endif
10154 .word 0x19400002 ! 413: FBPUGE fbuge
10155memptr_10_198:
10156 set user_data_start, %r31
10157 .word 0x85812b9b ! 417: WRCCR_I wr %r4, 0x0b9b, %ccr
10158demap_10_200:
10159 nop
10160 mov 0x80, %g3
10161 ta T_CHANGE_HPRIV
10162 .word 0x06cc8001 ! 1: BRLZ brlz,pt %r18,<label_0xc8001>
10163 stxa %g3, [%g3] 0x5f
10164 stxa %g3, [%g3] 0x57
10165 stxa %g3, [%g3] 0x5f
10166 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10167 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10168 stxa %g3, [%g3] 0x5f
10169 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10170 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10171 stxa %g3, [%g3] 0x5f
10172 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10173 stxa %g3, [%g3] 0x5f
10174 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10175 stxa %g3, [%g3] 0x57
10176 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10177 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10178 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10179 wrhpr %g0, 0xd11, %hpstate ! ta T_CHANGE_NONHPRIV
10180 .word 0xe61fe15a ! 421: LDD_I ldd [%r31 + 0x015a], %r19
10181 nop
10182 nop
10183 ta T_CHANGE_HPRIV
10184 mov 0x1, %r11
10185splash_cmpr_10_201:
10186 sllx %r11, 63, %r11
10187 not %r11, %r11
10188 rd %tick, %r10
10189#if (defined SPC || defined CMP1)
10190 add %r10, 0x200, %r10
10191#else
10192 add %r10, 0xc00, %r10
10193#endif
10194 and %r10, %r11, %r10
10195 wrhpr %r10, %g0, %hsys_tick_cmpr
10196 wrhpr %g0, 0x0, %halt ! HALT
10197 rd %tick, %r10
10198#if (defined SPC || defined CMP1)
10199 add %r10, 0x100, %r10
10200#else
10201 add %r10, 0x700, %r10
10202#endif
10203 and %r10, %r11, %r10
10204 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
10205#if (defined SPC || defined CMP1)
10206!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_10_201)+8 , 16, 16)) -> intp(1,0,17,*,712,*,f1,1)
10207!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_10_201)&0xffffffff)+8 , 16, 16)) -> intp(7,0,30,*,656,*,f1,1)
10208#endif
10209 wrhpr %g0, 0x0, %halt ! HALT
10210 .word 0x81982638 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0638, %hpstate
10211 .word 0x81b01021 ! 425: SIAM siam 1
10212 .word 0xc1bfdb40 ! 429: STDFA_R stda %f0, [%r0, %r31]
10213brcommon3_10_206:
10214 nop
10215 nop
10216 setx common_target, %r12, %r27
10217 lduw [%r27-0], %r12 ! Load common dest into dcache ..
10218 stuw %r12, [%r27-4] ! Load common dest into dcache ..
10219 ba,a .+12
10220 .word 0xe737c000 ! 1: STQF_R - %f19, [%r0, %r31]
10221 ba,a .+8
10222 jmpl %r27+0, %r27
10223 .word 0x8d902cad ! 433: WRPR_PSTATE_I wrpr %r0, 0x0cad, %pstate
10224 .word 0xe727c000 ! 437: STF_R st %f19, [%r0, %r31]
10225 .word 0x8d802004 ! 441: WRFPRS_I wr %r0, 0x0004, %fprs
10226cmp_10_210:
10227 nop
10228 nop
10229 ta T_CHANGE_HPRIV
10230 rd %asi, %r12
10231 wr %r0, 0x41, %asi
10232 set sync_thr_counter4, %r23
10233#ifndef SPC
10234 ldxa [%g0]0x63, %r8
10235 and %r8, 0x38, %r8 ! Core ID
10236#ifndef PORTABLE_CORE
10237 add %r8, %r23, %r23
10238#endif
10239 mov 0xff, %r9
10240#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
10241 xor %r9, 0x10, %r9 ! My core mask
10242#else
10243 xor %r9, 0x10, %r9
10244#endif
10245 sllx %r9, %r8, %r9 ! My core mask
10246#else
10247 mov 0, %r8
10248 mov 0xff, %r9
10249 xor %r9, 0x10, %r9 ! My core mask
10250#endif
10251 mov 0x10, %r10
10252cmp_startwait10_210:
10253 cas [%r23],%g0,%r10 !lock
10254 brz,a %r10, continue_cmp_10_210
10255 ldxa [0x50]%asi, %r13 !Running_rw
10256 ld [%r23], %r10
10257cmp_wait10_210:
10258 brnz,a %r10, cmp_wait10_210
10259 ld [%r23], %r10
10260 ba cmp_startwait10_210
10261 mov 0x10, %r10
10262continue_cmp_10_210:
10263 ldxa [0x58]%asi, %r14 !Running_status
10264 xnor %r14, %r13, %r14 !Bits equal
10265#ifndef PORTABLE_CORE
10266 brz,a %r8, cmp_multi_core_10_210
10267#endif
10268 mov 0x76, %r17
10269#ifndef PORTABLE_CORE
10270 best_set_reg(0xc743be4e2c645519, %r16, %r17)
10271#else
10272 sllx %r17, %r8, %r17
10273#endif
10274cmp_multi_core_10_210:
10275 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
10276 and %r14, %r9, %r14 !Apply core-mask
10277 stxa %r14, [0x68]%asi
10278 st %g0, [%r23] !clear lock
10279 wr %g0, %r12, %asi
10280 .word 0xe03fe110 ! 445: STD_I std %r16, [%r31 + 0x0110]
10281 .word 0xd297d100 ! 449: LDUHA_R lduha [%r31, %r0] 0x88, %r9
10282splash_lsu_10_215:
10283 nop
10284 nop
10285 ta T_CHANGE_HPRIV
10286 set 0x55275168, %r2
10287 mov 0x5, %r1
10288 sllx %r1, 32, %r1
10289 or %r1, %r2, %r2
10290 stxa %r2, [%r0] ASI_LSU_CONTROL
10291 ta T_CHANGE_NONHPRIV
10292 .word 0x3d400001 ! 453: FBPULE fbule,a,pn %fcc0, <label_0x1>
10293dvapa_10_218:
10294 nop
10295 nop
10296 ta T_CHANGE_HPRIV
10297 mov 0xcff, %r20
10298 mov 0x7, %r19
10299 sllx %r20, 23, %r20
10300 or %r19, %r20, %r19
10301 stxa %r19, [%g0] ASI_LSU_CONTROL
10302 mov 0x38, %r18
10303 stxa %r31, [%r18]0x58
10304 wrhpr %g0, 0xac2, %hpstate ! ta T_CHANGE_NONHPRIV
10305 .word 0xe19fdf20 ! 457: LDDFA_R ldda [%r31, %r0], %f16
10306 .word 0xd2d7d100 ! 461: LDSHA_R ldsha [%r31, %r0] 0x88, %r9
10307cancelint_10_219:
10308 rdhpr %halt, %r17
10309 .word 0x85880000 ! 465: ALLCLEAN <illegal instruction>
10310demap_10_222:
10311 nop
10312 mov 0x80, %g3
10313 ta T_CHANGE_HPRIV
10314 .word 0x2f400001 ! 1: FBPU fbu,a,pn %fcc0, <label_0x1>
10315 stxa %g3, [%g3] 0x57
10316 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10317 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10318 stxa %g3, [%g3] 0x5f
10319 stxa %g3, [%g3] 0x57
10320 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10321 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10322 stxa %g3, [%g3] 0x5f
10323 stxa %g3, [%g3] 0x57
10324 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10325 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10326 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10327 wrhpr %g0, 0x9d8, %hpstate ! ta T_CHANGE_NONHPRIV
10328 .word 0xe01fe138 ! 469: LDD_I ldd [%r31 + 0x0138], %r16
10329 .word 0xe08008a0 ! 473: LDUWA_R lduwa [%r0, %r0] 0x45, %r16
10330splash_lsu_10_226:
10331 nop
10332 nop
10333 ta T_CHANGE_HPRIV
10334 set 0x911d317d, %r2
10335 mov 0x2, %r1
10336 sllx %r1, 32, %r1
10337 or %r1, %r2, %r2
10338 stxa %r2, [%r0] ASI_LSU_CONTROL
10339 .word 0x3d400002 ! 477: FBPULE fbule,a,pn %fcc0, <label_0x2>
10340 .word 0xc1bfdd40 ! 481: STDFA_R stda %f0, [%r0, %r31]
10341cmp_10_232:
10342 nop
10343 nop
10344 ta T_CHANGE_HPRIV
10345 rd %asi, %r12
10346 wr %r0, 0x41, %asi
10347 set sync_thr_counter4, %r23
10348#ifndef SPC
10349 ldxa [%g0]0x63, %r8
10350 and %r8, 0x38, %r8 ! Core ID
10351#ifndef PORTABLE_CORE
10352 add %r8, %r23, %r23
10353#endif
10354 mov 0xff, %r9
10355#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
10356 xor %r9, 0x10, %r9 ! My core mask
10357#else
10358 xor %r9, 0x10, %r9
10359#endif
10360 sllx %r9, %r8, %r9 ! My core mask
10361#else
10362 mov 0, %r8
10363 mov 0xff, %r9
10364 xor %r9, 0x10, %r9 ! My core mask
10365#endif
10366 mov 0x10, %r10
10367cmp_startwait10_232:
10368 cas [%r23],%g0,%r10 !lock
10369 brz,a %r10, continue_cmp_10_232
10370 ldxa [0x50]%asi, %r13 !Running_rw
10371 ld [%r23], %r10
10372cmp_wait10_232:
10373 brnz,a %r10, cmp_wait10_232
10374 ld [%r23], %r10
10375 ba cmp_startwait10_232
10376 mov 0x10, %r10
10377continue_cmp_10_232:
10378 ldxa [0x58]%asi, %r14 !Running_status
10379 xnor %r14, %r13, %r14 !Bits equal
10380#ifndef PORTABLE_CORE
10381 brz,a %r8, cmp_multi_core_10_232
10382#endif
10383 mov 0x18, %r17
10384#ifndef PORTABLE_CORE
10385 best_set_reg(0x0ce52db929ef1057, %r16, %r17)
10386#else
10387 sllx %r17, %r8, %r17
10388#endif
10389cmp_multi_core_10_232:
10390 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
10391 and %r14, %r9, %r14 !Apply core-mask
10392 stxa %r14, [0x68]%asi
10393 st %g0, [%r23] !clear lock
10394 wr %g0, %r12, %asi
10395 .word 0x91944011 ! 485: WRPR_PIL_R wrpr %r17, %r17, %pil
10396 nop
10397 nop
10398 set 0x23c09beb, %r28 !TTID : 3 (mask2tid(0x10))
10399#if (defined PORTABLE_CORE || MAX_THREADS == 8)
10400 sethi %hi(0x3800), %r27
10401 andn %r28, %r27, %r28
10402#ifdef PORTABLE_CORE
10403 ! Add CID to vector
10404 ta T_CHANGE_HPRIV
10405 ldxa [%g0]0x63, %r27
10406 sllx %r27, 8, %r27
10407 or %r27, %r28, %r28
10408#endif
10409#else
10410 ! Add CID IF tid matches
10411ifelse(3,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
10412#endif
10413 sethi %hi(0x30000), %r27
10414 andn %r28, %r27, %r28
10415 ta T_CHANGE_HPRIV
10416ifelse(3,mask2tid(0x10),`.align 16')
10417 stxa %r28, [%g0] 0x73
10418intvec_10_235:
10419 .word 0xa3b404d4 ! 489: FCMPNE32 fcmpne32 %d16, %d20, %r17
10420memptr_10_237:
10421 set user_data_start, %r31
10422 .word 0x858472fd ! 493: WRCCR_I wr %r17, 0x12fd, %ccr
10423frzptr_10_239:
10424 nop
10425 nop
10426 best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
10427 ldx [%r27+0xc], %r20
10428 jmpl %r27, %r27
10429 .word 0x00800002 ! 497: BN bn <label_0x2>
10430mondo_10_240:
10431 nop
10432 nop
10433 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
10434 stxa %r2, [%r0+0x3d0] %asi
10435 stxa %r13, [%r0+0x3d8] %asi
10436 .word 0x87802082 ! 1: WRASI_I wr %r0, 0x0082, %asi
10437 .word 0x9d934012 ! 501: WRPR_WSTATE_R wrpr %r13, %r18, %wstate
10438 .word 0x3b400001 ! 1: FBPLE fble,a,pn %fcc0, <label_0x1>
10439 .word 0x8d903c09 ! 505: WRPR_PSTATE_I wrpr %r0, 0x1c09, %pstate
10440splash_tick_10_245:
10441 nop
10442 nop
10443 ta T_CHANGE_HPRIV
10444 best_set_reg(0x356f1b9edfacfcc3, %r16, %r17)
10445 .word 0x8980000a ! 509: WRTICK_R wr %r0, %r10, %tick
10446cmp_10_247:
10447 nop
10448 nop
10449 ta T_CHANGE_HPRIV
10450 rd %asi, %r12
10451 wr %r0, 0x41, %asi
10452 set sync_thr_counter4, %r23
10453#ifndef SPC
10454 ldxa [%g0]0x63, %r8
10455 and %r8, 0x38, %r8 ! Core ID
10456#ifndef PORTABLE_CORE
10457 add %r8, %r23, %r23
10458#endif
10459 mov 0xff, %r9
10460#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
10461 xor %r9, 0x10, %r9 ! My core mask
10462#else
10463 xor %r9, 0x10, %r9
10464#endif
10465 sllx %r9, %r8, %r9 ! My core mask
10466#else
10467 mov 0, %r8
10468 mov 0xff, %r9
10469 xor %r9, 0x10, %r9 ! My core mask
10470#endif
10471 mov 0x10, %r10
10472cmp_startwait10_247:
10473 cas [%r23],%g0,%r10 !lock
10474 brz,a %r10, continue_cmp_10_247
10475 ldxa [0x50]%asi, %r13 !Running_rw
10476 ld [%r23], %r10
10477cmp_wait10_247:
10478 brnz,a %r10, cmp_wait10_247
10479 ld [%r23], %r10
10480 ba cmp_startwait10_247
10481 mov 0x10, %r10
10482continue_cmp_10_247:
10483 ldxa [0x58]%asi, %r14 !Running_status
10484 xnor %r14, %r13, %r14 !Bits equal
10485#ifndef PORTABLE_CORE
10486 brz,a %r8, cmp_multi_core_10_247
10487#endif
10488 mov 0x1b, %r17
10489#ifndef PORTABLE_CORE
10490 best_set_reg(0x566350c9f341dc65, %r16, %r17)
10491#else
10492 sllx %r17, %r8, %r17
10493#endif
10494cmp_multi_core_10_247:
10495 and %r14, %r17, %r14 !Apply set/clear mask to bits equal
10496 and %r14, %r9, %r14 !Apply core-mask
10497 stxa %r14, [0x60]%asi
10498 st %g0, [%r23] !clear lock
10499 wr %g0, %r12, %asi
10500 wrhpr %g0, 0x11a, %hpstate ! ta T_CHANGE_NONHPRIV
10501 .word 0xe51fe1e0 ! 513: LDDF_I ldd [%r31, 0x01e0], %f18
10502 .word 0x8d802004 ! 517: WRFPRS_I wr %r0, 0x0004, %fprs
10503intveclr_10_251:
10504 nop
10505 nop
10506 ta T_CHANGE_HPRIV
10507 setx 0x6527063e41152a48, %r1, %r28
10508 stxa %r28, [%g0] 0x72
10509 wrhpr %g0, 0x459, %hpstate ! ta T_CHANGE_NONHPRIV
10510 .word 0x25400002 ! 521: FBPLG fblg,a,pn %fcc0, <label_0x2>
10511memptr_10_253:
10512 set 0x60540000, %r31
10513 .word 0x85842d22 ! 525: WRCCR_I wr %r16, 0x0d22, %ccr
10514 .word 0x33400001 ! 1: FBPE fbe,a,pn %fcc0, <label_0x1>
10515 .word 0x8d903145 ! 529: WRPR_PSTATE_I wrpr %r0, 0x1145, %pstate
10516 nop
10517 nop
10518 set 0xec801d69, %r28 !TTID : 5 (mask2tid(0x10))
10519#if (defined PORTABLE_CORE || MAX_THREADS == 8)
10520 sethi %hi(0x3800), %r27
10521 andn %r28, %r27, %r28
10522#ifdef PORTABLE_CORE
10523 ! Add CID to vector
10524 ta T_CHANGE_HPRIV
10525 ldxa [%g0]0x63, %r27
10526 sllx %r27, 8, %r27
10527 or %r27, %r28, %r28
10528#endif
10529#else
10530 ! Add CID IF tid matches
10531ifelse(5,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
10532#endif
10533 sethi %hi(0x30000), %r27
10534 andn %r28, %r27, %r28
10535 ta T_CHANGE_HPRIV
10536ifelse(5,mask2tid(0x10),`.align 16')
10537 stxa %r28, [%g0] 0x73
10538intvec_10_259:
10539 .word 0x95a109c2 ! 533: FDIVd fdivd %f4, %f2, %f10
10540demap_10_261:
10541 nop
10542 mov 0x80, %g3
10543 ta T_CHANGE_HPRIV
10544 stxa %r20, [%r0] ASI_LSU_CONTROL
10545 stxa %g3, [%g3] 0x5f
10546 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10547 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10548 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10549 stxa %g3, [%g3] 0x57
10550 stxa %g3, [%g3] 0x57
10551 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10552 stxa %g3, [%g3] 0x5f
10553 stxa %g3, [%g3] 0x57
10554 stxa %g3, [%g3] 0x5f
10555 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10556 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10557 wrhpr %g0, 0x880, %hpstate ! ta T_CHANGE_NONHPRIV
10558 .word 0xd61fe0c0 ! 537: LDD_I ldd [%r31 + 0x00c0], %r11
10559cancelint_10_263:
10560 rdhpr %halt, %r12
10561 .word 0x85880000 ! 541: ALLCLEAN <illegal instruction>
10562splash_lsu_10_264:
10563 nop
10564 nop
10565 ta T_CHANGE_HPRIV
10566 set 0xcb294960, %r2
10567 mov 0x4, %r1
10568 sllx %r1, 32, %r1
10569 or %r1, %r2, %r2
10570 stxa %r2, [%r0] ASI_LSU_CONTROL
10571 .word 0x3d400001 ! 545: FBPULE fbule,a,pn %fcc0, <label_0x1>
10572fpinit_10_267:
10573 nop
10574 setx fp_data_quads, %r19, %r20
10575 ldd [%r20], %f0
10576 ldd [%r20+8], %f4
10577 ld [%r20+16], %fsr
10578 ld [%r20+24], %r19
10579 wr %r19, %g0, %gsr
10580 .word 0x91b00484 ! 549: FCMPLE32 fcmple32 %d0, %d4, %r8
10581 .word 0xd137e036 ! 553: STQF_I - %f8, [0x0036, %r31]
10582#if (defined SPC || defined CMP1)
10583!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_272) , 16, 16)) -> intp(2,0,6,*,960,*,70,1)
10584!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_272)&0xffffffff) , 16, 16)) -> intp(1,0,11,*,640,*,70,1)
10585#else
10586 nop
10587 nop
10588 set 0x7e904263, %r28 !TTID : 2 (mask2tid(0x10))
10589#if (defined PORTABLE_CORE || MAX_THREADS == 8)
10590 sethi %hi(0x3800), %r27
10591 andn %r28, %r27, %r28
10592#ifdef PORTABLE_CORE
10593 ! Add CID to vector
10594 ta T_CHANGE_HPRIV
10595 ldxa [%g0]0x63, %r27
10596 sllx %r27, 8, %r27
10597 or %r27, %r28, %r28
10598#endif
10599#else
10600 ! Add CID IF tid matches
10601ifelse(2,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
10602#endif
10603ifelse(2,mask2tid(0x10),`.align 16')
10604 stxa %r28, [%g0] 0x73
10605#endif
10606intvec_10_272:
10607 .word 0x19400001 ! 557: FBPUGE fbuge
10608fpinit_10_275:
10609 nop
10610 setx fp_data_quads, %r19, %r20
10611 ldd [%r20], %f0
10612 ldd [%r20+8], %f4
10613 ld [%r20+16], %fsr
10614 ld [%r20+24], %r19
10615 wr %r19, %g0, %gsr
10616 .word 0x87a80a44 ! 561: FCMPd fcmpd %fcc<n>, %f0, %f4
10617 .word 0xe2cfd140 ! 565: LDSBA_R ldsba [%r31, %r0] 0x8a, %r17
10618splash_lsu_10_280:
10619 nop
10620 nop
10621 ta T_CHANGE_HPRIV
10622 set 0x3ce007fb, %r2
10623 mov 0x5, %r1
10624 sllx %r1, 32, %r1
10625 or %r1, %r2, %r2
10626 stxa %r2, [%r0] ASI_LSU_CONTROL
10627 ta T_CHANGE_NONHPRIV
10628 .word 0x3d400001 ! 569: FBPULE fbule,a,pn %fcc0, <label_0x1>
10629 nop
10630 nop
10631 set 0xe410821d, %r28 !TTID : 2 (mask2tid(0x10))
10632#if (defined PORTABLE_CORE || MAX_THREADS == 8)
10633 sethi %hi(0x3800), %r27
10634 andn %r28, %r27, %r28
10635#ifdef PORTABLE_CORE
10636 ! Add CID to vector
10637 ta T_CHANGE_HPRIV
10638 ldxa [%g0]0x63, %r27
10639 sllx %r27, 8, %r27
10640 or %r27, %r28, %r28
10641#endif
10642#else
10643 ! Add CID IF tid matches
10644ifelse(2,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
10645#endif
10646 sethi %hi(0x30000), %r27
10647 andn %r28, %r27, %r28
10648 ta T_CHANGE_HPRIV
10649ifelse(2,mask2tid(0x10),`.align 16')
10650 stxa %r28, [%g0] 0x73
10651intvec_10_283:
10652 .word 0x91a289c1 ! 573: FDIVd fdivd %f10, %f32, %f8
10653jmptr_10_284:
10654 nop
10655 nop
10656 best_set_reg(0xe0200000, %r20, %r27)
10657 .word 0xb7c6c000 ! 577: JMPL_R jmpl %r27 + %r0, %r27
10658 .word 0xe1bfe160 ! 581: STDFA_I stda %f16, [0x0160, %r31]
10659 .word 0xe937c000 ! 585: STQF_R - %f20, [%r0, %r31]
10660br_longdelay2_10_288:
10661 .word 0x06cb4001 ! 1: BRLZ brlz,pt %r13,<label_0xb4001>
10662 .word 0x87afca40 ! 589: FCMPd fcmpd %fcc<n>, %f62, %f0
10663#if (defined SPC || defined CMP1)
10664!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_290) , 16, 16)) -> intp(7,0,21,*,1000,*,79,1)
10665!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_290)&0xffffffff) , 16, 16)) -> intp(4,0,28,*,992,*,79,1)
10666#else
10667 nop
10668 nop
10669 set 0x42a01802, %r28 !TTID : 0 (mask2tid(0x10))
10670#if (defined PORTABLE_CORE || MAX_THREADS == 8)
10671 sethi %hi(0x3800), %r27
10672 andn %r28, %r27, %r28
10673#ifdef PORTABLE_CORE
10674 ! Add CID to vector
10675 ta T_CHANGE_HPRIV
10676 ldxa [%g0]0x63, %r27
10677 sllx %r27, 8, %r27
10678 or %r27, %r28, %r28
10679#endif
10680#else
10681 ! Add CID IF tid matches
10682ifelse(0,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
10683#endif
10684ifelse(0,mask2tid(0x10),`.align 16')
10685 stxa %r28, [%g0] 0x73
10686#endif
10687intvec_10_290:
10688 .word 0x9ba4c9c4 ! 593: FDIVd fdivd %f50, %f4, %f44
10689demap_10_293:
10690 nop
10691 mov 0x80, %g3
10692 ta T_CHANGE_HPRIV
10693 .word 0x2d400001 ! 1: FBPG fbg,a,pn %fcc0, <label_0x1>
10694 stxa %g3, [%g3] 0x57
10695 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10696 wrhpr %g0, 0x292, %hpstate ! ta T_CHANGE_NONHPRIV
10697 .word 0xd01fe030 ! 597: LDD_I ldd [%r31 + 0x0030], %r8
10698splash_hpstate_10_294:
10699 .word 0x18800001 ! 1: BGU bgu <label_0x1>
10700 .word 0x81982e15 ! 601: WRHPR_HPSTATE_I wrhpr %r0, 0x0e15, %hpstate
10701brcommon1_10_295:
10702 nop
10703 nop
10704 setx common_target, %r12, %r27
10705 lduw [%r27-0], %r12 ! Load common dest into dcache ..
10706 stuw %r12, [%r27-0] ! Load common dest into dcache ..
10707 ba,a .+12
10708 .word 0x91a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f8
10709 ba,a .+8
10710 jmpl %r27-4, %r27
10711 .word 0x93a309b0 ! 605: FDIVs fdivs %f12, %f16, %f9
10712brcommon3_10_296:
10713 nop
10714 nop
10715 setx common_target, %r12, %r27
10716 lduw [%r27-4], %r12 ! Load common dest into dcache ..
10717 stuw %r12, [%r27-0] ! Load common dest into dcache ..
10718 ba,a .+12
10719 .word 0xd1e7d140 ! 1: CASA_I casa [%r31] 0x8a, %r0, %r8
10720 ba,a .+8
10721 jmpl %r27+0, %r27
10722 .word 0x20800001 ! 609: BN bn,a <label_0x1>
10723 .word 0xc32fc000 ! 613: STXFSR_R st-sfr %f1, [%r0, %r31]
10724jmptr_10_300:
10725 nop
10726 nop
10727 best_set_reg(0xe0a00000, %r20, %r27)
10728 .word 0xb7c6c000 ! 617: JMPL_R jmpl %r27 + %r0, %r27
10729 .word 0xe8c7dc40 ! 621: LDSWA_R ldswa [%r31, %r0] 0xe2, %r20
10730memptr_10_303:
10731 set user_data_start, %r31
10732 .word 0x85846579 ! 625: WRCCR_I wr %r17, 0x0579, %ccr
10733trapasi_10_305:
10734 nop
10735 mov 0x0, %r1 ! (VA for ASI 0x72)
10736 .word 0xe2d84e40 ! 629: LDXA_R ldxa [%r1, %r0] 0x72, %r17
10737 .word 0xe2d7c400 ! 633: LDSHA_R ldsha [%r31, %r0] 0x20, %r17
10738demap_10_307:
10739 nop
10740 mov 0x80, %g3
10741 ta T_CHANGE_HPRIV
10742 .word 0x35400001 ! 1: FBPUE fbue,a,pn %fcc0, <label_0x1>
10743 stxa %g3, [%g3] 0x5f
10744 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10745 stxa %g3, [%g3] 0x57
10746 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10747 stxa %g3, [%g3] 0x57
10748 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10749 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10750 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10751 stxa %g3, [%g3] 0x57
10752 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10753 stxa %g3, [%g3] 0x57
10754 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10755 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10756 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10757 stxa %g3, [%g3] 0x5f
10758 wrhpr %g0, 0x389, %hpstate ! ta T_CHANGE_NONHPRIV
10759 .word 0xd01fe1a0 ! 637: LDD_I ldd [%r31 + 0x01a0], %r8
10760 fbn skip_10_310
10761 stxa %r13, [%r0] ASI_LSU_CONTROL
10762 .word 0xa7a049c2 ! 1: FDIVd fdivd %f32, %f2, %f50
10763 stxa %r6, [%r0] ASI_LSU_CONTROL
10764.align 512
10765skip_10_310:
10766 .word 0xe63fe195 ! 641: STD_I std %r19, [%r31 + 0x0195]
10767 .word 0xd48fdc40 ! 645: LDUBA_R lduba [%r31, %r0] 0xe2, %r10
10768 nop
10769 nop
10770 ta T_CHANGE_HPRIV
10771 mov 0x0, %r11
10772splash_cmpr_10_314:
10773 sllx %r11, 63, %r11
10774 not %r11, %r11
10775 rd %tick, %r10
10776#if (defined SPC || defined CMP1)
10777 add %r10, 0x250, %r10
10778#else
10779 add %r10, 0x900, %r10
10780#endif
10781 and %r10, %r11, %r10
10782 wrhpr %r10, %g0, %hsys_tick_cmpr
10783 rd %tick, %r10
10784#if (defined SPC || defined CMP1)
10785 add %r10, 0x200, %r10
10786#else
10787 add %r10, 0x900, %r10
10788#endif
10789 and %r10, %r11, %r10
10790 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
10791 .word 0x81982595 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0595, %hpstate
10792 .word 0x81b01021 ! 649: SIAM siam 1
10793brcommon2_10_317:
10794 nop
10795 nop
10796 setx common_target, %r12, %r27
10797 ba,a .+12
10798 .word 0x81dfc000 ! 1: FLUSH_R flush %r31, %r0, %r0
10799 ba,a .+8
10800 jmpl %r27-4, %r27
10801 .word 0x00800001 ! 653: BN bn <label_0x1>
10802splash_lsu_10_319:
10803 nop
10804 nop
10805 ta T_CHANGE_HPRIV
10806 set 0x8816ad05, %r2
10807 mov 0x3, %r1
10808 sllx %r1, 32, %r1
10809 or %r1, %r2, %r2
10810 .word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
10811 stxa %r2, [%r0] ASI_LSU_CONTROL
10812 ta T_CHANGE_NONHPRIV
10813 .word 0x1d400002 ! 657: FBPULE fbule
10814intveclr_10_321:
10815 nop
10816 nop
10817 ta T_CHANGE_HPRIV
10818 setx 0x97f6090519990171, %r1, %r28
10819 stxa %r28, [%g0] 0x72
10820 .word 0x25400001 ! 661: FBPLG fblg,a,pn %fcc0, <label_0x1>
10821demap_10_323:
10822 nop
10823 mov 0x80, %g3
10824 ta T_CHANGE_HPRIV
10825 stxa %r19, [%r0] ASI_LSU_CONTROL
10826 stxa %g3, [%g3] 0x57
10827 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10828 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10829 stxa %g3, [%g3] 0x57
10830 stxa %g3, [%g3] 0x57
10831 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10832 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10833 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10834 stxa %g3, [%g3] 0x57
10835 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10836 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10837 stxa %g3, [%g3] 0x5f
10838 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10839 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10840 stxa %g3, [%g3] 0x57
10841 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10842 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
10843 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
10844 wrhpr %g0, 0xe43, %hpstate ! ta T_CHANGE_NONHPRIV
10845 .word 0xd81fe15b ! 665: LDD_I ldd [%r31 + 0x015b], %r12
10846jmptr_10_326:
10847 nop
10848 nop
10849 best_set_reg(0xe1200000, %r20, %r27)
10850 .word 0xb7c6c000 ! 669: JMPL_R jmpl %r27 + %r0, %r27
10851 fbge skip_10_328
10852 bvs,a skip_10_328
10853.align 512
10854skip_10_328:
10855 .word 0x39400001 ! 673: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
10856splash_lsu_10_330:
10857 nop
10858 nop
10859 ta T_CHANGE_HPRIV
10860 set 0xf40de218, %r2
10861 mov 0x6, %r1
10862 sllx %r1, 32, %r1
10863 or %r1, %r2, %r2
10864 stxa %r2, [%r0] ASI_LSU_CONTROL
10865 ta T_CHANGE_NONHPRIV
10866 .word 0x3d400001 ! 677: FBPULE fbule,a,pn %fcc0, <label_0x1>
10867intveclr_10_332:
10868 nop
10869 nop
10870 ta T_CHANGE_HPRIV
10871 setx 0x4bab58001c63e53b, %r1, %r28
10872 stxa %r28, [%g0] 0x72
10873 wrhpr %g0, 0xa11, %hpstate ! ta T_CHANGE_NONHPRIV
10874 .word 0x25400001 ! 681: FBPLG fblg,a,pn %fcc0, <label_0x1>
10875br_badelay3_10_335:
10876 .word 0x02800001 ! 1: BE be <label_0x1>
10877 .word 0x14800001 ! 1: BG bg <label_0x1>
10878 .word 0x97a189c5 ! 1: FDIVd fdivd %f6, %f36, %f42
10879 .word 0x95a04834 ! 685: FADDs fadds %f1, %f20, %f10
10880 bleu,a skip_10_336
10881 stxa %r13, [%r0] ASI_LSU_CONTROL
10882 bl skip_10_336
10883 stxa %r6, [%r0] ASI_LSU_CONTROL
10884.align 512
10885skip_10_336:
10886 .word 0xf16fe153 ! 689: PREFETCH_I prefetch [%r31 + 0x0153], #24
10887 nop
10888 nop
10889 ta T_CHANGE_HPRIV
10890 mov 0x1, %r11
10891splash_cmpr_10_339:
10892 sllx %r11, 63, %r11
10893 not %r11, %r11
10894 rd %tick, %r10
10895#if (defined SPC || defined CMP1)
10896 add %r10, 0x200, %r10
10897#else
10898 add %r10, 0x900, %r10
10899#endif
10900 and %r10, %r11, %r10
10901 wrhpr %r10, %g0, %hsys_tick_cmpr
10902 wrhpr %g0, 0x0, %halt ! HALT
10903 ta T_CHANGE_PRIV
10904 rd %tick, %r10
10905#if (defined SPC || defined CMP1)
10906 add %r10, 0x150, %r10
10907#else
10908 add %r10, 0x380, %r10
10909#endif
10910 and %r10, %r11, %r10
10911 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
10912#if (defined SPC || defined CMP1)
10913!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_10_339)+8 , 16, 16)) -> intp(0,0,29,*,656,*,3c,1)
10914!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_10_339)&0xffffffff)+8 , 16, 16)) -> intp(2,0,8,*,728,*,3c,1)
10915#endif
10916 wrhpr %g0, 0x0, %halt ! HALT
10917 .word 0x81982e9f ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0e9f, %hpstate
10918 .word 0x81b01021 ! 693: SIAM siam 1
10919 fbe,a,pn %fcc0, skip_10_343
10920 stxa %r15, [%r0] ASI_LSU_CONTROL
10921 .word 0xa7a309d3 ! 1: FDIVd fdivd %f12, %f50, %f50
10922 stxa %r20, [%r0] ASI_LSU_CONTROL
10923.align 512
10924skip_10_343:
10925 .word 0xf16fe19a ! 697: PREFETCH_I prefetch [%r31 + 0x019a], #24
10926brcommon3_10_345:
10927 nop
10928 nop
10929 setx common_target, %r12, %r27
10930 lduw [%r27], %r12 ! Load common dest into dcache ..
10931 stuw %r12, [%r27] ! Load common dest into dcache ..
10932 ba,a .+12
10933 .word 0xe9e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r20
10934 ba,a .+8
10935 jmpl %r27+0, %r27
10936 stxa %r9, [%r0] ASI_LSU_CONTROL
10937 .word 0xa3aac829 ! 701: FMOVGE fmovs %fcc1, %f9, %f17
10938 .word 0xd71fe128 ! 705: LDDF_I ldd [%r31, 0x0128], %f11
10939 nop
10940 nop
10941 ta T_CHANGE_HPRIV ! macro
10942donret_10_349:
10943 rd %pc, %r12
10944 mov HIGHVA_HIGHNUM, %r10
10945 sllx %r10, 32, %r10
10946 or %r12, %r10, %r12
10947 add %r12, (donretarg_10_349-donret_10_349), %r12
10948 add %r12, 0x4, %r11 ! seq tnpc
10949 andn %r11, %r10, %r11 ! low VA tnpc
10950 wrpr %g0, 0x1, %tl
10951 wrpr %g0, %r12, %tpc
10952 wrpr %g0, %r11, %tnpc
10953 set (0x00bba400 | (0x8b << 24)), %r13
10954 and %r12, 0xfff, %r14
10955 sllx %r14, 32, %r14
10956 or %r13, %r14, %r20
10957 wrpr %r20, %g0, %tstate
10958 wrhpr %g0, 0x1c59, %htstate
10959 wrhpr %g0, 0xf0b, %hpstate ! rand=1 (10)
10960 ldx [%r11+%r0], %g1
10961 done
10962donretarg_10_349:
10963 .word 0x99a1c9c1 ! 709: FDIVd fdivd %f38, %f32, %f12
10964splash_tick_10_352:
10965 nop
10966 nop
10967 ta T_CHANGE_HPRIV
10968 best_set_reg(0x9a53488474e157a6, %r16, %r17)
10969 .word 0x8980000a ! 713: WRTICK_R wr %r0, %r10, %tick
10970brcommon3_10_355:
10971 nop
10972 nop
10973 setx common_target, %r12, %r27
10974 lduw [%r27], %r12 ! Load common dest into dcache ..
10975 stuw %r12, [%r27] ! Load common dest into dcache ..
10976 ba,a .+12
10977 .word 0xd9e7c380 ! 1: CASA_I casa [%r31] 0x1c, %r0, %r12
10978 ba,a .+8
10979 jmpl %r27+0, %r27
10980 stxa %r19, [%r0] ASI_LSU_CONTROL
10981 .word 0xa1aac832 ! 717: FMOVGE fmovs %fcc1, %f18, %f16
10982 .word 0xd737e108 ! 721: STQF_I - %f11, [0x0108, %r31]
10983memptr_10_359:
10984 set 0x60340000, %r31
10985 .word 0x858435ee ! 725: WRCCR_I wr %r16, 0x15ee, %ccr
10986jmptr_10_361:
10987 nop
10988 nop
10989 best_set_reg(0xe1a00000, %r20, %r27)
10990 .word 0xb7c6c000 ! 729: JMPL_R jmpl %r27 + %r0, %r27
10991demap_10_363:
10992 nop
10993 mov 0x80, %g3
10994 ta T_CHANGE_HPRIV
10995 stxa %r15, [%r0] ASI_LSU_CONTROL
10996 stxa %g3, [%g3] 0x5f
10997 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
10998 stxa %g3, [%g3] 0x5f
10999 stxa %g3, [%g3] 0x5f
11000 stxa %g3, [%g3] 0x5f
11001 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11002 wrhpr %g0, 0x8ca, %hpstate ! ta T_CHANGE_NONHPRIV
11003 .word 0xd61fe028 ! 733: LDD_I ldd [%r31 + 0x0028], %r11
11004 nop
11005 nop
11006 ta T_CHANGE_HPRIV
11007 mov 0x0, %r11
11008splash_cmpr_10_365:
11009 sllx %r11, 63, %r11
11010 not %r11, %r11
11011 rd %tick, %r10
11012#if (defined SPC || defined CMP1)
11013 add %r10, 0x250, %r10
11014#else
11015 add %r10, 0x900, %r10
11016#endif
11017 and %r10, %r11, %r10
11018 wrhpr %r10, %g0, %hsys_tick_cmpr
11019 rd %tick, %r10
11020#if (defined SPC || defined CMP1)
11021 add %r10, 0x200, %r10
11022#else
11023 add %r10, 0x900, %r10
11024#endif
11025 and %r10, %r11, %r10
11026 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
11027 .word 0x81983c8f ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1c8f, %hpstate
11028 .word 0x81b01021 ! 737: SIAM siam 1
11029 .word 0xd0800c00 ! 741: LDUWA_R lduwa [%r0, %r0] 0x60, %r8
11030 .word 0x8d802004 ! 745: WRFPRS_I wr %r0, 0x0004, %fprs
11031ibp_10_369:
11032 nop
11033 nop
11034 .word 0xa7b047c9 ! 749: PDIST pdistn %d32, %d40, %d50
11035demap_10_370:
11036 nop
11037 mov 0x80, %g3
11038 ta T_CHANGE_HPRIV
11039 .word 0x24ca8001 ! 1: BRLEZ brlez,a,pt %r10,<label_0xa8001>
11040 stxa %g3, [%g3] 0x5f
11041 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11042 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11043 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11044 stxa %g3, [%g3] 0x5f
11045 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11046 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11047 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11048 stxa %g3, [%g3] 0x57
11049 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11050 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11051 stxa %g3, [%g3] 0x5f
11052 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11053 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11054 stxa %g3, [%g3] 0x5f
11055 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11056 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
11057 wrhpr %g0, 0x5c9, %hpstate ! ta T_CHANGE_NONHPRIV
11058 .word 0xd81fe169 ! 753: LDD_I ldd [%r31 + 0x0169], %r12
11059memptr_10_374:
11060 set 0x60740000, %r31
11061 .word 0x8584bcaf ! 757: WRCCR_I wr %r18, 0x1caf, %ccr
11062splash_lsu_10_376:
11063 nop
11064 nop
11065 ta T_CHANGE_HPRIV
11066 set 0xd7805c5c, %r2
11067 mov 0x2, %r1
11068 sllx %r1, 32, %r1
11069 or %r1, %r2, %r2
11070 stxa %r2, [%r0] ASI_LSU_CONTROL
11071 ta T_CHANGE_NONHPRIV
11072 .word 0x3d400002 ! 761: FBPULE fbule,a,pn %fcc0, <label_0x2>
11073 nop
11074 nop
11075 set 0xcad07c39, %r28 !TTID : 4 (mask2tid(0x10))
11076#if (defined PORTABLE_CORE || MAX_THREADS == 8)
11077 sethi %hi(0x3800), %r27
11078 andn %r28, %r27, %r28
11079#ifdef PORTABLE_CORE
11080 ! Add CID to vector
11081 ta T_CHANGE_HPRIV
11082 ldxa [%g0]0x63, %r27
11083 sllx %r27, 8, %r27
11084 or %r27, %r28, %r28
11085#endif
11086#else
11087 ! Add CID IF tid matches
11088ifelse(4,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
11089#endif
11090 sethi %hi(0x30000), %r27
11091 andn %r28, %r27, %r28
11092 ta T_CHANGE_HPRIV
11093ifelse(4,mask2tid(0x10),`.align 16')
11094 stxa %r28, [%g0] 0x73
11095intvec_10_377:
11096 .word 0x93b344d1 ! 765: FCMPNE32 fcmpne32 %d44, %d48, %r9
11097memptr_10_380:
11098 set 0x60740000, %r31
11099 .word 0x85853c41 ! 769: WRCCR_I wr %r20, 0x1c41, %ccr
11100memptr_10_384:
11101 set user_data_start, %r31
11102 .word 0x85846035 ! 773: WRCCR_I wr %r17, 0x0035, %ccr
11103intveclr_10_386:
11104 nop
11105 nop
11106 ta T_CHANGE_HPRIV
11107 setx 0x239b229ed8d10232, %r1, %r28
11108 stxa %r28, [%g0] 0x72
11109 .word 0x05400001 ! 777: FBPLG fblg
11110 .word 0x87802089 ! 781: WRASI_I wr %r0, 0x0089, %asi
11111 .word 0xda97dc40 ! 785: LDUHA_R lduha [%r31, %r0] 0xe2, %r13
11112#if (defined SPC || defined CMP1)
11113!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_390) , 16, 16)) -> intp(4,0,10,*,936,*,9a,1)
11114!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_390)&0xffffffff) , 16, 16)) -> intp(4,0,20,*,968,*,9a,1)
11115#else
11116 nop
11117 nop
11118 set 0xa520703c, %r28 !TTID : 0 (mask2tid(0x10))
11119#if (defined PORTABLE_CORE || MAX_THREADS == 8)
11120 sethi %hi(0x3800), %r27
11121 andn %r28, %r27, %r28
11122#ifdef PORTABLE_CORE
11123 ! Add CID to vector
11124 ta T_CHANGE_HPRIV
11125 ldxa [%g0]0x63, %r27
11126 sllx %r27, 8, %r27
11127 or %r27, %r28, %r28
11128#endif
11129#else
11130 ! Add CID IF tid matches
11131ifelse(0,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
11132#endif
11133ifelse(0,mask2tid(0x10),`.align 16')
11134 stxa %r28, [%g0] 0x73
11135#endif
11136intvec_10_390:
11137#if (defined SPC || defined CMP1)
11138 wrhpr %g0, 0x0, %halt ! HALT
11139#else
11140ifelse(0,mask2tid(0x10),`wrhpr %g0, 0x0, %halt ! HALT')
11141#endif
11142 .word 0x91b0c4c7 ! 789: FCMPNE32 fcmpne32 %d34, %d38, %r8
11143demap_10_394:
11144 nop
11145 mov 0x80, %g3
11146 ta T_CHANGE_HPRIV
11147 stxa %r17, [%r0] ASI_LSU_CONTROL
11148 stxa %g3, [%g3] 0x57
11149 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11150 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11151 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
11152 stxa %g3, [%g3] 0x57
11153 stxa %g3, [%g3] 0x57
11154 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
11155 stxa %g3, [%g3] 0x5f
11156 wrhpr %g0, 0xd90, %hpstate ! ta T_CHANGE_NONHPRIV
11157 .word 0xe01fe058 ! 793: LDD_I ldd [%r31 + 0x0058], %r16
11158jmptr_10_397:
11159 nop
11160 nop
11161 best_set_reg(0xe0200000, %r20, %r27)
11162 .word 0xb7c6c000 ! 797: JMPL_R jmpl %r27 + %r0, %r27
11163cmpenall_10_398:
11164 nop
11165 nop
11166 ta T_CHANGE_HPRIV
11167 rd %asi, %r12
11168 wr %r0, 0x41, %asi
11169 set sync_thr_counter4, %r23
11170#ifndef SPC
11171 ldxa [%g0]0x63, %r8
11172 and %r8, 0x38, %r8 ! Core ID
11173#ifndef PORTABLE_CORE
11174 add %r8, %r23, %r23
11175#endif
11176 mov 0xff, %r9
11177 sllx %r9, %r8, %r9 ! My core mask
11178#else
11179 mov 0xff, %r9 ! My core mask
11180#endif
11181cmpenall_startwait10_398:
11182 mov 0x10, %r10
11183 cas [%r23],%g0,%r10 !lock
11184 brz,a %r10, continue_cmpenall_10_398
11185 nop
11186cmpenall_wait10_398:
11187 ld [%r23], %r10
11188 brnz %r10, cmpenall_wait10_398
11189 nop
11190 ba,a cmpenall_startwait10_398
11191continue_cmpenall_10_398:
11192 ldxa [0x58]%asi, %r14 !Running_status
11193wait_for_cmpstat_10_398:
11194 ldxa [0x50]%asi, %r13 !Running_rw
11195 cmp %r13, %r14
11196 bne,a %xcc, wait_for_cmpstat_10_398
11197 ldxa [0x58]%asi, %r14 !Running_status
11198 ldxa [0x10]%asi, %r14 !Get enabled threads
11199 and %r14, %r9, %r14 !My core mask
11200 stxa %r14, [0x60]%asi !W1S
11201 ldxa [0x58]%asi, %r16 !Running_status
11202wait_for_cmpstat2_10_398:
11203 and %r16, %r9, %r16 !My core mask
11204 cmp %r14, %r16
11205 bne,a %xcc, wait_for_cmpstat2_10_398
11206 ldxa [0x58]%asi, %r16 !Running_status
11207 st %g0, [%r23] !clear lock
11208!! Send interrupt to all threads in my core in case of halted threads.
11209intall_mast:
11210 mov 7, %r14
11211#ifdef SPC
11212 mov %g0, %r8
11213#endif
11214intall_loop_mast:
11215 add %r8, %r14, %r16
11216 sllx %r16, 8, %r16
11217 stxa %r16, [%g0]0x73
11218 brnz %r14, intall_loop_mast
11219 dec %r14
11220
11221#if (MULTIPASS > 0)
11222multipass_check_mt:
11223 rd %asi, %r12
11224 wr %g0, ASI_SCRATCHPAD, %asi
11225 ldxa [0x38]%asi, %r10
11226 cmp %r10, MULTIPASS
11227 inc %r10
11228 stxa %r10, [0x38]%asi
11229 be finish_diag
11230 wr %g0, %r12, %asi
11231lock_sync_thds_again:
11232 mov 0xff, %r10
11233 set sync_thr_counter4, %r23
11234#ifndef SPC
11235 add %r23,%r8,%r23 !Core's sync counter
11236#endif
11237 ! st %r10, [%r23] !lock sync_thr_counter4 !! Still locked from cmpenall
11238 add %r23, 64, %r23
11239 st %r10, [%r23] !lock sync_thr_counter5
11240 add %r23, 64, %r23
11241 st %r10, [%r23] !lock sync_thr_counter6
11242 ba fork_threads
11243 wrpr %g0, %g0, %gl
11244#endif
11245 nop
11246 nop
11247 ta T_CHANGE_PRIV
11248 wrpr %g0, %g0, %gl
11249 nop
11250 nop
11251.text
11252 setx join_lbl_0_0, %g1, %g2
11253 jmp %g2
11254 nop
11255fork_lbl_0_4:
11256#ifndef PORTABLE_CORE
11257#define SPU_8
11258#endif
11259
11260#define MAX_TIMEOUT 0x002
11261#define WAIT_LOOP 0x100
11262.text
11263.global _t2_main
11264
11265_t2_main:
11266
11267 setx 0x0000deadbeefbad0, %l5, %l4
11268
11269 !# Switch to hpriv mode
11270 ta T_CHANGE_HPRIV
11271
11272 set 0x335a, %g3
11273 stxa %g3, [%g0] ASI_SPARC_PWR_MGMT
11274
11275 ! Set up for PMU
11276 set 0x3ac18772, %g2
11277 wr %g2, %g0, %pcr
11278 setx 0xffffffbdffffffa2, %g2, %g7
11279 wr %g7, %g0, %pic
11280
11281 ! Get core ID & offset
11282
11283#ifndef PORTABLE_CORE
11284 ldxa [%g0]0x63, %o1
11285 srlx %o1, 3, %o1
11286 sllx %o1, 20, %o1 !! %o1 has core ID offset
11287#else
11288 mov %g0, %o1
11289#endif
11290
11291 or %g0, 0x0, %g2 !# Operation Step
11292 or %g0, 0x0, %g4 !# Operand Step
11293
11294 !# Execute Main Diag ..
11295 !# Write address of data region to load from in MA_PA reg, and check
11296 setx _t2_ma_operands, %g6, %l7
11297#ifdef SPU_8
11298#if (MAX_THREADS > 8)
11299 ! Add core ID offset
11300 or %l7, %o1, %l7
11301#endif
11302#endif
11303 add %l7, 13, %l7 !# _t2_WARNING : Misaligned _t2_address
11304 add %l7, %g4, %l7
11305 wr %g0, 0x40, %asi
11306 stxa %l7, [%g0 + 0x88] %asi
11307
11308_t2_ma_load:
11309 !# Write MAMEM address, start at 0
11310 or %g0, 0x0, %l2
11311 stxa %l2, [%g0 + 0x90] %asi
11312
11313 !# write MA_CTL
11314 set 0x0002006b, %l1
11315 mov 3, %g7
11316 sllx %g7, 18, %g7
11317 or %l1, %g7, %l1
11318 stxa %l1, [%g0 + 0x80] %asi !# LOAD
11319
11320 !# setup mask to check for INVOP
11321 or %g0, 0x1, %l2
11322 sllx %l2, 21, %l2
11323
11324 #! Try MA_SYNC operation...
11325_t2_wait:
11326 ldxa [%g0 + 0xA0] %asi, %l1
11327 ldxa [%g0 + 0x80] %asi, %l1
11328 andcc %l1, %l2, %l1
11329 bne,pn %xcc, _t2_fail
11330 nop
11331
11332
11333_t2_loop_0:
11334 wrpr %g0, 22, %pstate
11335 !# write NPRIME
11336 setx 0x80c210293de93a5b, %g7, %l2
11337 stxa %l2, [%g0 + 0x98] %asi
11338
11339 setx _t2_ma_operations, %g6, %l7
11340#ifdef SPU_8
11341#if (MAX_THREADS > 8)
11342 ! Add core ID offset
11343 or %l7, %o1, %l7
11344#endif
11345#endif
11346 or %g0, 12, %i0 !# OPSELECT
11347 umul %i0, 16, %i0
11348 add %i0, %g2, %i0
11349
11350 !# write MA_ADDR
11351 ldx [%l7 + %i0], %l1
11352 stxa %l1, [%g0 + 0x90] %asi
11353
11354 !# write MA_CTL
11355 add %i0, 8, %i0
11356 ldx [%l7 + %i0], %l1
11357 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
11358
11359 !# setup mask to check for INVOP
11360 or %g0, 0x1, %l2
11361 sllx %l2, 21, %l2
11362
11363 #! Try MA_SYNC operation...
11364_t2_wait2_0:
11365 ldxa [%g0 + 0xA0] %asi, %l1
11366 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
11367 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
11368 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
11369 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
11370 andcc %l1, %l2, %l1
11371 bne,pn %xcc, _t2_fail
11372 nop
11373
11374 rdhpr %halt, %g7
11375 wrpr %g0, 36, %pstate
11376
11377 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
11378 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
11379
11380_t2_loop_1:
11381 wrpr %g0, 0xf7, %pstate
11382 !# write NPRIME
11383 setx 0xe7c9e46c9e4bfd11, %g7, %l2
11384 stxa %l2, [%g0 + 0x98] %asi
11385
11386 setx _t2_ma_operations, %g6, %l7
11387#ifdef SPU_8
11388#if (MAX_THREADS > 8)
11389 ! Add core ID offset
11390 or %l7, %o1, %l7
11391#endif
11392#endif
11393 or %g0, 0, %i0 !# OPSELECT
11394 umul %i0, 16, %i0
11395 add %i0, %g2, %i0
11396
11397 !# write MA_ADDR
11398 ldx [%l7 + %i0], %l1
11399 stxa %l1, [%g0 + 0x90] %asi
11400
11401 !# write MA_CTL
11402 add %i0, 8, %i0
11403 ldx [%l7 + %i0], %l1
11404 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
11405
11406 !# setup mask to check for INVOP
11407 or %g0, 0x1, %l2
11408 sllx %l2, 21, %l2
11409
11410 #! Try MA_SYNC operation...
11411_t2_wait2_1:
11412 ldxa [%g0 + 0xA0] %asi, %l1
11413 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
11414 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
11415 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
11416 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
11417 andcc %l1, %l2, %l1
11418 bne,pn %xcc, _t2_fail
11419 nop
11420
11421 rdhpr %halt, %g7
11422 wrpr %g0, 51, %pstate
11423
11424 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
11425 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
11426
11427_t2_loop_2:
11428 wrpr %g0, 0x83, %pstate
11429 !# write NPRIME
11430 setx 0xcf6e23b048d8b43a, %g7, %l2
11431 stxa %l2, [%g0 + 0x98] %asi
11432
11433 setx _t2_ma_operations, %g6, %l7
11434#ifdef SPU_8
11435#if (MAX_THREADS > 8)
11436 ! Add core ID offset
11437 or %l7, %o1, %l7
11438#endif
11439#endif
11440 or %g0, 0, %i0 !# OPSELECT
11441 umul %i0, 16, %i0
11442 add %i0, %g2, %i0
11443
11444 !# write MA_ADDR
11445 ldx [%l7 + %i0], %l1
11446 stxa %l1, [%g0 + 0x90] %asi
11447
11448 !# write MA_CTL
11449 add %i0, 8, %i0
11450 ldx [%l7 + %i0], %l1
11451 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
11452
11453 !# setup mask to check for INVOP
11454 or %g0, 0x1, %l2
11455 sllx %l2, 21, %l2
11456
11457 #! Try MA_SYNC operation...
11458_t2_wait2_2:
11459 ldxa [%g0 + 0xA0] %asi, %l1
11460 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
11461 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
11462 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
11463 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
11464 andcc %l1, %l2, %l1
11465 bne,pn %xcc, _t2_fail
11466 nop
11467
11468 rdhpr %halt, %g7
11469 wrpr %g0, 0x67, %pstate
11470
11471 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
11472 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
11473
11474_t2_loop_3:
11475 wrpr %g0, 0xc2, %pstate
11476 !# write NPRIME
11477 setx 0xc5c527f144ec6193, %g7, %l2
11478 stxa %l2, [%g0 + 0x98] %asi
11479
11480 setx _t2_ma_operations, %g6, %l7
11481#ifdef SPU_8
11482#if (MAX_THREADS > 8)
11483 ! Add core ID offset
11484 or %l7, %o1, %l7
11485#endif
11486#endif
11487 or %g0, 14, %i0 !# OPSELECT
11488 umul %i0, 16, %i0
11489 add %i0, %g2, %i0
11490
11491 !# write MA_ADDR
11492 ldx [%l7 + %i0], %l1
11493 stxa %l1, [%g0 + 0x90] %asi
11494
11495 !# write MA_CTL
11496 add %i0, 8, %i0
11497 ldx [%l7 + %i0], %l1
11498 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
11499
11500 !# setup mask to check for INVOP
11501 or %g0, 0x1, %l2
11502 sllx %l2, 21, %l2
11503
11504 #! Try MA_SYNC operation...
11505_t2_wait2_3:
11506 ldxa [%g0 + 0xA0] %asi, %l1
11507 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
11508 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
11509 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
11510 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
11511 andcc %l1, %l2, %l1
11512 bne,pn %xcc, _t2_fail
11513 nop
11514
11515 rdhpr %halt, %g7
11516 wrpr %g0, 49, %pstate
11517
11518 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
11519 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
11520
11521_t2_loop_4:
11522 wrpr %g0, 21, %pstate
11523 !# write NPRIME
11524 setx 0x54048fd14db10241, %g7, %l2
11525 stxa %l2, [%g0 + 0x98] %asi
11526
11527 setx _t2_ma_operations, %g6, %l7
11528#ifdef SPU_8
11529#if (MAX_THREADS > 8)
11530 ! Add core ID offset
11531 or %l7, %o1, %l7
11532#endif
11533#endif
11534 or %g0, 10, %i0 !# OPSELECT
11535 umul %i0, 16, %i0
11536 add %i0, %g2, %i0
11537
11538 !# write MA_ADDR
11539 ldx [%l7 + %i0], %l1
11540 stxa %l1, [%g0 + 0x90] %asi
11541
11542 !# write MA_CTL
11543 add %i0, 8, %i0
11544 ldx [%l7 + %i0], %l1
11545 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
11546
11547 !# setup mask to check for INVOP
11548 or %g0, 0x1, %l2
11549 sllx %l2, 21, %l2
11550
11551 #! Try MA_SYNC operation...
11552_t2_wait2_4:
11553 ldxa [%g0 + 0xA0] %asi, %l1
11554 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
11555 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
11556 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
11557 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
11558 andcc %l1, %l2, %l1
11559 bne,pn %xcc, _t2_fail
11560 nop
11561
11562 rdhpr %halt, %g7
11563 wrpr %g0, 0xa5, %pstate
11564
11565 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
11566 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
11567
11568_t2_loop_5:
11569 wrpr %g0, 0x96, %pstate
11570 !# write NPRIME
11571 setx 0xea84bbcf1d06a2b1, %g7, %l2
11572 stxa %l2, [%g0 + 0x98] %asi
11573
11574 setx _t2_ma_operations, %g6, %l7
11575#ifdef SPU_8
11576#if (MAX_THREADS > 8)
11577 ! Add core ID offset
11578 or %l7, %o1, %l7
11579#endif
11580#endif
11581 or %g0, 8, %i0 !# OPSELECT
11582 umul %i0, 16, %i0
11583 add %i0, %g2, %i0
11584
11585 !# write MA_ADDR
11586 ldx [%l7 + %i0], %l1
11587 stxa %l1, [%g0 + 0x90] %asi
11588
11589 !# write MA_CTL
11590 add %i0, 8, %i0
11591 ldx [%l7 + %i0], %l1
11592 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
11593
11594 !# setup mask to check for INVOP
11595 or %g0, 0x1, %l2
11596 sllx %l2, 21, %l2
11597
11598 #! Try MA_SYNC operation...
11599_t2_wait2_5:
11600 ldxa [%g0 + 0xA0] %asi, %l1
11601 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
11602 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
11603 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
11604 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
11605 andcc %l1, %l2, %l1
11606 bne,pn %xcc, _t2_fail
11607 nop
11608
11609 rdhpr %halt, %g7
11610 wrpr %g0, 36, %pstate
11611
11612 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
11613 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
11614
11615_t2_loop_6:
11616 wrpr %g0, 20, %pstate
11617 !# write NPRIME
11618 setx 0xffd651c5a7cd0120, %g7, %l2
11619 stxa %l2, [%g0 + 0x98] %asi
11620
11621 setx _t2_ma_operations, %g6, %l7
11622#ifdef SPU_8
11623#if (MAX_THREADS > 8)
11624 ! Add core ID offset
11625 or %l7, %o1, %l7
11626#endif
11627#endif
11628 or %g0, 17, %i0 !# OPSELECT
11629 umul %i0, 16, %i0
11630 add %i0, %g2, %i0
11631
11632 !# write MA_ADDR
11633 ldx [%l7 + %i0], %l1
11634 stxa %l1, [%g0 + 0x90] %asi
11635
11636 !# write MA_CTL
11637 add %i0, 8, %i0
11638 ldx [%l7 + %i0], %l1
11639 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
11640
11641 !# setup mask to check for INVOP
11642 or %g0, 0x1, %l2
11643 sllx %l2, 21, %l2
11644
11645 #! Try MA_SYNC operation...
11646_t2_wait2_6:
11647 ldxa [%g0 + 0xA0] %asi, %l1
11648 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
11649 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
11650 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
11651 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
11652 andcc %l1, %l2, %l1
11653 bne,pn %xcc, _t2_fail
11654 nop
11655
11656 rdhpr %halt, %g7
11657 wrpr %g0, 0xf5, %pstate
11658
11659 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
11660 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
11661
11662_t2_loop_7:
11663 wrpr %g0, 0x92, %pstate
11664 !# write NPRIME
11665 setx 0x3b128f6e02eaae84, %g7, %l2
11666 stxa %l2, [%g0 + 0x98] %asi
11667
11668 setx _t2_ma_operations, %g6, %l7
11669#ifdef SPU_8
11670#if (MAX_THREADS > 8)
11671 ! Add core ID offset
11672 or %l7, %o1, %l7
11673#endif
11674#endif
11675 or %g0, 4, %i0 !# OPSELECT
11676 umul %i0, 16, %i0
11677 add %i0, %g2, %i0
11678
11679 !# write MA_ADDR
11680 ldx [%l7 + %i0], %l1
11681 stxa %l1, [%g0 + 0x90] %asi
11682
11683 !# write MA_CTL
11684 add %i0, 8, %i0
11685 ldx [%l7 + %i0], %l1
11686 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
11687
11688 !# setup mask to check for INVOP
11689 or %g0, 0x1, %l2
11690 sllx %l2, 21, %l2
11691
11692 #! Try MA_SYNC operation...
11693_t2_wait2_7:
11694 ldxa [%g0 + 0xA0] %asi, %l1
11695 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
11696 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
11697 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
11698 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
11699 andcc %l1, %l2, %l1
11700 bne,pn %xcc, _t2_fail
11701 nop
11702
11703 rdhpr %halt, %g7
11704 wrpr %g0, 0xc5, %pstate
11705
11706 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
11707 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
11708
11709_t2_loop_8:
11710 wrpr %g0, 0x71, %pstate
11711 !# write NPRIME
11712 setx 0xd6bd0f8a4c26ebd9, %g7, %l2
11713 stxa %l2, [%g0 + 0x98] %asi
11714
11715 setx _t2_ma_operations, %g6, %l7
11716#ifdef SPU_8
11717#if (MAX_THREADS > 8)
11718 ! Add core ID offset
11719 or %l7, %o1, %l7
11720#endif
11721#endif
11722 or %g0, 12, %i0 !# OPSELECT
11723 umul %i0, 16, %i0
11724 add %i0, %g2, %i0
11725
11726 !# write MA_ADDR
11727 ldx [%l7 + %i0], %l1
11728 stxa %l1, [%g0 + 0x90] %asi
11729
11730 !# write MA_CTL
11731 add %i0, 8, %i0
11732 ldx [%l7 + %i0], %l1
11733 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
11734
11735 !# setup mask to check for INVOP
11736 or %g0, 0x1, %l2
11737 sllx %l2, 21, %l2
11738
11739 #! Try MA_SYNC operation...
11740_t2_wait2_8:
11741 ldxa [%g0 + 0xA0] %asi, %l1
11742 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
11743 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
11744 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
11745 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
11746 andcc %l1, %l2, %l1
11747 bne,pn %xcc, _t2_fail
11748 nop
11749
11750 rdhpr %halt, %g7
11751 wrpr %g0, 0xb1, %pstate
11752
11753 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
11754 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
11755
11756_t2_loop_9:
11757 wrpr %g0, 0xb6, %pstate
11758 !# write NPRIME
11759 setx 0xe29e695cede31e73, %g7, %l2
11760 stxa %l2, [%g0 + 0x98] %asi
11761
11762 setx _t2_ma_operations, %g6, %l7
11763#ifdef SPU_8
11764#if (MAX_THREADS > 8)
11765 ! Add core ID offset
11766 or %l7, %o1, %l7
11767#endif
11768#endif
11769 or %g0, 5, %i0 !# OPSELECT
11770 umul %i0, 16, %i0
11771 add %i0, %g2, %i0
11772
11773 !# write MA_ADDR
11774 ldx [%l7 + %i0], %l1
11775 stxa %l1, [%g0 + 0x90] %asi
11776
11777 !# write MA_CTL
11778 add %i0, 8, %i0
11779 ldx [%l7 + %i0], %l1
11780 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
11781
11782 !# setup mask to check for INVOP
11783 or %g0, 0x1, %l2
11784 sllx %l2, 21, %l2
11785
11786 #! Try MA_SYNC operation...
11787_t2_wait2_9:
11788 ldxa [%g0 + 0xA0] %asi, %l1
11789 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
11790 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
11791 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
11792 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
11793 andcc %l1, %l2, %l1
11794 bne,pn %xcc, _t2_fail
11795 nop
11796
11797 rdhpr %halt, %g7
11798 wrpr %g0, 0x62, %pstate
11799
11800 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
11801 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
11802
11803_t2_loop_10:
11804 wrpr %g0, 0x85, %pstate
11805 !# write NPRIME
11806 setx 0x7213c8a95b848053, %g7, %l2
11807 stxa %l2, [%g0 + 0x98] %asi
11808
11809 setx _t2_ma_operations, %g6, %l7
11810#ifdef SPU_8
11811#if (MAX_THREADS > 8)
11812 ! Add core ID offset
11813 or %l7, %o1, %l7
11814#endif
11815#endif
11816 or %g0, 17, %i0 !# OPSELECT
11817 umul %i0, 16, %i0
11818 add %i0, %g2, %i0
11819
11820 !# write MA_ADDR
11821 ldx [%l7 + %i0], %l1
11822 stxa %l1, [%g0 + 0x90] %asi
11823
11824 !# write MA_CTL
11825 add %i0, 8, %i0
11826 ldx [%l7 + %i0], %l1
11827 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
11828
11829 !# setup mask to check for INVOP
11830 or %g0, 0x1, %l2
11831 sllx %l2, 21, %l2
11832
11833 #! Try MA_SYNC operation...
11834_t2_wait2_10:
11835 ldxa [%g0 + 0xA0] %asi, %l1
11836 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
11837 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
11838 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
11839 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
11840 andcc %l1, %l2, %l1
11841 bne,pn %xcc, _t2_fail
11842 nop
11843
11844 rdhpr %halt, %g7
11845 wrpr %g0, 34, %pstate
11846
11847 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
11848 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
11849
11850_t2_loop_11:
11851 wrpr %g0, 37, %pstate
11852 !# write NPRIME
11853 setx 0x4ceb964c24f017a0, %g7, %l2
11854 stxa %l2, [%g0 + 0x98] %asi
11855
11856 setx _t2_ma_operations, %g6, %l7
11857#ifdef SPU_8
11858#if (MAX_THREADS > 8)
11859 ! Add core ID offset
11860 or %l7, %o1, %l7
11861#endif
11862#endif
11863 or %g0, 16, %i0 !# OPSELECT
11864 umul %i0, 16, %i0
11865 add %i0, %g2, %i0
11866
11867 !# write MA_ADDR
11868 ldx [%l7 + %i0], %l1
11869 stxa %l1, [%g0 + 0x90] %asi
11870
11871 !# write MA_CTL
11872 add %i0, 8, %i0
11873 ldx [%l7 + %i0], %l1
11874 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
11875
11876 !# setup mask to check for INVOP
11877 or %g0, 0x1, %l2
11878 sllx %l2, 21, %l2
11879
11880 #! Try MA_SYNC operation...
11881_t2_wait2_11:
11882 ldxa [%g0 + 0xA0] %asi, %l1
11883 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
11884 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
11885 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
11886 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
11887 andcc %l1, %l2, %l1
11888 bne,pn %xcc, _t2_fail
11889 nop
11890
11891 rdhpr %halt, %g7
11892 wrpr %g0, 48, %pstate
11893
11894 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
11895 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
11896
11897_t2_loop_12:
11898 wrpr %g0, 0x93, %pstate
11899 !# write NPRIME
11900 setx 0x7e300b8917b8b206, %g7, %l2
11901 stxa %l2, [%g0 + 0x98] %asi
11902
11903 setx _t2_ma_operations, %g6, %l7
11904#ifdef SPU_8
11905#if (MAX_THREADS > 8)
11906 ! Add core ID offset
11907 or %l7, %o1, %l7
11908#endif
11909#endif
11910 or %g0, 15, %i0 !# OPSELECT
11911 umul %i0, 16, %i0
11912 add %i0, %g2, %i0
11913
11914 !# write MA_ADDR
11915 ldx [%l7 + %i0], %l1
11916 stxa %l1, [%g0 + 0x90] %asi
11917
11918 !# write MA_CTL
11919 add %i0, 8, %i0
11920 ldx [%l7 + %i0], %l1
11921 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
11922
11923 !# setup mask to check for INVOP
11924 or %g0, 0x1, %l2
11925 sllx %l2, 21, %l2
11926
11927 #! Try MA_SYNC operation...
11928_t2_wait2_12:
11929 ldxa [%g0 + 0xA0] %asi, %l1
11930 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
11931 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
11932 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
11933 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
11934 andcc %l1, %l2, %l1
11935 bne,pn %xcc, _t2_fail
11936 nop
11937
11938 rdhpr %halt, %g7
11939 wrpr %g0, 52, %pstate
11940
11941 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
11942 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
11943
11944_t2_loop_13:
11945 wrpr %g0, 0x60, %pstate
11946 !# write NPRIME
11947 setx 0x22538c0afebedc63, %g7, %l2
11948 stxa %l2, [%g0 + 0x98] %asi
11949
11950 setx _t2_ma_operations, %g6, %l7
11951#ifdef SPU_8
11952#if (MAX_THREADS > 8)
11953 ! Add core ID offset
11954 or %l7, %o1, %l7
11955#endif
11956#endif
11957 or %g0, 13, %i0 !# OPSELECT
11958 umul %i0, 16, %i0
11959 add %i0, %g2, %i0
11960
11961 !# write MA_ADDR
11962 ldx [%l7 + %i0], %l1
11963 stxa %l1, [%g0 + 0x90] %asi
11964
11965 !# write MA_CTL
11966 add %i0, 8, %i0
11967 ldx [%l7 + %i0], %l1
11968 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
11969
11970 !# setup mask to check for INVOP
11971 or %g0, 0x1, %l2
11972 sllx %l2, 21, %l2
11973
11974 #! Try MA_SYNC operation...
11975_t2_wait2_13:
11976 ldxa [%g0 + 0xA0] %asi, %l1
11977 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
11978 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
11979 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
11980 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
11981 andcc %l1, %l2, %l1
11982 bne,pn %xcc, _t2_fail
11983 nop
11984
11985 rdhpr %halt, %g7
11986 wrpr %g0, 0x83, %pstate
11987
11988 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
11989 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
11990
11991_t2_loop_14:
11992 wrpr %g0, 0xb2, %pstate
11993 !# write NPRIME
11994 setx 0xa078bb78c1cce00f, %g7, %l2
11995 stxa %l2, [%g0 + 0x98] %asi
11996
11997 setx _t2_ma_operations, %g6, %l7
11998#ifdef SPU_8
11999#if (MAX_THREADS > 8)
12000 ! Add core ID offset
12001 or %l7, %o1, %l7
12002#endif
12003#endif
12004 or %g0, 3, %i0 !# OPSELECT
12005 umul %i0, 16, %i0
12006 add %i0, %g2, %i0
12007
12008 !# write MA_ADDR
12009 ldx [%l7 + %i0], %l1
12010 stxa %l1, [%g0 + 0x90] %asi
12011
12012 !# write MA_CTL
12013 add %i0, 8, %i0
12014 ldx [%l7 + %i0], %l1
12015 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12016
12017 !# setup mask to check for INVOP
12018 or %g0, 0x1, %l2
12019 sllx %l2, 21, %l2
12020
12021 #! Try MA_SYNC operation...
12022_t2_wait2_14:
12023 ldxa [%g0 + 0xA0] %asi, %l1
12024 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12025 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12026 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12027 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12028 andcc %l1, %l2, %l1
12029 bne,pn %xcc, _t2_fail
12030 nop
12031
12032 rdhpr %halt, %g7
12033 wrpr %g0, 0xc5, %pstate
12034
12035 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12036 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12037
12038_t2_loop_15:
12039 wrpr %g0, 0x85, %pstate
12040 !# write NPRIME
12041 setx 0x07d90c6d68e6fbe5, %g7, %l2
12042 stxa %l2, [%g0 + 0x98] %asi
12043
12044 setx _t2_ma_operations, %g6, %l7
12045#ifdef SPU_8
12046#if (MAX_THREADS > 8)
12047 ! Add core ID offset
12048 or %l7, %o1, %l7
12049#endif
12050#endif
12051 or %g0, 0, %i0 !# OPSELECT
12052 umul %i0, 16, %i0
12053 add %i0, %g2, %i0
12054
12055 !# write MA_ADDR
12056 ldx [%l7 + %i0], %l1
12057 stxa %l1, [%g0 + 0x90] %asi
12058
12059 !# write MA_CTL
12060 add %i0, 8, %i0
12061 ldx [%l7 + %i0], %l1
12062 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12063
12064 !# setup mask to check for INVOP
12065 or %g0, 0x1, %l2
12066 sllx %l2, 21, %l2
12067
12068 #! Try MA_SYNC operation...
12069_t2_wait2_15:
12070 ldxa [%g0 + 0xA0] %asi, %l1
12071 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12072 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12073 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12074 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12075 andcc %l1, %l2, %l1
12076 bne,pn %xcc, _t2_fail
12077 nop
12078
12079 rdhpr %halt, %g7
12080 wrpr %g0, 0xd1, %pstate
12081
12082 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12083 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12084
12085_t2_loop_16:
12086 wrpr %g0, 0xb4, %pstate
12087 !# write NPRIME
12088 setx 0x6499ba787b7912f2, %g7, %l2
12089 stxa %l2, [%g0 + 0x98] %asi
12090
12091 setx _t2_ma_operations, %g6, %l7
12092#ifdef SPU_8
12093#if (MAX_THREADS > 8)
12094 ! Add core ID offset
12095 or %l7, %o1, %l7
12096#endif
12097#endif
12098 or %g0, 6, %i0 !# OPSELECT
12099 umul %i0, 16, %i0
12100 add %i0, %g2, %i0
12101
12102 !# write MA_ADDR
12103 ldx [%l7 + %i0], %l1
12104 stxa %l1, [%g0 + 0x90] %asi
12105
12106 !# write MA_CTL
12107 add %i0, 8, %i0
12108 ldx [%l7 + %i0], %l1
12109 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12110
12111 !# setup mask to check for INVOP
12112 or %g0, 0x1, %l2
12113 sllx %l2, 21, %l2
12114
12115 #! Try MA_SYNC operation...
12116_t2_wait2_16:
12117 ldxa [%g0 + 0xA0] %asi, %l1
12118 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12119 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12120 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12121 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12122 andcc %l1, %l2, %l1
12123 bne,pn %xcc, _t2_fail
12124 nop
12125
12126 rdhpr %halt, %g7
12127 wrpr %g0, 0, %pstate
12128
12129 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12130 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12131
12132_t2_loop_17:
12133 wrpr %g0, 0xa5, %pstate
12134 !# write NPRIME
12135 setx 0x91f9cb49589b638d, %g7, %l2
12136 stxa %l2, [%g0 + 0x98] %asi
12137
12138 setx _t2_ma_operations, %g6, %l7
12139#ifdef SPU_8
12140#if (MAX_THREADS > 8)
12141 ! Add core ID offset
12142 or %l7, %o1, %l7
12143#endif
12144#endif
12145 or %g0, 8, %i0 !# OPSELECT
12146 umul %i0, 16, %i0
12147 add %i0, %g2, %i0
12148
12149 !# write MA_ADDR
12150 ldx [%l7 + %i0], %l1
12151 stxa %l1, [%g0 + 0x90] %asi
12152
12153 !# write MA_CTL
12154 add %i0, 8, %i0
12155 ldx [%l7 + %i0], %l1
12156 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12157
12158 !# setup mask to check for INVOP
12159 or %g0, 0x1, %l2
12160 sllx %l2, 21, %l2
12161
12162 #! Try MA_SYNC operation...
12163_t2_wait2_17:
12164 ldxa [%g0 + 0xA0] %asi, %l1
12165 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12166 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12167 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12168 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12169 andcc %l1, %l2, %l1
12170 bne,pn %xcc, _t2_fail
12171 nop
12172
12173 rdhpr %halt, %g7
12174 wrpr %g0, 18, %pstate
12175
12176 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12177 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12178
12179_t2_loop_18:
12180 wrpr %g0, 0x74, %pstate
12181 !# write NPRIME
12182 setx 0x626b506f06b69b27, %g7, %l2
12183 stxa %l2, [%g0 + 0x98] %asi
12184
12185 setx _t2_ma_operations, %g6, %l7
12186#ifdef SPU_8
12187#if (MAX_THREADS > 8)
12188 ! Add core ID offset
12189 or %l7, %o1, %l7
12190#endif
12191#endif
12192 or %g0, 14, %i0 !# OPSELECT
12193 umul %i0, 16, %i0
12194 add %i0, %g2, %i0
12195
12196 !# write MA_ADDR
12197 ldx [%l7 + %i0], %l1
12198 stxa %l1, [%g0 + 0x90] %asi
12199
12200 !# write MA_CTL
12201 add %i0, 8, %i0
12202 ldx [%l7 + %i0], %l1
12203 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12204
12205 !# setup mask to check for INVOP
12206 or %g0, 0x1, %l2
12207 sllx %l2, 21, %l2
12208
12209 #! Try MA_SYNC operation...
12210_t2_wait2_18:
12211 ldxa [%g0 + 0xA0] %asi, %l1
12212 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12213 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12214 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12215 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12216 andcc %l1, %l2, %l1
12217 bne,pn %xcc, _t2_fail
12218 nop
12219
12220 rdhpr %halt, %g7
12221 wrpr %g0, 23, %pstate
12222
12223 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12224 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12225
12226_t2_loop_19:
12227 wrpr %g0, 0xb1, %pstate
12228 !# write NPRIME
12229 setx 0xfb1c4e0d66b5fc16, %g7, %l2
12230 stxa %l2, [%g0 + 0x98] %asi
12231
12232 setx _t2_ma_operations, %g6, %l7
12233#ifdef SPU_8
12234#if (MAX_THREADS > 8)
12235 ! Add core ID offset
12236 or %l7, %o1, %l7
12237#endif
12238#endif
12239 or %g0, 13, %i0 !# OPSELECT
12240 umul %i0, 16, %i0
12241 add %i0, %g2, %i0
12242
12243 !# write MA_ADDR
12244 ldx [%l7 + %i0], %l1
12245 stxa %l1, [%g0 + 0x90] %asi
12246
12247 !# write MA_CTL
12248 add %i0, 8, %i0
12249 ldx [%l7 + %i0], %l1
12250 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12251
12252 !# setup mask to check for INVOP
12253 or %g0, 0x1, %l2
12254 sllx %l2, 21, %l2
12255
12256 #! Try MA_SYNC operation...
12257_t2_wait2_19:
12258 ldxa [%g0 + 0xA0] %asi, %l1
12259 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12260 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12261 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12262 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12263 andcc %l1, %l2, %l1
12264 bne,pn %xcc, _t2_fail
12265 nop
12266
12267 rdhpr %halt, %g7
12268 wrpr %g0, 0xb3, %pstate
12269
12270 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12271 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12272
12273_t2_loop_20:
12274 wrpr %g0, 0x43, %pstate
12275 !# write NPRIME
12276 setx 0x8384b9d86e2139ee, %g7, %l2
12277 stxa %l2, [%g0 + 0x98] %asi
12278
12279 setx _t2_ma_operations, %g6, %l7
12280#ifdef SPU_8
12281#if (MAX_THREADS > 8)
12282 ! Add core ID offset
12283 or %l7, %o1, %l7
12284#endif
12285#endif
12286 or %g0, 8, %i0 !# OPSELECT
12287 umul %i0, 16, %i0
12288 add %i0, %g2, %i0
12289
12290 !# write MA_ADDR
12291 ldx [%l7 + %i0], %l1
12292 stxa %l1, [%g0 + 0x90] %asi
12293
12294 !# write MA_CTL
12295 add %i0, 8, %i0
12296 ldx [%l7 + %i0], %l1
12297 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12298
12299 !# setup mask to check for INVOP
12300 or %g0, 0x1, %l2
12301 sllx %l2, 21, %l2
12302
12303 #! Try MA_SYNC operation...
12304_t2_wait2_20:
12305 ldxa [%g0 + 0xA0] %asi, %l1
12306 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12307 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12308 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12309 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12310 andcc %l1, %l2, %l1
12311 bne,pn %xcc, _t2_fail
12312 nop
12313
12314 rdhpr %halt, %g7
12315 wrpr %g0, 0xb0, %pstate
12316
12317 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12318 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12319
12320_t2_loop_21:
12321 wrpr %g0, 0, %pstate
12322 !# write NPRIME
12323 setx 0x78a3aaa5cb93a909, %g7, %l2
12324 stxa %l2, [%g0 + 0x98] %asi
12325
12326 setx _t2_ma_operations, %g6, %l7
12327#ifdef SPU_8
12328#if (MAX_THREADS > 8)
12329 ! Add core ID offset
12330 or %l7, %o1, %l7
12331#endif
12332#endif
12333 or %g0, 1, %i0 !# OPSELECT
12334 umul %i0, 16, %i0
12335 add %i0, %g2, %i0
12336
12337 !# write MA_ADDR
12338 ldx [%l7 + %i0], %l1
12339 stxa %l1, [%g0 + 0x90] %asi
12340
12341 !# write MA_CTL
12342 add %i0, 8, %i0
12343 ldx [%l7 + %i0], %l1
12344 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12345
12346 !# setup mask to check for INVOP
12347 or %g0, 0x1, %l2
12348 sllx %l2, 21, %l2
12349
12350 #! Try MA_SYNC operation...
12351_t2_wait2_21:
12352 ldxa [%g0 + 0xA0] %asi, %l1
12353 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12354 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12355 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12356 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12357 andcc %l1, %l2, %l1
12358 bne,pn %xcc, _t2_fail
12359 nop
12360
12361 rdhpr %halt, %g7
12362 wrpr %g0, 0xc5, %pstate
12363
12364 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12365 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12366
12367_t2_loop_22:
12368 wrpr %g0, 0x97, %pstate
12369 !# write NPRIME
12370 setx 0x60eb785cc88c9e51, %g7, %l2
12371 stxa %l2, [%g0 + 0x98] %asi
12372
12373 setx _t2_ma_operations, %g6, %l7
12374#ifdef SPU_8
12375#if (MAX_THREADS > 8)
12376 ! Add core ID offset
12377 or %l7, %o1, %l7
12378#endif
12379#endif
12380 or %g0, 4, %i0 !# OPSELECT
12381 umul %i0, 16, %i0
12382 add %i0, %g2, %i0
12383
12384 !# write MA_ADDR
12385 ldx [%l7 + %i0], %l1
12386 stxa %l1, [%g0 + 0x90] %asi
12387
12388 !# write MA_CTL
12389 add %i0, 8, %i0
12390 ldx [%l7 + %i0], %l1
12391 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12392
12393 !# setup mask to check for INVOP
12394 or %g0, 0x1, %l2
12395 sllx %l2, 21, %l2
12396
12397 #! Try MA_SYNC operation...
12398_t2_wait2_22:
12399 ldxa [%g0 + 0xA0] %asi, %l1
12400 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12401 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12402 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12403 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12404 andcc %l1, %l2, %l1
12405 bne,pn %xcc, _t2_fail
12406 nop
12407
12408 rdhpr %halt, %g7
12409 wrpr %g0, 0xf5, %pstate
12410
12411 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12412 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12413
12414_t2_loop_23:
12415 wrpr %g0, 53, %pstate
12416 !# write NPRIME
12417 setx 0x4ddd881a85d1c9bd, %g7, %l2
12418 stxa %l2, [%g0 + 0x98] %asi
12419
12420 setx _t2_ma_operations, %g6, %l7
12421#ifdef SPU_8
12422#if (MAX_THREADS > 8)
12423 ! Add core ID offset
12424 or %l7, %o1, %l7
12425#endif
12426#endif
12427 or %g0, 9, %i0 !# OPSELECT
12428 umul %i0, 16, %i0
12429 add %i0, %g2, %i0
12430
12431 !# write MA_ADDR
12432 ldx [%l7 + %i0], %l1
12433 stxa %l1, [%g0 + 0x90] %asi
12434
12435 !# write MA_CTL
12436 add %i0, 8, %i0
12437 ldx [%l7 + %i0], %l1
12438 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12439
12440 !# setup mask to check for INVOP
12441 or %g0, 0x1, %l2
12442 sllx %l2, 21, %l2
12443
12444 #! Try MA_SYNC operation...
12445_t2_wait2_23:
12446 ldxa [%g0 + 0xA0] %asi, %l1
12447 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12448 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12449 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12450 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12451 andcc %l1, %l2, %l1
12452 bne,pn %xcc, _t2_fail
12453 nop
12454
12455 rdhpr %halt, %g7
12456 wrpr %g0, 51, %pstate
12457
12458 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12459 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12460
12461_t2_loop_24:
12462 wrpr %g0, 0, %pstate
12463 !# write NPRIME
12464 setx 0xffbdf062a6f43a9f, %g7, %l2
12465 stxa %l2, [%g0 + 0x98] %asi
12466
12467 setx _t2_ma_operations, %g6, %l7
12468#ifdef SPU_8
12469#if (MAX_THREADS > 8)
12470 ! Add core ID offset
12471 or %l7, %o1, %l7
12472#endif
12473#endif
12474 or %g0, 6, %i0 !# OPSELECT
12475 umul %i0, 16, %i0
12476 add %i0, %g2, %i0
12477
12478 !# write MA_ADDR
12479 ldx [%l7 + %i0], %l1
12480 stxa %l1, [%g0 + 0x90] %asi
12481
12482 !# write MA_CTL
12483 add %i0, 8, %i0
12484 ldx [%l7 + %i0], %l1
12485 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12486
12487 !# setup mask to check for INVOP
12488 or %g0, 0x1, %l2
12489 sllx %l2, 21, %l2
12490
12491 #! Try MA_SYNC operation...
12492_t2_wait2_24:
12493 ldxa [%g0 + 0xA0] %asi, %l1
12494 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12495 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12496 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12497 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12498 andcc %l1, %l2, %l1
12499 bne,pn %xcc, _t2_fail
12500 nop
12501
12502 rdhpr %halt, %g7
12503 wrpr %g0, 0x92, %pstate
12504
12505 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12506 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12507
12508_t2_loop_25:
12509 wrpr %g0, 0xf2, %pstate
12510 !# write NPRIME
12511 setx 0xcea30908fcc24030, %g7, %l2
12512 stxa %l2, [%g0 + 0x98] %asi
12513
12514 setx _t2_ma_operations, %g6, %l7
12515#ifdef SPU_8
12516#if (MAX_THREADS > 8)
12517 ! Add core ID offset
12518 or %l7, %o1, %l7
12519#endif
12520#endif
12521 or %g0, 1, %i0 !# OPSELECT
12522 umul %i0, 16, %i0
12523 add %i0, %g2, %i0
12524
12525 !# write MA_ADDR
12526 ldx [%l7 + %i0], %l1
12527 stxa %l1, [%g0 + 0x90] %asi
12528
12529 !# write MA_CTL
12530 add %i0, 8, %i0
12531 ldx [%l7 + %i0], %l1
12532 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12533
12534 !# setup mask to check for INVOP
12535 or %g0, 0x1, %l2
12536 sllx %l2, 21, %l2
12537
12538 #! Try MA_SYNC operation...
12539_t2_wait2_25:
12540 ldxa [%g0 + 0xA0] %asi, %l1
12541 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12542 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12543 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12544 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12545 andcc %l1, %l2, %l1
12546 bne,pn %xcc, _t2_fail
12547 nop
12548
12549 rdhpr %halt, %g7
12550 wrpr %g0, 0xc2, %pstate
12551
12552 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12553 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12554
12555_t2_loop_26:
12556 wrpr %g0, 0xb7, %pstate
12557 !# write NPRIME
12558 setx 0x47977b752d8f1ef0, %g7, %l2
12559 stxa %l2, [%g0 + 0x98] %asi
12560
12561 setx _t2_ma_operations, %g6, %l7
12562#ifdef SPU_8
12563#if (MAX_THREADS > 8)
12564 ! Add core ID offset
12565 or %l7, %o1, %l7
12566#endif
12567#endif
12568 or %g0, 3, %i0 !# OPSELECT
12569 umul %i0, 16, %i0
12570 add %i0, %g2, %i0
12571
12572 !# write MA_ADDR
12573 ldx [%l7 + %i0], %l1
12574 stxa %l1, [%g0 + 0x90] %asi
12575
12576 !# write MA_CTL
12577 add %i0, 8, %i0
12578 ldx [%l7 + %i0], %l1
12579 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12580
12581 !# setup mask to check for INVOP
12582 or %g0, 0x1, %l2
12583 sllx %l2, 21, %l2
12584
12585 #! Try MA_SYNC operation...
12586_t2_wait2_26:
12587 ldxa [%g0 + 0xA0] %asi, %l1
12588 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12589 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12590 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12591 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12592 andcc %l1, %l2, %l1
12593 bne,pn %xcc, _t2_fail
12594 nop
12595
12596 rdhpr %halt, %g7
12597 wrpr %g0, 17, %pstate
12598
12599 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12600 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12601
12602_t2_loop_27:
12603 wrpr %g0, 1, %pstate
12604 !# write NPRIME
12605 setx 0x1c850bba946a03a3, %g7, %l2
12606 stxa %l2, [%g0 + 0x98] %asi
12607
12608 setx _t2_ma_operations, %g6, %l7
12609#ifdef SPU_8
12610#if (MAX_THREADS > 8)
12611 ! Add core ID offset
12612 or %l7, %o1, %l7
12613#endif
12614#endif
12615 or %g0, 13, %i0 !# OPSELECT
12616 umul %i0, 16, %i0
12617 add %i0, %g2, %i0
12618
12619 !# write MA_ADDR
12620 ldx [%l7 + %i0], %l1
12621 stxa %l1, [%g0 + 0x90] %asi
12622
12623 !# write MA_CTL
12624 add %i0, 8, %i0
12625 ldx [%l7 + %i0], %l1
12626 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12627
12628 !# setup mask to check for INVOP
12629 or %g0, 0x1, %l2
12630 sllx %l2, 21, %l2
12631
12632 #! Try MA_SYNC operation...
12633_t2_wait2_27:
12634 ldxa [%g0 + 0xA0] %asi, %l1
12635 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12636 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12637 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12638 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12639 andcc %l1, %l2, %l1
12640 bne,pn %xcc, _t2_fail
12641 nop
12642
12643 rdhpr %halt, %g7
12644 wrpr %g0, 50, %pstate
12645
12646 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12647 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12648
12649_t2_loop_28:
12650 wrpr %g0, 0x97, %pstate
12651 !# write NPRIME
12652 setx 0x55110548d3a71d84, %g7, %l2
12653 stxa %l2, [%g0 + 0x98] %asi
12654
12655 setx _t2_ma_operations, %g6, %l7
12656#ifdef SPU_8
12657#if (MAX_THREADS > 8)
12658 ! Add core ID offset
12659 or %l7, %o1, %l7
12660#endif
12661#endif
12662 or %g0, 4, %i0 !# OPSELECT
12663 umul %i0, 16, %i0
12664 add %i0, %g2, %i0
12665
12666 !# write MA_ADDR
12667 ldx [%l7 + %i0], %l1
12668 stxa %l1, [%g0 + 0x90] %asi
12669
12670 !# write MA_CTL
12671 add %i0, 8, %i0
12672 ldx [%l7 + %i0], %l1
12673 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12674
12675 !# setup mask to check for INVOP
12676 or %g0, 0x1, %l2
12677 sllx %l2, 21, %l2
12678
12679 #! Try MA_SYNC operation...
12680_t2_wait2_28:
12681 ldxa [%g0 + 0xA0] %asi, %l1
12682 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12683 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12684 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12685 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12686 andcc %l1, %l2, %l1
12687 bne,pn %xcc, _t2_fail
12688 nop
12689
12690 rdhpr %halt, %g7
12691 wrpr %g0, 0xc1, %pstate
12692
12693 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12694 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12695
12696_t2_loop_29:
12697 wrpr %g0, 0x54, %pstate
12698 !# write NPRIME
12699 setx 0xc17be10c5da3fd0f, %g7, %l2
12700 stxa %l2, [%g0 + 0x98] %asi
12701
12702 setx _t2_ma_operations, %g6, %l7
12703#ifdef SPU_8
12704#if (MAX_THREADS > 8)
12705 ! Add core ID offset
12706 or %l7, %o1, %l7
12707#endif
12708#endif
12709 or %g0, 10, %i0 !# OPSELECT
12710 umul %i0, 16, %i0
12711 add %i0, %g2, %i0
12712
12713 !# write MA_ADDR
12714 ldx [%l7 + %i0], %l1
12715 stxa %l1, [%g0 + 0x90] %asi
12716
12717 !# write MA_CTL
12718 add %i0, 8, %i0
12719 ldx [%l7 + %i0], %l1
12720 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12721
12722 !# setup mask to check for INVOP
12723 or %g0, 0x1, %l2
12724 sllx %l2, 21, %l2
12725
12726 #! Try MA_SYNC operation...
12727_t2_wait2_29:
12728 ldxa [%g0 + 0xA0] %asi, %l1
12729 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12730 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12731 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12732 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12733 andcc %l1, %l2, %l1
12734 bne,pn %xcc, _t2_fail
12735 nop
12736
12737 rdhpr %halt, %g7
12738 wrpr %g0, 0xa0, %pstate
12739
12740 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12741 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12742
12743_t2_loop_30:
12744 wrpr %g0, 0xe1, %pstate
12745 !# write NPRIME
12746 setx 0xf92c30f6126cfee6, %g7, %l2
12747 stxa %l2, [%g0 + 0x98] %asi
12748
12749 setx _t2_ma_operations, %g6, %l7
12750#ifdef SPU_8
12751#if (MAX_THREADS > 8)
12752 ! Add core ID offset
12753 or %l7, %o1, %l7
12754#endif
12755#endif
12756 or %g0, 8, %i0 !# OPSELECT
12757 umul %i0, 16, %i0
12758 add %i0, %g2, %i0
12759
12760 !# write MA_ADDR
12761 ldx [%l7 + %i0], %l1
12762 stxa %l1, [%g0 + 0x90] %asi
12763
12764 !# write MA_CTL
12765 add %i0, 8, %i0
12766 ldx [%l7 + %i0], %l1
12767 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12768
12769 !# setup mask to check for INVOP
12770 or %g0, 0x1, %l2
12771 sllx %l2, 21, %l2
12772
12773 #! Try MA_SYNC operation...
12774_t2_wait2_30:
12775 ldxa [%g0 + 0xA0] %asi, %l1
12776 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12777 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12778 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12779 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12780 andcc %l1, %l2, %l1
12781 bne,pn %xcc, _t2_fail
12782 nop
12783
12784 rdhpr %halt, %g7
12785 wrpr %g0, 54, %pstate
12786
12787 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12788 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12789
12790_t2_loop_31:
12791 wrpr %g0, 0xd2, %pstate
12792 !# write NPRIME
12793 setx 0x01c2314fd68e30ae, %g7, %l2
12794 stxa %l2, [%g0 + 0x98] %asi
12795
12796 setx _t2_ma_operations, %g6, %l7
12797#ifdef SPU_8
12798#if (MAX_THREADS > 8)
12799 ! Add core ID offset
12800 or %l7, %o1, %l7
12801#endif
12802#endif
12803 or %g0, 10, %i0 !# OPSELECT
12804 umul %i0, 16, %i0
12805 add %i0, %g2, %i0
12806
12807 !# write MA_ADDR
12808 ldx [%l7 + %i0], %l1
12809 stxa %l1, [%g0 + 0x90] %asi
12810
12811 !# write MA_CTL
12812 add %i0, 8, %i0
12813 ldx [%l7 + %i0], %l1
12814 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12815
12816 !# setup mask to check for INVOP
12817 or %g0, 0x1, %l2
12818 sllx %l2, 21, %l2
12819
12820 #! Try MA_SYNC operation...
12821_t2_wait2_31:
12822 ldxa [%g0 + 0xA0] %asi, %l1
12823 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12824 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12825 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12826 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12827 andcc %l1, %l2, %l1
12828 bne,pn %xcc, _t2_fail
12829 nop
12830
12831 rdhpr %halt, %g7
12832 wrpr %g0, 0xe2, %pstate
12833
12834 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12835 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12836
12837_t2_loop_32:
12838 wrpr %g0, 0xa2, %pstate
12839 !# write NPRIME
12840 setx 0x9e75f8063ca6b98f, %g7, %l2
12841 stxa %l2, [%g0 + 0x98] %asi
12842
12843 setx _t2_ma_operations, %g6, %l7
12844#ifdef SPU_8
12845#if (MAX_THREADS > 8)
12846 ! Add core ID offset
12847 or %l7, %o1, %l7
12848#endif
12849#endif
12850 or %g0, 5, %i0 !# OPSELECT
12851 umul %i0, 16, %i0
12852 add %i0, %g2, %i0
12853
12854 !# write MA_ADDR
12855 ldx [%l7 + %i0], %l1
12856 stxa %l1, [%g0 + 0x90] %asi
12857
12858 !# write MA_CTL
12859 add %i0, 8, %i0
12860 ldx [%l7 + %i0], %l1
12861 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12862
12863 !# setup mask to check for INVOP
12864 or %g0, 0x1, %l2
12865 sllx %l2, 21, %l2
12866
12867 #! Try MA_SYNC operation...
12868_t2_wait2_32:
12869 ldxa [%g0 + 0xA0] %asi, %l1
12870 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12871 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12872 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12873 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12874 andcc %l1, %l2, %l1
12875 bne,pn %xcc, _t2_fail
12876 nop
12877
12878 rdhpr %halt, %g7
12879 wrpr %g0, 0xd4, %pstate
12880
12881 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12882 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12883
12884_t2_loop_33:
12885 wrpr %g0, 0xb6, %pstate
12886 !# write NPRIME
12887 setx 0xc2745a1af380b98f, %g7, %l2
12888 stxa %l2, [%g0 + 0x98] %asi
12889
12890 setx _t2_ma_operations, %g6, %l7
12891#ifdef SPU_8
12892#if (MAX_THREADS > 8)
12893 ! Add core ID offset
12894 or %l7, %o1, %l7
12895#endif
12896#endif
12897 or %g0, 5, %i0 !# OPSELECT
12898 umul %i0, 16, %i0
12899 add %i0, %g2, %i0
12900
12901 !# write MA_ADDR
12902 ldx [%l7 + %i0], %l1
12903 stxa %l1, [%g0 + 0x90] %asi
12904
12905 !# write MA_CTL
12906 add %i0, 8, %i0
12907 ldx [%l7 + %i0], %l1
12908 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12909
12910 !# setup mask to check for INVOP
12911 or %g0, 0x1, %l2
12912 sllx %l2, 21, %l2
12913
12914 #! Try MA_SYNC operation...
12915_t2_wait2_33:
12916 ldxa [%g0 + 0xA0] %asi, %l1
12917 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12918 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12919 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12920 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12921 andcc %l1, %l2, %l1
12922 bne,pn %xcc, _t2_fail
12923 nop
12924
12925 rdhpr %halt, %g7
12926 wrpr %g0, 0xd1, %pstate
12927
12928 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12929 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12930
12931_t2_loop_34:
12932 wrpr %g0, 0xf6, %pstate
12933 !# write NPRIME
12934 setx 0xaf80cd1b817640be, %g7, %l2
12935 stxa %l2, [%g0 + 0x98] %asi
12936
12937 setx _t2_ma_operations, %g6, %l7
12938#ifdef SPU_8
12939#if (MAX_THREADS > 8)
12940 ! Add core ID offset
12941 or %l7, %o1, %l7
12942#endif
12943#endif
12944 or %g0, 11, %i0 !# OPSELECT
12945 umul %i0, 16, %i0
12946 add %i0, %g2, %i0
12947
12948 !# write MA_ADDR
12949 ldx [%l7 + %i0], %l1
12950 stxa %l1, [%g0 + 0x90] %asi
12951
12952 !# write MA_CTL
12953 add %i0, 8, %i0
12954 ldx [%l7 + %i0], %l1
12955 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
12956
12957 !# setup mask to check for INVOP
12958 or %g0, 0x1, %l2
12959 sllx %l2, 21, %l2
12960
12961 #! Try MA_SYNC operation...
12962_t2_wait2_34:
12963 ldxa [%g0 + 0xA0] %asi, %l1
12964 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
12965 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
12966 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
12967 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
12968 andcc %l1, %l2, %l1
12969 bne,pn %xcc, _t2_fail
12970 nop
12971
12972 rdhpr %halt, %g7
12973 wrpr %g0, 0xf6, %pstate
12974
12975 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
12976 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
12977
12978_t2_loop_35:
12979 wrpr %g0, 0x72, %pstate
12980 !# write NPRIME
12981 setx 0xdd910d63f01a023a, %g7, %l2
12982 stxa %l2, [%g0 + 0x98] %asi
12983
12984 setx _t2_ma_operations, %g6, %l7
12985#ifdef SPU_8
12986#if (MAX_THREADS > 8)
12987 ! Add core ID offset
12988 or %l7, %o1, %l7
12989#endif
12990#endif
12991 or %g0, 5, %i0 !# OPSELECT
12992 umul %i0, 16, %i0
12993 add %i0, %g2, %i0
12994
12995 !# write MA_ADDR
12996 ldx [%l7 + %i0], %l1
12997 stxa %l1, [%g0 + 0x90] %asi
12998
12999 !# write MA_CTL
13000 add %i0, 8, %i0
13001 ldx [%l7 + %i0], %l1
13002 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13003
13004 !# setup mask to check for INVOP
13005 or %g0, 0x1, %l2
13006 sllx %l2, 21, %l2
13007
13008 #! Try MA_SYNC operation...
13009_t2_wait2_35:
13010 ldxa [%g0 + 0xA0] %asi, %l1
13011 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13012 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13013 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13014 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13015 andcc %l1, %l2, %l1
13016 bne,pn %xcc, _t2_fail
13017 nop
13018
13019 rdhpr %halt, %g7
13020 wrpr %g0, 0x40, %pstate
13021
13022 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13023 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13024
13025_t2_loop_36:
13026 wrpr %g0, 0x67, %pstate
13027 !# write NPRIME
13028 setx 0xc04bacbfec723c5d, %g7, %l2
13029 stxa %l2, [%g0 + 0x98] %asi
13030
13031 setx _t2_ma_operations, %g6, %l7
13032#ifdef SPU_8
13033#if (MAX_THREADS > 8)
13034 ! Add core ID offset
13035 or %l7, %o1, %l7
13036#endif
13037#endif
13038 or %g0, 13, %i0 !# OPSELECT
13039 umul %i0, 16, %i0
13040 add %i0, %g2, %i0
13041
13042 !# write MA_ADDR
13043 ldx [%l7 + %i0], %l1
13044 stxa %l1, [%g0 + 0x90] %asi
13045
13046 !# write MA_CTL
13047 add %i0, 8, %i0
13048 ldx [%l7 + %i0], %l1
13049 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13050
13051 !# setup mask to check for INVOP
13052 or %g0, 0x1, %l2
13053 sllx %l2, 21, %l2
13054
13055 #! Try MA_SYNC operation...
13056_t2_wait2_36:
13057 ldxa [%g0 + 0xA0] %asi, %l1
13058 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13059 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13060 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13061 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13062 andcc %l1, %l2, %l1
13063 bne,pn %xcc, _t2_fail
13064 nop
13065
13066 rdhpr %halt, %g7
13067 wrpr %g0, 0xe4, %pstate
13068
13069 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13070 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13071
13072_t2_loop_37:
13073 wrpr %g0, 16, %pstate
13074 !# write NPRIME
13075 setx 0xecfbdcd261ed7a8d, %g7, %l2
13076 stxa %l2, [%g0 + 0x98] %asi
13077
13078 setx _t2_ma_operations, %g6, %l7
13079#ifdef SPU_8
13080#if (MAX_THREADS > 8)
13081 ! Add core ID offset
13082 or %l7, %o1, %l7
13083#endif
13084#endif
13085 or %g0, 14, %i0 !# OPSELECT
13086 umul %i0, 16, %i0
13087 add %i0, %g2, %i0
13088
13089 !# write MA_ADDR
13090 ldx [%l7 + %i0], %l1
13091 stxa %l1, [%g0 + 0x90] %asi
13092
13093 !# write MA_CTL
13094 add %i0, 8, %i0
13095 ldx [%l7 + %i0], %l1
13096 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13097
13098 !# setup mask to check for INVOP
13099 or %g0, 0x1, %l2
13100 sllx %l2, 21, %l2
13101
13102 #! Try MA_SYNC operation...
13103_t2_wait2_37:
13104 ldxa [%g0 + 0xA0] %asi, %l1
13105 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13106 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13107 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13108 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13109 andcc %l1, %l2, %l1
13110 bne,pn %xcc, _t2_fail
13111 nop
13112
13113 rdhpr %halt, %g7
13114 wrpr %g0, 0xb6, %pstate
13115
13116 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13117 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13118
13119_t2_loop_38:
13120 wrpr %g0, 0x92, %pstate
13121 !# write NPRIME
13122 setx 0x5d06f14406548fa4, %g7, %l2
13123 stxa %l2, [%g0 + 0x98] %asi
13124
13125 setx _t2_ma_operations, %g6, %l7
13126#ifdef SPU_8
13127#if (MAX_THREADS > 8)
13128 ! Add core ID offset
13129 or %l7, %o1, %l7
13130#endif
13131#endif
13132 or %g0, 12, %i0 !# OPSELECT
13133 umul %i0, 16, %i0
13134 add %i0, %g2, %i0
13135
13136 !# write MA_ADDR
13137 ldx [%l7 + %i0], %l1
13138 stxa %l1, [%g0 + 0x90] %asi
13139
13140 !# write MA_CTL
13141 add %i0, 8, %i0
13142 ldx [%l7 + %i0], %l1
13143 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13144
13145 !# setup mask to check for INVOP
13146 or %g0, 0x1, %l2
13147 sllx %l2, 21, %l2
13148
13149 #! Try MA_SYNC operation...
13150_t2_wait2_38:
13151 ldxa [%g0 + 0xA0] %asi, %l1
13152 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13153 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13154 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13155 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13156 andcc %l1, %l2, %l1
13157 bne,pn %xcc, _t2_fail
13158 nop
13159
13160 rdhpr %halt, %g7
13161 wrpr %g0, 32, %pstate
13162
13163 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13164 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13165
13166_t2_loop_39:
13167 wrpr %g0, 35, %pstate
13168 !# write NPRIME
13169 setx 0xcaa5e1ebd8473978, %g7, %l2
13170 stxa %l2, [%g0 + 0x98] %asi
13171
13172 setx _t2_ma_operations, %g6, %l7
13173#ifdef SPU_8
13174#if (MAX_THREADS > 8)
13175 ! Add core ID offset
13176 or %l7, %o1, %l7
13177#endif
13178#endif
13179 or %g0, 4, %i0 !# OPSELECT
13180 umul %i0, 16, %i0
13181 add %i0, %g2, %i0
13182
13183 !# write MA_ADDR
13184 ldx [%l7 + %i0], %l1
13185 stxa %l1, [%g0 + 0x90] %asi
13186
13187 !# write MA_CTL
13188 add %i0, 8, %i0
13189 ldx [%l7 + %i0], %l1
13190 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13191
13192 !# setup mask to check for INVOP
13193 or %g0, 0x1, %l2
13194 sllx %l2, 21, %l2
13195
13196 #! Try MA_SYNC operation...
13197_t2_wait2_39:
13198 ldxa [%g0 + 0xA0] %asi, %l1
13199 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13200 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13201 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13202 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13203 andcc %l1, %l2, %l1
13204 bne,pn %xcc, _t2_fail
13205 nop
13206
13207 rdhpr %halt, %g7
13208 wrpr %g0, 0xd4, %pstate
13209
13210 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13211 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13212
13213_t2_loop_40:
13214 wrpr %g0, 37, %pstate
13215 !# write NPRIME
13216 setx 0xb94d1414fe0da67c, %g7, %l2
13217 stxa %l2, [%g0 + 0x98] %asi
13218
13219 setx _t2_ma_operations, %g6, %l7
13220#ifdef SPU_8
13221#if (MAX_THREADS > 8)
13222 ! Add core ID offset
13223 or %l7, %o1, %l7
13224#endif
13225#endif
13226 or %g0, 0, %i0 !# OPSELECT
13227 umul %i0, 16, %i0
13228 add %i0, %g2, %i0
13229
13230 !# write MA_ADDR
13231 ldx [%l7 + %i0], %l1
13232 stxa %l1, [%g0 + 0x90] %asi
13233
13234 !# write MA_CTL
13235 add %i0, 8, %i0
13236 ldx [%l7 + %i0], %l1
13237 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13238
13239 !# setup mask to check for INVOP
13240 or %g0, 0x1, %l2
13241 sllx %l2, 21, %l2
13242
13243 #! Try MA_SYNC operation...
13244_t2_wait2_40:
13245 ldxa [%g0 + 0xA0] %asi, %l1
13246 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13247 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13248 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13249 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13250 andcc %l1, %l2, %l1
13251 bne,pn %xcc, _t2_fail
13252 nop
13253
13254 rdhpr %halt, %g7
13255 wrpr %g0, 0xf1, %pstate
13256
13257 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13258 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13259
13260_t2_loop_41:
13261 wrpr %g0, 0x62, %pstate
13262 !# write NPRIME
13263 setx 0xc7d845d9565b715f, %g7, %l2
13264 stxa %l2, [%g0 + 0x98] %asi
13265
13266 setx _t2_ma_operations, %g6, %l7
13267#ifdef SPU_8
13268#if (MAX_THREADS > 8)
13269 ! Add core ID offset
13270 or %l7, %o1, %l7
13271#endif
13272#endif
13273 or %g0, 10, %i0 !# OPSELECT
13274 umul %i0, 16, %i0
13275 add %i0, %g2, %i0
13276
13277 !# write MA_ADDR
13278 ldx [%l7 + %i0], %l1
13279 stxa %l1, [%g0 + 0x90] %asi
13280
13281 !# write MA_CTL
13282 add %i0, 8, %i0
13283 ldx [%l7 + %i0], %l1
13284 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13285
13286 !# setup mask to check for INVOP
13287 or %g0, 0x1, %l2
13288 sllx %l2, 21, %l2
13289
13290 #! Try MA_SYNC operation...
13291_t2_wait2_41:
13292 ldxa [%g0 + 0xA0] %asi, %l1
13293 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13294 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13295 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13296 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13297 andcc %l1, %l2, %l1
13298 bne,pn %xcc, _t2_fail
13299 nop
13300
13301 rdhpr %halt, %g7
13302 wrpr %g0, 0x51, %pstate
13303
13304 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13305 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13306
13307_t2_loop_42:
13308 wrpr %g0, 0xa4, %pstate
13309 !# write NPRIME
13310 setx 0x09093f52c5900430, %g7, %l2
13311 stxa %l2, [%g0 + 0x98] %asi
13312
13313 setx _t2_ma_operations, %g6, %l7
13314#ifdef SPU_8
13315#if (MAX_THREADS > 8)
13316 ! Add core ID offset
13317 or %l7, %o1, %l7
13318#endif
13319#endif
13320 or %g0, 0, %i0 !# OPSELECT
13321 umul %i0, 16, %i0
13322 add %i0, %g2, %i0
13323
13324 !# write MA_ADDR
13325 ldx [%l7 + %i0], %l1
13326 stxa %l1, [%g0 + 0x90] %asi
13327
13328 !# write MA_CTL
13329 add %i0, 8, %i0
13330 ldx [%l7 + %i0], %l1
13331 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13332
13333 !# setup mask to check for INVOP
13334 or %g0, 0x1, %l2
13335 sllx %l2, 21, %l2
13336
13337 #! Try MA_SYNC operation...
13338_t2_wait2_42:
13339 ldxa [%g0 + 0xA0] %asi, %l1
13340 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13341 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13342 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13343 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13344 andcc %l1, %l2, %l1
13345 bne,pn %xcc, _t2_fail
13346 nop
13347
13348 rdhpr %halt, %g7
13349 wrpr %g0, 0xd1, %pstate
13350
13351 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13352 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13353
13354_t2_loop_43:
13355 wrpr %g0, 0x47, %pstate
13356 !# write NPRIME
13357 setx 0xd775048e03e52b52, %g7, %l2
13358 stxa %l2, [%g0 + 0x98] %asi
13359
13360 setx _t2_ma_operations, %g6, %l7
13361#ifdef SPU_8
13362#if (MAX_THREADS > 8)
13363 ! Add core ID offset
13364 or %l7, %o1, %l7
13365#endif
13366#endif
13367 or %g0, 17, %i0 !# OPSELECT
13368 umul %i0, 16, %i0
13369 add %i0, %g2, %i0
13370
13371 !# write MA_ADDR
13372 ldx [%l7 + %i0], %l1
13373 stxa %l1, [%g0 + 0x90] %asi
13374
13375 !# write MA_CTL
13376 add %i0, 8, %i0
13377 ldx [%l7 + %i0], %l1
13378 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13379
13380 !# setup mask to check for INVOP
13381 or %g0, 0x1, %l2
13382 sllx %l2, 21, %l2
13383
13384 #! Try MA_SYNC operation...
13385_t2_wait2_43:
13386 ldxa [%g0 + 0xA0] %asi, %l1
13387 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13388 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13389 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13390 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13391 andcc %l1, %l2, %l1
13392 bne,pn %xcc, _t2_fail
13393 nop
13394
13395 rdhpr %halt, %g7
13396 wrpr %g0, 0xf7, %pstate
13397
13398 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13399 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13400
13401_t2_loop_44:
13402 wrpr %g0, 0x77, %pstate
13403 !# write NPRIME
13404 setx 0xe10f4deff1ffbc7c, %g7, %l2
13405 stxa %l2, [%g0 + 0x98] %asi
13406
13407 setx _t2_ma_operations, %g6, %l7
13408#ifdef SPU_8
13409#if (MAX_THREADS > 8)
13410 ! Add core ID offset
13411 or %l7, %o1, %l7
13412#endif
13413#endif
13414 or %g0, 3, %i0 !# OPSELECT
13415 umul %i0, 16, %i0
13416 add %i0, %g2, %i0
13417
13418 !# write MA_ADDR
13419 ldx [%l7 + %i0], %l1
13420 stxa %l1, [%g0 + 0x90] %asi
13421
13422 !# write MA_CTL
13423 add %i0, 8, %i0
13424 ldx [%l7 + %i0], %l1
13425 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13426
13427 !# setup mask to check for INVOP
13428 or %g0, 0x1, %l2
13429 sllx %l2, 21, %l2
13430
13431 #! Try MA_SYNC operation...
13432_t2_wait2_44:
13433 ldxa [%g0 + 0xA0] %asi, %l1
13434 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13435 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13436 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13437 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13438 andcc %l1, %l2, %l1
13439 bne,pn %xcc, _t2_fail
13440 nop
13441
13442 rdhpr %halt, %g7
13443 wrpr %g0, 0x77, %pstate
13444
13445 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13446 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13447
13448_t2_loop_45:
13449 wrpr %g0, 0x91, %pstate
13450 !# write NPRIME
13451 setx 0x8a4574de2cd58a52, %g7, %l2
13452 stxa %l2, [%g0 + 0x98] %asi
13453
13454 setx _t2_ma_operations, %g6, %l7
13455#ifdef SPU_8
13456#if (MAX_THREADS > 8)
13457 ! Add core ID offset
13458 or %l7, %o1, %l7
13459#endif
13460#endif
13461 or %g0, 1, %i0 !# OPSELECT
13462 umul %i0, 16, %i0
13463 add %i0, %g2, %i0
13464
13465 !# write MA_ADDR
13466 ldx [%l7 + %i0], %l1
13467 stxa %l1, [%g0 + 0x90] %asi
13468
13469 !# write MA_CTL
13470 add %i0, 8, %i0
13471 ldx [%l7 + %i0], %l1
13472 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13473
13474 !# setup mask to check for INVOP
13475 or %g0, 0x1, %l2
13476 sllx %l2, 21, %l2
13477
13478 #! Try MA_SYNC operation...
13479_t2_wait2_45:
13480 ldxa [%g0 + 0xA0] %asi, %l1
13481 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13482 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13483 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13484 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13485 andcc %l1, %l2, %l1
13486 bne,pn %xcc, _t2_fail
13487 nop
13488
13489 rdhpr %halt, %g7
13490 wrpr %g0, 0x57, %pstate
13491
13492 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13493 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13494
13495_t2_loop_46:
13496 wrpr %g0, 19, %pstate
13497 !# write NPRIME
13498 setx 0x344710030d9a3d5e, %g7, %l2
13499 stxa %l2, [%g0 + 0x98] %asi
13500
13501 setx _t2_ma_operations, %g6, %l7
13502#ifdef SPU_8
13503#if (MAX_THREADS > 8)
13504 ! Add core ID offset
13505 or %l7, %o1, %l7
13506#endif
13507#endif
13508 or %g0, 12, %i0 !# OPSELECT
13509 umul %i0, 16, %i0
13510 add %i0, %g2, %i0
13511
13512 !# write MA_ADDR
13513 ldx [%l7 + %i0], %l1
13514 stxa %l1, [%g0 + 0x90] %asi
13515
13516 !# write MA_CTL
13517 add %i0, 8, %i0
13518 ldx [%l7 + %i0], %l1
13519 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13520
13521 !# setup mask to check for INVOP
13522 or %g0, 0x1, %l2
13523 sllx %l2, 21, %l2
13524
13525 #! Try MA_SYNC operation...
13526_t2_wait2_46:
13527 ldxa [%g0 + 0xA0] %asi, %l1
13528 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13529 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13530 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13531 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13532 andcc %l1, %l2, %l1
13533 bne,pn %xcc, _t2_fail
13534 nop
13535
13536 rdhpr %halt, %g7
13537 wrpr %g0, 0xc0, %pstate
13538
13539 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13540 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13541
13542_t2_loop_47:
13543 wrpr %g0, 0xb6, %pstate
13544 !# write NPRIME
13545 setx 0x58ff918fa619173e, %g7, %l2
13546 stxa %l2, [%g0 + 0x98] %asi
13547
13548 setx _t2_ma_operations, %g6, %l7
13549#ifdef SPU_8
13550#if (MAX_THREADS > 8)
13551 ! Add core ID offset
13552 or %l7, %o1, %l7
13553#endif
13554#endif
13555 or %g0, 7, %i0 !# OPSELECT
13556 umul %i0, 16, %i0
13557 add %i0, %g2, %i0
13558
13559 !# write MA_ADDR
13560 ldx [%l7 + %i0], %l1
13561 stxa %l1, [%g0 + 0x90] %asi
13562
13563 !# write MA_CTL
13564 add %i0, 8, %i0
13565 ldx [%l7 + %i0], %l1
13566 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13567
13568 !# setup mask to check for INVOP
13569 or %g0, 0x1, %l2
13570 sllx %l2, 21, %l2
13571
13572 #! Try MA_SYNC operation...
13573_t2_wait2_47:
13574 ldxa [%g0 + 0xA0] %asi, %l1
13575 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13576 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13577 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13578 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13579 andcc %l1, %l2, %l1
13580 bne,pn %xcc, _t2_fail
13581 nop
13582
13583 rdhpr %halt, %g7
13584 wrpr %g0, 0x54, %pstate
13585
13586 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13587 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13588
13589_t2_loop_48:
13590 wrpr %g0, 0x42, %pstate
13591 !# write NPRIME
13592 setx 0xeffbd44416c249d0, %g7, %l2
13593 stxa %l2, [%g0 + 0x98] %asi
13594
13595 setx _t2_ma_operations, %g6, %l7
13596#ifdef SPU_8
13597#if (MAX_THREADS > 8)
13598 ! Add core ID offset
13599 or %l7, %o1, %l7
13600#endif
13601#endif
13602 or %g0, 14, %i0 !# OPSELECT
13603 umul %i0, 16, %i0
13604 add %i0, %g2, %i0
13605
13606 !# write MA_ADDR
13607 ldx [%l7 + %i0], %l1
13608 stxa %l1, [%g0 + 0x90] %asi
13609
13610 !# write MA_CTL
13611 add %i0, 8, %i0
13612 ldx [%l7 + %i0], %l1
13613 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13614
13615 !# setup mask to check for INVOP
13616 or %g0, 0x1, %l2
13617 sllx %l2, 21, %l2
13618
13619 #! Try MA_SYNC operation...
13620_t2_wait2_48:
13621 ldxa [%g0 + 0xA0] %asi, %l1
13622 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13623 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13624 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13625 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13626 andcc %l1, %l2, %l1
13627 bne,pn %xcc, _t2_fail
13628 nop
13629
13630 rdhpr %halt, %g7
13631 wrpr %g0, 0x46, %pstate
13632
13633 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13634 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13635
13636_t2_loop_49:
13637 wrpr %g0, 0xe5, %pstate
13638 !# write NPRIME
13639 setx 0x6be13392387ce099, %g7, %l2
13640 stxa %l2, [%g0 + 0x98] %asi
13641
13642 setx _t2_ma_operations, %g6, %l7
13643#ifdef SPU_8
13644#if (MAX_THREADS > 8)
13645 ! Add core ID offset
13646 or %l7, %o1, %l7
13647#endif
13648#endif
13649 or %g0, 7, %i0 !# OPSELECT
13650 umul %i0, 16, %i0
13651 add %i0, %g2, %i0
13652
13653 !# write MA_ADDR
13654 ldx [%l7 + %i0], %l1
13655 stxa %l1, [%g0 + 0x90] %asi
13656
13657 !# write MA_CTL
13658 add %i0, 8, %i0
13659 ldx [%l7 + %i0], %l1
13660 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13661
13662 !# setup mask to check for INVOP
13663 or %g0, 0x1, %l2
13664 sllx %l2, 21, %l2
13665
13666 #! Try MA_SYNC operation...
13667_t2_wait2_49:
13668 ldxa [%g0 + 0xA0] %asi, %l1
13669 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13670 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13671 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13672 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13673 andcc %l1, %l2, %l1
13674 bne,pn %xcc, _t2_fail
13675 nop
13676
13677 rdhpr %halt, %g7
13678 wrpr %g0, 32, %pstate
13679
13680 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13681 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13682
13683_t2_loop_50:
13684 wrpr %g0, 36, %pstate
13685 !# write NPRIME
13686 setx 0x3332783f44174234, %g7, %l2
13687 stxa %l2, [%g0 + 0x98] %asi
13688
13689 setx _t2_ma_operations, %g6, %l7
13690#ifdef SPU_8
13691#if (MAX_THREADS > 8)
13692 ! Add core ID offset
13693 or %l7, %o1, %l7
13694#endif
13695#endif
13696 or %g0, 8, %i0 !# OPSELECT
13697 umul %i0, 16, %i0
13698 add %i0, %g2, %i0
13699
13700 !# write MA_ADDR
13701 ldx [%l7 + %i0], %l1
13702 stxa %l1, [%g0 + 0x90] %asi
13703
13704 !# write MA_CTL
13705 add %i0, 8, %i0
13706 ldx [%l7 + %i0], %l1
13707 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13708
13709 !# setup mask to check for INVOP
13710 or %g0, 0x1, %l2
13711 sllx %l2, 21, %l2
13712
13713 #! Try MA_SYNC operation...
13714_t2_wait2_50:
13715 ldxa [%g0 + 0xA0] %asi, %l1
13716 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13717 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13718 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13719 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13720 andcc %l1, %l2, %l1
13721 bne,pn %xcc, _t2_fail
13722 nop
13723
13724 rdhpr %halt, %g7
13725 wrpr %g0, 0x47, %pstate
13726
13727 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13728 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13729
13730_t2_loop_51:
13731 wrpr %g0, 0xb3, %pstate
13732 !# write NPRIME
13733 setx 0x880729e684456de2, %g7, %l2
13734 stxa %l2, [%g0 + 0x98] %asi
13735
13736 setx _t2_ma_operations, %g6, %l7
13737#ifdef SPU_8
13738#if (MAX_THREADS > 8)
13739 ! Add core ID offset
13740 or %l7, %o1, %l7
13741#endif
13742#endif
13743 or %g0, 5, %i0 !# OPSELECT
13744 umul %i0, 16, %i0
13745 add %i0, %g2, %i0
13746
13747 !# write MA_ADDR
13748 ldx [%l7 + %i0], %l1
13749 stxa %l1, [%g0 + 0x90] %asi
13750
13751 !# write MA_CTL
13752 add %i0, 8, %i0
13753 ldx [%l7 + %i0], %l1
13754 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13755
13756 !# setup mask to check for INVOP
13757 or %g0, 0x1, %l2
13758 sllx %l2, 21, %l2
13759
13760 #! Try MA_SYNC operation...
13761_t2_wait2_51:
13762 ldxa [%g0 + 0xA0] %asi, %l1
13763 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13764 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13765 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13766 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13767 andcc %l1, %l2, %l1
13768 bne,pn %xcc, _t2_fail
13769 nop
13770
13771 rdhpr %halt, %g7
13772 wrpr %g0, 0xf7, %pstate
13773
13774 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13775 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13776
13777_t2_loop_52:
13778 wrpr %g0, 0x46, %pstate
13779 !# write NPRIME
13780 setx 0xdd2fb12b0b075b20, %g7, %l2
13781 stxa %l2, [%g0 + 0x98] %asi
13782
13783 setx _t2_ma_operations, %g6, %l7
13784#ifdef SPU_8
13785#if (MAX_THREADS > 8)
13786 ! Add core ID offset
13787 or %l7, %o1, %l7
13788#endif
13789#endif
13790 or %g0, 0, %i0 !# OPSELECT
13791 umul %i0, 16, %i0
13792 add %i0, %g2, %i0
13793
13794 !# write MA_ADDR
13795 ldx [%l7 + %i0], %l1
13796 stxa %l1, [%g0 + 0x90] %asi
13797
13798 !# write MA_CTL
13799 add %i0, 8, %i0
13800 ldx [%l7 + %i0], %l1
13801 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13802
13803 !# setup mask to check for INVOP
13804 or %g0, 0x1, %l2
13805 sllx %l2, 21, %l2
13806
13807 #! Try MA_SYNC operation...
13808_t2_wait2_52:
13809 ldxa [%g0 + 0xA0] %asi, %l1
13810 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13811 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13812 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13813 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13814 andcc %l1, %l2, %l1
13815 bne,pn %xcc, _t2_fail
13816 nop
13817
13818 rdhpr %halt, %g7
13819 wrpr %g0, 0xf2, %pstate
13820
13821 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13822 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13823
13824_t2_loop_53:
13825 wrpr %g0, 0xf1, %pstate
13826 !# write NPRIME
13827 setx 0x1fb0cac3f21dc254, %g7, %l2
13828 stxa %l2, [%g0 + 0x98] %asi
13829
13830 setx _t2_ma_operations, %g6, %l7
13831#ifdef SPU_8
13832#if (MAX_THREADS > 8)
13833 ! Add core ID offset
13834 or %l7, %o1, %l7
13835#endif
13836#endif
13837 or %g0, 2, %i0 !# OPSELECT
13838 umul %i0, 16, %i0
13839 add %i0, %g2, %i0
13840
13841 !# write MA_ADDR
13842 ldx [%l7 + %i0], %l1
13843 stxa %l1, [%g0 + 0x90] %asi
13844
13845 !# write MA_CTL
13846 add %i0, 8, %i0
13847 ldx [%l7 + %i0], %l1
13848 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13849
13850 !# setup mask to check for INVOP
13851 or %g0, 0x1, %l2
13852 sllx %l2, 21, %l2
13853
13854 #! Try MA_SYNC operation...
13855_t2_wait2_53:
13856 ldxa [%g0 + 0xA0] %asi, %l1
13857 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13858 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13859 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13860 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13861 andcc %l1, %l2, %l1
13862 bne,pn %xcc, _t2_fail
13863 nop
13864
13865 rdhpr %halt, %g7
13866 wrpr %g0, 0xd6, %pstate
13867
13868 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13869 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13870
13871_t2_loop_54:
13872 wrpr %g0, 37, %pstate
13873 !# write NPRIME
13874 setx 0x65ce5739dd826714, %g7, %l2
13875 stxa %l2, [%g0 + 0x98] %asi
13876
13877 setx _t2_ma_operations, %g6, %l7
13878#ifdef SPU_8
13879#if (MAX_THREADS > 8)
13880 ! Add core ID offset
13881 or %l7, %o1, %l7
13882#endif
13883#endif
13884 or %g0, 12, %i0 !# OPSELECT
13885 umul %i0, 16, %i0
13886 add %i0, %g2, %i0
13887
13888 !# write MA_ADDR
13889 ldx [%l7 + %i0], %l1
13890 stxa %l1, [%g0 + 0x90] %asi
13891
13892 !# write MA_CTL
13893 add %i0, 8, %i0
13894 ldx [%l7 + %i0], %l1
13895 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13896
13897 !# setup mask to check for INVOP
13898 or %g0, 0x1, %l2
13899 sllx %l2, 21, %l2
13900
13901 #! Try MA_SYNC operation...
13902_t2_wait2_54:
13903 ldxa [%g0 + 0xA0] %asi, %l1
13904 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13905 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13906 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13907 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13908 andcc %l1, %l2, %l1
13909 bne,pn %xcc, _t2_fail
13910 nop
13911
13912 rdhpr %halt, %g7
13913 wrpr %g0, 49, %pstate
13914
13915 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13916 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13917
13918_t2_loop_55:
13919 wrpr %g0, 51, %pstate
13920 !# write NPRIME
13921 setx 0xe4a0891ef1339fda, %g7, %l2
13922 stxa %l2, [%g0 + 0x98] %asi
13923
13924 setx _t2_ma_operations, %g6, %l7
13925#ifdef SPU_8
13926#if (MAX_THREADS > 8)
13927 ! Add core ID offset
13928 or %l7, %o1, %l7
13929#endif
13930#endif
13931 or %g0, 7, %i0 !# OPSELECT
13932 umul %i0, 16, %i0
13933 add %i0, %g2, %i0
13934
13935 !# write MA_ADDR
13936 ldx [%l7 + %i0], %l1
13937 stxa %l1, [%g0 + 0x90] %asi
13938
13939 !# write MA_CTL
13940 add %i0, 8, %i0
13941 ldx [%l7 + %i0], %l1
13942 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13943
13944 !# setup mask to check for INVOP
13945 or %g0, 0x1, %l2
13946 sllx %l2, 21, %l2
13947
13948 #! Try MA_SYNC operation...
13949_t2_wait2_55:
13950 ldxa [%g0 + 0xA0] %asi, %l1
13951 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13952 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
13953 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
13954 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
13955 andcc %l1, %l2, %l1
13956 bne,pn %xcc, _t2_fail
13957 nop
13958
13959 rdhpr %halt, %g7
13960 wrpr %g0, 0x91, %pstate
13961
13962 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
13963 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
13964
13965_t2_loop_56:
13966 wrpr %g0, 0x43, %pstate
13967 !# write NPRIME
13968 setx 0x1da7209f4c0843a5, %g7, %l2
13969 stxa %l2, [%g0 + 0x98] %asi
13970
13971 setx _t2_ma_operations, %g6, %l7
13972#ifdef SPU_8
13973#if (MAX_THREADS > 8)
13974 ! Add core ID offset
13975 or %l7, %o1, %l7
13976#endif
13977#endif
13978 or %g0, 3, %i0 !# OPSELECT
13979 umul %i0, 16, %i0
13980 add %i0, %g2, %i0
13981
13982 !# write MA_ADDR
13983 ldx [%l7 + %i0], %l1
13984 stxa %l1, [%g0 + 0x90] %asi
13985
13986 !# write MA_CTL
13987 add %i0, 8, %i0
13988 ldx [%l7 + %i0], %l1
13989 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
13990
13991 !# setup mask to check for INVOP
13992 or %g0, 0x1, %l2
13993 sllx %l2, 21, %l2
13994
13995 #! Try MA_SYNC operation...
13996_t2_wait2_56:
13997 ldxa [%g0 + 0xA0] %asi, %l1
13998 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
13999 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
14000 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
14001 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
14002 andcc %l1, %l2, %l1
14003 bne,pn %xcc, _t2_fail
14004 nop
14005
14006 rdhpr %halt, %g7
14007 wrpr %g0, 0xa2, %pstate
14008
14009 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
14010 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
14011
14012_t2_loop_57:
14013 wrpr %g0, 0xa0, %pstate
14014 !# write NPRIME
14015 setx 0x24cb6085712d93d5, %g7, %l2
14016 stxa %l2, [%g0 + 0x98] %asi
14017
14018 setx _t2_ma_operations, %g6, %l7
14019#ifdef SPU_8
14020#if (MAX_THREADS > 8)
14021 ! Add core ID offset
14022 or %l7, %o1, %l7
14023#endif
14024#endif
14025 or %g0, 12, %i0 !# OPSELECT
14026 umul %i0, 16, %i0
14027 add %i0, %g2, %i0
14028
14029 !# write MA_ADDR
14030 ldx [%l7 + %i0], %l1
14031 stxa %l1, [%g0 + 0x90] %asi
14032
14033 !# write MA_CTL
14034 add %i0, 8, %i0
14035 ldx [%l7 + %i0], %l1
14036 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
14037
14038 !# setup mask to check for INVOP
14039 or %g0, 0x1, %l2
14040 sllx %l2, 21, %l2
14041
14042 #! Try MA_SYNC operation...
14043_t2_wait2_57:
14044 ldxa [%g0 + 0xA0] %asi, %l1
14045 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
14046 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
14047 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
14048 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
14049 andcc %l1, %l2, %l1
14050 bne,pn %xcc, _t2_fail
14051 nop
14052
14053 rdhpr %halt, %g7
14054 wrpr %g0, 32, %pstate
14055
14056 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
14057 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
14058
14059_t2_loop_58:
14060 wrpr %g0, 0x75, %pstate
14061 !# write NPRIME
14062 setx 0x26560db64df2b025, %g7, %l2
14063 stxa %l2, [%g0 + 0x98] %asi
14064
14065 setx _t2_ma_operations, %g6, %l7
14066#ifdef SPU_8
14067#if (MAX_THREADS > 8)
14068 ! Add core ID offset
14069 or %l7, %o1, %l7
14070#endif
14071#endif
14072 or %g0, 6, %i0 !# OPSELECT
14073 umul %i0, 16, %i0
14074 add %i0, %g2, %i0
14075
14076 !# write MA_ADDR
14077 ldx [%l7 + %i0], %l1
14078 stxa %l1, [%g0 + 0x90] %asi
14079
14080 !# write MA_CTL
14081 add %i0, 8, %i0
14082 ldx [%l7 + %i0], %l1
14083 stxa %l1, [%g0 + 0x80] %asi !# OPERATION
14084
14085 !# setup mask to check for INVOP
14086 or %g0, 0x1, %l2
14087 sllx %l2, 21, %l2
14088
14089 #! Try MA_SYNC operation...
14090_t2_wait2_58:
14091 ldxa [%g0 + 0xA0] %asi, %l1
14092 ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
14093 ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
14094 ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
14095 ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
14096 andcc %l1, %l2, %l1
14097 bne,pn %xcc, _t2_fail
14098 nop
14099
14100 rdhpr %halt, %g7
14101 wrpr %g0, 0xa5, %pstate
14102
14103 add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
14104 add %g4, 164, %g4 !# Operand Step (_t2_always 164)
14105
14106_t2_ma_store:
14107
14108 !# write MA_ADDR
14109 or %g0, 0x00, %l2
14110 stxa %l2, [%g0 + 0x90] %asi
14111 !# Write result address into MA_PA reg
14112
14113 setx _t2_ma_results, %g7, %l6
14114#ifdef SPU_8
14115#if (MAX_THREADS > 8)
14116 ! Add core ID offset
14117 or %l6, %o1, %l6
14118#endif
14119#endif
14120 add %l6, 7, %l6 !# _t2_WARNING : Misaligned _t2_address
14121 stxa %l6, [%g0 + 0x88] %asi
14122
14123 !# write MA_CTL
14124 set 0x00020147, %l1
14125 mov 3, %g7
14126 sllx %g7, 18, %g7
14127 or %l1, %g7, %l1
14128 stxa %l1, [%g0 + 0x80] %asi !# STORE
14129
14130 !# setup mask to check for INVOP
14131 or %g0, 0x1, %l2
14132 sllx %l2, 21, %l2
14133
14134 #! Try MA_SYNC operation...
14135_t2_wait3:
14136 ldxa [%g0 + 0xA0] %asi, %l1
14137 ldxa [%g0 + 0x80] %asi, %l1
14138 andcc %l1, %l2, %l1
14139 bne,pn %xcc, _t2_fail
14140 nop
14141
14142_t2_idle3:
14143#ifndef NO_MA_CHECK
14144 !# do dummy loads into %g1
14145
14146 setx _t2_ma_results, %g7, %l7
14147#ifdef SPU_8
14148#if (MAX_THREADS > 8)
14149 ! Add core ID offset
14150 or %l7, %o1, %l7
14151#endif
14152#endif
14153 or %g0, %g0, %l3
14154 !# setup loop count
14155 or %g0, 164, %i0
14156_t2_more:
14157 ldx [%l7+%l3], %l0 !# a[_t2_i]
14158 addcc %i0, -1, %i0
14159 bgt _t2_more
14160 add %l3, 0x8, %l3 !# i++
14161#endif
14162 b _t2_done_spu_ma_rand5
14163
14164_t2_fail:
14165 EXIT_BAD
14166
14167 nop
14168 nop
14169_t2_done_spu_ma_rand5:
14170 .word 0xe3148010 ! 1: LDQF_R - [%r18, %r16], %f17
14171 .word 0x91a149d0 ! 1: FDIVd fdivd %f36, %f16, %f8
14172 .word 0xa9a409d4 ! 1: FDIVd fdivd %f16, %f20, %f20
14173 .word 0xd514c009 ! 1: LDQF_R - [%r19, %r9], %f10
14174 nop
14175 nop
14176 ta T_CHANGE_PRIV
14177 wrpr %g0, %g0, %gl
14178 nop
14179 nop
14180.text
14181 setx join_lbl_0_0, %g1, %g2
14182 jmp %g2
14183 nop
14184fork_lbl_0_3:
14185! Code for Template instance: _t1_
14186#ifndef PORTABLE_CORE
14187#define SPU_8
14188#endif
14189.text
14190.global _t1_main
14191
14192_t1_main:
14193 !# Switch to hpriv mode
14194 ta T_CHANGE_HPRIV
14195
14196 !# trap counter
14197 mov 0, %i6
14198
14199 set 0x2899, %g3
14200 stxa %g3, [%g0] ASI_SPARC_PWR_MGMT
14201
14202 ! Get core ID & offset
14203
14204#ifndef PORTABLE_CORE
14205 ldxa [%g0]0x63, %o1
14206 srlx %o1, 3, %o1
14207 sllx %o1, 20, %o1 !! %o1 has core ID offset
14208#else
14209 mov %g0, %o1
14210#endif
14211
14212 ! Set up for PMU
14213 set 0x1988c2b6, %g2
14214 wr %g2, %g0, %pcr
14215 setx 0xffffffbfffffffae, %g2, %g7
14216 wr %g7, %g0, %pic
14217
14218 !# setup ASI register to point to SPU
14219 wr %g0, 0x40, %asi
14220
14221 !# Make sure CWQ is currently disabled, not busy, not terminated, no protocol error; else fail
14222 ldxa [%g0 + ASI_SPU_CWQ_CSR] %asi, %l1
14223 and %l1, 0xf, %l2
14224 cmp %g0, %l2
14225 bne,pn %xcc, _t1_fail
14226 nop
14227
14228 !# allocate control word queue (e.g., setup head/tail/first/last registers)
14229 setx _t1_cwq_base, %g1, %l6
14230#ifdef SPU_8
14231#if (MAX_THREADS > 8)
14232 ! Add core ID offset
14233 or %l6, %o1, %l6
14234#endif
14235#endif
14236
14237 !# write base addr to first, head, and tail ptr
14238 !# first store to first
14239 stxa %l6, [%g0 + ASI_SPU_CWQ_FIRST] %asi
14240 ldxa [%g0 + ASI_SPU_CWQ_FIRST] %asi, %l1
14241 !# Mask off upper 16 bits
14242 setx 0x0000ffffffffffff, %l5, %l0
14243 and %l0, %l6, %l2
14244 cmp %l1, %l2
14245 bne,pn %xcc, _t1_fail
14246 nop
14247
14248 !# then to head
14249 stxa %l6, [%g0 + ASI_SPU_CWQ_HEAD] %asi
14250 ldxa [%g0 + ASI_SPU_CWQ_HEAD] %asi, %l1
14251 cmp %l1, %l2
14252 bne,pn %xcc, _t1_fail
14253 nop
14254
14255 !# then to tail
14256 stxa %l6, [%g0 + ASI_SPU_CWQ_TAIL] %asi
14257 ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l1
14258 cmp %l1, %l2
14259 bne,pn %xcc, _t1_fail
14260 nop
14261
14262 !# then end of CWQ region to LAST
14263 setx _t1_cwq_last, %g1, %l5
14264#ifdef SPU_8
14265#if (MAX_THREADS > 8)
14266 ! Add core ID offset
14267 or %l5, %o1, %l5
14268#endif
14269#endif
14270 stxa %l5, [%g0 + ASI_SPU_CWQ_LAST] %asi
14271 ldxa [%g0 + ASI_SPU_CWQ_LAST] %asi, %l1
14272 !# Mask off upper 16 bits
14273 and %l0, %l5, %l2
14274 cmp %l1, %l2
14275 bne,pn %xcc, _t1_fail
14276 nop
14277
14278 or %g0, 0x0, %i4 !# _t1_counter : Increment _t1_by 1 _t1_to _t1_step _t1_over CWs
14279 or %g0, 0x0, %i5 !# _t1_offset : Increment _t1_by 8 _t1_to _t1_step _t1_over CWs
14280
14281_t1_main_loop:
14282 setx _t1_spu_op_array, %l1, %l2
14283#ifdef SPU_8
14284#if (MAX_THREADS > 8)
14285 ! Add core ID offset
14286 or %l2, %o1, %l2
14287#endif
14288#endif
14289 ldx [%l2 + %i5], %i1
14290 cmp %i1, 7
14291 bne _t1_not_ssl
14292 mov %i5, %g5 !# Save _t1_real _t1_offset - _t1_if _t1_sslkey _t1_it _t1_has _t1_to _t1_be 0x10 _t1_aligned
14293 btst 8, %i5
14294 bz _t1_not_ssl
14295 nop
14296 add %i5, 8, %i5
14297
14298_t1_not_ssl:
14299 cmp %i1, 8
14300 bg _t1_fail
14301 mulx %i1, 8, %i1 !# Calc _t1_index _t1_into _t1_toc
14302
14303 setx _t1_table_of_context, %l1, %l2
14304#ifdef SPU_8
14305#if (MAX_THREADS > 8)
14306 ! Add core ID offset
14307 or %l2, %o1, %l2
14308#endif
14309#endif
14310 ldx [%l2 + %i1], %l3 !# l3 = _t1_toc _t1_of _t1_current _t1_operation
14311 ldx [%l3 + 0x40], %l4 !# l4 = alignment array
14312
14313 !# set CWQ data
14314 ldx [%l3], %l2
14315 ldx [%l2 + %i5], %l2 !# Get Control Word _t1_from _t1_array
14316 mov %l2, %i7 !# Save _t1_it _t1_for _t1_later
14317 srlx %i7, 48, %l1
14318 and %l1, 1, %l1
14319 cmp %l1, 1
14320 bne,pn %xcc, _t1_write_cwq
14321 nop
14322 inc %i6 !# increase _t1_interrupt _t1_counter
14323
14324_t1_write_cwq:
14325 !# write CWQ entry (%l6 points to CWQ)
14326 stx %l2, [%l6 + 0x0]
14327
14328 !# source address
14329 ldx [%l3 + 0x8], %l2
14330 ldx [%l4 + 0x8], %l1
14331 add %l2, %i5, %l2
14332 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
14333 stx %l2, [%l6 + 0x8]
14334
14335 !# Authentication Key Address (40-bit)
14336 ldx [%l3 + 0x10], %l2
14337 ldx [%l4 + 0x10], %l1
14338 add %l2, %i5, %l2
14339 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
14340 stx %l2, [%l6 + 0x10]
14341
14342 !# Authentication IV Address (40-bit)
14343 ldx [%l3 + 0x18], %l2
14344 ldx [%l4 + 0x18], %l1
14345 add %l2, %i5, %l2
14346 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
14347 stx %l2, [%l6 + 0x18]
14348
14349 !# Authentication FSAS Address (40-bit)
14350 ldx [%l3 + 0x20], %l2
14351 ldx [%l4 + 0x20], %l1
14352 add %l2, %i5, %l2
14353 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
14354 stx %l2, [%l6 + 0x20]
14355
14356 !# Encryption Key Address (40-bit)
14357 ldx [%l3 + 0x28], %l2
14358 ldx [%l4 + 0x28], %l1
14359 add %l2, %i5, %l2
14360 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
14361 stx %l2, [%l6 + 0x28]
14362
14363 !# Encryption Initialization Vector Address (40-bit)
14364 ldx [%l3 + 0x30], %l2
14365 ldx [%l4 + 0x30], %l1
14366 add %l2, %i5, %l2
14367 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
14368 stx %l2, [%l6 + 0x30]
14369
14370 !# Destination Address (40-bit)
14371 ldx [%l3 + 0x38], %l2
14372 ldx [%l4 + 0x38], %l1
14373 add %l2, %i5, %l2
14374 add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
14375 stx %l2, [%l6 + 0x38]
14376
14377 !# Make sure all these stores get to memory before we start
14378 membar #Sync
14379 ldx [%l6 + 0x20], %l2
14380 ldx [%l6 + 0x28], %l2
14381 ldx [%l6 + 0x30], %l2
14382 ldx [%l6 + 0x38], %l2
14383 membar #Sync
14384
14385 wrpr %g0, 20, %pstate
14386
14387 !# Set the enabled bit and reset the other bits
14388 or %g0, 0x1, %g1
14389 stxa %g1, [%g0 + ASI_SPU_CWQ_CSR] %asi
14390
14391 !# Kick off the CWQ operation by writing to the CWQ_TAIL
14392 !# Now add 1 (actually 8*8B) to tail pointer
14393 ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l2
14394 add %l2, 0x40, %l2
14395 stxa %l2, [%g0 + ASI_SPU_CWQ_TAIL] %asi
14396 ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l1
14397 cmp %l1, %l2
14398 bne,pn %xcc, _t1_fail
14399 nop
14400
14401 !# CWQ_SYNC operation...
14402 ldxa [%g0 + 0x30] %asi, %l1
14403
14404 rdhpr %halt, %g7
14405 wrpr %g0, 18, %pstate
14406
14407 andn %l1, 0x10, %l1 !# clear interrupt request bit
14408 addcc %l1, -1, %i0
14409 bne _t1_fail !# test for unexpected protocal error
14410 nop
14411 ldxa [%g0 + ASI_SPU_CWQ_HEAD] %asi, %l1
14412 ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l1
14413 !# I want to check all the data
14414 or %g0, 260, %i0
14415 or %g0, %g0, %g3
14416_t1_check_msg:
14417 ldx [%l3 + 0x8], %l5 !# Needed _t1_for Inplace
14418 add %l5, %i5, %l5
14419 ldx [%l5 + %g3], %l1
14420 add %g3, 0x8, %g3 !# i++
14421 addcc %i0, -1, %i0
14422 bgt _t1_check_msg
14423 nop
14424 !# I want to check all the data
14425 or %g0, 260, %i0
14426 or %g0, %g0, %g3
14427_t1_check_results:
14428 ldx [%l3 + 0x38], %l5 !# Needed _t1_for Copy
14429 add %l5, %i5, %l5
14430 ldx [%l5 + %g3], %l1
14431 add %g3, 0x8, %g3 !# i++
14432 addcc %i0, -1, %i0
14433 bgt _t1_check_results
14434 nop
14435
14436 or %g0, 0x8, %i0
14437 or %g0, %g0, %g3
14438_t1_check_sfas:
14439 ldx [%l3 + 0x20], %l5
14440 ldx [%l5 + %g3], %l1
14441 add %g3, 0x8, %g3 !# i++
14442 addcc %i0, -1, %i0
14443 bgt _t1_check_sfas
14444 nop
14445
14446 !# I want to check all the State 32 words + 2 bytes XY
14447 or %g0, 0x23, %i0
14448 or %g0, %g0, %g3
14449_t1_check_state:
14450 ldx [%l3 + 0x28], %l5 !# Needed _t1_for Streamout
14451 ldx [%l5 + %g3], %l1
14452 add %g3, 0x8, %g3 !# i++
14453 addcc %i0, -1, %i0
14454 bgt _t1_check_state
14455 nop
14456
14457 mov %g5, %i5
14458 add %l6, 0x40, %l6 !# next CWQ address
14459 add %i5, 8, %i5 !# next _t1_offset
14460 add %i4, 1, %i4 !# loop _t1_counter
14461 cmp %i4, 5
14462 bl _t1_main_loop
14463 nop
14464
14465 !call check_int_cnt !# Check #ints (assuming all have happened at this time!)
14466 nop
14467
14468 EXIT_GOOD
14469
14470_t1_fail:
14471 EXIT_BAD
14472
14473_t1_check_int_cnt:
14474 cmp %g0, %i6
14475 bne,pn %xcc, _t1_fail
14476 nop
14477 retl
14478 nop
14479
14480
14481! diag source
14482
14483 .word 0x9f8021b0 ! 1: SIR sir 0x01b0
14484 .word 0xa7a7c960 ! 1: FMULq dis not found
14485
14486 .word 0x99b7c700 ! 1: FMULD8SUx16 fmuld8ulx16 %f31, %f0, %d12
14487 .word 0x81dfc000 ! 1: FLUSH_R flush %r31, %r0, %r0
14488 nop
14489 nop
14490 ta T_CHANGE_PRIV
14491 wrpr %g0, %g0, %gl
14492 nop
14493 nop
14494.text
14495 setx join_lbl_0_0, %g1, %g2
14496 jmp %g2
14497 nop
14498fork_lbl_0_2:
14499 wrhpr %g0, 0x403, %hpstate ! ta T_CHANGE_NONHPRIV
14500 .word 0xa7454000 ! 1: RD_CLEAR_SOFTINT rd %clear_softint, %r19
14501splash_tba_2_1:
14502 nop
14503 ta T_CHANGE_PRIV
14504 setx 0x0000000000380000, %r11, %r12
14505 .word 0x8b90000c ! 5: WRPR_TBA_R wrpr %r0, %r12, %tba
14506jmptr_2_3:
14507 nop
14508 nop
14509 best_set_reg(0xe0200000, %r20, %r27)
14510 .word 0xb7c6c000 ! 9: JMPL_R jmpl %r27 + %r0, %r27
14511br_badelay2_2_5:
14512 .word 0x9ba149d3 ! 1: FDIVd fdivd %f36, %f50, %f44
14513 .word 0x99702a96 ! 1: POPC_I popc 0x0a96, %r12
14514 .word 0xa1b14312 ! 13: ALIGNADDRESS alignaddr %r5, %r18, %r16
14515 .word 0xd2800a80 ! 17: LDUWA_R lduwa [%r0, %r0] 0x54, %r9
14516 .word 0xe1bfc2c0 ! 21: STDFA_R stda %f16, [%r0, %r31]
14517jmptr_2_8:
14518 nop
14519 nop
14520 best_set_reg(0xe0a00000, %r20, %r27)
14521 .word 0xb7c6c000 ! 25: JMPL_R jmpl %r27 + %r0, %r27
14522 .word 0x8d802004 ! 29: WRFPRS_I wr %r0, 0x0004, %fprs
14523br_badelay2_2_11:
14524 .word 0x22800001 ! 1: BE be,a <label_0x1>
14525 .word 0x9ba2c9d4 ! 1: FDIVd fdivd %f42, %f20, %f44
14526 .word 0x93b18310 ! 33: ALIGNADDRESS alignaddr %r6, %r16, %r9
14527splash_htba_2_14:
14528 nop
14529 ta T_CHANGE_HPRIV
14530 setx 0x0000000000280000, %r11, %r12
14531 .word 0x8b98000c ! 37: WRHPR_HTBA_R wrhpr %r0, %r12, %htba
14532#if (defined SPC || defined CMP1)
14533!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_16) , 16, 16)) -> intp(7,0,14,*,688,*,a6,1)
14534!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_16)&0xffffffff) , 16, 16)) -> intp(6,0,8,*,1000,*,a6,1)
14535#else
14536 nop
14537 nop
14538 set 0x427081ba, %r28 !TTID : 1 (mask2tid(0x2))
14539#if (defined PORTABLE_CORE || MAX_THREADS == 8)
14540 sethi %hi(0x3800), %r27
14541 andn %r28, %r27, %r28
14542#ifdef PORTABLE_CORE
14543 ! Add CID to vector
14544 ta T_CHANGE_HPRIV
14545 ldxa [%g0]0x63, %r27
14546 sllx %r27, 8, %r27
14547 or %r27, %r28, %r28
14548#endif
14549#else
14550 ! Add CID IF tid matches
14551ifelse(1,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
14552#endif
14553ifelse(1,mask2tid(0x2),`.align 16')
14554 stxa %r28, [%g0] 0x73
14555#endif
14556intvec_2_16:
14557#if (defined SPC || defined CMP1)
14558 wrhpr %g0, 0x0, %halt ! HALT
14559#else
14560ifelse(1,mask2tid(0x2),`wrhpr %g0, 0x0, %halt ! HALT')
14561#endif
14562 .word 0x95a509d4 ! 41: FDIVd fdivd %f20, %f20, %f10
14563 .word 0xc1bfdf00 ! 45: STDFA_R stda %f0, [%r0, %r31]
14564 .word 0xe28008a0 ! 49: LDUWA_R lduwa [%r0, %r0] 0x45, %r17
14565splash_lsu_2_22:
14566 nop
14567 nop
14568 ta T_CHANGE_HPRIV
14569 set 0x1cc39ac6, %r2
14570 mov 0x1, %r1
14571 sllx %r1, 32, %r1
14572 or %r1, %r2, %r2
14573 .word 0x25400001 ! 1: FBPLG fblg,a,pn %fcc0, <label_0x1>
14574 stxa %r2, [%r0] ASI_LSU_CONTROL
14575 .word 0x3d400001 ! 53: FBPULE fbule,a,pn %fcc0, <label_0x1>
14576memptr_2_24:
14577 set user_data_start, %r31
14578 .word 0x8584ffc3 ! 57: WRCCR_I wr %r19, 0x1fc3, %ccr
14579demap_2_27:
14580 nop
14581 mov 0x80, %g3
14582 ta T_CHANGE_HPRIV
14583 .word 0x20800001 ! 1: BN bn,a <label_0x1>
14584 stxa %g3, [%g3] 0x57
14585 stxa %g3, [%g3] 0x5f
14586 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14587 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
14588 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
14589 stxa %g3, [%g3] 0x57
14590 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14591 wrhpr %g0, 0xe89, %hpstate ! ta T_CHANGE_NONHPRIV
14592 .word 0xe21fe0c0 ! 61: LDD_I ldd [%r31 + 0x00c0], %r17
14593 .word 0xc32fc000 ! 65: STXFSR_R st-sfr %f1, [%r0, %r31]
14594splash_lsu_2_31:
14595 nop
14596 nop
14597 ta T_CHANGE_HPRIV
14598 set 0xefb5a5e0, %r2
14599 mov 0x7, %r1
14600 sllx %r1, 32, %r1
14601 or %r1, %r2, %r2
14602 stxa %r2, [%r0] ASI_LSU_CONTROL
14603 ta T_CHANGE_NONHPRIV
14604 .word 0x3d400001 ! 69: FBPULE fbule,a,pn %fcc0, <label_0x1>
14605 .word 0xa5508000 ! 73: RDPR_TSTATE rdpr %tstate, %r18
14606jmptr_2_36:
14607 nop
14608 nop
14609 best_set_reg(0xe1200000, %r20, %r27)
14610 .word 0xb7c6c000 ! 77: JMPL_R jmpl %r27 + %r0, %r27
14611jmptr_2_39:
14612 nop
14613 nop
14614 best_set_reg(0xe1a00000, %r20, %r27)
14615 .word 0xb7c6c000 ! 81: JMPL_R jmpl %r27 + %r0, %r27
14616 .word 0xe2c7c280 ! 85: LDSWA_R ldswa [%r31, %r0] 0x14, %r17
14617brcommon1_2_41:
14618 nop
14619 nop
14620 setx common_target, %r12, %r27
14621 lduw [%r27-4], %r12 ! Load common dest into dcache ..
14622 stuw %r12, [%r27-0] ! Load common dest into dcache ..
14623 ba,a .+12
14624 .word 0xe7e7c2e0 ! 1: CASA_I casa [%r31] 0x17, %r0, %r19
14625 ba,a .+8
14626 jmpl %r27-0, %r27
14627 .word 0x95b5048b ! 89: FCMPLE32 fcmple32 %d20, %d42, %r10
14628 .word 0xc19fe000 ! 93: LDDFA_I ldda [%r31, 0x0000], %f0
14629demap_2_44:
14630 nop
14631 mov 0x80, %g3
14632 ta T_CHANGE_HPRIV
14633 .word 0x20800001 ! 1: BN bn,a <label_0x1>
14634 stxa %g3, [%g3] 0x57
14635 stxa %g3, [%g3] 0x5f
14636 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
14637 stxa %g3, [%g3] 0x57
14638 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14639 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
14640 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
14641 stxa %g3, [%g3] 0x5f
14642 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
14643 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14644 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14645 stxa %g3, [%g3] 0x5f
14646 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14647 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
14648 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
14649 wrhpr %g0, 0x21a, %hpstate ! ta T_CHANGE_NONHPRIV
14650 .word 0xd61fe198 ! 97: LDD_I ldd [%r31 + 0x0198], %r11
14651 .word 0x91940011 ! 101: WRPR_PIL_R wrpr %r16, %r17, %pil
14652 .word 0x04cc4001 ! 105: BRLEZ brlez,pt %r17,<label_0xc4001>
14653brcommon2_2_51:
14654 nop
14655 nop
14656 setx common_target, %r12, %r27
14657 ba,a .+12
14658 .word 0xd9134013 ! 1: LDQF_R - [%r13, %r19], %f12
14659 ba,a .+8
14660 jmpl %r27-4, %r27
14661 .word 0xe19fdc00 ! 109: LDDFA_R ldda [%r31, %r0], %f16
14662 .word 0x24ccc001 ! 113: BRLEZ brlez,a,pt %r19,<label_0xcc001>
14663 .word 0xd09fc180 ! 117: LDDA_R ldda [%r31, %r0] 0x0c, %r8
14664brcommon2_2_55:
14665 nop
14666 nop
14667 setx common_target, %r12, %r27
14668 ba,a .+12
14669 .word 0xa5a489ca ! 1: FDIVd fdivd %f18, %f10, %f18
14670 ba,a .+8
14671 jmpl %r27-4, %r27
14672 .word 0x81b7c7c0 ! 121: PDIST pdistn %d62, %d0, %d0
14673 .word 0x22780001 ! 125: BPE <illegal instruction>
14674 nop
14675 nop
14676 set 0xcac075f5, %r28 !TTID : 5 (mask2tid(0x2))
14677#if (defined PORTABLE_CORE || MAX_THREADS == 8)
14678 sethi %hi(0x3800), %r27
14679 andn %r28, %r27, %r28
14680#ifdef PORTABLE_CORE
14681 ! Add CID to vector
14682 ta T_CHANGE_HPRIV
14683 ldxa [%g0]0x63, %r27
14684 sllx %r27, 8, %r27
14685 or %r27, %r28, %r28
14686#endif
14687#else
14688 ! Add CID IF tid matches
14689ifelse(5,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
14690#endif
14691 sethi %hi(0x30000), %r27
14692 andn %r28, %r27, %r28
14693 ta T_CHANGE_HPRIV
14694ifelse(5,mask2tid(0x2),`.align 16')
14695 stxa %r28, [%g0] 0x73
14696intvec_2_57:
14697 .word 0x39400001 ! 129: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
14698jmptr_2_58:
14699 nop
14700 nop
14701 best_set_reg(0xe0200000, %r20, %r27)
14702 .word 0xb7c6c000 ! 133: JMPL_R jmpl %r27 + %r0, %r27
14703 .word 0x91920013 ! 137: WRPR_PIL_R wrpr %r8, %r19, %pil
14704iaw_2_63:
14705 nop
14706 nop
14707 ta T_CHANGE_HPRIV
14708 mov 8, %r18
14709 rd %asi, %r12
14710 wr %r0, 0x41, %asi
14711 set sync_thr_counter4, %r23
14712#ifndef SPC
14713 ldxa [%g0]0x63, %r8
14714 and %r8, 0x38, %r8 ! Core ID
14715#ifndef PORTABLE_CORE
14716 add %r8, %r23, %r23
14717#endif
14718#else
14719 mov 0, %r8
14720#endif
14721 mov 0x2, %r16
14722iaw_startwait2_63:
14723 cas [%r23],%g0,%r16 !lock
14724 brz,a %r16, continue_iaw_2_63
14725 mov (~0x2&0xf), %r16
14726 ld [%r23], %r16
14727iaw_wait2_63:
14728 brnz %r16, iaw_wait2_63
14729 ld [%r23], %r16
14730 ba iaw_startwait2_63
14731 mov 0x2, %r16
14732continue_iaw_2_63:
14733 sllx %r16, %r8, %r16 !Mask for my core only
14734 ldxa [0x58]%asi, %r17 !Running_status
14735wait_for_stat_2_63:
14736 ldxa [0x50]%asi, %r13 !Running_rw
14737 cmp %r13, %r17
14738 bne,a %xcc, wait_for_stat_2_63
14739 ldxa [0x58]%asi, %r17 !Running_status
14740 stxa %r16, [0x68]%asi !Park (W1C)
14741 ldxa [0x50]%asi, %r14 !Running_rw
14742wait_for_iaw_2_63:
14743 ldxa [0x58]%asi, %r17 !Running_status
14744 cmp %r14, %r17
14745 bne,a %xcc, wait_for_iaw_2_63
14746 ldxa [0x50]%asi, %r14 !Running_rw
14747iaw_doit2_63:
14748 mov 0x38, %r18
14749iaw4_2_63:
14750 setx common_target, %r20, %r19
14751 or %r19, 0x1, %r19
14752 stxa %r19, [%r18]0x50
14753 stxa %r16, [0x60] %asi ! Unpark (W1S)
14754 st %g0, [%r23] !clear lock
14755 wr %r0, %r12, %asi ! restore %asi
14756 wrhpr %g0, 0x6c0, %hpstate ! ta T_CHANGE_NONHPRIV
14757 .word 0xd8bfc2e0 ! 141: STDA_R stda %r12, [%r31 + %r0] 0x17
14758pmu_2_66:
14759 nop
14760 nop
14761 setx 0xffffffb9ffffffa4, %g1, %g7
14762 .word 0xa3800007 ! 145: WR_PERF_COUNTER_R wr %r0, %r7, %-
14763 .word 0x87802083 ! 149: WRASI_I wr %r0, 0x0083, %asi
14764 .word 0xd897c540 ! 153: LDUHA_R lduha [%r31, %r0] 0x2a, %r12
14765splash_hpstate_2_70:
14766 .word 0x81983d1f ! 157: WRHPR_HPSTATE_I wrhpr %r0, 0x1d1f, %hpstate
14767 .word 0xe077c000 ! 161: STX_R stx %r16, [%r31 + %r0]
14768jmptr_2_74:
14769 nop
14770 nop
14771 best_set_reg(0xe0a00000, %r20, %r27)
14772 .word 0xb7c6c000 ! 165: JMPL_R jmpl %r27 + %r0, %r27
14773 nop
14774 nop
14775 ta T_CHANGE_HPRIV ! macro
14776donret_2_76:
14777 rd %pc, %r12
14778 mov HIGHVA_HIGHNUM, %r10
14779 sllx %r10, 32, %r10
14780 or %r12, %r10, %r12
14781 add %r12, (donretarg_2_76-donret_2_76), %r12
14782 add %r12, 0x4, %r11 ! seq tnpc
14783 andn %r12, %r10, %r12 ! low VA tpc
14784 wrpr %g0, 0x2, %tl
14785 wrpr %g0, %r12, %tpc
14786 wrpr %g0, %r11, %tnpc
14787 set (0x00d89700 | (0x82 << 24)), %r13
14788 and %r12, 0xfff, %r14
14789 sllx %r14, 32, %r14
14790 or %r13, %r14, %r20
14791 wrpr %r20, %g0, %tstate
14792 wrhpr %g0, 0x1697, %htstate
14793 best_set_reg(0xc72, %g1, %g2)
14794 wrpr %g0, %g2, %pstate ! rand=0 (2)
14795 retry
14796.align 1024
14797donretarg_2_76:
14798 .word 0xe4ffd100 ! 169: SWAPA_R swapa %r18, [%r31 + %r0] 0x88
14799brcommon3_2_79:
14800 nop
14801 nop
14802 setx common_target, %r12, %r27
14803 lduw [%r27], %r12 ! Load common dest into dcache ..
14804 stuw %r12, [%r27] ! Load common dest into dcache ..
14805 ba,a .+12
14806 .word 0xd3e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r9
14807 ba,a .+8
14808 jmpl %r27+0, %r27
14809 stxa %r12, [%r0] ASI_LSU_CONTROL
14810 .word 0x95aac830 ! 173: FMOVGE fmovs %fcc1, %f16, %f10
14811 .word 0x87a88a47 ! 177: FCMPd fcmpd %fcc<n>, %f2, %f38
14812brcommon3_2_83:
14813 nop
14814 nop
14815 setx common_target, %r12, %r27
14816 lduw [%r27-0], %r12 ! Load common dest into dcache ..
14817 stuw %r12, [%r27-4] ! Load common dest into dcache ..
14818 ba,a .+12
14819 .word 0xe9e7d000 ! 1: CASA_I casa [%r31] 0x80, %r0, %r20
14820 ba,a .+8
14821 jmpl %r27+0, %r27
14822 .word 0x81983a03 ! 181: WRHPR_HPSTATE_I wrhpr %r0, 0x1a03, %hpstate
14823brcommon3_2_86:
14824 nop
14825 nop
14826 setx common_target, %r12, %r27
14827 lduw [%r27], %r12 ! Load common dest into dcache ..
14828 stuw %r12, [%r27] ! Load common dest into dcache ..
14829 ba,a .+12
14830 .word 0xe937c000 ! 1: STQF_R - %f20, [%r0, %r31]
14831 ba,a .+8
14832 jmpl %r27+0, %r27
14833 stxa %r9, [%r0] ASI_LSU_CONTROL
14834 .word 0x99aac828 ! 185: FMOVGE fmovs %fcc1, %f8, %f12
14835 .word 0xe8800b00 ! 189: LDUWA_R lduwa [%r0, %r0] 0x58, %r20
14836 nop
14837 nop
14838 set 0x352060f9, %r28 !TTID : 0 (mask2tid(0x2))
14839#if (defined PORTABLE_CORE || MAX_THREADS == 8)
14840 sethi %hi(0x3800), %r27
14841 andn %r28, %r27, %r28
14842#ifdef PORTABLE_CORE
14843 ! Add CID to vector
14844 ta T_CHANGE_HPRIV
14845 ldxa [%g0]0x63, %r27
14846 sllx %r27, 8, %r27
14847 or %r27, %r28, %r28
14848#endif
14849#else
14850 ! Add CID IF tid matches
14851ifelse(0,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
14852#endif
14853 sethi %hi(0x30000), %r27
14854 andn %r28, %r27, %r28
14855 ta T_CHANGE_HPRIV
14856ifelse(0,mask2tid(0x2),`.align 16')
14857 stxa %r28, [%g0] 0x73
14858intvec_2_89:
14859 .word 0x39400002 ! 193: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
14860memptr_2_90:
14861 set user_data_start, %r31
14862 .word 0x85846971 ! 197: WRCCR_I wr %r17, 0x0971, %ccr
14863splash_tba_2_93:
14864 nop
14865 ta T_CHANGE_PRIV
14866 set 0x120000, %r12
14867 .word 0x8b90000c ! 201: WRPR_TBA_R wrpr %r0, %r12, %tba
14868 .word 0xf16fe073 ! 205: PREFETCH_I prefetch [%r31 + 0x0073], #24
14869memptr_2_97:
14870 set 0x60740000, %r31
14871 .word 0x858527fd ! 209: WRCCR_I wr %r20, 0x07fd, %ccr
14872 .word 0xe137c000 ! 213: STQF_R - %f16, [%r0, %r31]
14873 .word 0x91944013 ! 217: WRPR_PIL_R wrpr %r17, %r19, %pil
14874 .word 0xe4c7d060 ! 221: LDSWA_R ldswa [%r31, %r0] 0x83, %r18
14875 .word 0xe327c000 ! 225: STF_R st %f17, [%r0, %r31]
14876 .word 0xe397c2e0 ! 229: LDQFA_R - [%r31, %r0], %f17
14877brcommon1_2_107:
14878 nop
14879 nop
14880 setx common_target, %r12, %r27
14881 lduw [%r27-0], %r12 ! Load common dest into dcache ..
14882 stuw %r12, [%r27-0] ! Load common dest into dcache ..
14883 ba,a .+12
14884 .word 0xe3e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r17
14885 ba,a .+8
14886 jmpl %r27-0, %r27
14887 .word 0xa1a449c4 ! 233: FDIVd fdivd %f48, %f4, %f16
14888splash_tba_2_110:
14889 nop
14890 ta T_CHANGE_PRIV
14891 setx 0x00000000003a0000, %r11, %r12
14892 .word 0x8b90000c ! 237: WRPR_TBA_R wrpr %r0, %r12, %tba
14893 .word 0xc32fc000 ! 241: STXFSR_R st-sfr %f1, [%r0, %r31]
14894demap_2_114:
14895 nop
14896 mov 0x80, %g3
14897 ta T_CHANGE_HPRIV
14898 .word 0x2b400001 ! 1: FBPUG fbug,a,pn %fcc0, <label_0x1>
14899 stxa %g3, [%g3] 0x57
14900 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
14901 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14902 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
14903 stxa %g3, [%g3] 0x57
14904 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
14905 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
14906 stxa %g3, [%g3] 0x5f
14907 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
14908 stxa %g3, [%g3] 0x57
14909 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
14910 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
14911 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
14912 stxa %g3, [%g3] 0x57
14913 stxa %g3, [%g3] 0x5f
14914 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
14915 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
14916 wrhpr %g0, 0xd59, %hpstate ! ta T_CHANGE_NONHPRIV
14917 .word 0xda1fe149 ! 245: LDD_I ldd [%r31 + 0x0149], %r13
14918 .word 0xc19fe0e0 ! 249: LDDFA_I ldda [%r31, 0x00e0], %f0
14919mondo_2_117:
14920 nop
14921 nop
14922 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
14923 ta T_CHANGE_PRIV
14924 stxa %r2, [%r0+0x3d0] %asi
14925 stxa %r1, [%r0+0x3d0] %asi
14926 .word 0x87802030 ! 1: WRASI_I wr %r0, 0x0030, %asi
14927 .word 0x9d90c012 ! 253: WRPR_WSTATE_R wrpr %r3, %r18, %wstate
14928cancelint_2_120:
14929 rdhpr %halt, %r20
14930 .word 0x85880000 ! 257: ALLCLEAN <illegal instruction>
14931brcommon1_2_121:
14932 nop
14933 nop
14934 setx common_target, %r12, %r27
14935 lduw [%r27-0], %r12 ! Load common dest into dcache ..
14936 stuw %r12, [%r27-0] ! Load common dest into dcache ..
14937 ba,a .+12
14938 .word 0xa7a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f50
14939 ba,a .+8
14940 jmpl %r27-0, %r27
14941 .word 0x97b44493 ! 261: FCMPLE32 fcmple32 %d48, %d50, %r11
14942br_longdelay2_2_123:
14943 .word 0x2a800001 ! 1: BCS bcs,a <label_0x1>
14944 .word 0x04cfc001 ! 265: BRLEZ brlez,pt %r31,<label_0xfc001>
14945 .word 0x36780002 ! 269: BPGE <illegal instruction>
14946 .word 0x87802058 ! 273: WRASI_I wr %r0, 0x0058, %asi
14947splash_hpstate_2_128:
14948 ta T_CHANGE_NONHPRIV
14949 .word 0x81982057 ! 277: WRHPR_HPSTATE_I wrhpr %r0, 0x0057, %hpstate
14950 .word 0xe8bfd100 ! 281: STDA_R stda %r20, [%r31 + %r0] 0x88
14951splash_lsu_2_132:
14952 nop
14953 nop
14954 ta T_CHANGE_HPRIV
14955 set 0x4bedf839, %r2
14956 mov 0x6, %r1
14957 sllx %r1, 32, %r1
14958 or %r1, %r2, %r2
14959 stxa %r2, [%r0] ASI_LSU_CONTROL
14960 ta T_CHANGE_NONHPRIV
14961 .word 0x3d400001 ! 285: FBPULE fbule,a,pn %fcc0, <label_0x1>
14962 nop
14963 nop
14964 set 0xc3a0babc, %r28 !TTID : 2 (mask2tid(0x2))
14965#if (defined PORTABLE_CORE || MAX_THREADS == 8)
14966 sethi %hi(0x3800), %r27
14967 andn %r28, %r27, %r28
14968#ifdef PORTABLE_CORE
14969 ! Add CID to vector
14970 ta T_CHANGE_HPRIV
14971 ldxa [%g0]0x63, %r27
14972 sllx %r27, 8, %r27
14973 or %r27, %r28, %r28
14974#endif
14975#else
14976 ! Add CID IF tid matches
14977ifelse(2,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
14978#endif
14979 sethi %hi(0x30000), %r27
14980 andn %r28, %r27, %r28
14981 ta T_CHANGE_HPRIV
14982ifelse(2,mask2tid(0x2),`.align 16')
14983 stxa %r28, [%g0] 0x73
14984intvec_2_135:
14985 .word 0x9bb344d2 ! 289: FCMPNE32 fcmpne32 %d44, %d18, %r13
14986memptr_2_137:
14987 set 0x60540000, %r31
14988 .word 0x8584773f ! 293: WRCCR_I wr %r17, 0x173f, %ccr
14989splash_lsu_2_140:
14990 nop
14991 nop
14992 ta T_CHANGE_HPRIV
14993 set 0x7d1d1635, %r2
14994 mov 0x4, %r1
14995 sllx %r1, 32, %r1
14996 or %r1, %r2, %r2
14997 stxa %r2, [%r0] ASI_LSU_CONTROL
14998 ta T_CHANGE_NONHPRIV
14999 .word 0x3d400002 ! 297: FBPULE fbule,a,pn %fcc0, <label_0x2>
15000pmu_2_142:
15001 nop
15002 nop
15003 setx 0xffffffb2ffffffae, %g1, %g7
15004 .word 0xa3800007 ! 301: WR_PERF_COUNTER_R wr %r0, %r7, %-
15005brcommon2_2_145:
15006 nop
15007 nop
15008 setx common_target, %r12, %r27
15009 ba,a .+12
15010 .word 0xa5a089d4 ! 1: FDIVd fdivd %f2, %f20, %f18
15011 ba,a .+8
15012 jmpl %r27-0, %r27
15013 .word 0xc19fdb20 ! 305: LDDFA_R ldda [%r31, %r0], %f0
15014intveclr_2_146:
15015 nop
15016 nop
15017 ta T_CHANGE_HPRIV
15018 setx 0xf566050fa2a90b64, %r1, %r28
15019 stxa %r28, [%g0] 0x72
15020 .word 0x25400001 ! 309: FBPLG fblg,a,pn %fcc0, <label_0x1>
15021 .word 0xe49fe120 ! 313: LDDA_I ldda [%r31, + 0x0120] %asi, %r18
15022 nop
15023 nop
15024 ta T_CHANGE_HPRIV ! macro
15025donret_2_148:
15026 rd %pc, %r12
15027 mov HIGHVA_HIGHNUM, %r10
15028 sllx %r10, 32, %r10
15029 or %r12, %r10, %r12
15030 add %r12, (donretarg_2_148-donret_2_148+4), %r12
15031 add %r12, 0x4, %r11 ! seq tnpc
15032 andn %r11, %r10, %r11 ! low VA tnpc
15033 wrpr %g0, 0x2, %tl
15034 wrpr %g0, %r12, %tpc
15035 wrpr %g0, %r11, %tnpc
15036 set (0x00953d00 | (28 << 24)), %r13
15037 and %r12, 0xfff, %r14
15038 sllx %r14, 32, %r14
15039 or %r13, %r14, %r20
15040 wrpr %r20, %g0, %tstate
15041 wrhpr %g0, 0x8ce, %htstate
15042 best_set_reg(0xc0b, %g1, %g2)
15043 wrpr %g0, %g2, %pstate ! rand=0 (2)
15044 .word 0x0d400001 ! 1: FBPG fbg
15045 retry
15046donretarg_2_148:
15047 .word 0xa1a209cd ! 317: FDIVd fdivd %f8, %f44, %f16
15048jmptr_2_151:
15049 nop
15050 nop
15051 best_set_reg(0xe1200000, %r20, %r27)
15052 .word 0xb7c6c000 ! 321: JMPL_R jmpl %r27 + %r0, %r27
15053splash_lsu_2_152:
15054 nop
15055 nop
15056 ta T_CHANGE_HPRIV
15057 set 0xb56b0030, %r2
15058 mov 0x5, %r1
15059 sllx %r1, 32, %r1
15060 or %r1, %r2, %r2
15061 stxa %r2, [%r0] ASI_LSU_CONTROL
15062 .word 0x1d400002 ! 325: FBPULE fbule
15063demap_2_155:
15064 nop
15065 mov 0x80, %g3
15066 ta T_CHANGE_HPRIV
15067 .word 0x07400001 ! 1: FBPUL fbul
15068 stxa %g3, [%g3] 0x5f
15069 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15070 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15071 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15072 stxa %g3, [%g3] 0x57
15073 stxa %g3, [%g3] 0x5f
15074 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15075 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15076 stxa %g3, [%g3] 0x57
15077 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15078 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15079 wrhpr %g0, 0x2c9, %hpstate ! ta T_CHANGE_NONHPRIV
15080 .word 0xd61fe1e4 ! 329: LDD_I ldd [%r31 + 0x01e4], %r11
15081 nop
15082 nop
15083 mov 0x0, %r11
15084splash_cmpr_2_158:
15085 sllx %r11, 63, %r11
15086 not %r11, %r11
15087 rd %tick, %r10
15088#if (defined SPC || defined CMP1)
15089 add %r10, 0x200, %r10
15090#else
15091 add %r10, 0x700, %r10
15092#endif
15093 and %r10, %r11, %r10
15094 rd %tick, %r10
15095#if (defined SPC || defined CMP1)
15096 add %r10, 0x200, %r10
15097#else
15098 add %r10, 0x900, %r10
15099#endif
15100 and %r10, %r11, %r10
15101 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
15102 .word 0x81b01021 ! 333: SIAM siam 1
15103splash_lsu_2_161:
15104 nop
15105 nop
15106 ta T_CHANGE_HPRIV
15107 set 0x7bbd0bcd, %r2
15108 mov 0x1, %r1
15109 sllx %r1, 32, %r1
15110 or %r1, %r2, %r2
15111 .word 0x3f400002 ! 1: FBPO fbo,a,pn %fcc0, <label_0x2>
15112 stxa %r2, [%r0] ASI_LSU_CONTROL
15113 .word 0x1d400001 ! 337: FBPULE fbule
15114 nop
15115 nop
15116 ta T_CHANGE_HPRIV ! macro
15117donret_2_162:
15118 rd %pc, %r12
15119 mov HIGHVA_HIGHNUM, %r10
15120 sllx %r10, 32, %r10
15121 or %r12, %r10, %r12
15122 add %r12, (donretarg_2_162-donret_2_162+4), %r12
15123 add %r12, 0x4, %r11 ! seq tnpc
15124 wrpr %g0, 0x1, %tl
15125 wrpr %g0, %r12, %tpc
15126 wrpr %g0, %r11, %tnpc
15127 set (0x00c55100 | (48 << 24)), %r13
15128 and %r12, 0xfff, %r14
15129 sllx %r14, 32, %r14
15130 or %r13, %r14, %r20
15131 wrpr %r20, %g0, %tstate
15132 wrhpr %g0, 0x51b, %htstate
15133 best_set_reg(0xd5b, %g1, %g2)
15134 wrpr %g0, %g2, %pstate ! rand=0 (2)
15135 ldx [%r12+%r0], %g1
15136 retry
15137donretarg_2_162:
15138 .word 0x8d902e0e ! 341: WRPR_PSTATE_I wrpr %r0, 0x0e0e, %pstate
15139demap_2_165:
15140 nop
15141 mov 0x80, %g3
15142 ta T_CHANGE_HPRIV
15143 stxa %g3, [%g3] 0x57
15144 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15145 stxa %g3, [%g3] 0x57
15146 stxa %g3, [%g3] 0x57
15147 stxa %g3, [%g3] 0x57
15148 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15149 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15150 wrhpr %g0, 0xc00, %hpstate ! ta T_CHANGE_NONHPRIV
15151 .word 0xd41fe1ba ! 345: LDD_I ldd [%r31 + 0x01ba], %r10
15152memptr_2_166:
15153 set 0x60740000, %r31
15154 .word 0x8584e10e ! 349: WRCCR_I wr %r19, 0x010e, %ccr
15155jmptr_2_167:
15156 nop
15157 nop
15158 best_set_reg(0xe1a00000, %r20, %r27)
15159 .word 0xb7c6c000 ! 353: JMPL_R jmpl %r27 + %r0, %r27
15160memptr_2_169:
15161 set 0x60340000, %r31
15162 .word 0x8581a672 ! 357: WRCCR_I wr %r6, 0x0672, %ccr
15163 .word 0x9194400b ! 361: WRPR_PIL_R wrpr %r17, %r11, %pil
15164 .word 0xc32fc000 ! 365: STXFSR_R st-sfr %f1, [%r0, %r31]
15165trapasi_2_175:
15166 nop
15167 mov 0x8, %r1 ! (VA for ASI 0x49)
15168 .word 0xd4d84920 ! 369: LDXA_R ldxa [%r1, %r0] 0x49, %r10
15169splash_hpstate_2_178:
15170 .word 0x81982715 ! 373: WRHPR_HPSTATE_I wrhpr %r0, 0x0715, %hpstate
15171jmptr_2_179:
15172 nop
15173 nop
15174 best_set_reg(0xe0200000, %r20, %r27)
15175 .word 0xb7c6c000 ! 377: JMPL_R jmpl %r27 + %r0, %r27
15176demap_2_181:
15177 nop
15178 mov 0x80, %g3
15179 ta T_CHANGE_HPRIV
15180 .word 0x02800002 ! 1: BE be <label_0x2>
15181 stxa %g3, [%g3] 0x57
15182 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15183 stxa %g3, [%g3] 0x57
15184 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15185 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15186 stxa %g3, [%g3] 0x5f
15187 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15188 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15189 stxa %g3, [%g3] 0x57
15190 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15191 stxa %g3, [%g3] 0x5f
15192 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15193 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15194 stxa %g3, [%g3] 0x57
15195 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15196 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15197 stxa %g3, [%g3] 0x57
15198 stxa %g3, [%g3] 0x5f
15199 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15200 wrhpr %g0, 0xb00, %hpstate ! ta T_CHANGE_NONHPRIV
15201 .word 0xe61fe16c ! 381: LDD_I ldd [%r31 + 0x016c], %r19
15202 .word 0xe727e0bc ! 385: STF_I st %f19, [0x00bc, %r31]
15203intveclr_2_184:
15204 nop
15205 nop
15206 ta T_CHANGE_HPRIV
15207 setx 0x7929b15b32782394, %r1, %r28
15208 stxa %r28, [%g0] 0x72
15209 .word 0x25400001 ! 389: FBPLG fblg,a,pn %fcc0, <label_0x1>
15210splash_tba_2_185:
15211 nop
15212 ta T_CHANGE_PRIV
15213 setx 0x0000000400380000, %r11, %r12
15214 .word 0x8b90000c ! 393: WRPR_TBA_R wrpr %r0, %r12, %tba
15215 .word 0xc19fdc40 ! 397: LDDFA_R ldda [%r31, %r0], %f0
15216splash_lsu_2_189:
15217 nop
15218 nop
15219 ta T_CHANGE_HPRIV
15220 set 0x9ebc7f13, %r2
15221 mov 0x7, %r1
15222 sllx %r1, 32, %r1
15223 or %r1, %r2, %r2
15224 .word 0x2acc4002 ! 1: BRNZ brnz,a,pt %r17,<label_0xc4002>
15225 stxa %r2, [%r0] ASI_LSU_CONTROL
15226 .word 0x3d400001 ! 401: FBPULE fbule,a,pn %fcc0, <label_0x1>
15227br_longdelay1_2_191:
15228 .word 0x38800001 ! 1: BGU bgu,a <label_0x1>
15229 .word 0xbfefc000 ! 405: RESTORE_R restore %r31, %r0, %r31
15230 .word 0xe19fe060 ! 409: LDDFA_I ldda [%r31, 0x0060], %f16
15231#if (defined SPC || defined CMP1)
15232!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_194) , 16, 16)) -> intp(0,0,20,*,912,*,56,1)
15233!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_194)&0xffffffff) , 16, 16)) -> intp(0,0,21,*,696,*,56,1)
15234#else
15235 nop
15236 nop
15237 set 0x69700359, %r28 !TTID : 3 (mask2tid(0x2))
15238#if (defined PORTABLE_CORE || MAX_THREADS == 8)
15239 sethi %hi(0x3800), %r27
15240 andn %r28, %r27, %r28
15241#ifdef PORTABLE_CORE
15242 ! Add CID to vector
15243 ta T_CHANGE_HPRIV
15244 ldxa [%g0]0x63, %r27
15245 sllx %r27, 8, %r27
15246 or %r27, %r28, %r28
15247#endif
15248#else
15249 ! Add CID IF tid matches
15250ifelse(3,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
15251#endif
15252ifelse(3,mask2tid(0x2),`.align 16')
15253 stxa %r28, [%g0] 0x73
15254#endif
15255intvec_2_194:
15256 .word 0x39400002 ! 413: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
15257memptr_2_198:
15258 set user_data_start, %r31
15259 .word 0x85853660 ! 417: WRCCR_I wr %r20, 0x1660, %ccr
15260demap_2_200:
15261 nop
15262 mov 0x80, %g3
15263 ta T_CHANGE_HPRIV
15264 .word 0x38800001 ! 1: BGU bgu,a <label_0x1>
15265 stxa %g3, [%g3] 0x5f
15266 stxa %g3, [%g3] 0x5f
15267 stxa %g3, [%g3] 0x57
15268 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15269 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15270 stxa %g3, [%g3] 0x5f
15271 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15272 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15273 stxa %g3, [%g3] 0x5f
15274 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15275 stxa %g3, [%g3] 0x57
15276 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15277 stxa %g3, [%g3] 0x57
15278 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15279 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15280 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15281 wrhpr %g0, 0xa08, %hpstate ! ta T_CHANGE_NONHPRIV
15282 .word 0xe61fe1f8 ! 421: LDD_I ldd [%r31 + 0x01f8], %r19
15283 nop
15284 nop
15285 ta T_CHANGE_HPRIV
15286 mov 0x1, %r11
15287splash_cmpr_2_201:
15288 sllx %r11, 63, %r11
15289 not %r11, %r11
15290 rd %tick, %r10
15291#if (defined SPC || defined CMP1)
15292 add %r10, 0x100, %r10
15293#else
15294 add %r10, 0x550, %r10
15295#endif
15296 and %r10, %r11, %r10
15297 wrhpr %r10, %g0, %hsys_tick_cmpr
15298 wrhpr %g0, 0x0, %halt ! HALT
15299 ta T_CHANGE_PRIV
15300 rd %tick, %r10
15301#if (defined SPC || defined CMP1)
15302 add %r10, 0x100, %r10
15303#else
15304 add %r10, 0x550, %r10
15305#endif
15306 and %r10, %r11, %r10
15307 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
15308#if (defined SPC || defined CMP1)
15309!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_2_201)+8 , 16, 16)) -> intp(5,0,11,*,640,*,9b,1)
15310!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_2_201)&0xffffffff)+8 , 16, 16)) -> intp(0,0,18,*,688,*,9b,1)
15311#endif
15312 wrhpr %g0, 0x0, %halt ! HALT
15313 .word 0x8198241d ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x041d, %hpstate
15314 .word 0x81b01021 ! 425: SIAM siam 1
15315 .word 0xe1bfda60 ! 429: STDFA_R stda %f16, [%r0, %r31]
15316brcommon3_2_206:
15317 nop
15318 nop
15319 setx common_target, %r12, %r27
15320 lduw [%r27-4], %r12 ! Load common dest into dcache ..
15321 stuw %r12, [%r27-4] ! Load common dest into dcache ..
15322 ba,a .+12
15323 .word 0xe737c000 ! 1: STQF_R - %f19, [%r0, %r31]
15324 ba,a .+8
15325 jmpl %r27+0, %r27
15326 .word 0x20800001 ! 433: BN bn,a <label_0x1>
15327 .word 0xe727c000 ! 437: STF_R st %f19, [%r0, %r31]
15328 .word 0x8d802004 ! 441: WRFPRS_I wr %r0, 0x0004, %fprs
15329 .word 0xe13fe070 ! 445: STDF_I std %f16, [0x0070, %r31]
15330 .word 0xd297c2c0 ! 449: LDUHA_R lduha [%r31, %r0] 0x16, %r9
15331splash_lsu_2_215:
15332 nop
15333 nop
15334 ta T_CHANGE_HPRIV
15335 set 0x53984e60, %r2
15336 mov 0x1, %r1
15337 sllx %r1, 32, %r1
15338 or %r1, %r2, %r2
15339 stxa %r2, [%r0] ASI_LSU_CONTROL
15340 ta T_CHANGE_NONHPRIV
15341 .word 0x3d400001 ! 453: FBPULE fbule,a,pn %fcc0, <label_0x1>
15342dvapa_2_218:
15343 nop
15344 nop
15345 ta T_CHANGE_HPRIV
15346 mov 0xb82, %r20
15347 mov 0xb, %r19
15348 sllx %r20, 23, %r20
15349 or %r19, %r20, %r19
15350 stxa %r19, [%g0] ASI_LSU_CONTROL
15351 mov 0x38, %r18
15352 stxa %r31, [%r18]0x58
15353 wrhpr %g0, 0x2c3, %hpstate ! ta T_CHANGE_NONHPRIV
15354 .word 0xc1bfdc00 ! 457: STDFA_R stda %f0, [%r0, %r31]
15355 .word 0xd2d7d100 ! 461: LDSHA_R ldsha [%r31, %r0] 0x88, %r9
15356cancelint_2_219:
15357 rdhpr %halt, %r9
15358 .word 0x85880000 ! 465: ALLCLEAN <illegal instruction>
15359demap_2_222:
15360 nop
15361 mov 0x80, %g3
15362 ta T_CHANGE_HPRIV
15363 .word 0x0e800001 ! 1: BVS bvs <label_0x1>
15364 stxa %g3, [%g3] 0x5f
15365 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15366 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15367 stxa %g3, [%g3] 0x5f
15368 stxa %g3, [%g3] 0x5f
15369 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15370 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15371 stxa %g3, [%g3] 0x57
15372 stxa %g3, [%g3] 0x5f
15373 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15374 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15375 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15376 wrhpr %g0, 0x2c9, %hpstate ! ta T_CHANGE_NONHPRIV
15377 .word 0xe01fe058 ! 469: LDD_I ldd [%r31 + 0x0058], %r16
15378 .word 0xe08008a0 ! 473: LDUWA_R lduwa [%r0, %r0] 0x45, %r16
15379splash_lsu_2_226:
15380 nop
15381 nop
15382 ta T_CHANGE_HPRIV
15383 set 0xb55e00aa, %r2
15384 mov 0x5, %r1
15385 sllx %r1, 32, %r1
15386 or %r1, %r2, %r2
15387 stxa %r2, [%r0] ASI_LSU_CONTROL
15388 .word 0x3d400001 ! 477: FBPULE fbule,a,pn %fcc0, <label_0x1>
15389 .word 0xe1bfdb20 ! 481: STDFA_R stda %f16, [%r0, %r31]
15390 .word 0x91944013 ! 485: WRPR_PIL_R wrpr %r17, %r19, %pil
15391 nop
15392 nop
15393 set 0xc005b3, %r28 !TTID : 5 (mask2tid(0x2))
15394#if (defined PORTABLE_CORE || MAX_THREADS == 8)
15395 sethi %hi(0x3800), %r27
15396 andn %r28, %r27, %r28
15397#ifdef PORTABLE_CORE
15398 ! Add CID to vector
15399 ta T_CHANGE_HPRIV
15400 ldxa [%g0]0x63, %r27
15401 sllx %r27, 8, %r27
15402 or %r27, %r28, %r28
15403#endif
15404#else
15405 ! Add CID IF tid matches
15406ifelse(5,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
15407#endif
15408 sethi %hi(0x30000), %r27
15409 andn %r28, %r27, %r28
15410 ta T_CHANGE_HPRIV
15411ifelse(5,mask2tid(0x2),`.align 16')
15412 stxa %r28, [%g0] 0x73
15413intvec_2_235:
15414 .word 0x95a1c9d3 ! 489: FDIVd fdivd %f38, %f50, %f10
15415memptr_2_237:
15416 set user_data_start, %r31
15417 .word 0x8580bb58 ! 493: WRCCR_I wr %r2, 0x1b58, %ccr
15418frzptr_2_239:
15419 nop
15420 nop
15421 best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
15422 ldx [%r27+0xc], %r20
15423 jmpl %r27, %r27
15424 .word 0x00800001 ! 497: BN bn <label_0x1>
15425mondo_2_240:
15426 nop
15427 nop
15428 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
15429 stxa %r18, [%r0+0x3d0] %asi
15430 stxa %r4, [%r0+0x3d0] %asi
15431 .word 0x8780204f ! 1: WRASI_I wr %r0, 0x004f, %asi
15432 .word 0x9d928004 ! 501: WRPR_WSTATE_R wrpr %r10, %r4, %wstate
15433 .word 0x0accc001 ! 1: BRNZ brnz,pt %r19,<label_0xcc001>
15434 .word 0x8d903247 ! 505: WRPR_PSTATE_I wrpr %r0, 0x1247, %pstate
15435 .word 0x8980000a ! 509: WRTICK_R wr %r0, %r10, %tick
15436 .word 0xe43fe1c0 ! 513: STD_I std %r18, [%r31 + 0x01c0]
15437 .word 0x8d802004 ! 517: WRFPRS_I wr %r0, 0x0004, %fprs
15438intveclr_2_251:
15439 nop
15440 nop
15441 ta T_CHANGE_HPRIV
15442 setx 0xa528d88790bacae9, %r1, %r28
15443 stxa %r28, [%g0] 0x72
15444 wrhpr %g0, 0x69a, %hpstate ! ta T_CHANGE_NONHPRIV
15445 .word 0x25400001 ! 521: FBPLG fblg,a,pn %fcc0, <label_0x1>
15446memptr_2_253:
15447 set 0x60540000, %r31
15448 .word 0x8584670f ! 525: WRCCR_I wr %r17, 0x070f, %ccr
15449 .word 0x3e800001 ! 1: BVC bvc,a <label_0x1>
15450 .word 0x8d903f01 ! 529: WRPR_PSTATE_I wrpr %r0, 0x1f01, %pstate
15451 nop
15452 nop
15453 set 0x909031a9, %r28 !TTID : 1 (mask2tid(0x2))
15454#if (defined PORTABLE_CORE || MAX_THREADS == 8)
15455 sethi %hi(0x3800), %r27
15456 andn %r28, %r27, %r28
15457#ifdef PORTABLE_CORE
15458 ! Add CID to vector
15459 ta T_CHANGE_HPRIV
15460 ldxa [%g0]0x63, %r27
15461 sllx %r27, 8, %r27
15462 or %r27, %r28, %r28
15463#endif
15464#else
15465 ! Add CID IF tid matches
15466ifelse(1,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
15467#endif
15468 sethi %hi(0x30000), %r27
15469 andn %r28, %r27, %r28
15470 ta T_CHANGE_HPRIV
15471ifelse(1,mask2tid(0x2),`.align 16')
15472 stxa %r28, [%g0] 0x73
15473intvec_2_259:
15474 .word 0x97a409c6 ! 533: FDIVd fdivd %f16, %f6, %f42
15475demap_2_261:
15476 nop
15477 mov 0x80, %g3
15478 ta T_CHANGE_HPRIV
15479 stxa %g3, [%g3] 0x5f
15480 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15481 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15482 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15483 stxa %g3, [%g3] 0x5f
15484 stxa %g3, [%g3] 0x57
15485 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15486 stxa %g3, [%g3] 0x5f
15487 stxa %g3, [%g3] 0x57
15488 stxa %g3, [%g3] 0x5f
15489 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15490 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15491 wrhpr %g0, 0xd19, %hpstate ! ta T_CHANGE_NONHPRIV
15492 .word 0xd61fe1f8 ! 537: LDD_I ldd [%r31 + 0x01f8], %r11
15493cancelint_2_263:
15494 rdhpr %halt, %r16
15495 .word 0x85880000 ! 541: ALLCLEAN <illegal instruction>
15496splash_lsu_2_264:
15497 nop
15498 nop
15499 ta T_CHANGE_HPRIV
15500 set 0x9de0620a, %r2
15501 mov 0x5, %r1
15502 sllx %r1, 32, %r1
15503 or %r1, %r2, %r2
15504 stxa %r2, [%r0] ASI_LSU_CONTROL
15505 .word 0x3d400001 ! 545: FBPULE fbule,a,pn %fcc0, <label_0x1>
15506fpinit_2_267:
15507 nop
15508 setx fp_data_quads, %r19, %r20
15509 ldd [%r20], %f0
15510 ldd [%r20+8], %f4
15511 ld [%r20+16], %fsr
15512 ld [%r20+24], %r19
15513 wr %r19, %g0, %gsr
15514 .word 0x89a009c4 ! 549: FDIVd fdivd %f0, %f4, %f4
15515 .word 0xd137e191 ! 553: STQF_I - %f8, [0x0191, %r31]
15516#if (defined SPC || defined CMP1)
15517!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_272) , 16, 16)) -> intp(6,0,13,*,944,*,ff,1)
15518!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_272)&0xffffffff) , 16, 16)) -> intp(5,0,30,*,672,*,ff,1)
15519#else
15520 nop
15521 nop
15522 set 0x24302c3b, %r28 !TTID : 4 (mask2tid(0x2))
15523#if (defined PORTABLE_CORE || MAX_THREADS == 8)
15524 sethi %hi(0x3800), %r27
15525 andn %r28, %r27, %r28
15526#ifdef PORTABLE_CORE
15527 ! Add CID to vector
15528 ta T_CHANGE_HPRIV
15529 ldxa [%g0]0x63, %r27
15530 sllx %r27, 8, %r27
15531 or %r27, %r28, %r28
15532#endif
15533#else
15534 ! Add CID IF tid matches
15535ifelse(4,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
15536#endif
15537ifelse(4,mask2tid(0x2),`.align 16')
15538 stxa %r28, [%g0] 0x73
15539#endif
15540intvec_2_272:
15541 .word 0x39400001 ! 557: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
15542fpinit_2_275:
15543 nop
15544 setx fp_data_quads, %r19, %r20
15545 ldd [%r20], %f0
15546 ldd [%r20+8], %f4
15547 ld [%r20+16], %fsr
15548 ld [%r20+24], %r19
15549 wr %r19, %g0, %gsr
15550 .word 0x87a80a44 ! 561: FCMPd fcmpd %fcc<n>, %f0, %f4
15551 .word 0xe2cfd040 ! 565: LDSBA_R ldsba [%r31, %r0] 0x82, %r17
15552splash_lsu_2_280:
15553 nop
15554 nop
15555 ta T_CHANGE_HPRIV
15556 set 0xd7466ef2, %r2
15557 mov 0x3, %r1
15558 sllx %r1, 32, %r1
15559 or %r1, %r2, %r2
15560 stxa %r2, [%r0] ASI_LSU_CONTROL
15561 ta T_CHANGE_NONHPRIV
15562 .word 0x1d400001 ! 569: FBPULE fbule
15563 nop
15564 nop
15565 set 0x8da0e0b5, %r28 !TTID : 0 (mask2tid(0x2))
15566#if (defined PORTABLE_CORE || MAX_THREADS == 8)
15567 sethi %hi(0x3800), %r27
15568 andn %r28, %r27, %r28
15569#ifdef PORTABLE_CORE
15570 ! Add CID to vector
15571 ta T_CHANGE_HPRIV
15572 ldxa [%g0]0x63, %r27
15573 sllx %r27, 8, %r27
15574 or %r27, %r28, %r28
15575#endif
15576#else
15577 ! Add CID IF tid matches
15578ifelse(0,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
15579#endif
15580 sethi %hi(0x30000), %r27
15581 andn %r28, %r27, %r28
15582 ta T_CHANGE_HPRIV
15583ifelse(0,mask2tid(0x2),`.align 16')
15584 stxa %r28, [%g0] 0x73
15585intvec_2_283:
15586 .word 0xa1b4c4ca ! 573: FCMPNE32 fcmpne32 %d50, %d10, %r16
15587jmptr_2_284:
15588 nop
15589 nop
15590 best_set_reg(0xe0a00000, %r20, %r27)
15591 .word 0xb7c6c000 ! 577: JMPL_R jmpl %r27 + %r0, %r27
15592 .word 0xc1bfe0e0 ! 581: STDFA_I stda %f0, [0x00e0, %r31]
15593 .word 0xe937c000 ! 585: STQF_R - %f20, [%r0, %r31]
15594br_longdelay2_2_288:
15595 .word 0x26800001 ! 1: BL bl,a <label_0x1>
15596 .word 0x87afca40 ! 589: FCMPd fcmpd %fcc<n>, %f62, %f0
15597#if (defined SPC || defined CMP1)
15598!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_290) , 16, 16)) -> intp(7,0,15,*,952,*,e2,1)
15599!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_290)&0xffffffff) , 16, 16)) -> intp(7,0,24,*,952,*,e2,1)
15600#else
15601 nop
15602 nop
15603 set 0xbdd02dbd, %r28 !TTID : 5 (mask2tid(0x2))
15604#if (defined PORTABLE_CORE || MAX_THREADS == 8)
15605 sethi %hi(0x3800), %r27
15606 andn %r28, %r27, %r28
15607#ifdef PORTABLE_CORE
15608 ! Add CID to vector
15609 ta T_CHANGE_HPRIV
15610 ldxa [%g0]0x63, %r27
15611 sllx %r27, 8, %r27
15612 or %r27, %r28, %r28
15613#endif
15614#else
15615 ! Add CID IF tid matches
15616ifelse(5,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
15617#endif
15618ifelse(5,mask2tid(0x2),`.align 16')
15619 stxa %r28, [%g0] 0x73
15620#endif
15621intvec_2_290:
15622#if (defined SPC || defined CMP1)
15623 wrhpr %g0, 0x0, %halt ! HALT
15624#else
15625ifelse(5,mask2tid(0x2),`wrhpr %g0, 0x0, %halt ! HALT')
15626#endif
15627 .word 0x91b484c8 ! 593: FCMPNE32 fcmpne32 %d18, %d8, %r8
15628demap_2_293:
15629 nop
15630 mov 0x80, %g3
15631 ta T_CHANGE_HPRIV
15632 .word 0x2ecd0001 ! 1: BRGEZ brgez,a,pt %r20,<label_0xd0001>
15633 stxa %g3, [%g3] 0x5f
15634 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15635 wrhpr %g0, 0xc41, %hpstate ! ta T_CHANGE_NONHPRIV
15636 .word 0xd01fe1bb ! 597: LDD_I ldd [%r31 + 0x01bb], %r8
15637splash_hpstate_2_294:
15638 .word 0x35400001 ! 1: FBPUE fbue,a,pn %fcc0, <label_0x1>
15639 .word 0x8198370d ! 601: WRHPR_HPSTATE_I wrhpr %r0, 0x170d, %hpstate
15640brcommon1_2_295:
15641 nop
15642 nop
15643 setx common_target, %r12, %r27
15644 lduw [%r27-4], %r12 ! Load common dest into dcache ..
15645 stuw %r12, [%r27-4] ! Load common dest into dcache ..
15646 ba,a .+12
15647 .word 0x91a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f8
15648 ba,a .+8
15649 jmpl %r27-4, %r27
15650 .word 0x91a0c9ad ! 605: FDIVs fdivs %f3, %f13, %f8
15651brcommon3_2_296:
15652 nop
15653 nop
15654 setx common_target, %r12, %r27
15655 lduw [%r27-0], %r12 ! Load common dest into dcache ..
15656 stuw %r12, [%r27-4] ! Load common dest into dcache ..
15657 ba,a .+12
15658 .word 0xd1e7c180 ! 1: CASA_I casa [%r31] 0x c, %r0, %r8
15659 ba,a .+8
15660 jmpl %r27+0, %r27
15661 .word 0x20800001 ! 609: BN bn,a <label_0x1>
15662 .word 0xc32fc000 ! 613: STXFSR_R st-sfr %f1, [%r0, %r31]
15663jmptr_2_300:
15664 nop
15665 nop
15666 best_set_reg(0xe1200000, %r20, %r27)
15667 .word 0xb7c6c000 ! 617: JMPL_R jmpl %r27 + %r0, %r27
15668 .word 0xe8c7d040 ! 621: LDSWA_R ldswa [%r31, %r0] 0x82, %r20
15669memptr_2_303:
15670 set user_data_start, %r31
15671 .word 0x858322c1 ! 625: WRCCR_I wr %r12, 0x02c1, %ccr
15672trapasi_2_305:
15673 nop
15674 mov 0x0, %r1 ! (VA for ASI 0x72)
15675 .word 0xe2d84e40 ! 629: LDXA_R ldxa [%r1, %r0] 0x72, %r17
15676 .word 0xe2d7c2e0 ! 633: LDSHA_R ldsha [%r31, %r0] 0x17, %r17
15677demap_2_307:
15678 nop
15679 mov 0x80, %g3
15680 ta T_CHANGE_HPRIV
15681 .word 0x27400001 ! 1: FBPUL fbul,a,pn %fcc0, <label_0x1>
15682 stxa %g3, [%g3] 0x57
15683 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15684 stxa %g3, [%g3] 0x57
15685 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15686 stxa %g3, [%g3] 0x5f
15687 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15688 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15689 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15690 stxa %g3, [%g3] 0x57
15691 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15692 stxa %g3, [%g3] 0x5f
15693 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15694 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15695 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15696 stxa %g3, [%g3] 0x57
15697 wrhpr %g0, 0x312, %hpstate ! ta T_CHANGE_NONHPRIV
15698 .word 0xd01fe12a ! 637: LDD_I ldd [%r31 + 0x012a], %r8
15699 .word 0xe7e7d100 ! 641: CASA_I casa [%r31] 0x88, %r0, %r19
15700 .word 0xd48fc2e0 ! 645: LDUBA_R lduba [%r31, %r0] 0x17, %r10
15701 nop
15702 nop
15703 ta T_CHANGE_HPRIV
15704 mov 0x0, %r11
15705splash_cmpr_2_314:
15706 sllx %r11, 63, %r11
15707 not %r11, %r11
15708 rd %tick, %r10
15709#if (defined SPC || defined CMP1)
15710 add %r10, 0x200, %r10
15711#else
15712 add %r10, 0x380, %r10
15713#endif
15714 and %r10, %r11, %r10
15715 wrhpr %r10, %g0, %hsys_tick_cmpr
15716 ta T_CHANGE_PRIV
15717 rd %tick, %r10
15718#if (defined SPC || defined CMP1)
15719 add %r10, 0x250, %r10
15720#else
15721 add %r10, 0x380, %r10
15722#endif
15723 and %r10, %r11, %r10
15724 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
15725 .word 0x8198259a ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x059a, %hpstate
15726 .word 0x81b01021 ! 649: SIAM siam 1
15727brcommon2_2_317:
15728 nop
15729 nop
15730 setx common_target, %r12, %r27
15731 ba,a .+12
15732 .word 0xdb140010 ! 1: LDQF_R - [%r16, %r16], %f13
15733 ba,a .+8
15734 jmpl %r27-4, %r27
15735 .word 0x00800001 ! 653: BN bn <label_0x1>
15736splash_lsu_2_319:
15737 nop
15738 nop
15739 ta T_CHANGE_HPRIV
15740 set 0x27c9221c, %r2
15741 mov 0x5, %r1
15742 sllx %r1, 32, %r1
15743 or %r1, %r2, %r2
15744 .word 0x0c800001 ! 1: BNEG bneg <label_0x1>
15745 stxa %r2, [%r0] ASI_LSU_CONTROL
15746 ta T_CHANGE_NONHPRIV
15747 .word 0x3d400001 ! 657: FBPULE fbule,a,pn %fcc0, <label_0x1>
15748intveclr_2_321:
15749 nop
15750 nop
15751 ta T_CHANGE_HPRIV
15752 setx 0x2a0c69ba11b0558c, %r1, %r28
15753 stxa %r28, [%g0] 0x72
15754 .word 0x25400001 ! 661: FBPLG fblg,a,pn %fcc0, <label_0x1>
15755demap_2_323:
15756 nop
15757 mov 0x80, %g3
15758 ta T_CHANGE_HPRIV
15759 stxa %g3, [%g3] 0x5f
15760 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15761 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15762 stxa %g3, [%g3] 0x57
15763 stxa %g3, [%g3] 0x57
15764 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15765 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15766 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15767 stxa %g3, [%g3] 0x57
15768 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15769 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15770 stxa %g3, [%g3] 0x5f
15771 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15772 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15773 stxa %g3, [%g3] 0x57
15774 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15775 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15776 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
15777 wrhpr %g0, 0xd1a, %hpstate ! ta T_CHANGE_NONHPRIV
15778 .word 0xd81fe1ee ! 665: LDD_I ldd [%r31 + 0x01ee], %r12
15779jmptr_2_326:
15780 nop
15781 nop
15782 best_set_reg(0xe1a00000, %r20, %r27)
15783 .word 0xb7c6c000 ! 669: JMPL_R jmpl %r27 + %r0, %r27
15784 .word 0x04cc8002 ! 673: BRLEZ brlez,pt %r18,<label_0xc8002>
15785splash_lsu_2_330:
15786 nop
15787 nop
15788 ta T_CHANGE_HPRIV
15789 set 0x9bb8514b, %r2
15790 mov 0x3, %r1
15791 sllx %r1, 32, %r1
15792 or %r1, %r2, %r2
15793 stxa %r2, [%r0] ASI_LSU_CONTROL
15794 ta T_CHANGE_NONHPRIV
15795 .word 0x3d400002 ! 677: FBPULE fbule,a,pn %fcc0, <label_0x2>
15796intveclr_2_332:
15797 nop
15798 nop
15799 ta T_CHANGE_HPRIV
15800 setx 0x8a69b6c8015e6232, %r1, %r28
15801 stxa %r28, [%g0] 0x72
15802 wrhpr %g0, 0xf52, %hpstate ! ta T_CHANGE_NONHPRIV
15803 .word 0x05400001 ! 681: FBPLG fblg
15804br_badelay3_2_335:
15805 .word 0x02800001 ! 1: BE be <label_0x1>
15806 .word 0x34800001 ! 1: BG bg,a <label_0x1>
15807 .word 0xa7a4c9d4 ! 1: FDIVd fdivd %f50, %f20, %f50
15808 .word 0x93a48831 ! 685: FADDs fadds %f18, %f17, %f9
15809 .word 0xc30fc000 ! 689: LDXFSR_R ld-fsr [%r31, %r0], %f1
15810 nop
15811 nop
15812 ta T_CHANGE_HPRIV
15813 mov 0x1, %r11
15814splash_cmpr_2_339:
15815 sllx %r11, 63, %r11
15816 not %r11, %r11
15817 rd %tick, %r10
15818#if (defined SPC || defined CMP1)
15819 add %r10, 0x300, %r10
15820#else
15821 add %r10, 0x380, %r10
15822#endif
15823 and %r10, %r11, %r10
15824 wrhpr %r10, %g0, %hsys_tick_cmpr
15825 wrhpr %g0, 0x0, %halt ! HALT
15826 ta T_CHANGE_PRIV
15827 rd %tick, %r10
15828#if (defined SPC || defined CMP1)
15829 add %r10, 0x200, %r10
15830#else
15831 add %r10, 0x550, %r10
15832#endif
15833 and %r10, %r11, %r10
15834 .word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
15835#if (defined SPC || defined CMP1)
15836!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_2_339)+8 , 16, 16)) -> intp(3,0,31,*,968,*,77,1)
15837!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_2_339)&0xffffffff)+8 , 16, 16)) -> intp(5,0,28,*,680,*,77,1)
15838#endif
15839 wrhpr %g0, 0x0, %halt ! HALT
15840 .word 0x81982795 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0795, %hpstate
15841 .word 0x81b01021 ! 693: SIAM siam 1
15842 .word 0xc30fc000 ! 697: LDXFSR_R ld-fsr [%r31, %r0], %f1
15843brcommon3_2_345:
15844 nop
15845 nop
15846 setx common_target, %r12, %r27
15847 lduw [%r27], %r12 ! Load common dest into dcache ..
15848 stuw %r12, [%r27] ! Load common dest into dcache ..
15849 ba,a .+12
15850 .word 0xe9e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r20
15851 ba,a .+8
15852 jmpl %r27+0, %r27
15853 stxa %r20, [%r0] ASI_LSU_CONTROL
15854 .word 0xa7aac833 ! 701: FMOVGE fmovs %fcc1, %f19, %f19
15855 .word 0xd71fe0d0 ! 705: LDDF_I ldd [%r31, 0x00d0], %f11
15856 nop
15857 nop
15858 ta T_CHANGE_HPRIV ! macro
15859donret_2_349:
15860 rd %pc, %r12
15861 mov HIGHVA_HIGHNUM, %r10
15862 sllx %r10, 32, %r10
15863 or %r12, %r10, %r12
15864 add %r12, (donretarg_2_349-donret_2_349), %r12
15865 add %r12, 0x4, %r11 ! seq tnpc
15866 andn %r11, %r10, %r11 ! low VA tnpc
15867 wrpr %g0, 0x1, %tl
15868 wrpr %g0, %r12, %tpc
15869 wrpr %g0, %r11, %tnpc
15870 set (0x00f90100 | (32 << 24)), %r13
15871 and %r12, 0xfff, %r14
15872 sllx %r14, 32, %r14
15873 or %r13, %r14, %r20
15874 wrpr %r20, %g0, %tstate
15875 wrhpr %g0, 0x705, %htstate
15876 wrhpr %g0, 0x8d1, %hpstate ! rand=1 (2)
15877 ldx [%r11+%r0], %g1
15878 done
15879donretarg_2_349:
15880 .word 0xa7a409c1 ! 709: FDIVd fdivd %f16, %f32, %f50
15881 .word 0x8980000a ! 713: WRTICK_R wr %r0, %r10, %tick
15882brcommon3_2_355:
15883 nop
15884 nop
15885 setx common_target, %r12, %r27
15886 lduw [%r27], %r12 ! Load common dest into dcache ..
15887 stuw %r12, [%r27] ! Load common dest into dcache ..
15888 ba,a .+12
15889 .word 0xd9e7d160 ! 1: CASA_I casa [%r31] 0x8b, %r0, %r12
15890 ba,a .+8
15891 jmpl %r27+0, %r27
15892 stxa %r6, [%r0] ASI_LSU_CONTROL
15893 .word 0x93aac82d ! 717: FMOVGE fmovs %fcc1, %f13, %f9
15894 .word 0xd737e1a8 ! 721: STQF_I - %f11, [0x01a8, %r31]
15895memptr_2_359:
15896 set 0x60740000, %r31
15897 .word 0x85823324 ! 725: WRCCR_I wr %r8, 0x1324, %ccr
15898jmptr_2_361:
15899 nop
15900 nop
15901 best_set_reg(0xe0200000, %r20, %r27)
15902 .word 0xb7c6c000 ! 729: JMPL_R jmpl %r27 + %r0, %r27
15903demap_2_363:
15904 nop
15905 mov 0x80, %g3
15906 ta T_CHANGE_HPRIV
15907 stxa %g3, [%g3] 0x57
15908 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15909 stxa %g3, [%g3] 0x57
15910 stxa %g3, [%g3] 0x5f
15911 stxa %g3, [%g3] 0x5f
15912 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
15913 wrhpr %g0, 0x480, %hpstate ! ta T_CHANGE_NONHPRIV
15914 .word 0xd61fe138 ! 733: LDD_I ldd [%r31 + 0x0138], %r11
15915 nop
15916 nop
15917 ta T_CHANGE_HPRIV
15918 mov 0x0, %r11
15919splash_cmpr_2_365:
15920 sllx %r11, 63, %r11
15921 not %r11, %r11
15922 rd %tick, %r10
15923#if (defined SPC || defined CMP1)
15924 add %r10, 0x200, %r10
15925#else
15926 add %r10, 0xc00, %r10
15927#endif
15928 and %r10, %r11, %r10
15929 wrhpr %r10, %g0, %hsys_tick_cmpr
15930 rd %tick, %r10
15931#if (defined SPC || defined CMP1)
15932 add %r10, 0x150, %r10
15933#else
15934 add %r10, 0x900, %r10
15935#endif
15936 and %r10, %r11, %r10
15937 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
15938 .word 0x81983e09 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1e09, %hpstate
15939 .word 0x81b01021 ! 737: SIAM siam 1
15940 .word 0xd08008a0 ! 741: LDUWA_R lduwa [%r0, %r0] 0x45, %r8
15941 .word 0x8d802000 ! 745: WRFPRS_I wr %r0, 0x0000, %fprs
15942ibp_2_369:
15943 nop
15944 nop
15945 ta T_CHANGE_HPRIV
15946 mov 8, %r18
15947 rd %asi, %r12
15948 wr %r0, 0x41, %asi
15949 set sync_thr_counter4, %r23
15950#ifndef SPC
15951 ldxa [%g0]0x63, %r8
15952 and %r8, 0x38, %r8 ! Core ID
15953#ifndef PORTABLE_CORE
15954 add %r8, %r23, %r23
15955#endif
15956#else
15957 mov 0, %r8
15958#endif
15959 mov 0x2, %r16
15960ibp_startwait2_369:
15961 cas [%r23],%g0,%r16 !lock
15962 brz,a %r16, continue_ibp_2_369
15963 mov (~0x2&0xf), %r16
15964 ld [%r23], %r16
15965ibp_wait2_369:
15966 brnz %r16, ibp_wait2_369
15967 ld [%r23], %r16
15968 ba ibp_startwait2_369
15969 mov 0x2, %r16
15970continue_ibp_2_369:
15971 sllx %r16, %r8, %r16 !Mask for my core only
15972 ldxa [0x58]%asi, %r17 !Running_status
15973wait_for_stat_2_369:
15974 ldxa [0x50]%asi, %r13 !Running_rw
15975 cmp %r13, %r17
15976 bne,a %xcc, wait_for_stat_2_369
15977 ldxa [0x58]%asi, %r17 !Running_status
15978 stxa %r16, [0x68]%asi !Park (W1C)
15979 ldxa [0x50]%asi, %r14 !Running_rw
15980wait_for_ibp_2_369:
15981 ldxa [0x58]%asi, %r17 !Running_status
15982 cmp %r14, %r17
15983 bne,a %xcc, wait_for_ibp_2_369
15984 ldxa [0x50]%asi, %r14 !Running_rw
15985ibp_doit2_369:
15986 best_set_reg(0x00000030bc4619a3,%r19, %r20)
15987 stxa %r20, [%r18]0x42
15988 stxa %r16, [0x60] %asi !Unpark (W1S)
15989 st %g0, [%r23] !clear lock
15990 wr %r0, %r12, %asi !restore %asi
15991 .word 0x95a4c9a8 ! 749: FDIVs fdivs %f19, %f8, %f10
15992demap_2_370:
15993 nop
15994 mov 0x80, %g3
15995 ta T_CHANGE_HPRIV
15996 .word 0x24cc4001 ! 1: BRLEZ brlez,a,pt %r17,<label_0xc4001>
15997 stxa %g3, [%g3] 0x57
15998 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
15999 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16000 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16001 stxa %g3, [%g3] 0x57
16002 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16003 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16004 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16005 stxa %g3, [%g3] 0x57
16006 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16007 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16008 stxa %g3, [%g3] 0x57
16009 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16010 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16011 stxa %g3, [%g3] 0x57
16012 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16013 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16014 wrhpr %g0, 0x901, %hpstate ! ta T_CHANGE_NONHPRIV
16015 .word 0xd81fe154 ! 753: LDD_I ldd [%r31 + 0x0154], %r12
16016memptr_2_374:
16017 set 0x60740000, %r31
16018 .word 0x858526b0 ! 757: WRCCR_I wr %r20, 0x06b0, %ccr
16019splash_lsu_2_376:
16020 nop
16021 nop
16022 ta T_CHANGE_HPRIV
16023 set 0x7cc6238c, %r2
16024 mov 0x3, %r1
16025 sllx %r1, 32, %r1
16026 or %r1, %r2, %r2
16027 stxa %r2, [%r0] ASI_LSU_CONTROL
16028 ta T_CHANGE_NONHPRIV
16029 .word 0x1d400001 ! 761: FBPULE fbule
16030 nop
16031 nop
16032 set 0xa540063b, %r28 !TTID : 6 (mask2tid(0x2))
16033#if (defined PORTABLE_CORE || MAX_THREADS == 8)
16034 sethi %hi(0x3800), %r27
16035 andn %r28, %r27, %r28
16036#ifdef PORTABLE_CORE
16037 ! Add CID to vector
16038 ta T_CHANGE_HPRIV
16039 ldxa [%g0]0x63, %r27
16040 sllx %r27, 8, %r27
16041 or %r27, %r28, %r28
16042#endif
16043#else
16044 ! Add CID IF tid matches
16045ifelse(6,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
16046#endif
16047 sethi %hi(0x30000), %r27
16048 andn %r28, %r27, %r28
16049 ta T_CHANGE_HPRIV
16050ifelse(6,mask2tid(0x2),`.align 16')
16051 stxa %r28, [%g0] 0x73
16052intvec_2_377:
16053 .word 0x9ba509c8 ! 765: FDIVd fdivd %f20, %f8, %f44
16054memptr_2_380:
16055 set 0x60740000, %r31
16056 .word 0x8584ad7b ! 769: WRCCR_I wr %r18, 0x0d7b, %ccr
16057memptr_2_384:
16058 set user_data_start, %r31
16059 .word 0x85843838 ! 773: WRCCR_I wr %r16, 0x1838, %ccr
16060intveclr_2_386:
16061 nop
16062 nop
16063 ta T_CHANGE_HPRIV
16064 setx 0xa2a7248f208fb4c5, %r1, %r28
16065 stxa %r28, [%g0] 0x72
16066 .word 0x25400002 ! 777: FBPLG fblg,a,pn %fcc0, <label_0x2>
16067 .word 0x87802088 ! 781: WRASI_I wr %r0, 0x0088, %asi
16068 .word 0xda97d060 ! 785: LDUHA_R lduha [%r31, %r0] 0x83, %r13
16069#if (defined SPC || defined CMP1)
16070!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_390) , 16, 16)) -> intp(4,0,5,*,720,*,9f,1)
16071!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_390)&0xffffffff) , 16, 16)) -> intp(4,0,23,*,928,*,9f,1)
16072#else
16073 nop
16074 nop
16075 set 0xe0002c8c, %r28 !TTID : 4 (mask2tid(0x2))
16076#if (defined PORTABLE_CORE || MAX_THREADS == 8)
16077 sethi %hi(0x3800), %r27
16078 andn %r28, %r27, %r28
16079#ifdef PORTABLE_CORE
16080 ! Add CID to vector
16081 ta T_CHANGE_HPRIV
16082 ldxa [%g0]0x63, %r27
16083 sllx %r27, 8, %r27
16084 or %r27, %r28, %r28
16085#endif
16086#else
16087 ! Add CID IF tid matches
16088ifelse(4,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
16089#endif
16090ifelse(4,mask2tid(0x2),`.align 16')
16091 stxa %r28, [%g0] 0x73
16092#endif
16093intvec_2_390:
16094 .word 0x95b244d4 ! 789: FCMPNE32 fcmpne32 %d40, %d20, %r10
16095demap_2_394:
16096 nop
16097 mov 0x80, %g3
16098 ta T_CHANGE_HPRIV
16099 stxa %g3, [%g3] 0x5f
16100 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16101 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16102 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16103 stxa %g3, [%g3] 0x5f
16104 stxa %g3, [%g3] 0x57
16105 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16106 stxa %g3, [%g3] 0x5f
16107 wrhpr %g0, 0x990, %hpstate ! ta T_CHANGE_NONHPRIV
16108 .word 0xe01fe1a0 ! 793: LDD_I ldd [%r31 + 0x01a0], %r16
16109jmptr_2_397:
16110 nop
16111 nop
16112 best_set_reg(0xe0a00000, %r20, %r27)
16113 .word 0xb7c6c000 ! 797: JMPL_R jmpl %r27 + %r0, %r27
16114 nop
16115 nop
16116 ta T_CHANGE_PRIV
16117 wrpr %g0, %g0, %gl
16118 nop
16119 nop
16120.text
16121 setx join_lbl_0_0, %g1, %g2
16122 jmp %g2
16123 nop
16124fork_lbl_0_1:
16125 wrhpr %g0, 0x781, %hpstate ! ta T_CHANGE_NONHPRIV
16126 .word 0x9b454000 ! 1: RD_CLEAR_SOFTINT rd %clear_softint, %r13
16127splash_tba_1_1:
16128 nop
16129 ta T_CHANGE_PRIV
16130 setx 0x00000000003a0000, %r11, %r12
16131 .word 0x8b90000c ! 5: WRPR_TBA_R wrpr %r0, %r12, %tba
16132jmptr_1_3:
16133 nop
16134 nop
16135 best_set_reg(0xe0a00000, %r20, %r27)
16136 .word 0xb7c6c000 ! 9: JMPL_R jmpl %r27 + %r0, %r27
16137br_badelay2_1_5:
16138 .word 0x95a189c3 ! 1: FDIVd fdivd %f6, %f34, %f10
16139 .word 0x95702975 ! 1: POPC_I popc 0x0975, %r10
16140 .word 0xa9b44312 ! 13: ALIGNADDRESS alignaddr %r17, %r18, %r20
16141 .word 0xd28008a0 ! 17: LDUWA_R lduwa [%r0, %r0] 0x45, %r9
16142 .word 0xc1bfde00 ! 21: STDFA_R stda %f0, [%r0, %r31]
16143jmptr_1_8:
16144 nop
16145 nop
16146 best_set_reg(0xe1200000, %r20, %r27)
16147 .word 0xb7c6c000 ! 25: JMPL_R jmpl %r27 + %r0, %r27
16148 .word 0x8d802000 ! 29: WRFPRS_I wr %r0, 0x0000, %fprs
16149br_badelay2_1_11:
16150 .word 0x02800001 ! 1: BE be <label_0x1>
16151 .word 0xa1a049d1 ! 1: FDIVd fdivd %f32, %f48, %f16
16152 .word 0x9bb04308 ! 33: ALIGNADDRESS alignaddr %r1, %r8, %r13
16153splash_htba_1_14:
16154 nop
16155 ta T_CHANGE_HPRIV
16156 setx 0x00000000002a0000, %r11, %r12
16157 .word 0x8b98000c ! 37: WRHPR_HTBA_R wrhpr %r0, %r12, %htba
16158#if (defined SPC || defined CMP1)
16159!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_1_16) , 16, 16)) -> intp(0,0,9,*,952,*,3d,1)
16160!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_1_16)&0xffffffff) , 16, 16)) -> intp(6,0,24,*,688,*,3d,1)
16161#else
16162 nop
16163 nop
16164 set 0xb1d0081a, %r28 !TTID : 0 (mask2tid(0x1))
16165#if (defined PORTABLE_CORE || MAX_THREADS == 8)
16166 sethi %hi(0x3800), %r27
16167 andn %r28, %r27, %r28
16168#ifdef PORTABLE_CORE
16169 ! Add CID to vector
16170 ta T_CHANGE_HPRIV
16171 ldxa [%g0]0x63, %r27
16172 sllx %r27, 8, %r27
16173 or %r27, %r28, %r28
16174#endif
16175#else
16176 ! Add CID IF tid matches
16177ifelse(0,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
16178#endif
16179ifelse(0,mask2tid(0x1),`.align 16')
16180 stxa %r28, [%g0] 0x73
16181#endif
16182intvec_1_16:
16183#if (defined SPC || defined CMP1)
16184 wrhpr %g0, 0x0, %halt ! HALT
16185#else
16186ifelse(0,mask2tid(0x1),`wrhpr %g0, 0x0, %halt ! HALT')
16187#endif
16188 .word 0xa9a4c9c3 ! 41: FDIVd fdivd %f50, %f34, %f20
16189 .word 0xc1bfc3e0 ! 45: STDFA_R stda %f0, [%r0, %r31]
16190 .word 0xe28008a0 ! 49: LDUWA_R lduwa [%r0, %r0] 0x45, %r17
16191splash_lsu_1_22:
16192 nop
16193 nop
16194 ta T_CHANGE_HPRIV
16195 set 0xf1195f48, %r2
16196 mov 0x2, %r1
16197 sllx %r1, 32, %r1
16198 or %r1, %r2, %r2
16199 .word 0x12800001 ! 1: BNE bne <label_0x1>
16200 stxa %r2, [%r0] ASI_LSU_CONTROL
16201 .word 0x3d400002 ! 53: FBPULE fbule,a,pn %fcc0, <label_0x2>
16202memptr_1_24:
16203 set user_data_start, %r31
16204 .word 0x8584a62f ! 57: WRCCR_I wr %r18, 0x062f, %ccr
16205demap_1_27:
16206 nop
16207 mov 0x80, %g3
16208 ta T_CHANGE_HPRIV
16209 .word 0x32800002 ! 1: BNE bne,a <label_0x2>
16210 stxa %g3, [%g3] 0x57
16211 stxa %g3, [%g3] 0x57
16212 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16213 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16214 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16215 stxa %g3, [%g3] 0x57
16216 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16217 wrhpr %g0, 0x389, %hpstate ! ta T_CHANGE_NONHPRIV
16218 .word 0xe21fe008 ! 61: LDD_I ldd [%r31 + 0x0008], %r17
16219 .word 0xf16fe03e ! 65: PREFETCH_I prefetch [%r31 + 0x003e], #24
16220splash_lsu_1_31:
16221 nop
16222 nop
16223 ta T_CHANGE_HPRIV
16224 set 0xa3c7aa26, %r2
16225 mov 0x7, %r1
16226 sllx %r1, 32, %r1
16227 or %r1, %r2, %r2
16228 stxa %r2, [%r0] ASI_LSU_CONTROL
16229 ta T_CHANGE_NONHPRIV
16230 .word 0x3d400001 ! 69: FBPULE fbule,a,pn %fcc0, <label_0x1>
16231 .word 0x91508000 ! 73: RDPR_TSTATE <illegal instruction>
16232jmptr_1_36:
16233 nop
16234 nop
16235 best_set_reg(0xe1a00000, %r20, %r27)
16236 .word 0xb7c6c000 ! 77: JMPL_R jmpl %r27 + %r0, %r27
16237jmptr_1_39:
16238 nop
16239 nop
16240 best_set_reg(0xe0200000, %r20, %r27)
16241 .word 0xb7c6c000 ! 81: JMPL_R jmpl %r27 + %r0, %r27
16242 .word 0xe2c7d040 ! 85: LDSWA_R ldswa [%r31, %r0] 0x82, %r17
16243brcommon1_1_41:
16244 nop
16245 nop
16246 setx common_target, %r12, %r27
16247 lduw [%r27-4], %r12 ! Load common dest into dcache ..
16248 stuw %r12, [%r27-4] ! Load common dest into dcache ..
16249 ba,a .+12
16250 .word 0xe7e7c380 ! 1: CASA_I casa [%r31] 0x1c, %r0, %r19
16251 ba,a .+8
16252 jmpl %r27-4, %r27
16253 .word 0xa1b2c48c ! 89: FCMPLE32 fcmple32 %d42, %d12, %r16
16254 .word 0xc19fe060 ! 93: LDDFA_I ldda [%r31, 0x0060], %f0
16255demap_1_44:
16256 nop
16257 mov 0x80, %g3
16258 ta T_CHANGE_HPRIV
16259 .word 0x06800001 ! 1: BL bl <label_0x1>
16260 stxa %g3, [%g3] 0x57
16261 stxa %g3, [%g3] 0x5f
16262 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16263 stxa %g3, [%g3] 0x57
16264 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16265 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16266 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16267 stxa %g3, [%g3] 0x57
16268 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16269 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16270 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16271 stxa %g3, [%g3] 0x5f
16272 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16273 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16274 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16275 wrhpr %g0, 0x1d2, %hpstate ! ta T_CHANGE_NONHPRIV
16276 .word 0xd61fe171 ! 97: LDD_I ldd [%r31 + 0x0171], %r11
16277 .word 0x91948009 ! 101: WRPR_PIL_R wrpr %r18, %r9, %pil
16278 .word 0x93b504d0 ! 105: FCMPNE32 fcmpne32 %d20, %d16, %r9
16279brcommon2_1_51:
16280 nop
16281 nop
16282 setx common_target, %r12, %r27
16283 ba,a .+12
16284 .word 0x9f8021d0 ! 1: SIR sir 0x01d0
16285 ba,a .+8
16286 jmpl %r27-0, %r27
16287 .word 0xc1bfdc00 ! 109: STDFA_R stda %f0, [%r0, %r31]
16288 .word 0x39400002 ! 113: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
16289 .word 0xd09fc200 ! 117: LDDA_R ldda [%r31, %r0] 0x10, %r8
16290brcommon2_1_55:
16291 nop
16292 nop
16293 setx common_target, %r12, %r27
16294 ba,a .+12
16295 .word 0xa7a7c960 ! 1: FMULq dis not found
16296
16297 ba,a .+8
16298 jmpl %r27-0, %r27
16299 .word 0xa1b7c7c0 ! 121: PDIST pdistn %d62, %d0, %d16
16300 .word 0x02780001 ! 125: BPE <illegal instruction>
16301 nop
16302 nop
16303 set 0xad60c3b5, %r28 !TTID : 3 (mask2tid(0x1))
16304#if (defined PORTABLE_CORE || MAX_THREADS == 8)
16305 sethi %hi(0x3800), %r27
16306 andn %r28, %r27, %r28
16307#ifdef PORTABLE_CORE
16308 ! Add CID to vector
16309 ta T_CHANGE_HPRIV
16310 ldxa [%g0]0x63, %r27
16311 sllx %r27, 8, %r27
16312 or %r27, %r28, %r28
16313#endif
16314#else
16315 ! Add CID IF tid matches
16316ifelse(3,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
16317#endif
16318 sethi %hi(0x30000), %r27
16319 andn %r28, %r27, %r28
16320 ta T_CHANGE_HPRIV
16321ifelse(3,mask2tid(0x1),`.align 16')
16322 stxa %r28, [%g0] 0x73
16323intvec_1_57:
16324 .word 0x39400002 ! 129: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
16325jmptr_1_58:
16326 nop
16327 nop
16328 best_set_reg(0xe0a00000, %r20, %r27)
16329 .word 0xb7c6c000 ! 133: JMPL_R jmpl %r27 + %r0, %r27
16330 .word 0x9195000d ! 137: WRPR_PIL_R wrpr %r20, %r13, %pil
16331 .word 0xf1efe130 ! 141: PREFETCHA_I prefetcha [%r31, + 0x0130] %asi, #24
16332pmu_1_66:
16333 nop
16334 nop
16335 setx 0xffffffb5ffffffa6, %g1, %g7
16336 .word 0xa3800007 ! 145: WR_PERF_COUNTER_R wr %r0, %r7, %-
16337 .word 0x87802055 ! 149: WRASI_I wr %r0, 0x0055, %asi
16338 .word 0xc32fe1a0 ! 153: STXFSR_I st-sfr %f1, [0x01a0, %r31]
16339splash_hpstate_1_70:
16340 .word 0x819834c7 ! 157: WRHPR_HPSTATE_I wrhpr %r0, 0x14c7, %hpstate
16341 .word 0xe077c000 ! 161: STX_R stx %r16, [%r31 + %r0]
16342jmptr_1_74:
16343 nop
16344 nop
16345 best_set_reg(0xe1200000, %r20, %r27)
16346 .word 0xb7c6c000 ! 165: JMPL_R jmpl %r27 + %r0, %r27
16347 nop
16348 nop
16349 ta T_CHANGE_HPRIV ! macro
16350donret_1_76:
16351 rd %pc, %r12
16352 mov HIGHVA_HIGHNUM, %r10
16353 sllx %r10, 32, %r10
16354 or %r12, %r10, %r12
16355 add %r12, (donretarg_1_76-donret_1_76), %r12
16356 add %r12, 0x4, %r11 ! seq tnpc
16357 andn %r12, %r10, %r12 ! low VA tpc
16358 wrpr %g0, 0x2, %tl
16359 wrpr %g0, %r12, %tpc
16360 wrpr %g0, %r11, %tnpc
16361 set (0x00d07d00 | (4 << 24)), %r13
16362 and %r12, 0xfff, %r14
16363 sllx %r14, 32, %r14
16364 or %r13, %r14, %r20
16365 wrpr %r20, %g0, %tstate
16366 wrhpr %g0, 0x5df, %htstate
16367 best_set_reg(0x51a, %g1, %g2)
16368 wrpr %g0, %g2, %pstate ! rand=0 (1)
16369 retry
16370.align 1024
16371donretarg_1_76:
16372 .word 0xe4ffd100 ! 169: SWAPA_R swapa %r18, [%r31 + %r0] 0x88
16373brcommon3_1_79:
16374 nop
16375 nop
16376 setx common_target, %r12, %r27
16377 lduw [%r27], %r12 ! Load common dest into dcache ..
16378 stuw %r12, [%r27] ! Load common dest into dcache ..
16379 ba,a .+12
16380 .word 0xd3e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r9
16381 ba,a .+8
16382 jmpl %r27+0, %r27
16383 stxa %r14, [%r0] ASI_LSU_CONTROL
16384 .word 0xa7aac829 ! 173: FMOVGE fmovs %fcc1, %f9, %f19
16385 .word 0xa5b444cc ! 177: FCMPNE32 fcmpne32 %d48, %d12, %r18
16386brcommon3_1_83:
16387 nop
16388 nop
16389 setx common_target, %r12, %r27
16390 lduw [%r27-0], %r12 ! Load common dest into dcache ..
16391 stuw %r12, [%r27-4] ! Load common dest into dcache ..
16392 ba,a .+12
16393 .word 0xe9e7d000 ! 1: CASA_I casa [%r31] 0x80, %r0, %r20
16394 ba,a .+8
16395 jmpl %r27+0, %r27
16396 .word 0x8d902f85 ! 181: WRPR_PSTATE_I wrpr %r0, 0x0f85, %pstate
16397brcommon3_1_86:
16398 nop
16399 nop
16400 setx common_target, %r12, %r27
16401 lduw [%r27], %r12 ! Load common dest into dcache ..
16402 stuw %r12, [%r27] ! Load common dest into dcache ..
16403 ba,a .+12
16404 .word 0xe937c000 ! 1: STQF_R - %f20, [%r0, %r31]
16405 ba,a .+8
16406 jmpl %r27+0, %r27
16407 stxa %r17, [%r0] ASI_LSU_CONTROL
16408 .word 0xa9aac829 ! 185: FMOVGE fmovs %fcc1, %f9, %f20
16409 .word 0xe8800bc0 ! 189: LDUWA_R lduwa [%r0, %r0] 0x5e, %r20
16410 nop
16411 nop
16412 set 0x2c10d6cd, %r28 !TTID : 6 (mask2tid(0x1))
16413#if (defined PORTABLE_CORE || MAX_THREADS == 8)
16414 sethi %hi(0x3800), %r27
16415 andn %r28, %r27, %r28
16416#ifdef PORTABLE_CORE
16417 ! Add CID to vector
16418 ta T_CHANGE_HPRIV
16419 ldxa [%g0]0x63, %r27
16420 sllx %r27, 8, %r27
16421 or %r27, %r28, %r28
16422#endif
16423#else
16424 ! Add CID IF tid matches
16425ifelse(6,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
16426#endif
16427 sethi %hi(0x30000), %r27
16428 andn %r28, %r27, %r28
16429 ta T_CHANGE_HPRIV
16430ifelse(6,mask2tid(0x1),`.align 16')
16431 stxa %r28, [%g0] 0x73
16432intvec_1_89:
16433 .word 0x97b044c6 ! 193: FCMPNE32 fcmpne32 %d32, %d6, %r11
16434memptr_1_90:
16435 set user_data_start, %r31
16436 .word 0x85806633 ! 197: WRCCR_I wr %r1, 0x0633, %ccr
16437splash_tba_1_93:
16438 nop
16439 ta T_CHANGE_PRIV
16440 set 0x120000, %r12
16441 .word 0x8b90000c ! 201: WRPR_TBA_R wrpr %r0, %r12, %tba
16442 .word 0xc32fc000 ! 205: STXFSR_R st-sfr %f1, [%r0, %r31]
16443memptr_1_97:
16444 set 0x60540000, %r31
16445 .word 0x85833722 ! 209: WRCCR_I wr %r12, 0x1722, %ccr
16446 .word 0xe137c000 ! 213: STQF_R - %f16, [%r0, %r31]
16447 .word 0x9194c004 ! 217: WRPR_PIL_R wrpr %r19, %r4, %pil
16448 .word 0xe4c7c400 ! 221: LDSWA_R ldswa [%r31, %r0] 0x20, %r18
16449 .word 0xe327c000 ! 225: STF_R st %f17, [%r0, %r31]
16450 .word 0xe397d060 ! 229: LDQFA_R - [%r31, %r0], %f17
16451brcommon1_1_107:
16452 nop
16453 nop
16454 setx common_target, %r12, %r27
16455 lduw [%r27-4], %r12 ! Load common dest into dcache ..
16456 stuw %r12, [%r27-0] ! Load common dest into dcache ..
16457 ba,a .+12
16458 .word 0xe3e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r17
16459 ba,a .+8
16460 jmpl %r27-4, %r27
16461 .word 0x87ad0a47 ! 233: FCMPd fcmpd %fcc<n>, %f20, %f38
16462splash_tba_1_110:
16463 nop
16464 ta T_CHANGE_PRIV
16465 setx 0x0000000400380000, %r11, %r12
16466 .word 0x8b90000c ! 237: WRPR_TBA_R wrpr %r0, %r12, %tba
16467 .word 0xdbe7d100 ! 241: CASA_I casa [%r31] 0x88, %r0, %r13
16468demap_1_114:
16469 nop
16470 mov 0x80, %g3
16471 ta T_CHANGE_HPRIV
16472 .word 0x23400001 ! 1: FBPNE fbne,a,pn %fcc0, <label_0x1>
16473 stxa %g3, [%g3] 0x57
16474 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16475 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16476 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16477 stxa %g3, [%g3] 0x5f
16478 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16479 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16480 stxa %g3, [%g3] 0x5f
16481 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16482 stxa %g3, [%g3] 0x5f
16483 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16484 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16485 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16486 stxa %g3, [%g3] 0x57
16487 stxa %g3, [%g3] 0x5f
16488 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16489 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16490 wrhpr %g0, 0xe1a, %hpstate ! ta T_CHANGE_NONHPRIV
16491 .word 0xda1fe094 ! 245: LDD_I ldd [%r31 + 0x0094], %r13
16492 .word 0xc19fe120 ! 249: LDDFA_I ldda [%r31, 0x0120], %f0
16493mondo_1_117:
16494 nop
16495 nop
16496 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
16497 ta T_CHANGE_PRIV
16498 stxa %r18, [%r0+0x3e8] %asi
16499 stxa %r4, [%r0+0x3c0] %asi
16500 .word 0x87802030 ! 1: WRASI_I wr %r0, 0x0030, %asi
16501 .word 0x9d90c008 ! 253: WRPR_WSTATE_R wrpr %r3, %r8, %wstate
16502cancelint_1_120:
16503 rdhpr %halt, %r19
16504 .word 0x85880000 ! 257: ALLCLEAN <illegal instruction>
16505brcommon1_1_121:
16506 nop
16507 nop
16508 setx common_target, %r12, %r27
16509 lduw [%r27-4], %r12 ! Load common dest into dcache ..
16510 stuw %r12, [%r27-4] ! Load common dest into dcache ..
16511 ba,a .+12
16512 .word 0xa7a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f50
16513 ba,a .+8
16514 jmpl %r27-0, %r27
16515 .word 0x9bb0c487 ! 261: FCMPLE32 fcmple32 %d34, %d38, %r13
16516br_longdelay2_1_123:
16517 .word 0x07400001 ! 1: FBPUL fbul
16518 .word 0xf16fe0e0 ! 265: PREFETCH_I prefetch [%r31 + 0x00e0], #24
16519 .word 0x16780001 ! 269: BPGE <illegal instruction>
16520 .word 0x87802088 ! 273: WRASI_I wr %r0, 0x0088, %asi
16521splash_hpstate_1_128:
16522 ta T_CHANGE_NONHPRIV
16523 .word 0x819834dd ! 277: WRHPR_HPSTATE_I wrhpr %r0, 0x14dd, %hpstate
16524 .word 0xe8bfd100 ! 281: STDA_R stda %r20, [%r31 + %r0] 0x88
16525splash_lsu_1_132:
16526 nop
16527 nop
16528 ta T_CHANGE_HPRIV
16529 set 0xc63b9728, %r2
16530 mov 0x5, %r1
16531 sllx %r1, 32, %r1
16532 or %r1, %r2, %r2
16533 stxa %r2, [%r0] ASI_LSU_CONTROL
16534 ta T_CHANGE_NONHPRIV
16535 .word 0x3d400001 ! 285: FBPULE fbule,a,pn %fcc0, <label_0x1>
16536 nop
16537 nop
16538 set 0x1b90ae97, %r28 !TTID : 6 (mask2tid(0x1))
16539#if (defined PORTABLE_CORE || MAX_THREADS == 8)
16540 sethi %hi(0x3800), %r27
16541 andn %r28, %r27, %r28
16542#ifdef PORTABLE_CORE
16543 ! Add CID to vector
16544 ta T_CHANGE_HPRIV
16545 ldxa [%g0]0x63, %r27
16546 sllx %r27, 8, %r27
16547 or %r27, %r28, %r28
16548#endif
16549#else
16550 ! Add CID IF tid matches
16551ifelse(6,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
16552#endif
16553 sethi %hi(0x30000), %r27
16554 andn %r28, %r27, %r28
16555 ta T_CHANGE_HPRIV
16556ifelse(6,mask2tid(0x1),`.align 16')
16557 stxa %r28, [%g0] 0x73
16558intvec_1_135:
16559 .word 0x95b184c5 ! 289: FCMPNE32 fcmpne32 %d6, %d36, %r10
16560memptr_1_137:
16561 set 0x60340000, %r31
16562 .word 0x85846291 ! 293: WRCCR_I wr %r17, 0x0291, %ccr
16563splash_lsu_1_140:
16564 nop
16565 nop
16566 ta T_CHANGE_HPRIV
16567 set 0x81b692c1, %r2
16568 mov 0x1, %r1
16569 sllx %r1, 32, %r1
16570 or %r1, %r2, %r2
16571 stxa %r2, [%r0] ASI_LSU_CONTROL
16572 ta T_CHANGE_NONHPRIV
16573 .word 0x3d400001 ! 297: FBPULE fbule,a,pn %fcc0, <label_0x1>
16574pmu_1_142:
16575 nop
16576 nop
16577 setx 0xffffffbeffffffab, %g1, %g7
16578 .word 0xa3800007 ! 301: WR_PERF_COUNTER_R wr %r0, %r7, %-
16579brcommon2_1_145:
16580 nop
16581 nop
16582 setx common_target, %r12, %r27
16583 ba,a .+12
16584 .word 0x99b7c700 ! 1: FMULD8SUx16 fmuld8ulx16 %f31, %f0, %d12
16585 ba,a .+8
16586 jmpl %r27-0, %r27
16587 .word 0x81b7c7c0 ! 305: PDIST pdistn %d62, %d0, %d0
16588intveclr_1_146:
16589 nop
16590 nop
16591 ta T_CHANGE_HPRIV
16592 setx 0x1b8134bead032509, %r1, %r28
16593 stxa %r28, [%g0] 0x72
16594 .word 0x25400002 ! 309: FBPLG fblg,a,pn %fcc0, <label_0x2>
16595 .word 0xe49fe060 ! 313: LDDA_I ldda [%r31, + 0x0060] %asi, %r18
16596 nop
16597 nop
16598 ta T_CHANGE_HPRIV ! macro
16599donret_1_148:
16600 rd %pc, %r12
16601 mov HIGHVA_HIGHNUM, %r10
16602 sllx %r10, 32, %r10
16603 or %r12, %r10, %r12
16604 add %r12, (donretarg_1_148-donret_1_148+4), %r12
16605 add %r12, 0x4, %r11 ! seq tnpc
16606 andn %r11, %r10, %r11 ! low VA tnpc
16607 wrpr %g0, 0x1, %tl
16608 wrpr %g0, %r12, %tpc
16609 wrpr %g0, %r11, %tnpc
16610 set (0x00fdd500 | (28 << 24)), %r13
16611 and %r12, 0xfff, %r14
16612 sllx %r14, 32, %r14
16613 or %r13, %r14, %r20
16614 wrpr %r20, %g0, %tstate
16615 wrhpr %g0, 0x109d, %htstate
16616 best_set_reg(0x1520, %g1, %g2)
16617 wrpr %g0, %g2, %pstate ! rand=0 (1)
16618 .word 0x30800001 ! 1: BA ba,a <label_0x1>
16619 retry
16620donretarg_1_148:
16621 .word 0x91a349d1 ! 317: FDIVd fdivd %f44, %f48, %f8
16622jmptr_1_151:
16623 nop
16624 nop
16625 best_set_reg(0xe1a00000, %r20, %r27)
16626 .word 0xb7c6c000 ! 321: JMPL_R jmpl %r27 + %r0, %r27
16627splash_lsu_1_152:
16628 nop
16629 nop
16630 ta T_CHANGE_HPRIV
16631 set 0x407d5402, %r2
16632 mov 0x6, %r1
16633 sllx %r1, 32, %r1
16634 or %r1, %r2, %r2
16635 stxa %r2, [%r0] ASI_LSU_CONTROL
16636 .word 0x1d400001 ! 325: FBPULE fbule
16637demap_1_155:
16638 nop
16639 mov 0x80, %g3
16640 ta T_CHANGE_HPRIV
16641 .word 0x2c800001 ! 1: BNEG bneg,a <label_0x1>
16642 stxa %g3, [%g3] 0x57
16643 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16644 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16645 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16646 stxa %g3, [%g3] 0x5f
16647 stxa %g3, [%g3] 0x57
16648 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16649 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16650 stxa %g3, [%g3] 0x5f
16651 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16652 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16653 wrhpr %g0, 0x790, %hpstate ! ta T_CHANGE_NONHPRIV
16654 .word 0xd61fe1c1 ! 329: LDD_I ldd [%r31 + 0x01c1], %r11
16655 nop
16656 nop
16657 mov 0x0, %r11
16658splash_cmpr_1_158:
16659 sllx %r11, 63, %r11
16660 not %r11, %r11
16661 rd %tick, %r10
16662#if (defined SPC || defined CMP1)
16663 add %r10, 0x200, %r10
16664#else
16665 add %r10, 0x700, %r10
16666#endif
16667 and %r10, %r11, %r10
16668 rd %tick, %r10
16669#if (defined SPC || defined CMP1)
16670 add %r10, 0x300, %r10
16671#else
16672 add %r10, 0x900, %r10
16673#endif
16674 and %r10, %r11, %r10
16675 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
16676 .word 0x81b01021 ! 333: SIAM siam 1
16677splash_lsu_1_161:
16678 nop
16679 nop
16680 ta T_CHANGE_HPRIV
16681 set 0x39120634, %r2
16682 mov 0x6, %r1
16683 sllx %r1, 32, %r1
16684 or %r1, %r2, %r2
16685 .word 0x15400001 ! 1: FBPUE fbue
16686 stxa %r2, [%r0] ASI_LSU_CONTROL
16687 .word 0x1d400001 ! 337: FBPULE fbule
16688 nop
16689 nop
16690 ta T_CHANGE_HPRIV ! macro
16691donret_1_162:
16692 rd %pc, %r12
16693 mov HIGHVA_HIGHNUM, %r10
16694 sllx %r10, 32, %r10
16695 or %r12, %r10, %r12
16696 add %r12, (donretarg_1_162-donret_1_162+4), %r12
16697 add %r12, 0x4, %r11 ! seq tnpc
16698 wrpr %g0, 0x2, %tl
16699 wrpr %g0, %r12, %tpc
16700 wrpr %g0, %r11, %tnpc
16701 set (0x008f3200 | (22 << 24)), %r13
16702 and %r12, 0xfff, %r14
16703 sllx %r14, 32, %r14
16704 or %r13, %r14, %r20
16705 wrpr %r20, %g0, %tstate
16706 wrhpr %g0, 0x1e8e, %htstate
16707 best_set_reg(0x588, %g1, %g2)
16708 wrpr %g0, %g2, %pstate ! rand=0 (1)
16709 ldx [%r12+%r0], %g1
16710 retry
16711donretarg_1_162:
16712 .word 0x81983f8c ! 341: WRHPR_HPSTATE_I wrhpr %r0, 0x1f8c, %hpstate
16713demap_1_165:
16714 nop
16715 mov 0x80, %g3
16716 ta T_CHANGE_HPRIV
16717 stxa %g3, [%g3] 0x57
16718 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16719 stxa %g3, [%g3] 0x57
16720 stxa %g3, [%g3] 0x57
16721 stxa %g3, [%g3] 0x57
16722 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16723 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16724 wrhpr %g0, 0x98b, %hpstate ! ta T_CHANGE_NONHPRIV
16725 .word 0xd41fe00c ! 345: LDD_I ldd [%r31 + 0x000c], %r10
16726memptr_1_166:
16727 set 0x60740000, %r31
16728 .word 0x8584af0f ! 349: WRCCR_I wr %r18, 0x0f0f, %ccr
16729jmptr_1_167:
16730 nop
16731 nop
16732 best_set_reg(0xe0200000, %r20, %r27)
16733 .word 0xb7c6c000 ! 353: JMPL_R jmpl %r27 + %r0, %r27
16734memptr_1_169:
16735 set 0x60140000, %r31
16736 .word 0x8580fc64 ! 357: WRCCR_I wr %r3, 0x1c64, %ccr
16737 .word 0x9194c003 ! 361: WRPR_PIL_R wrpr %r19, %r3, %pil
16738 .word 0xc32fc000 ! 365: STXFSR_R st-sfr %f1, [%r0, %r31]
16739trapasi_1_175:
16740 nop
16741 mov 0x10, %r1 ! (VA for ASI 0x49)
16742 .word 0xd4d84920 ! 369: LDXA_R ldxa [%r1, %r0] 0x49, %r10
16743splash_hpstate_1_178:
16744 .word 0x81983655 ! 373: WRHPR_HPSTATE_I wrhpr %r0, 0x1655, %hpstate
16745jmptr_1_179:
16746 nop
16747 nop
16748 best_set_reg(0xe0a00000, %r20, %r27)
16749 .word 0xb7c6c000 ! 377: JMPL_R jmpl %r27 + %r0, %r27
16750demap_1_181:
16751 nop
16752 mov 0x80, %g3
16753 ta T_CHANGE_HPRIV
16754 .word 0x01400001 ! 1: FBPN fbn
16755 stxa %g3, [%g3] 0x57
16756 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16757 stxa %g3, [%g3] 0x5f
16758 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16759 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16760 stxa %g3, [%g3] 0x57
16761 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16762 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16763 stxa %g3, [%g3] 0x57
16764 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16765 stxa %g3, [%g3] 0x57
16766 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16767 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16768 stxa %g3, [%g3] 0x5f
16769 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16770 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16771 stxa %g3, [%g3] 0x5f
16772 stxa %g3, [%g3] 0x57
16773 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16774 wrhpr %g0, 0x210, %hpstate ! ta T_CHANGE_NONHPRIV
16775 .word 0xe61fe1ae ! 381: LDD_I ldd [%r31 + 0x01ae], %r19
16776 .word 0xe727e155 ! 385: STF_I st %f19, [0x0155, %r31]
16777intveclr_1_184:
16778 nop
16779 nop
16780 ta T_CHANGE_HPRIV
16781 setx 0xb1ac9d0695605d3d, %r1, %r28
16782 stxa %r28, [%g0] 0x72
16783 .word 0x25400001 ! 389: FBPLG fblg,a,pn %fcc0, <label_0x1>
16784splash_tba_1_185:
16785 nop
16786 ta T_CHANGE_PRIV
16787 setx 0x00000004003a0000, %r11, %r12
16788 .word 0x8b90000c ! 393: WRPR_TBA_R wrpr %r0, %r12, %tba
16789 .word 0xc19fc3e0 ! 397: LDDFA_R ldda [%r31, %r0], %f0
16790splash_lsu_1_189:
16791 nop
16792 nop
16793 ta T_CHANGE_HPRIV
16794 set 0xd1b6ad89, %r2
16795 mov 0x6, %r1
16796 sllx %r1, 32, %r1
16797 or %r1, %r2, %r2
16798 .word 0x03400001 ! 1: FBPNE fbne
16799 stxa %r2, [%r0] ASI_LSU_CONTROL
16800 .word 0x3d400001 ! 401: FBPULE fbule,a,pn %fcc0, <label_0x1>
16801br_longdelay1_1_191:
16802 .word 0x30800002 ! 1: BA ba,a <label_0x2>
16803 .word 0xbfefc000 ! 405: RESTORE_R restore %r31, %r0, %r31
16804 .word 0xe19fe0a0 ! 409: LDDFA_I ldda [%r31, 0x00a0], %f16
16805#if (defined SPC || defined CMP1)
16806!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_1_194) , 16, 16)) -> intp(0,0,13,*,1000,*,11,1)
16807!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_1_194)&0xffffffff) , 16, 16)) -> intp(6,0,15,*,736,*,11,1)
16808#else
16809 nop
16810 nop
16811 set 0x30c016c9, %r28 !TTID : 6 (mask2tid(0x1))
16812#if (defined PORTABLE_CORE || MAX_THREADS == 8)
16813 sethi %hi(0x3800), %r27
16814 andn %r28, %r27, %r28
16815#ifdef PORTABLE_CORE
16816 ! Add CID to vector
16817 ta T_CHANGE_HPRIV
16818 ldxa [%g0]0x63, %r27
16819 sllx %r27, 8, %r27
16820 or %r27, %r28, %r28
16821#endif
16822#else
16823 ! Add CID IF tid matches
16824ifelse(6,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
16825#endif
16826ifelse(6,mask2tid(0x1),`.align 16')
16827 stxa %r28, [%g0] 0x73
16828#endif
16829intvec_1_194:
16830 .word 0x99a149d3 ! 413: FDIVd fdivd %f36, %f50, %f12
16831memptr_1_198:
16832 set user_data_start, %r31
16833 .word 0x8585338f ! 417: WRCCR_I wr %r20, 0x138f, %ccr
16834demap_1_200:
16835 nop
16836 mov 0x80, %g3
16837 ta T_CHANGE_HPRIV
16838 .word 0x04800001 ! 1: BLE ble <label_0x1>
16839 stxa %g3, [%g3] 0x5f
16840 stxa %g3, [%g3] 0x5f
16841 stxa %g3, [%g3] 0x5f
16842 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16843 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16844 stxa %g3, [%g3] 0x5f
16845 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16846 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16847 stxa %g3, [%g3] 0x5f
16848 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16849 stxa %g3, [%g3] 0x5f
16850 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16851 stxa %g3, [%g3] 0x5f
16852 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16853 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16854 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16855 wrhpr %g0, 0x850, %hpstate ! ta T_CHANGE_NONHPRIV
16856 .word 0xe61fe0c0 ! 421: LDD_I ldd [%r31 + 0x00c0], %r19
16857 nop
16858 nop
16859 ta T_CHANGE_HPRIV
16860 mov 0x1, %r11
16861splash_cmpr_1_201:
16862 sllx %r11, 63, %r11
16863 not %r11, %r11
16864 rd %tick, %r10
16865#if (defined SPC || defined CMP1)
16866 add %r10, 0x250, %r10
16867#else
16868 add %r10, 0x380, %r10
16869#endif
16870 and %r10, %r11, %r10
16871 wrhpr %r10, %g0, %hsys_tick_cmpr
16872 wrhpr %g0, 0x0, %halt ! HALT
16873 rd %tick, %r10
16874#if (defined SPC || defined CMP1)
16875 add %r10, 0x100, %r10
16876#else
16877 add %r10, 0x550, %r10
16878#endif
16879 and %r10, %r11, %r10
16880 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
16881#if (defined SPC || defined CMP1)
16882!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_1_201)+8 , 16, 16)) -> intp(3,0,30,*,728,*,5b,1)
16883!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_1_201)&0xffffffff)+8 , 16, 16)) -> intp(2,0,7,*,760,*,5b,1)
16884#endif
16885 wrhpr %g0, 0x0, %halt ! HALT
16886 .word 0x81982543 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0543, %hpstate
16887 .word 0x81b01021 ! 425: SIAM siam 1
16888 .word 0xe1bfda00 ! 429: STDFA_R stda %f16, [%r0, %r31]
16889brcommon3_1_206:
16890 nop
16891 nop
16892 setx common_target, %r12, %r27
16893 lduw [%r27-4], %r12 ! Load common dest into dcache ..
16894 stuw %r12, [%r27-0] ! Load common dest into dcache ..
16895 ba,a .+12
16896 .word 0xe737c000 ! 1: STQF_R - %f19, [%r0, %r31]
16897 ba,a .+8
16898 jmpl %r27+0, %r27
16899 .word 0x81983087 ! 433: WRHPR_HPSTATE_I wrhpr %r0, 0x1087, %hpstate
16900 .word 0xe727c000 ! 437: STF_R st %f19, [%r0, %r31]
16901 .word 0x8d802000 ! 441: WRFPRS_I wr %r0, 0x0000, %fprs
16902 .word 0xe097c080 ! 445: LDUHA_R lduha [%r31, %r0] 0x04, %r16
16903 .word 0xd297d160 ! 449: LDUHA_R lduha [%r31, %r0] 0x8b, %r9
16904splash_lsu_1_215:
16905 nop
16906 nop
16907 ta T_CHANGE_HPRIV
16908 set 0xeb8e510e, %r2
16909 mov 0x5, %r1
16910 sllx %r1, 32, %r1
16911 or %r1, %r2, %r2
16912 stxa %r2, [%r0] ASI_LSU_CONTROL
16913 ta T_CHANGE_NONHPRIV
16914 .word 0x1d400002 ! 453: FBPULE fbule
16915dvapa_1_218:
16916 nop
16917 nop
16918 ta T_CHANGE_HPRIV
16919 mov 0xda7, %r20
16920 mov 0x13, %r19
16921 sllx %r20, 23, %r20
16922 or %r19, %r20, %r19
16923 stxa %r19, [%g0] ASI_LSU_CONTROL
16924 mov 0x38, %r18
16925 stxa %r31, [%r18]0x58
16926 wrhpr %g0, 0xe92, %hpstate ! ta T_CHANGE_NONHPRIV
16927 .word 0xe1bfde20 ! 457: STDFA_R stda %f16, [%r0, %r31]
16928 .word 0xd2d7c400 ! 461: LDSHA_R ldsha [%r31, %r0] 0x20, %r9
16929cancelint_1_219:
16930 rdhpr %halt, %r16
16931 .word 0x85880000 ! 465: ALLCLEAN <illegal instruction>
16932demap_1_222:
16933 nop
16934 mov 0x80, %g3
16935 ta T_CHANGE_HPRIV
16936 .word 0x3c800002 ! 1: BPOS bpos,a <label_0x2>
16937 stxa %g3, [%g3] 0x57
16938 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16939 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16940 stxa %g3, [%g3] 0x5f
16941 stxa %g3, [%g3] 0x5f
16942 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16943 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
16944 stxa %g3, [%g3] 0x5f
16945 stxa %g3, [%g3] 0x5f
16946 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
16947 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16948 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
16949 wrhpr %g0, 0x2cb, %hpstate ! ta T_CHANGE_NONHPRIV
16950 .word 0xe01fe0a8 ! 469: LDD_I ldd [%r31 + 0x00a8], %r16
16951 .word 0xe0800aa0 ! 473: LDUWA_R lduwa [%r0, %r0] 0x55, %r16
16952splash_lsu_1_226:
16953 nop
16954 nop
16955 ta T_CHANGE_HPRIV
16956 set 0xf26a4a2f, %r2
16957 mov 0x4, %r1
16958 sllx %r1, 32, %r1
16959 or %r1, %r2, %r2
16960 stxa %r2, [%r0] ASI_LSU_CONTROL
16961 .word 0x1d400001 ! 477: FBPULE fbule
16962 .word 0xc1bfdc40 ! 481: STDFA_R stda %f0, [%r0, %r31]
16963 .word 0x91914005 ! 485: WRPR_PIL_R wrpr %r5, %r5, %pil
16964 nop
16965 nop
16966 set 0x10303747, %r28 !TTID : 7 (mask2tid(0x1))
16967#if (defined PORTABLE_CORE || MAX_THREADS == 8)
16968 sethi %hi(0x3800), %r27
16969 andn %r28, %r27, %r28
16970#ifdef PORTABLE_CORE
16971 ! Add CID to vector
16972 ta T_CHANGE_HPRIV
16973 ldxa [%g0]0x63, %r27
16974 sllx %r27, 8, %r27
16975 or %r27, %r28, %r28
16976#endif
16977#else
16978 ! Add CID IF tid matches
16979ifelse(7,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
16980#endif
16981 sethi %hi(0x30000), %r27
16982 andn %r28, %r27, %r28
16983 ta T_CHANGE_HPRIV
16984ifelse(7,mask2tid(0x1),`.align 16')
16985 stxa %r28, [%g0] 0x73
16986intvec_1_235:
16987 .word 0x97a489d3 ! 489: FDIVd fdivd %f18, %f50, %f42
16988memptr_1_237:
16989 set user_data_start, %r31
16990 .word 0x8582fbea ! 493: WRCCR_I wr %r11, 0x1bea, %ccr
16991frzptr_1_239:
16992 nop
16993 nop
16994 best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
16995 ldx [%r27+0xc], %r20
16996 jmpl %r27, %r27
16997 .word 0x00800001 ! 497: BN bn <label_0x1>
16998mondo_1_240:
16999 nop
17000 nop
17001 .word 0x87802025 ! 1: WRASI_I wr %r0, 0x0025, %asi
17002 stxa %r9, [%r0+0x3e8] %asi
17003 stxa %r3, [%r0+0x3c0] %asi
17004 .word 0x87802082 ! 1: WRASI_I wr %r0, 0x0082, %asi
17005 .word 0x9d908003 ! 501: WRPR_WSTATE_R wrpr %r2, %r3, %wstate
17006 .word 0x33400001 ! 1: FBPE fbe,a,pn %fcc0, <label_0x1>
17007 .word 0x8d902a63 ! 505: WRPR_PSTATE_I wrpr %r0, 0x0a63, %pstate
17008 .word 0x8980000a ! 509: WRTICK_R wr %r0, %r10, %tick
17009 .word 0xc32fe0e0 ! 513: STXFSR_I st-sfr %f1, [0x00e0, %r31]
17010 .word 0x8d802000 ! 517: WRFPRS_I wr %r0, 0x0000, %fprs
17011intveclr_1_251:
17012 nop
17013 nop
17014 ta T_CHANGE_HPRIV
17015 setx 0x4061fb1e52ba79fa, %r1, %r28
17016 stxa %r28, [%g0] 0x72
17017 wrhpr %g0, 0xb00, %hpstate ! ta T_CHANGE_NONHPRIV
17018 .word 0x25400001 ! 521: FBPLG fblg,a,pn %fcc0, <label_0x1>
17019memptr_1_253:
17020 set 0x60140000, %r31
17021 .word 0x8582247a ! 525: WRCCR_I wr %r8, 0x047a, %ccr
17022 .word 0x0ec8c001 ! 1: BRGEZ brgez,pt %r3,<label_0x8c001>
17023 .word 0x8d903301 ! 529: WRPR_PSTATE_I wrpr %r0, 0x1301, %pstate
17024 nop
17025 nop
17026 set 0x3a40011c, %r28 !TTID : 1 (mask2tid(0x1))
17027#if (defined PORTABLE_CORE || MAX_THREADS == 8)
17028 sethi %hi(0x3800), %r27
17029 andn %r28, %r27, %r28
17030#ifdef PORTABLE_CORE
17031 ! Add CID to vector
17032 ta T_CHANGE_HPRIV
17033 ldxa [%g0]0x63, %r27
17034 sllx %r27, 8, %r27
17035 or %r27, %r28, %r28
17036#endif
17037#else
17038 ! Add CID IF tid matches
17039ifelse(1,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
17040#endif
17041 sethi %hi(0x30000), %r27
17042 andn %r28, %r27, %r28
17043 ta T_CHANGE_HPRIV
17044ifelse(1,mask2tid(0x1),`.align 16')
17045 stxa %r28, [%g0] 0x73
17046intvec_1_259:
17047 .word 0x39400001 ! 533: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
17048demap_1_261:
17049 nop
17050 mov 0x80, %g3
17051 ta T_CHANGE_HPRIV
17052 stxa %g3, [%g3] 0x57
17053 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17054 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
17055 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
17056 stxa %g3, [%g3] 0x5f
17057 stxa %g3, [%g3] 0x5f
17058 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
17059 stxa %g3, [%g3] 0x5f
17060 stxa %g3, [%g3] 0x5f
17061 stxa %g3, [%g3] 0x57
17062 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17063 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17064 wrhpr %g0, 0x9d8, %hpstate ! ta T_CHANGE_NONHPRIV
17065 .word 0xd61fe080 ! 537: LDD_I ldd [%r31 + 0x0080], %r11
17066cancelint_1_263:
17067 rdhpr %halt, %r8
17068 .word 0x85880000 ! 541: ALLCLEAN <illegal instruction>
17069splash_lsu_1_264:
17070 nop
17071 nop
17072 ta T_CHANGE_HPRIV
17073 set 0xfca91a19, %r2
17074 mov 0x2, %r1
17075 sllx %r1, 32, %r1
17076 or %r1, %r2, %r2
17077 stxa %r2, [%r0] ASI_LSU_CONTROL
17078 .word 0x1d400001 ! 545: FBPULE fbule
17079fpinit_1_267:
17080 nop
17081 setx fp_data_quads, %r19, %r20
17082 ldd [%r20], %f0
17083 ldd [%r20+8], %f4
17084 ld [%r20+16], %fsr
17085 ld [%r20+24], %r19
17086 wr %r19, %g0, %gsr
17087 .word 0x89b00484 ! 549: FCMPLE32 fcmple32 %d0, %d4, %r4
17088 .word 0xd137e0b1 ! 553: STQF_I - %f8, [0x00b1, %r31]
17089#if (defined SPC || defined CMP1)
17090!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_1_272) , 16, 16)) -> intp(5,0,15,*,752,*,b,1)
17091!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_1_272)&0xffffffff) , 16, 16)) -> intp(1,0,3,*,728,*,b,1)
17092#else
17093 nop
17094 nop
17095 set 0x66104763, %r28 !TTID : 7 (mask2tid(0x1))
17096#if (defined PORTABLE_CORE || MAX_THREADS == 8)
17097 sethi %hi(0x3800), %r27
17098 andn %r28, %r27, %r28
17099#ifdef PORTABLE_CORE
17100 ! Add CID to vector
17101 ta T_CHANGE_HPRIV
17102 ldxa [%g0]0x63, %r27
17103 sllx %r27, 8, %r27
17104 or %r27, %r28, %r28
17105#endif
17106#else
17107 ! Add CID IF tid matches
17108ifelse(7,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
17109#endif
17110ifelse(7,mask2tid(0x1),`.align 16')
17111 stxa %r28, [%g0] 0x73
17112#endif
17113intvec_1_272:
17114 .word 0x39400001 ! 557: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
17115fpinit_1_275:
17116 nop
17117 setx fp_data_quads, %r19, %r20
17118 ldd [%r20], %f0
17119 ldd [%r20+8], %f4
17120 ld [%r20+16], %fsr
17121 ld [%r20+24], %r19
17122 wr %r19, %g0, %gsr
17123 .word 0x89a009a4 ! 561: FDIVs fdivs %f0, %f4, %f4
17124 .word 0xe2cfd100 ! 565: LDSBA_R ldsba [%r31, %r0] 0x88, %r17
17125splash_lsu_1_280:
17126 nop
17127 nop
17128 ta T_CHANGE_HPRIV
17129 set 0x6f7aca5a, %r2
17130 mov 0x7, %r1
17131 sllx %r1, 32, %r1
17132 or %r1, %r2, %r2
17133 stxa %r2, [%r0] ASI_LSU_CONTROL
17134 ta T_CHANGE_NONHPRIV
17135 .word 0x3d400002 ! 569: FBPULE fbule,a,pn %fcc0, <label_0x2>
17136 nop
17137 nop
17138 set 0x1560beb9, %r28 !TTID : 6 (mask2tid(0x1))
17139#if (defined PORTABLE_CORE || MAX_THREADS == 8)
17140 sethi %hi(0x3800), %r27
17141 andn %r28, %r27, %r28
17142#ifdef PORTABLE_CORE
17143 ! Add CID to vector
17144 ta T_CHANGE_HPRIV
17145 ldxa [%g0]0x63, %r27
17146 sllx %r27, 8, %r27
17147 or %r27, %r28, %r28
17148#endif
17149#else
17150 ! Add CID IF tid matches
17151ifelse(6,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
17152#endif
17153 sethi %hi(0x30000), %r27
17154 andn %r28, %r27, %r28
17155 ta T_CHANGE_HPRIV
17156ifelse(6,mask2tid(0x1),`.align 16')
17157 stxa %r28, [%g0] 0x73
17158intvec_1_283:
17159 .word 0xa9b144d0 ! 573: FCMPNE32 fcmpne32 %d36, %d16, %r20
17160jmptr_1_284:
17161 nop
17162 nop
17163 best_set_reg(0xe1200000, %r20, %r27)
17164 .word 0xb7c6c000 ! 577: JMPL_R jmpl %r27 + %r0, %r27
17165 .word 0xc1bfe120 ! 581: STDFA_I stda %f0, [0x0120, %r31]
17166 .word 0xe937c000 ! 585: STQF_R - %f20, [%r0, %r31]
17167br_longdelay2_1_288:
17168 .word 0x04ca8001 ! 1: BRLEZ brlez,pt %r10,<label_0xa8001>
17169 .word 0x19400001 ! 589: FBPUGE fbuge
17170#if (defined SPC || defined CMP1)
17171!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_1_290) , 16, 16)) -> intp(1,0,30,*,696,*,f5,1)
17172!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_1_290)&0xffffffff) , 16, 16)) -> intp(1,0,17,*,760,*,f5,1)
17173#else
17174 nop
17175 nop
17176 set 0x47103a1e, %r28 !TTID : 2 (mask2tid(0x1))
17177#if (defined PORTABLE_CORE || MAX_THREADS == 8)
17178 sethi %hi(0x3800), %r27
17179 andn %r28, %r27, %r28
17180#ifdef PORTABLE_CORE
17181 ! Add CID to vector
17182 ta T_CHANGE_HPRIV
17183 ldxa [%g0]0x63, %r27
17184 sllx %r27, 8, %r27
17185 or %r27, %r28, %r28
17186#endif
17187#else
17188 ! Add CID IF tid matches
17189ifelse(2,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
17190#endif
17191ifelse(2,mask2tid(0x1),`.align 16')
17192 stxa %r28, [%g0] 0x73
17193#endif
17194intvec_1_290:
17195#if (defined SPC || defined CMP1)
17196 wrhpr %g0, 0x0, %halt ! HALT
17197#else
17198ifelse(2,mask2tid(0x1),`wrhpr %g0, 0x0, %halt ! HALT')
17199#endif
17200 .word 0x91a409cd ! 593: FDIVd fdivd %f16, %f44, %f8
17201demap_1_293:
17202 nop
17203 mov 0x80, %g3
17204 ta T_CHANGE_HPRIV
17205 .word 0x04800001 ! 1: BLE ble <label_0x1>
17206 stxa %g3, [%g3] 0x5f
17207 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
17208 wrhpr %g0, 0xdc0, %hpstate ! ta T_CHANGE_NONHPRIV
17209 .word 0xd01fe080 ! 597: LDD_I ldd [%r31 + 0x0080], %r8
17210splash_hpstate_1_294:
17211 .word 0x26c8c001 ! 1: BRLZ brlz,a,pt %r3,<label_0x8c001>
17212 .word 0x819824de ! 601: WRHPR_HPSTATE_I wrhpr %r0, 0x04de, %hpstate
17213brcommon1_1_295:
17214 nop
17215 nop
17216 setx common_target, %r12, %r27
17217 lduw [%r27-4], %r12 ! Load common dest into dcache ..
17218 stuw %r12, [%r27-0] ! Load common dest into dcache ..
17219 ba,a .+12
17220 .word 0x91a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f8
17221 ba,a .+8
17222 jmpl %r27-4, %r27
17223 .word 0x20800001 ! 605: BN bn,a <label_0x1>
17224brcommon3_1_296:
17225 nop
17226 nop
17227 setx common_target, %r12, %r27
17228 lduw [%r27-4], %r12 ! Load common dest into dcache ..
17229 stuw %r12, [%r27-4] ! Load common dest into dcache ..
17230 ba,a .+12
17231 .word 0xd1e7c2e0 ! 1: CASA_I casa [%r31] 0x17, %r0, %r8
17232 ba,a .+8
17233 jmpl %r27+0, %r27
17234 .word 0x819828d6 ! 609: WRHPR_HPSTATE_I wrhpr %r0, 0x08d6, %hpstate
17235 .word 0xc32fc000 ! 613: STXFSR_R st-sfr %f1, [%r0, %r31]
17236jmptr_1_300:
17237 nop
17238 nop
17239 best_set_reg(0xe1a00000, %r20, %r27)
17240 .word 0xb7c6c000 ! 617: JMPL_R jmpl %r27 + %r0, %r27
17241 .word 0xe8c7c540 ! 621: LDSWA_R ldswa [%r31, %r0] 0x2a, %r20
17242memptr_1_303:
17243 set user_data_start, %r31
17244 .word 0x8582e035 ! 625: WRCCR_I wr %r11, 0x0035, %ccr
17245trapasi_1_305:
17246 nop
17247 mov 0x0, %r1 ! (VA for ASI 0x72)
17248 .word 0xe2d84e40 ! 629: LDXA_R ldxa [%r1, %r0] 0x72, %r17
17249 .word 0xe2d7c6c0 ! 633: LDSHA_R ldsha [%r31, %r0] 0x36, %r17
17250demap_1_307:
17251 nop
17252 mov 0x80, %g3
17253 ta T_CHANGE_HPRIV
17254 .word 0x35400001 ! 1: FBPUE fbue,a,pn %fcc0, <label_0x1>
17255 stxa %g3, [%g3] 0x5f
17256 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
17257 stxa %g3, [%g3] 0x5f
17258 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
17259 stxa %g3, [%g3] 0x57
17260 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17261 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17262 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
17263 stxa %g3, [%g3] 0x5f
17264 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17265 stxa %g3, [%g3] 0x5f
17266 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17267 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
17268 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
17269 stxa %g3, [%g3] 0x57
17270 wrhpr %g0, 0xf00, %hpstate ! ta T_CHANGE_NONHPRIV
17271 .word 0xd01fe1e8 ! 637: LDD_I ldd [%r31 + 0x01e8], %r8
17272 .word 0xf16fe0f3 ! 641: PREFETCH_I prefetch [%r31 + 0x00f3], #24
17273 .word 0xd48fc240 ! 645: LDUBA_R lduba [%r31, %r0] 0x12, %r10
17274 nop
17275 nop
17276 ta T_CHANGE_HPRIV
17277 mov 0x1, %r11
17278splash_cmpr_1_314:
17279 sllx %r11, 63, %r11
17280 not %r11, %r11
17281 rd %tick, %r10
17282#if (defined SPC || defined CMP1)
17283 add %r10, 0x150, %r10
17284#else
17285 add %r10, 0x380, %r10
17286#endif
17287 and %r10, %r11, %r10
17288 wrhpr %r10, %g0, %hsys_tick_cmpr
17289 wrhpr %g0, 0x0, %halt ! HALT
17290 ta T_CHANGE_PRIV
17291 rd %tick, %r10
17292#if (defined SPC || defined CMP1)
17293 add %r10, 0x150, %r10
17294#else
17295 add %r10, 0x900, %r10
17296#endif
17297 and %r10, %r11, %r10
17298 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
17299#if (defined SPC || defined CMP1)
17300!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_1_314)+8 , 16, 16)) -> intp(5,0,22,*,664,*,19,1)
17301!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_1_314)&0xffffffff)+8 , 16, 16)) -> intp(5,0,30,*,896,*,19,1)
17302#endif
17303 wrhpr %g0, 0x0, %halt ! HALT
17304 .word 0x81982ecf ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0ecf, %hpstate
17305 .word 0x81b01021 ! 649: SIAM siam 1
17306brcommon2_1_317:
17307 nop
17308 nop
17309 setx common_target, %r12, %r27
17310 ba,a .+12
17311 .word 0x81dfc000 ! 1: FLUSH_R flush %r31, %r0, %r0
17312 ba,a .+8
17313 jmpl %r27-4, %r27
17314 .word 0xe1bfda00 ! 653: STDFA_R stda %f16, [%r0, %r31]
17315splash_lsu_1_319:
17316 nop
17317 nop
17318 ta T_CHANGE_HPRIV
17319 set 0x8ed13b20, %r2
17320 mov 0x2, %r1
17321 sllx %r1, 32, %r1
17322 or %r1, %r2, %r2
17323 .word 0x01400002 ! 1: FBPN fbn
17324 stxa %r2, [%r0] ASI_LSU_CONTROL
17325 ta T_CHANGE_NONHPRIV
17326 .word 0x1d400001 ! 657: FBPULE fbule
17327intveclr_1_321:
17328 nop
17329 nop
17330 ta T_CHANGE_HPRIV
17331 setx 0xc76e2804c63a25c7, %r1, %r28
17332 stxa %r28, [%g0] 0x72
17333 .word 0x25400001 ! 661: FBPLG fblg,a,pn %fcc0, <label_0x1>
17334demap_1_323:
17335 nop
17336 mov 0x80, %g3
17337 ta T_CHANGE_HPRIV
17338 stxa %g3, [%g3] 0x5f
17339 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17340 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
17341 stxa %g3, [%g3] 0x5f
17342 stxa %g3, [%g3] 0x57
17343 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
17344 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17345 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
17346 stxa %g3, [%g3] 0x57
17347 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
17348 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17349 stxa %g3, [%g3] 0x5f
17350 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17351 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
17352 stxa %g3, [%g3] 0x5f
17353 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17354 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
17355 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17356 wrhpr %g0, 0x901, %hpstate ! ta T_CHANGE_NONHPRIV
17357 .word 0xd81fe1a3 ! 665: LDD_I ldd [%r31 + 0x01a3], %r12
17358jmptr_1_326:
17359 nop
17360 nop
17361 best_set_reg(0xe0200000, %r20, %r27)
17362 .word 0xb7c6c000 ! 669: JMPL_R jmpl %r27 + %r0, %r27
17363 .word 0x24cc8001 ! 673: BRLEZ brlez,a,pt %r18,<label_0xc8001>
17364splash_lsu_1_330:
17365 nop
17366 nop
17367 ta T_CHANGE_HPRIV
17368 set 0x69ab97a0, %r2
17369 mov 0x4, %r1
17370 sllx %r1, 32, %r1
17371 or %r1, %r2, %r2
17372 stxa %r2, [%r0] ASI_LSU_CONTROL
17373 ta T_CHANGE_NONHPRIV
17374 .word 0x3d400002 ! 677: FBPULE fbule,a,pn %fcc0, <label_0x2>
17375intveclr_1_332:
17376 nop
17377 nop
17378 ta T_CHANGE_HPRIV
17379 setx 0x6a16c84f2a1ec388, %r1, %r28
17380 stxa %r28, [%g0] 0x72
17381 wrhpr %g0, 0x758, %hpstate ! ta T_CHANGE_NONHPRIV
17382 .word 0x25400001 ! 681: FBPLG fblg,a,pn %fcc0, <label_0x1>
17383br_badelay3_1_335:
17384 .word 0x02800001 ! 1: BE be <label_0x1>
17385 .word 0x14800001 ! 1: BG bg <label_0x1>
17386 .word 0xa7a489c3 ! 1: FDIVd fdivd %f18, %f34, %f50
17387 .word 0x99a48821 ! 685: FADDs fadds %f18, %f1, %f12
17388 .word 0xd83fe0da ! 689: STD_I std %r12, [%r31 + 0x00da]
17389 nop
17390 nop
17391 ta T_CHANGE_HPRIV
17392 mov 0x1, %r11
17393splash_cmpr_1_339:
17394 sllx %r11, 63, %r11
17395 not %r11, %r11
17396 rd %tick, %r10
17397#if (defined SPC || defined CMP1)
17398 add %r10, 0x200, %r10
17399#else
17400 add %r10, 0xc00, %r10
17401#endif
17402 and %r10, %r11, %r10
17403 wrhpr %r10, %g0, %hsys_tick_cmpr
17404 wrhpr %g0, 0x0, %halt ! HALT
17405 rd %tick, %r10
17406#if (defined SPC || defined CMP1)
17407 add %r10, 0x200, %r10
17408#else
17409 add %r10, 0x550, %r10
17410#endif
17411 and %r10, %r11, %r10
17412 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
17413#if (defined SPC || defined CMP1)
17414!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_1_339)+8 , 16, 16)) -> intp(6,0,0,*,992,*,1f,1)
17415!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_1_339)&0xffffffff)+8 , 16, 16)) -> intp(2,0,29,*,744,*,1f,1)
17416#endif
17417 wrhpr %g0, 0x0, %halt ! HALT
17418 .word 0x819820cf ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x00cf, %hpstate
17419 .word 0x81b01021 ! 693: SIAM siam 1
17420 .word 0xc30fc000 ! 697: LDXFSR_R ld-fsr [%r31, %r0], %f1
17421brcommon3_1_345:
17422 nop
17423 nop
17424 setx common_target, %r12, %r27
17425 lduw [%r27], %r12 ! Load common dest into dcache ..
17426 stuw %r12, [%r27] ! Load common dest into dcache ..
17427 ba,a .+12
17428 .word 0xe9e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r20
17429 ba,a .+8
17430 jmpl %r27+0, %r27
17431 stxa %r13, [%r0] ASI_LSU_CONTROL
17432 .word 0x91aac822 ! 701: FMOVGE fmovs %fcc1, %f2, %f8
17433 .word 0xd71fe000 ! 705: LDDF_I ldd [%r31, 0x0000], %f11
17434 nop
17435 nop
17436 ta T_CHANGE_HPRIV ! macro
17437donret_1_349:
17438 rd %pc, %r12
17439 mov HIGHVA_HIGHNUM, %r10
17440 sllx %r10, 32, %r10
17441 or %r12, %r10, %r12
17442 add %r12, (donretarg_1_349-donret_1_349), %r12
17443 add %r12, 0x4, %r11 ! seq tnpc
17444 andn %r11, %r10, %r11 ! low VA tnpc
17445 wrpr %g0, 0x2, %tl
17446 wrpr %g0, %r12, %tpc
17447 wrpr %g0, %r11, %tnpc
17448 set (0x00de4100 | (48 << 24)), %r13
17449 and %r12, 0xfff, %r14
17450 sllx %r14, 32, %r14
17451 or %r13, %r14, %r20
17452 wrpr %r20, %g0, %tstate
17453 wrhpr %g0, 0x1a86, %htstate
17454 wrhpr %g0, 0x98, %hpstate ! rand=1 (1)
17455 ldx [%r11+%r0], %g1
17456 done
17457donretarg_1_349:
17458 .word 0xa7a349d2 ! 709: FDIVd fdivd %f44, %f18, %f50
17459 .word 0x8980000a ! 713: WRTICK_R wr %r0, %r10, %tick
17460brcommon3_1_355:
17461 nop
17462 nop
17463 setx common_target, %r12, %r27
17464 lduw [%r27], %r12 ! Load common dest into dcache ..
17465 stuw %r12, [%r27] ! Load common dest into dcache ..
17466 ba,a .+12
17467 .word 0xd9e7d040 ! 1: CASA_I casa [%r31] 0x82, %r0, %r12
17468 ba,a .+8
17469 jmpl %r27+0, %r27
17470 stxa %r6, [%r0] ASI_LSU_CONTROL
17471 .word 0x97aac833 ! 717: FMOVGE fmovs %fcc1, %f19, %f11
17472 .word 0xd737e148 ! 721: STQF_I - %f11, [0x0148, %r31]
17473memptr_1_359:
17474 set 0x60340000, %r31
17475 .word 0x8584af62 ! 725: WRCCR_I wr %r18, 0x0f62, %ccr
17476jmptr_1_361:
17477 nop
17478 nop
17479 best_set_reg(0xe0a00000, %r20, %r27)
17480 .word 0xb7c6c000 ! 729: JMPL_R jmpl %r27 + %r0, %r27
17481demap_1_363:
17482 nop
17483 mov 0x80, %g3
17484 ta T_CHANGE_HPRIV
17485 stxa %g3, [%g3] 0x57
17486 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
17487 stxa %g3, [%g3] 0x5f
17488 stxa %g3, [%g3] 0x5f
17489 stxa %g3, [%g3] 0x57
17490 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17491 wrhpr %g0, 0xec0, %hpstate ! ta T_CHANGE_NONHPRIV
17492 .word 0xd61fe02a ! 733: LDD_I ldd [%r31 + 0x002a], %r11
17493 nop
17494 nop
17495 ta T_CHANGE_HPRIV
17496 mov 0x1, %r11
17497splash_cmpr_1_365:
17498 sllx %r11, 63, %r11
17499 not %r11, %r11
17500 rd %tick, %r10
17501#if (defined SPC || defined CMP1)
17502 add %r10, 0x250, %r10
17503#else
17504 add %r10, 0x900, %r10
17505#endif
17506 and %r10, %r11, %r10
17507 wrhpr %r10, %g0, %hsys_tick_cmpr
17508 wrhpr %g0, 0x0, %halt ! HALT
17509 ta T_CHANGE_PRIV
17510 rd %tick, %r10
17511#if (defined SPC || defined CMP1)
17512 add %r10, 0x250, %r10
17513#else
17514 add %r10, 0x900, %r10
17515#endif
17516 and %r10, %r11, %r10
17517 .word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
17518#if (defined SPC || defined CMP1)
17519!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_1_365)+8 , 16, 16)) -> intp(5,0,31,*,648,*,85,1)
17520!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_1_365)&0xffffffff)+8 , 16, 16)) -> intp(0,0,22,*,672,*,85,1)
17521#endif
17522 wrhpr %g0, 0x0, %halt ! HALT
17523 .word 0x81983a9d ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1a9d, %hpstate
17524 .word 0x81b01021 ! 737: SIAM siam 1
17525 .word 0xd0800c20 ! 741: LDUWA_R lduwa [%r0, %r0] 0x61, %r8
17526 .word 0x8d802000 ! 745: WRFPRS_I wr %r0, 0x0000, %fprs
17527ibp_1_369:
17528 nop
17529 nop
17530 .word 0x99a289d2 ! 749: FDIVd fdivd %f10, %f18, %f12
17531demap_1_370:
17532 nop
17533 mov 0x80, %g3
17534 ta T_CHANGE_HPRIV
17535 .word 0x37400001 ! 1: FBPGE fbge,a,pn %fcc0, <label_0x1>
17536 stxa %g3, [%g3] 0x5f
17537 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17538 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
17539 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17540 stxa %g3, [%g3] 0x57
17541 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
17542 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
17543 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17544 stxa %g3, [%g3] 0x5f
17545 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17546 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17547 stxa %g3, [%g3] 0x57
17548 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
17549 .word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
17550 stxa %g3, [%g3] 0x57
17551 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17552 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
17553 wrhpr %g0, 0x9d8, %hpstate ! ta T_CHANGE_NONHPRIV
17554 .word 0xd81fe110 ! 753: LDD_I ldd [%r31 + 0x0110], %r12
17555memptr_1_374:
17556 set 0x60140000, %r31
17557 .word 0x858235f3 ! 757: WRCCR_I wr %r8, 0x15f3, %ccr
17558splash_lsu_1_376:
17559 nop
17560 nop
17561 ta T_CHANGE_HPRIV
17562 set 0x1ee326f5, %r2
17563 mov 0x1, %r1
17564 sllx %r1, 32, %r1
17565 or %r1, %r2, %r2
17566 stxa %r2, [%r0] ASI_LSU_CONTROL
17567 ta T_CHANGE_NONHPRIV
17568 .word 0x3d400002 ! 761: FBPULE fbule,a,pn %fcc0, <label_0x2>
17569 nop
17570 nop
17571 set 0xef70682b, %r28 !TTID : 0 (mask2tid(0x1))
17572#if (defined PORTABLE_CORE || MAX_THREADS == 8)
17573 sethi %hi(0x3800), %r27
17574 andn %r28, %r27, %r28
17575#ifdef PORTABLE_CORE
17576 ! Add CID to vector
17577 ta T_CHANGE_HPRIV
17578 ldxa [%g0]0x63, %r27
17579 sllx %r27, 8, %r27
17580 or %r27, %r28, %r28
17581#endif
17582#else
17583 ! Add CID IF tid matches
17584ifelse(0,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
17585#endif
17586 sethi %hi(0x30000), %r27
17587 andn %r28, %r27, %r28
17588 ta T_CHANGE_HPRIV
17589ifelse(0,mask2tid(0x1),`.align 16')
17590 stxa %r28, [%g0] 0x73
17591intvec_1_377:
17592 .word 0x39400001 ! 765: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
17593memptr_1_380:
17594 set 0x60540000, %r31
17595 .word 0x85846d9b ! 769: WRCCR_I wr %r17, 0x0d9b, %ccr
17596memptr_1_384:
17597 set user_data_start, %r31
17598 .word 0x8585228e ! 773: WRCCR_I wr %r20, 0x028e, %ccr
17599intveclr_1_386:
17600 nop
17601 nop
17602 ta T_CHANGE_HPRIV
17603 setx 0x5b1c1c9063c2e6f6, %r1, %r28
17604 stxa %r28, [%g0] 0x72
17605 .word 0x25400001 ! 777: FBPLG fblg,a,pn %fcc0, <label_0x1>
17606 .word 0x87802039 ! 781: WRASI_I wr %r0, 0x0039, %asi
17607 .word 0xda97d000 ! 785: LDUHA_R lduha [%r31, %r0] 0x80, %r13
17608#if (defined SPC || defined CMP1)
17609!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_1_390) , 16, 16)) -> intp(3,0,30,*,720,*,5d,1)
17610!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_1_390)&0xffffffff) , 16, 16)) -> intp(6,0,31,*,744,*,5d,1)
17611#else
17612 nop
17613 nop
17614 set 0x68a01e81, %r28 !TTID : 6 (mask2tid(0x1))
17615#if (defined PORTABLE_CORE || MAX_THREADS == 8)
17616 sethi %hi(0x3800), %r27
17617 andn %r28, %r27, %r28
17618#ifdef PORTABLE_CORE
17619 ! Add CID to vector
17620 ta T_CHANGE_HPRIV
17621 ldxa [%g0]0x63, %r27
17622 sllx %r27, 8, %r27
17623 or %r27, %r28, %r28
17624#endif
17625#else
17626 ! Add CID IF tid matches
17627ifelse(6,mask2tid(0x1),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
17628#endif
17629ifelse(6,mask2tid(0x1),`.align 16')
17630 stxa %r28, [%g0] 0x73
17631#endif
17632intvec_1_390:
17633#if (defined SPC || defined CMP1)
17634 wrhpr %g0, 0x0, %halt ! HALT
17635#else
17636ifelse(6,mask2tid(0x1),`wrhpr %g0, 0x0, %halt ! HALT')
17637#endif
17638 .word 0xa7b4c4d2 ! 789: FCMPNE32 fcmpne32 %d50, %d18, %r19
17639demap_1_394:
17640 nop
17641 mov 0x80, %g3
17642 ta T_CHANGE_HPRIV
17643 stxa %g3, [%g3] 0x5f
17644 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
17645 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17646 .word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
17647 stxa %g3, [%g3] 0x57
17648 stxa %g3, [%g3] 0x57
17649 .word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
17650 stxa %g3, [%g3] 0x5f
17651 wrhpr %g0, 0xa00, %hpstate ! ta T_CHANGE_NONHPRIV
17652 .word 0xe01fe101 ! 793: LDD_I ldd [%r31 + 0x0101], %r16
17653jmptr_1_397:
17654 nop
17655 nop
17656 best_set_reg(0xe1200000, %r20, %r27)
17657 .word 0xb7c6c000 ! 797: JMPL_R jmpl %r27 + %r0, %r27
17658 nop
17659 nop
17660 ta T_CHANGE_PRIV
17661 wrpr %g0, %g0, %gl
17662 nop
17663 nop
17664
17665join_lbl_0_0:
17666SECTION .MAIN
17667.text
17668diag_finish:
17669 nop
17670 nop
17671 nop
17672 ta T_CHANGE_HPRIV
17673#ifndef SPC
17674 ldxa [%g0]0x63, %r8
17675 and %r8, 0x38, %r8 ! Core ID
17676#else
17677 mov %g0, %r8
17678#endif
17679!! Send interrupt to all threads in my core in case of halted threads.
17680intall_nomast:
17681 mov 7, %r14
17682intall_loop_nomast:
17683 add %r8, %r14, %r16
17684 sllx %r16, 8, %r16
17685 stxa %r16, [%g0]0x73
17686 brnz %r14, intall_loop_nomast
17687 dec %r14
17688#if (MULTIPASS > 0)
17689multipass_check:
17690 rd %asi, %r12
17691 wr %g0, ASI_SCRATCHPAD, %asi
17692 ldxa [0x38]%asi, %r10
17693 cmp %r10, MULTIPASS
17694 inc %r10
17695 stxa %r10, [0x38]%asi
17696 wr %g0, %r12, %asi
17697 bne fork_threads
17698 wrpr %g0, %g0, %gl
17699#endif
17700finish_diag:
17701 best_set_reg(HV_TRAP_BASE_PA, %r1, %r2)
17702 wrhpr %g2, %g0, %htba
17703 ta T_GOOD_TRAP
17704 nop
17705 nop
17706 nop
17707.data
17708 .xword 0x0
17709 ! fp data rs1, rs2, fsr, gsr quads ..
17710.global fp_data_quads
17711fp_data_quads:
17712 .xword 0x0044000000000000
17713 .xword 0x4028000000000000
17714 .xword 0x0fc0400400000000
17715 .xword 0x0000000000000000
17716 .xword 0x0041000000000000
17717 .xword 0x4022000000000000
17718 .xword 0x0600800000000000
17719 .xword 0x0000000000000000
17720 .xword 0x0220000000000000
17721 .xword 0x4140000000000000
17722 .xword 0x4fc0400400000000
17723 .xword 0x0000000000000000
17724 .xword 0x4090000000000000
17725 .xword 0x0090000000000000
17726 .xword 0x0f80400800000000
17727 .xword 0x0a00000000000000
17728.align 128
17729.global user_data_start
17730.data
17731user_data_start:
17732
17733 .xword 0x0818f37bcc2d3485
17734 .xword 0x2f926a1f4ecf8051
17735 .xword 0xe9ac274fa3441f1f
17736 .xword 0x9e59ffb0e173ec1b
17737 .xword 0xeab5faf32a7f70f3
17738 .xword 0xa413f51647baca94
17739 .xword 0x9cf13402c5940762
17740 .xword 0x2ca81a01411b53ae
17741 .xword 0x05b5e0798fdb9fda
17742 .xword 0x607ead5ed58e7e47
17743 .xword 0x1b933b13b30a6c4e
17744 .xword 0xd1ee54c452e78576
17745 .xword 0x6713def41648282d
17746 .xword 0x111d59a581824f86
17747 .xword 0xa26ee2182ffd8821
17748 .xword 0x20ab1d9892726a9d
17749 .xword 0xa84c5075f7cadd0a
17750 .xword 0xcedece6b61ea5fc5
17751 .xword 0x072c64ede8e558b1
17752 .xword 0x9074527888b2748b
17753 .xword 0xc68f7af9082362af
17754 .xword 0xbbdea73481422de0
17755 .xword 0x3dcbad83d8ce02e7
17756 .xword 0x4adb2db7696d3a73
17757 .xword 0x45dc322087f2a4ae
17758 .xword 0x3dd067ffae21e64f
17759 .xword 0xaf25dbb1da0946a3
17760 .xword 0x721d2a040c487cdd
17761 .xword 0xef1322ca0d98e9fc
17762 .xword 0x9617a6598aefd370
17763 .xword 0x1e244f17783f1256
17764 .xword 0xc34bc9aa6abe6169
17765 .xword 0xd050d0ae32afe32f
17766 .xword 0xaf71959a8e35c7ac
17767 .xword 0xaebea57301ece5fd
17768 .xword 0x4db6ce76a7e95386
17769 .xword 0x20e7d08470a6c4c1
17770 .xword 0xfb1a970a188c6072
17771 .xword 0xe9914889fe451cab
17772 .xword 0xb61d2add43807f3d
17773 .xword 0x9600b1852e7687e0
17774 .xword 0x87797ab8fb73a8f2
17775 .xword 0x56df7b34951a171d
17776 .xword 0x3691fd6ddb852987
17777 .xword 0xd418ea49b574fe97
17778 .xword 0x3a78306c631cf3a9
17779 .xword 0xa31d9d58b47fbd16
17780 .xword 0x7b2ac4dea06cdeaa
17781 .xword 0x76bffadbe886d0a8
17782 .xword 0xb8451b0cc14aab90
17783 .xword 0x4afb5cbd6411ab1c
17784 .xword 0xae9f5eb72b098b0a
17785 .xword 0xcbdc3425dff43e6d
17786 .xword 0xd1e4b1fad74ed75a
17787 .xword 0xfff951859a9f1685
17788 .xword 0xe2704ad9c97f0870
17789 .xword 0xc6134bc7236a3322
17790 .xword 0x8d75d5d076930808
17791 .xword 0xe44eb2a6e86a4bb0
17792 .xword 0xaa8931d0bd992cab
17793 .xword 0xe4a9288b09096fd3
17794 .xword 0xd6d82097d8e97ccc
17795 .xword 0xca6b6a2e5b35cd73
17796 .xword 0x9c60d20234f8bb3a
17797 .xword 0xa8ed2f607b22524a
17798 .xword 0x7b153cb456ebbbc3
17799 .xword 0xa91cb57e2ef9a65e
17800 .xword 0x71b45c68649d746a
17801 .xword 0x769ce40e6c575c5e
17802 .xword 0x0ec82d03d65c5be1
17803 .xword 0x563f10a3324d4af7
17804 .xword 0x914641af370e63fc
17805 .xword 0xc4b1dc9588562297
17806 .xword 0xa578ed2c6455a6cc
17807 .xword 0x736c60a7b3986522
17808 .xword 0x2e0a2dcfe27579dd
17809 .xword 0x92103308c7e1f72b
17810 .xword 0xf4a7c7c3405e28ee
17811 .xword 0x8844d563c56792a3
17812 .xword 0xc1b7c2aaf7e984c7
17813 .xword 0x9fc91a1c9b3f4764
17814 .xword 0x52d5f555f7f53104
17815 .xword 0x91f845319c445fd6
17816 .xword 0x0b4730287dcde60f
17817 .xword 0x6fe549bcb69daa4c
17818 .xword 0xf23f0c4f59922438
17819 .xword 0x2423d6e214d7066c
17820 .xword 0x907c831cb39bc04d
17821 .xword 0x0e35b4fd87cc3f98
17822 .xword 0xc557e991267c0270
17823 .xword 0xfd0ed4e9650692ee
17824 .xword 0x23be55f05e7d6c61
17825 .xword 0x81c3e811a32b12c4
17826 .xword 0x24138b05624a528b
17827 .xword 0xadfad419891785c2
17828 .xword 0x93535ee6bbd5268a
17829 .xword 0x1bda9ac126119414
17830 .xword 0x20f41886ccd4446c
17831 .xword 0x722be31cf396f136
17832 .xword 0xb93d76169c5833ec
17833 .xword 0x9be32d7cdc0550ed
17834 .xword 0x0d0b5f9f1b793bd8
17835 .xword 0xfa18751e34d923b0
17836 .xword 0x492504a6ad1cfe4c
17837 .xword 0x358d6d8cb8f1d3f7
17838 .xword 0x06a9d32e3f6412d5
17839 .xword 0x0d5191a0a41663d3
17840 .xword 0x5a544ad88390e885
17841 .xword 0xf666e227744ff7b2
17842 .xword 0x89598877d0b63d57
17843 .xword 0x7b286a9388817a2d
17844 .xword 0xfb5c7a84a2a20d6e
17845 .xword 0x5726614757434672
17846 .xword 0x9ce0c833121d01d0
17847 .xword 0xac07ba7428d33511
17848 .xword 0x2d07c599fe821940
17849 .xword 0xc482705c23869cb0
17850 .xword 0x2e3cfd98723ef83b
17851 .xword 0xc31230a4a65394ac
17852 .xword 0x00d93b039b7d15ec
17853 .xword 0x6a78cedef0218619
17854 .xword 0xba8987a1da934e96
17855 .xword 0x9c6f7e0e07a63edb
17856 .xword 0xe167e152d663c692
17857 .xword 0xb34abb2d215acd96
17858 .xword 0xb3f44d0ded102688
17859 .xword 0x4caca74b200df39e
17860 .xword 0xc6d5ec08cd4d1036
17861 .xword 0x76f34ef6c6d28fa3
17862 .xword 0xf69fefc5f8e3dcbe
17863 .xword 0xd121d4dd8b3a749d
17864 .xword 0x4e762535275bbbec
17865 .xword 0x9cde96de954e51c2
17866 .xword 0x38f60b86fe572abf
17867 .xword 0xac7ae68a93e34baa
17868 .xword 0x2332db884b573d83
17869 .xword 0x0effd3b1baeae0a3
17870 .xword 0xfbabb068b6170307
17871 .xword 0x431cb4126135ed5a
17872 .xword 0x08a4a1ec80f21520
17873 .xword 0xb3c45507fddb4236
17874 .xword 0x49164d723828ae56
17875 .xword 0x6317bed9b9322f87
17876 .xword 0x49483449da1378bb
17877 .xword 0xdbbc4767f925d3d0
17878 .xword 0x47a2a60509972a95
17879 .xword 0xc6cc7595b34e7d54
17880 .xword 0x6461ad4d22116e2e
17881 .xword 0x53eed5d5a8073bca
17882 .xword 0xcc7ef8535ed49d8f
17883 .xword 0xd8379185af976ec9
17884 .xword 0xbca0fd5373a9fbff
17885 .xword 0xaf0958bbff4cf7d3
17886 .xword 0x340acff9f741efbd
17887 .xword 0x106f66b08d3d2871
17888 .xword 0x3e2c527962562adf
17889 .xword 0x2ef6f6f7db4bc488
17890 .xword 0x336e620c0be6c7f8
17891 .xword 0x4e9b4c82f1c0600c
17892 .xword 0x52171b761f89c513
17893 .xword 0xaaff17793f357439
17894 .xword 0x5115b61d72147707
17895 .xword 0x26a9e8393228fdf5
17896 .xword 0xdbef523986388841
17897 .xword 0x49d9e084943540f0
17898 .xword 0x81c7f925cf456e46
17899 .xword 0x54d77b0d36626dc3
17900 .xword 0xc507bf0dbc0a5441
17901 .xword 0x7468279883109d85
17902 .xword 0x857f47c30025a67a
17903 .xword 0xa1df89f34b39d7f6
17904 .xword 0x238d927abaddae09
17905 .xword 0x7023a9c444f5a698
17906 .xword 0x5babcbc02ec638a0
17907 .xword 0x2508a05a338f0b90
17908 .xword 0x137641367ee2b8c4
17909 .xword 0xc3d139a5aa42c240
17910 .xword 0xbec2af1c9d86e657
17911 .xword 0xb27358d6748dbb26
17912 .xword 0xa9b41b59cf9b8311
17913 .xword 0x82374a4395b96b61
17914 .xword 0xce3bcfccb753885e
17915 .xword 0x6f14faa8a3065a1b
17916 .xword 0xb33a4840a473e4e0
17917 .xword 0xa492552b9b3953a1
17918 .xword 0x25e17b7aa435a0ec
17919 .xword 0x90ef326001c4545b
17920 .xword 0x93cbf4df37daf78d
17921 .xword 0x275d54f92ec56b7a
17922 .xword 0x2be866e353818d9e
17923 .xword 0x0091a1eacf0af857
17924 .xword 0x217b78ed61dc756d
17925 .xword 0xb275c59118f83495
17926 .xword 0x434d7f9ebeab1b07
17927 .xword 0x1c557cdb5445a443
17928 .xword 0xdb1490952f429c26
17929 .xword 0xb45fd721edc1e5e5
17930 .xword 0xf2629acf312ea07b
17931 .xword 0x5ae9627cae1ddacb
17932 .xword 0x915688d261c0ef12
17933 .xword 0x25091396916cd250
17934 .xword 0x614d0e68cf56cd37
17935 .xword 0x8f3ed257cf96ac69
17936 .xword 0x44c6b51df7cac988
17937 .xword 0xc0cfe7da0cd006dc
17938 .xword 0x464b46667fa136e4
17939 .xword 0x747299ce3b289331
17940 .xword 0x0a4293c2b4989faa
17941 .xword 0x71c638730a28c2f2
17942 .xword 0xb2e888e1925ea76f
17943 .xword 0x64301c39fa672b5c
17944 .xword 0xf10fc8f67f90f92f
17945 .xword 0x2c46613edcfdb9c5
17946 .xword 0x17abcf1c13dde8ac
17947 .xword 0xb6313711a044ba82
17948 .xword 0xb34815739efced74
17949 .xword 0xe49d93719fbdbb5e
17950 .xword 0x98eb3fbd5dea0912
17951 .xword 0xdd81e2a4ca36f067
17952 .xword 0xe33e3a9ed0993f3a
17953 .xword 0x5866a09f0a4468e8
17954 .xword 0xaab54c2eaf736dbf
17955 .xword 0x88edc7d3d4f27e04
17956 .xword 0x5035a0aee68c193b
17957 .xword 0xc46e6cac0849b7da
17958 .xword 0x634b29be36e03a86
17959 .xword 0xc7c5e599da886bbb
17960 .xword 0xb709e844905434c4
17961 .xword 0xb1ac5df841e4eaa0
17962 .xword 0x6cc176ccc6fa1bc6
17963 .xword 0x26ef2deffc92bf5f
17964 .xword 0xb077530aa00fd034
17965 .xword 0xdcc1060a7a6302cb
17966 .xword 0x4b32b5a7154aa7fd
17967 .xword 0xb853377114705539
17968 .xword 0x29d6a6a31dac0bb3
17969 .xword 0x75bf3756e1c1b065
17970 .xword 0xb92c38a5d1df6901
17971 .xword 0x03a943f94dbb40ca
17972 .xword 0x1784e04d60df975a
17973 .xword 0xa3e1dbc7b024b98d
17974 .xword 0x2de859f44378eedc
17975 .xword 0x0ddd706ff60d64ef
17976 .xword 0xefe9e087eebd18b4
17977 .xword 0x76c1f48557482be7
17978 .xword 0x67f06daee0983e54
17979 .xword 0x36c0c0dc3f2416d4
17980 .xword 0x87b516b49b61379e
17981 .xword 0xb0ee35a9b4b3af96
17982 .xword 0xfc011bcf9b811b82
17983 .xword 0x0041ffc79fae9b89
17984 .xword 0x01f4a47bc3882ae7
17985 .xword 0xcab8c31bf28d447c
17986 .xword 0x27e431b1a4116742
17987 .xword 0xba0a60c3f970ce4d
17988 .xword 0xbc263daf596198aa
17989
17990SECTION .HTRAPS
17991.text
17992.global restore_range_regs
17993restore_range_regs:
17994 wr %g0, ASI_MMU_REAL_RANGE, %asi
17995 mov 1, %g1
17996 sllx %g1, 63, %g1
17997 ldxa [ASI_MMU_REAL_RANGE_0] %asi, %g2
17998 or %g2 ,%g1, %g2
17999 stxa %g2, [ASI_MMU_REAL_RANGE_0] %asi
18000 ldxa [ASI_MMU_REAL_RANGE_1] %asi, %g2
18001 or %g2 ,%g1, %g2
18002 stxa %g2, [ASI_MMU_REAL_RANGE_1] %asi
18003 ldxa [ASI_MMU_REAL_RANGE_2] %asi, %g2
18004 or %g2 ,%g1, %g2
18005 stxa %g2, [ASI_MMU_REAL_RANGE_2] %asi
18006 ldxa [ASI_MMU_REAL_RANGE_3] %asi, %g2
18007 or %g2 ,%g1, %g2
18008 stxa %g2, [ASI_MMU_REAL_RANGE_3] %asi
18009 retry
18010
18011.global wdog_2_ext
18012SECTION .HTRAPS
18013.global wdog_2_ext
18014.global retry_with_base_tba
18015.global resolve_bad_tte
18016
18017.text
18018resolve_bad_tte:
18019 !if pc[63:15] matches tba, then relocated handler ..
18020 rdpr %tpc, %r4
18021check_tba:
18022 set 0x7fff, %r5
18023 andn %r4, %r5, %r5 !clear 14:0
18024 rdpr %tba, %r6 !compare pc[63:15] to tba
18025 cmp %r5, %r6
18026 bne,a not_a_reloc_handler
18027 andn %r27, 0x1f, %r6
18028retry_with_base_tba:
18029 best_set_reg(TRAP_BASE_VA, %r3, %r5)
18030 cmp %r4, %r5
18031 bz htrap_5_ext_done
18032 set 0x7fff, %r3
18033 and %r4, %r3, %r4
18034 or %r5, %r4, %r4
18035 wrpr %r4, %tpc
18036 rdpr %tnpc, %r4
18037 and %r4, %r3, %r4
18038 or %r5, %r4, %r4
18039 wrpr %r4, %tnpc
18040 retry
18041
18042 !assume %r27 is where we came from ..
18043not_a_reloc_handler:
18044 stxa %r27, [%r6] 0x57
18045 add %r27, 8, %r27
18046 wrpr %r27, %tnpc
18047 done
18048
18049htrap_5_ext:
18050 rd %pc, %l2
18051 inc %l3
18052 add %l2, htrap_5_ext_done-htrap_5_ext, %l2
18053 rdpr %tl, %l3
18054 rdpr %tstate, %l4
18055 rdhpr %htstate, %l5
18056 or %l5, 0x4, %l5
18057 inc %l3
18058 wrpr %l3, %tl
18059 wrpr %l2, %tpc
18060 add %l2, 4, %l2
18061 wrpr %l2, %tnpc
18062 wrpr %l4, %tstate
18063 wrhpr %l5, %htstate
18064 retry
18065htrap_5_ext_done:
18066 done
18067
18068wdog_2_ext:
18069 mov 0x1f, %l1
18070 stxa %l1, [%g0] ASI_LSU_CTL_REG
18071 ! If TT != 2, then goto trap handler
18072 rdpr %tt, %l1
18073 cmp %l1, 0x2
18074 bne wdog_2_goto_handler
18075 nop
18076 ! else done
18077 done
18078wdog_2_goto_handler:
18079 rdhpr %htstate, %l3
18080 and %l3, 0x4, %l3 ! If previously in hpriv mode, go to hpriv
18081 brnz,a %l3, wdog_2_goto_handler_1
18082 rdhpr %htba, %l3
18083 srlx %l1, 7, %l3 ! Send priv sw traps to priv mode ..
18084 cmp %l3, 0x2 ! 0x2 = priv sw trap, 0x3=hpriv sw trap ..
18085 be,a wdog_2_goto_handler_1
18086 rdpr %tba, %l3
18087 rdhpr %htba, %l3
18088wdog_2_goto_handler_1:
18089 sllx %l1, 5, %l1
18090 add %l1, %l3, %l3
18091 jmp %l3
18092 nop
18093! Red mode other reset handler
18094! Get htba, and tt and make trap address
18095! Jump to trap handler ..
18096
18097SECTION .RED_SEC
18098.global red_other_ext
18099.global wdog_red_ext
18100.text
18101red_other_ext:
18102 ! IF TL=6, shift stack by one ..
18103 rdpr %tl, %l1
18104 cmp %l1, 6
18105 be start_tsa_shift
18106 nop
18107
18108continue_red_other:
18109 mov 0x1f, %l1
18110 stxa %l1, [%g0] ASI_LSU_CTL_REG
18111
18112 rdpr %tt, %l1
18113
18114 rdhpr %htstate, %l2
18115 and %l2, 0x4, %l2 ! If previously in hpriv mode, go to hpriv
18116 brnz,a %l2, red_goto_handler
18117 rdhpr %htba, %l2
18118 srlx %l1, 7, %l2 ! Send priv sw traps to priv mode ..
18119 cmp %l2, 0x2 ! 0x2 = priv sw trap, 0x3=hpriv sw trap ..
18120 be,a red_goto_handler
18121 rdpr %tba, %l2
18122 rdhpr %htba, %l2
18123red_goto_handler:
18124
18125 sllx %l1, 5, %l1
18126 add %l1, %l2, %l2
18127 rdhpr %htstate, %l1
18128 andn %l1, 0x20, %l1
18129 wrhpr %g0, %l1, %htstate
18130 rdhpr %hpstate, %l1
18131 jmp %l2
18132 wrhpr %l1, 0x20, %hpstate
18133 nop
18134
18135wdog_red_ext:
18136 ! Shift stack down by 1 ...
18137 rdpr %tl, %l1
18138 cmp %l1, 6
18139 bl wdog_end
18140start_tsa_shift:
18141 mov 0x2, %l2
18142
18143tsa_shift:
18144 wrpr %l2, %tl
18145 rdpr %tt, %l3
18146 rdpr %tpc, %l4
18147 rdpr %tnpc, %l5
18148 rdpr %tstate, %l6
18149 rdhpr %htstate, %l7
18150 dec %l2
18151 wrpr %l2, %tl
18152 wrpr %l3, %tt
18153 wrpr %l4, %tpc
18154 wrpr %l5, %tnpc
18155 wrpr %l6, %tstate
18156 wrhpr %l7, %htstate
18157 add %l2, 2, %l2
18158 cmp %l2, %l1
18159 ble tsa_shift
18160 nop
18161tsa_shift_done:
18162 dec %l1
18163 wrpr %l1, %tl
18164
18165wdog_end:
18166 ! If TT != 2, then goto trap handler
18167 rdpr %tt, %l1
18168
18169 cmp %l1, 0x2
18170 bne continue_red_other
18171 nop
18172 ! else done
18173 mov 0x1f, %l1
18174 stxa %l1, [%g0] ASI_LSU_CTL_REG
18175 done
18176SECTION .T_CWQ_DATA DATA_VA=0x11000000
18177attr_data {
18178 Name = .T_CWQ_DATA
18179 hypervisor
18180}
18181 .data
18182.global _t1_user_data_start
18183_t1_user_data_start:
18184.global _t1_scratch_area
18185_t1_scratch_area:
18186
18187.align 16
18188.global _t1_spu_op_array
18189_t1_spu_op_array:
18190 .xword 6
18191 .xword 0
18192 .xword 5
18193 .xword 3
18194 .xword 3
18195 .xword 1
18196 .xword 4
18197 .xword 2
18198 .xword 6
18199 .xword 4
18200 .xword 5
18201 .xword 0
18202 .xword 3
18203 .xword 6
18204 .xword 5
18205.align 16
18206.global _t1_aes_cwd_array
18207_t1_aes_cwd_array:
18208 .xword 0xc06000a01000002f
18209 .xword 0xc0e000c01b00003f
18210 .xword 0xc06000601100003f
18211 .xword 0x40e100401500000f
18212 .xword 0xc0e100001000003f
18213 .xword 0xc0e100801800002f
18214 .xword 0xc06100e01800000f
18215 .xword 0x40e100a01500003f
18216 .xword 0xc0e000001b00001f
18217 .xword 0xc0e000e01400002f
18218 .xword 0xc06000601500001f
18219 .xword 0xc0e000e01000000f
18220 .xword 0x406000801100002f
18221 .xword 0x406100001b00002f
18222 .xword 0xc0e100201400002f
18223.align 16
18224.global _t1_des_cwd_array
18225_t1_des_cwd_array:
18226 .xword 0x40e0004008000007
18227 .xword 0x4061004009000007
18228 .xword 0x406100600800000f
18229 .xword 0xc06000600e000007
18230 .xword 0xc0e100a009000007
18231 .xword 0x40e100200d00000f
18232 .xword 0x406000e00e00001f
18233 .xword 0xc06100c00e000007
18234 .xword 0xc06100a00800001f
18235 .xword 0x406100600d00000f
18236 .xword 0xc06000600e00001f
18237 .xword 0x40e100e00e000007
18238 .xword 0x4061000009000007
18239 .xword 0xc06000800c00001f
18240 .xword 0xc06100a00a000017
18241.align 16
18242.global _t1_copy_cwd_array
18243_t1_copy_cwd_array:
18244 .xword 0x2061008000000006
18245 .xword 0x206000a000000006
18246 .xword 0x206100e000000004
18247 .xword 0x206100a00000000e
18248 .xword 0x2060004000000000
18249 .xword 0xa06000c000000006
18250 .xword 0xa060006000000009
18251 .xword 0x2060000000000001
18252 .xword 0x206000200000000f
18253 .xword 0xa061002000000008
18254 .xword 0xa06000c00000000c
18255 .xword 0x2061004000000002
18256 .xword 0x206000c000000003
18257 .xword 0xa060004000000000
18258 .xword 0xa061008000000009
18259.align 16
18260.global _t1_crc_cwd_array
18261_t1_crc_cwd_array:
18262 .xword 0x4163036400000003
18263 .xword 0xc163010800000008
18264 .xword 0xc16103e40000000b
18265 .xword 0x416101a800000009
18266 .xword 0xc16303e400000000
18267 .xword 0xc162018800000003
18268 .xword 0x4160036400000001
18269 .xword 0x416101e80000000f
18270 .xword 0x416003e40000000c
18271 .xword 0x416201480000000d
18272 .xword 0xc16103840000000a
18273 .xword 0xc16001c800000005
18274 .xword 0x416003640000000a
18275 .xword 0x416001a80000000c
18276.align 16
18277.global _t1_hash_cwd_array
18278_t1_hash_cwd_array:
18279 .xword 0xc1630d8100000019
18280 .xword 0x416109220000002a
18281 .xword 0x416010c20000003c
18282 .xword 0xc162002100000007
18283 .xword 0xc1600ba100000031
18284 .xword 0x41620a2100000001
18285 .xword 0xc163016100000012
18286 .xword 0xc1621e6300000020
18287 .xword 0xc16102810000002d
18288 .xword 0x416213e200000033
18289 .xword 0x4163028100000028
18290 .xword 0xc1611c8300000026
18291 .xword 0x4162104300000034
18292 .xword 0x416306610000002c
18293 .xword 0x416304c100000038
18294.align 16
18295.global _t1_hmac_cwd_array
18296_t1_hmac_cwd_array:
18297 .xword 0x416302e5000f0031
18298 .xword 0x416206cb001f002e
18299 .xword 0x41610c65000f0040
18300 .xword 0x41630849000f002e
18301 .xword 0xc1630c05000f0011
18302 .xword 0xc1630da5000f0007
18303 .xword 0x41610087001f0038
18304 .xword 0xc163108a0013001d
18305 .xword 0xc16304460013002a
18306 .xword 0xc1620d65000f001b
18307 .xword 0x41610b460013002a
18308 .xword 0x41600385000f0036
18309 .xword 0x4162156b001f0009
18310 .xword 0x41620dc9000f0014
18311 .xword 0x41600229000f0011
18312.align 16
18313.global _t1_rc4_cwd_array
18314_t1_rc4_cwd_array:
18315 .xword 0xc0e000800400000d
18316 .xword 0xc0e1000004000009
18317 .xword 0x40e000e004000007
18318 .xword 0x40e1000000000009
18319 .xword 0xc0e100800000000e
18320 .xword 0xc0e100600400000a
18321 .xword 0xc0e000c00000000a
18322 .xword 0x40e000400400000c
18323 .xword 0x40e100e004000000
18324 .xword 0x40e0004000000002
18325 .xword 0x40e000200000000a
18326 .xword 0xc0e000000400000b
18327 .xword 0x40e000e004000001
18328 .xword 0xc0e0008000000006
18329 .xword 0x40e0000000000008
18330.global _t1_sslkey_cwd_array
18331.align 16
18332_t1_sslkey_cwd_array:
18333 .xword 0x9060400000000000, 0
18334 .xword 0x10603bc000000000, 0
18335 .xword 0x10603b6000000000, 0
18336 .xword 0x90603da000000000, 0
18337 .xword 0x10600ae000000000, 0
18338 .xword 0x1060362000000000, 0
18339 .xword 0x1060076000000000, 0
18340 .xword 0x1060008000000000, 0
18341 .xword 0x10603ca000000000, 0
18342 .xword 0x1060170000000000, 0
18343 .xword 0x906009e000000000, 0
18344 .xword 0x90600be000000000, 0
18345 .xword 0x10603ea000000000, 0
18346 .xword 0x90603a6000000000, 0
18347 .xword 0x906006c000000000, 0
18348.align 16
18349_t1_aes_key_array:
18350 .xword 0xd1a84585ac1e928a
18351 .xword 0xf16a8c847082be9b
18352 .xword 0x4388d9d480305283
18353 .xword 0x39134d766b92809a
18354 .xword 0xf31f9739aeb5bd6d
18355 .xword 0x04197b266e085c22
18356 .xword 0x5af3d8f9dfdb1758
18357 .xword 0x69f45c2f840fa9fb
18358 .xword 0x0df9e630050c0565
18359 .xword 0xba6dc00066e8cff3
18360 .xword 0x17ab4b7566871996
18361 .xword 0xd530daa9b68048a5
18362 .xword 0xb7a722876c7c72e0
18363 .xword 0xb1cbdb03fd077269
18364 .xword 0xf113ead258b44ed1
18365 .xword 0x3d0f971a2a445f04
18366 .xword 0x91d9324f33b886c0
18367 .xword 0x9fc05b40f92b1494
18368 .xword 0xf88e0126e1d2035f
18369 .xword 0x958d6b1ff8c7f7ed
18370 .xword 0x868837ca98e4f94a
18371 .xword 0xd0c95e7a3daf70b3
18372 .xword 0x2e5d3d57b658a5f2
18373 .xword 0xa283dd9fb2ede1d2
18374 .xword 0xaf4f1013766fee72
18375 .xword 0xaab20b0af95a5b96
18376 .xword 0x1852ec0fae2d93f0
18377 .xword 0xc0eaf2e08dc8a062
18378 .xword 0x166bc387b3bda293
18379 .xword 0xf06372040f68aad5
18380 .xword 0xd528a2b529fce2f0
18381 .xword 0xb4acb58796cd1082
18382 .xword 0x5af80c5fa7d6fad3
18383 .xword 0x25b8558c060862ad
18384 .xword 0xc42d199388c20f28
18385 .xword 0xce6a278491065051
18386 .xword 0x0cbd15ae411f5b2b
18387 .xword 0x946a1c7482fbe108
18388 .xword 0x1fc2abc7df9e4f67
18389 .xword 0xff466f8b0e9b32b8
18390 .xword 0x1c5203f09cd2c9b6
18391 .xword 0x76316d8350beb714
18392 .xword 0xe9dd5b9c7f375722
18393 .xword 0x59034da2f0c458ce
18394 .xword 0x2b0e4d021d069f92
18395 .xword 0x45223c28120727d2
18396 .xword 0x9bfd4be2c500deab
18397 .xword 0x1b25a2231ac79917
18398 .xword 0x33ed571350945511
18399 .xword 0xe5b206ff2af8c947
18400 .xword 0xfbdef97671cd05a7
18401.align 16
18402_t1_aes_iv_array:
18403 .xword 0xb944af9695643348
18404 .xword 0x5fb5a2defba88099
18405 .xword 0x667c216f9a022de3
18406 .xword 0xa98d570c3559f209
18407 .xword 0xcaad326622f8b617
18408 .xword 0xf05cd43f8d633673
18409 .xword 0x5d811dc5c6617344
18410 .xword 0x3064bf1ad0dc09b0
18411 .xword 0xe3df209a247c1939
18412 .xword 0xf2ccba131820b84e
18413 .xword 0x5ab3728a57f1d651
18414 .xword 0x2ead6df7a68108a2
18415 .xword 0x4bc39e29a822507e
18416 .xword 0x292f3750203ab967
18417 .xword 0xa84c53ca18941343
18418 .xword 0x4aa7ca4707743d23
18419 .xword 0xe8981853cca4d18f
18420 .xword 0x1a32da8302d78253
18421 .xword 0x5380b75c4d3d6c85
18422 .xword 0x35ddb36cbc06df2b
18423 .xword 0xf3029ab92ef13542
18424 .xword 0xabb0b348345d797e
18425 .xword 0x019a8cbf03d7a815
18426 .xword 0x7df83259d899992d
18427 .xword 0xd29ff68189ecc93a
18428 .xword 0xbbdfdab40bfbca6b
18429 .xword 0xd32144b5d5dd8ece
18430 .xword 0x787b00a372026e33
18431 .xword 0xb93b733f19a25dd0
18432 .xword 0x21bcfe00d5377518
18433 .xword 0x3f08b2c9a825fc74
18434 .xword 0x751e91e846f50dbc
18435 .xword 0x4e510ae6ac8daf06
18436 .xword 0x7d20504bab4f6249
18437 .xword 0x3d3130d4d11a1a1e
18438 .xword 0x2eae16277e91cf45
18439 .xword 0xfb6432287d101f8a
18440 .xword 0x770efa96b499e54c
18441 .xword 0x4df503a995a46b09
18442 .xword 0xf9ccb4357e5d72bd
18443 .xword 0x0a165148c8655edb
18444 .xword 0x5e9865684cb519bd
18445 .xword 0x64806005654b6d2d
18446 .xword 0x0582c4d74591c261
18447 .xword 0x35a305caaf3921ad
18448.align 16
18449_t1_aes_alignment_array:
18450 .xword 9
18451 .xword 11
18452 .xword 5
18453 .xword 3
18454 .xword 14
18455 .xword 10
18456 .xword 3
18457 .xword 9
18458 .xword 13
18459 .xword 1
18460 .xword 6
18461 .xword 10
18462 .xword 1
18463 .xword 3
18464 .xword 3
18465 .xword 13
18466 .xword 5
18467 .xword 9
18468 .xword 6
18469 .xword 6
18470 .xword 7
18471 .xword 3
18472 .xword 7
18473 .xword 11
18474 .xword 5
18475 .xword 14
18476 .xword 10
18477 .xword 3
18478 .xword 11
18479 .xword 14
18480 .xword 10
18481 .xword 10
18482 .xword 6
18483 .xword 7
18484 .xword 5
18485 .xword 1
18486 .xword 6
18487 .xword 15
18488 .xword 11
18489 .xword 8
18490 .xword 9
18491 .xword 4
18492 .xword 4
18493 .xword 15
18494 .xword 13
18495 .xword 5
18496 .xword 6
18497 .xword 14
18498 .xword 14
18499 .xword 4
18500 .xword 5
18501 .xword 4
18502 .xword 2
18503 .xword 8
18504 .xword 14
18505 .xword 12
18506 .xword 11
18507 .xword 15
18508 .xword 0
18509 .xword 11
18510 .xword 2
18511 .xword 7
18512 .xword 12
18513 .xword 3
18514 .xword 10
18515 .xword 12
18516 .xword 11
18517 .xword 6
18518 .xword 0
18519 .xword 13
18520 .xword 7
18521 .xword 5
18522 .xword 0
18523 .xword 3
18524 .xword 9
18525 .xword 5
18526 .xword 9
18527 .xword 6
18528 .xword 9
18529 .xword 10
18530 .xword 10
18531 .xword 5
18532 .xword 15
18533 .xword 11
18534 .xword 11
18535 .xword 2
18536 .xword 15
18537 .xword 13
18538 .xword 5
18539 .xword 10
18540 .xword 9
18541 .xword 6
18542 .xword 12
18543 .xword 0
18544 .xword 2
18545 .xword 12
18546 .xword 8
18547 .xword 10
18548 .xword 2
18549 .xword 2
18550 .xword 6
18551 .xword 10
18552 .xword 9
18553 .xword 10
18554 .xword 1
18555.align 16
18556_t1_aes_src:
18557 .xword 0x8c69755b33efb0fd
18558 .xword 0xb09955ff379f344e
18559 .xword 0xace3be1a347092b5
18560 .xword 0x816dd1daf997e0a7
18561 .xword 0xb44e35a87cf14556
18562 .xword 0x1dca72aed1edac3a
18563 .xword 0x12e794717e1b3dd2
18564 .xword 0x505b09a9ed95c120
18565 .xword 0xcc4a7d9d41641c53
18566 .xword 0x24194dfdf7d62909
18567 .xword 0x821ca2bdcd2d50a7
18568 .xword 0xba13f90a8264c3d7
18569 .xword 0x96bc90870ac80588
18570 .xword 0x3ec0394d4d56a6b8
18571 .xword 0xbd57cf33273abb5a
18572 .xword 0xb78d059cf0d4a4b2
18573 .xword 0xe6d84123016555b6
18574 .xword 0x25c00f1c79195acf
18575 .xword 0x27730bb53bc20b12
18576 .xword 0xfb98c373baefab0c
18577 .xword 0x1b3c658e16b63c57
18578 .xword 0xbc34671be754d299
18579 .xword 0xf6a47e029526f7c5
18580 .xword 0xb5f378ee22e044a1
18581 .xword 0x5e4624afa283704c
18582 .xword 0x93985ca98cf3877a
18583 .xword 0x2eb938392c4200d7
18584 .xword 0xfbb34255eb2e23b4
18585 .xword 0x0bd0dcc4a984e149
18586 .xword 0x3c9032a70c8e919a
18587 .xword 0xcf9e945263765e5e
18588 .xword 0xb09475418d6999ad
18589 .xword 0x92249554dd924d34
18590 .xword 0x9a474155699856f8
18591 .xword 0x13289fb79ca8f4d5
18592 .xword 0xbd47a28c83b41cb4
18593 .xword 0xb48e872d2b9303b5
18594 .xword 0x82f1bef43c721975
18595 .xword 0xbffe52644a3dd024
18596 .xword 0xc0d0808868091776
18597 .xword 0xa4303714d623fa08
18598 .xword 0x24e6574866d548ab
18599 .xword 0xb59521402f88a313
18600 .xword 0x34c5bea7955d2b50
18601 .xword 0x1db3294c3d464069
18602 .xword 0x79862df2b9f21992
18603 .xword 0x630350097474b3dd
18604 .xword 0xc03eb7071634e524
18605 .xword 0xeed6a19b4c0c60ee
18606 .xword 0x5ca7803b04419ab7
18607 .xword 0xcb999d63e319a5ee
18608 .xword 0x4bd21a80a641564a
18609 .xword 0x25aea9828cdcff49
18610 .xword 0x6a65a1b774159c91
18611 .xword 0x35b7c50b09b063e2
18612 .xword 0x197336b582506db9
18613 .xword 0xa8de47c7358cade4
18614 .xword 0x4e0493a545e40e41
18615 .xword 0x74338717395e880b
18616 .xword 0x69a2466c2c7ad104
18617 .xword 0xa2b928ff86a5e23e
18618 .xword 0x236cb73e27e0021d
18619 .xword 0x4e5f528ae7108ca5
18620 .xword 0xfbcad1e9424b5cfa
18621 .xword 0x0c7b1f3a778c21b7
18622 .xword 0xd5bd74cbfe00b6a6
18623 .xword 0x7e192ef8c9b39841
18624 .xword 0x9b1335f4738019be
18625 .xword 0xdc309e818d94d938
18626 .xword 0xd7b518d0b574c014
18627 .xword 0x8489d643646a1022
18628 .xword 0xca8c8e27f3490634
18629 .xword 0x1042d60949a7d08a
18630 .xword 0x52165cdf869f546c
18631 .xword 0x68775c123bb9bd29
18632 .xword 0xf66e086e9542302f
18633 .xword 0x96974bee0d47885b
18634 .xword 0x55af8e75aaa749c6
18635 .xword 0x91fde64b8b472538
18636 .xword 0x76fefbfaae7ef799
18637 .xword 0x3948d1ced721d568
18638 .xword 0x6738afa6b6460a0f
18639 .xword 0x97a09925e4bc99ec
18640 .xword 0x0b8941701a3bde79
18641 .xword 0x98fdd249a567cc12
18642 .xword 0xb419326968b8bf5e
18643 .xword 0xb7791556a17ac539
18644 .xword 0xca65a9457053ea66
18645 .xword 0x5716947444831f0c
18646 .xword 0xc81feed504022977
18647 .xword 0xd4b4bc649c76544a
18648 .xword 0x57a19c11e63adf5a
18649 .xword 0x1be5e9d59fc5312c
18650 .xword 0x9e39fad91decc3dc
18651 .xword 0x57a8b0e756545487
18652 .xword 0xc84b17b9f7ed04e7
18653 .xword 0xebcd47ae68fcd30a
18654 .xword 0xbbd703895edb0b1f
18655 .xword 0xac573275a0b4b186
18656 .xword 0x24ef18103ff581d4
18657 .xword 0x284019416e257fa9
18658 .xword 0x7fcbcf49ac3e0cf3
18659 .xword 0x624751b63ef960dd
18660 .xword 0x3946f7d38ea3062d
18661 .xword 0x21d3139dcf31942d
18662 .xword 0x20e895f4ccfe84f0
18663 .xword 0xe4269f8467d5c09f
18664 .xword 0x02cccfc31ffa308b
18665 .xword 0xabe8a6722b49dfb8
18666 .xword 0x6115a1811bf5aaea
18667 .xword 0x187a58ff1315f3db
18668 .xword 0xbd5b418370f9b0db
18669 .xword 0x7a8c29fa8bceea64
18670 .xword 0x9bf1196ad38566b6
18671 .xword 0xf98d7193df6e63a6
18672 .xword 0x0f6c12efbec272d8
18673 .xword 0x7aa30c27943995a9
18674 .xword 0x8be9f16cb58f540a
18675 .xword 0x57bc550a7bc1134c
18676 .xword 0xdfaa51137bcb3f9a
18677 .xword 0xefce8e3d9eb3a5ae
18678 .xword 0x95289f44a1c64e24
18679 .xword 0xe434a7ed00f013c3
18680 .xword 0x687100c96acb0199
18681 .xword 0xdb2b363d6ff34a74
18682 .xword 0xd91ec07a6efbf2b8
18683 .xword 0xb3ee59266474243d
18684 .xword 0x8e0f70fadaa09232
18685 .xword 0x577ae6e88cd3162e
18686 .xword 0x48b7f040a39f4e99
18687 .xword 0x2f6b1725f9212310
18688 .xword 0x0404130a4dcbc758
18689 .xword 0x6afaed2daff370a7
18690 .xword 0x728de1ced08627bd
18691 .xword 0x414b026076c64b75
18692 .xword 0x68779f04f11e83e0
18693 .xword 0xbd4d381e440b5ad3
18694 .xword 0xd60ca58c7967ebef
18695 .xword 0x0166c8e717a60428
18696 .xword 0xfd4ba33bf03714da
18697 .xword 0xceddfa1114e04ef4
18698 .xword 0xf7324157eb775783
18699 .xword 0xa8b8d33051911828
18700 .xword 0x4ddae09e6100dbf1
18701 .xword 0x9a18514123151995
18702 .xword 0x7bce0b49bcdebf08
18703 .xword 0xe39efeba727ae192
18704 .xword 0x35ba471df1c2f40c
18705 .xword 0x623faddaf844a108
18706 .xword 0x1ab9f111ade8b721
18707 .xword 0x8657ab6b16101aba
18708 .xword 0x577cb7e514f716cf
18709 .xword 0x950c7ee08043d1cf
18710 .xword 0x7ecd9dd6dda27833
18711 .xword 0xcca7a55c922a253c
18712 .xword 0x9983cfff4dcdd951
18713 .xword 0x795ebf2b4809c883
18714 .xword 0x79cf79ce1326b172
18715 .xword 0x1414a6f15d76e361
18716 .xword 0xd5c4968fe4ae2989
18717 .xword 0x4887c9718203cb02
18718 .xword 0xd26ec46f0a642708
18719 .xword 0x33e637fb0617995b
18720 .xword 0x87032782fd356de7
18721 .xword 0x1dededf96eab3ee2
18722 .xword 0xbcab2ea1811c833e
18723 .xword 0xb621b4a633b61625
18724 .xword 0xb04634d041fb7824
18725 .xword 0xd1027135bb48f7fe
18726 .xword 0x69807f8f641fc882
18727 .xword 0xe534ffa0ad4aa0d6
18728 .xword 0x9d9b43f10e1e625b
18729 .xword 0xf63a494a49b0669a
18730 .xword 0x5bcfd5d992004950
18731 .xword 0xf06b5c057bf5d752
18732 .xword 0x13b2ae7b51d9b190
18733 .xword 0xcd7af587808f0966
18734 .xword 0x47de8f2a5da0ac69
18735 .xword 0xe6ffb87a81143244
18736 .xword 0xb093e69c751e5cf9
18737 .xword 0xe1c3b48e8f20f36b
18738 .xword 0x1f80f2da8aef8338
18739 .xword 0xf45a32442d160cae
18740 .xword 0x45f090bd89426762
18741 .xword 0x8a6421cf19847b96
18742 .xword 0x744adfa4f87729de
18743 .xword 0xfd7d3a8b639461da
18744 .xword 0xdf73093254f87182
18745 .xword 0x2e0d04ec8a89fd2e
18746 .xword 0xeb165e1c78b47bb1
18747 .xword 0x016978beec1734af
18748 .xword 0xe3e400901745b838
18749 .xword 0x2e980e39191f5185
18750 .xword 0xf8af6d3a0c912cdf
18751 .xword 0x9c76496315e9bb6d
18752 .xword 0x1ccd03b36e293421
18753 .xword 0x6e87ef32e9e9fbb7
18754 .xword 0x9b128714daa16709
18755 .xword 0xae5c38832b2a1bf1
18756 .xword 0x73cd39a5dd6db22d
18757 .xword 0x70522073c0abed61
18758 .xword 0x6a6c900738aefeb9
18759 .xword 0xd414efaf9c813bfb
18760 .xword 0x62359ab432da8017
18761 .xword 0xc258cb84d626cafb
18762 .xword 0x3a56f4f121773aa2
18763 .xword 0xeec993ada4783588
18764 .xword 0x9022a05c47a8e313
18765 .xword 0x6489adfe89d679dd
18766 .xword 0x4e7c01ded3ad96f8
18767 .xword 0x88fc736f3e19a79a
18768 .xword 0xc71751830661b516
18769 .xword 0x3621f3ec2e258a49
18770 .xword 0xb734f1521ab1ce5c
18771 .xword 0xe826bfe2d7d662bb
18772 .xword 0x54d6f180dda3b54b
18773 .xword 0xa118650f72a611f2
18774 .xword 0x9a217ca8683339b2
18775 .xword 0x69b10b9f8a4116e5
18776 .xword 0xfedc09680fe985d5
18777 .xword 0xafa2a0ec632ece6b
18778 .xword 0xc46377d8111f8545
18779 .xword 0x41e54476799519cb
18780 .xword 0x4beb970537e64a90
18781 .xword 0x7e18a6e1652c093b
18782 .xword 0x165c3bc803bd5162
18783 .xword 0xa5014c0f9c81e0d1
18784 .xword 0xd4da7c96ac8df4bf
18785 .xword 0xb8eebf991e1be9b1
18786 .xword 0xcf335831c63fd5df
18787 .xword 0x60a784907638dfe0
18788 .xword 0xa02c352c137bd010
18789 .xword 0xd1e37b8f1fe365f2
18790 .xword 0x7f29bc9b7fb1d7ba
18791 .xword 0x22b4a8b2b87e425e
18792 .xword 0x7cee7ee66e70cc40
18793 .xword 0x5b6c0584355287d4
18794 .xword 0x98a746a4718ace47
18795 .xword 0x18cb68249b228f0b
18796 .xword 0x3ac69ca0c56e4531
18797 .xword 0x2f6bd2f32533ecc2
18798 .xword 0xf1bf9cd9688c078e
18799 .xword 0xd0b71ada0e4c4370
18800 .xword 0x0537437beed11a20
18801 .xword 0xc11f198302520d22
18802 .xword 0x23beea1e3eee06e2
18803 .xword 0x080c6012439a9176
18804 .xword 0xab8a45cd76c38e9d
18805 .xword 0x8323d3febf643830
18806 .xword 0x3fa85a7c2c355d46
18807 .xword 0x01a054b655fe4989
18808 .xword 0xb7b3fb805c3a59f6
18809 .xword 0xbfb26d35a964d146
18810 .xword 0xa4a0f05e7da7803d
18811 .xword 0x85a3814091ecbf07
18812 .xword 0xb41414af89bc0999
18813 .xword 0x0f1fb3d27542ed69
18814 .xword 0x2d8c2ef348c16231
18815 .xword 0xc42f653db8f12709
18816 .xword 0x549b4e309478309a
18817 .xword 0x129d0aef9f1ec48c
18818 .xword 0x8bf745bdabcaf204
18819 .xword 0x30b2f11d6c12e8a0
18820 .xword 0x494cec70ea565be2
18821 .xword 0x0b068cceb7cf3972
18822 .xword 0xa9480c522a2327f4
18823 .xword 0xd282b86dc6909758
18824 .xword 0xc786e585f6083a5a
18825 .xword 0x7918ed8f66280a39
18826 .xword 0x70426f59bbf2823f
18827 .xword 0xb8c6679f1bcd8fa4
18828 .xword 0x839eb17896baf49c
18829 .xword 0xeabf2501afb14848
18830 .xword 0x3c5586ee251881df
18831 .xword 0x4148fd3ff234bf61
18832.align 16
18833_t1_aes_dest:
18834 .xword 0xDEADBEEFDEADBEEF
18835 .xword 0xDEADBEEFDEADBEEF
18836 .xword 0xDEADBEEFDEADBEEF
18837 .xword 0xDEADBEEFDEADBEEF
18838 .xword 0xDEADBEEFDEADBEEF
18839 .xword 0xDEADBEEFDEADBEEF
18840 .xword 0xDEADBEEFDEADBEEF
18841 .xword 0xDEADBEEFDEADBEEF
18842 .xword 0xDEADBEEFDEADBEEF
18843 .xword 0xDEADBEEFDEADBEEF
18844 .xword 0xDEADBEEFDEADBEEF
18845 .xword 0xDEADBEEFDEADBEEF
18846 .xword 0xDEADBEEFDEADBEEF
18847 .xword 0xDEADBEEFDEADBEEF
18848 .xword 0xDEADBEEFDEADBEEF
18849 .xword 0xDEADBEEFDEADBEEF
18850 .xword 0xDEADBEEFDEADBEEF
18851 .xword 0xDEADBEEFDEADBEEF
18852 .xword 0xDEADBEEFDEADBEEF
18853 .xword 0xDEADBEEFDEADBEEF
18854 .xword 0xDEADBEEFDEADBEEF
18855 .xword 0xDEADBEEFDEADBEEF
18856 .xword 0xDEADBEEFDEADBEEF
18857 .xword 0xDEADBEEFDEADBEEF
18858 .xword 0xDEADBEEFDEADBEEF
18859 .xword 0xDEADBEEFDEADBEEF
18860 .xword 0xDEADBEEFDEADBEEF
18861 .xword 0xDEADBEEFDEADBEEF
18862 .xword 0xDEADBEEFDEADBEEF
18863 .xword 0xDEADBEEFDEADBEEF
18864 .xword 0xDEADBEEFDEADBEEF
18865 .xword 0xDEADBEEFDEADBEEF
18866 .xword 0xDEADBEEFDEADBEEF
18867 .xword 0xDEADBEEFDEADBEEF
18868 .xword 0xDEADBEEFDEADBEEF
18869 .xword 0xDEADBEEFDEADBEEF
18870 .xword 0xDEADBEEFDEADBEEF
18871 .xword 0xDEADBEEFDEADBEEF
18872 .xword 0xDEADBEEFDEADBEEF
18873 .xword 0xDEADBEEFDEADBEEF
18874 .xword 0xDEADBEEFDEADBEEF
18875 .xword 0xDEADBEEFDEADBEEF
18876 .xword 0xDEADBEEFDEADBEEF
18877 .xword 0xDEADBEEFDEADBEEF
18878 .xword 0xDEADBEEFDEADBEEF
18879 .xword 0xDEADBEEFDEADBEEF
18880 .xword 0xDEADBEEFDEADBEEF
18881 .xword 0xDEADBEEFDEADBEEF
18882 .xword 0xDEADBEEFDEADBEEF
18883 .xword 0xDEADBEEFDEADBEEF
18884 .xword 0xDEADBEEFDEADBEEF
18885 .xword 0xDEADBEEFDEADBEEF
18886 .xword 0xDEADBEEFDEADBEEF
18887 .xword 0xDEADBEEFDEADBEEF
18888 .xword 0xDEADBEEFDEADBEEF
18889 .xword 0xDEADBEEFDEADBEEF
18890 .xword 0xDEADBEEFDEADBEEF
18891 .xword 0xDEADBEEFDEADBEEF
18892 .xword 0xDEADBEEFDEADBEEF
18893 .xword 0xDEADBEEFDEADBEEF
18894 .xword 0xDEADBEEFDEADBEEF
18895 .xword 0xDEADBEEFDEADBEEF
18896 .xword 0xDEADBEEFDEADBEEF
18897 .xword 0xDEADBEEFDEADBEEF
18898 .xword 0xDEADBEEFDEADBEEF
18899 .xword 0xDEADBEEFDEADBEEF
18900 .xword 0xDEADBEEFDEADBEEF
18901 .xword 0xDEADBEEFDEADBEEF
18902 .xword 0xDEADBEEFDEADBEEF
18903 .xword 0xDEADBEEFDEADBEEF
18904 .xword 0xDEADBEEFDEADBEEF
18905 .xword 0xDEADBEEFDEADBEEF
18906 .xword 0xDEADBEEFDEADBEEF
18907 .xword 0xDEADBEEFDEADBEEF
18908 .xword 0xDEADBEEFDEADBEEF
18909 .xword 0xDEADBEEFDEADBEEF
18910 .xword 0xDEADBEEFDEADBEEF
18911 .xword 0xDEADBEEFDEADBEEF
18912 .xword 0xDEADBEEFDEADBEEF
18913 .xword 0xDEADBEEFDEADBEEF
18914 .xword 0xDEADBEEFDEADBEEF
18915 .xword 0xDEADBEEFDEADBEEF
18916 .xword 0xDEADBEEFDEADBEEF
18917 .xword 0xDEADBEEFDEADBEEF
18918 .xword 0xDEADBEEFDEADBEEF
18919 .xword 0xDEADBEEFDEADBEEF
18920 .xword 0xDEADBEEFDEADBEEF
18921 .xword 0xDEADBEEFDEADBEEF
18922 .xword 0xDEADBEEFDEADBEEF
18923 .xword 0xDEADBEEFDEADBEEF
18924 .xword 0xDEADBEEFDEADBEEF
18925 .xword 0xDEADBEEFDEADBEEF
18926 .xword 0xDEADBEEFDEADBEEF
18927 .xword 0xDEADBEEFDEADBEEF
18928 .xword 0xDEADBEEFDEADBEEF
18929 .xword 0xDEADBEEFDEADBEEF
18930 .xword 0xDEADBEEFDEADBEEF
18931 .xword 0xDEADBEEFDEADBEEF
18932 .xword 0xDEADBEEFDEADBEEF
18933 .xword 0xDEADBEEFDEADBEEF
18934 .xword 0xDEADBEEFDEADBEEF
18935 .xword 0xDEADBEEFDEADBEEF
18936 .xword 0xDEADBEEFDEADBEEF
18937 .xword 0xDEADBEEFDEADBEEF
18938 .xword 0xDEADBEEFDEADBEEF
18939 .xword 0xDEADBEEFDEADBEEF
18940 .xword 0xDEADBEEFDEADBEEF
18941 .xword 0xDEADBEEFDEADBEEF
18942 .xword 0xDEADBEEFDEADBEEF
18943 .xword 0xDEADBEEFDEADBEEF
18944 .xword 0xDEADBEEFDEADBEEF
18945 .xword 0xDEADBEEFDEADBEEF
18946 .xword 0xDEADBEEFDEADBEEF
18947 .xword 0xDEADBEEFDEADBEEF
18948 .xword 0xDEADBEEFDEADBEEF
18949 .xword 0xDEADBEEFDEADBEEF
18950 .xword 0xDEADBEEFDEADBEEF
18951 .xword 0xDEADBEEFDEADBEEF
18952 .xword 0xDEADBEEFDEADBEEF
18953 .xword 0xDEADBEEFDEADBEEF
18954 .xword 0xDEADBEEFDEADBEEF
18955 .xword 0xDEADBEEFDEADBEEF
18956 .xword 0xDEADBEEFDEADBEEF
18957 .xword 0xDEADBEEFDEADBEEF
18958 .xword 0xDEADBEEFDEADBEEF
18959 .xword 0xDEADBEEFDEADBEEF
18960 .xword 0xDEADBEEFDEADBEEF
18961 .xword 0xDEADBEEFDEADBEEF
18962 .xword 0xDEADBEEFDEADBEEF
18963 .xword 0xDEADBEEFDEADBEEF
18964 .xword 0xDEADBEEFDEADBEEF
18965 .xword 0xDEADBEEFDEADBEEF
18966 .xword 0xDEADBEEFDEADBEEF
18967 .xword 0xDEADBEEFDEADBEEF
18968 .xword 0xDEADBEEFDEADBEEF
18969 .xword 0xDEADBEEFDEADBEEF
18970 .xword 0xDEADBEEFDEADBEEF
18971 .xword 0xDEADBEEFDEADBEEF
18972 .xword 0xDEADBEEFDEADBEEF
18973 .xword 0xDEADBEEFDEADBEEF
18974 .xword 0xDEADBEEFDEADBEEF
18975 .xword 0xDEADBEEFDEADBEEF
18976 .xword 0xDEADBEEFDEADBEEF
18977 .xword 0xDEADBEEFDEADBEEF
18978 .xword 0xDEADBEEFDEADBEEF
18979 .xword 0xDEADBEEFDEADBEEF
18980 .xword 0xDEADBEEFDEADBEEF
18981 .xword 0xDEADBEEFDEADBEEF
18982 .xword 0xDEADBEEFDEADBEEF
18983 .xword 0xDEADBEEFDEADBEEF
18984 .xword 0xDEADBEEFDEADBEEF
18985 .xword 0xDEADBEEFDEADBEEF
18986 .xword 0xDEADBEEFDEADBEEF
18987 .xword 0xDEADBEEFDEADBEEF
18988 .xword 0xDEADBEEFDEADBEEF
18989 .xword 0xDEADBEEFDEADBEEF
18990 .xword 0xDEADBEEFDEADBEEF
18991 .xword 0xDEADBEEFDEADBEEF
18992 .xword 0xDEADBEEFDEADBEEF
18993 .xword 0xDEADBEEFDEADBEEF
18994 .xword 0xDEADBEEFDEADBEEF
18995 .xword 0xDEADBEEFDEADBEEF
18996 .xword 0xDEADBEEFDEADBEEF
18997 .xword 0xDEADBEEFDEADBEEF
18998 .xword 0xDEADBEEFDEADBEEF
18999 .xword 0xDEADBEEFDEADBEEF
19000 .xword 0xDEADBEEFDEADBEEF
19001 .xword 0xDEADBEEFDEADBEEF
19002 .xword 0xDEADBEEFDEADBEEF
19003 .xword 0xDEADBEEFDEADBEEF
19004 .xword 0xDEADBEEFDEADBEEF
19005 .xword 0xDEADBEEFDEADBEEF
19006 .xword 0xDEADBEEFDEADBEEF
19007 .xword 0xDEADBEEFDEADBEEF
19008 .xword 0xDEADBEEFDEADBEEF
19009 .xword 0xDEADBEEFDEADBEEF
19010 .xword 0xDEADBEEFDEADBEEF
19011 .xword 0xDEADBEEFDEADBEEF
19012 .xword 0xDEADBEEFDEADBEEF
19013 .xword 0xDEADBEEFDEADBEEF
19014 .xword 0xDEADBEEFDEADBEEF
19015 .xword 0xDEADBEEFDEADBEEF
19016 .xword 0xDEADBEEFDEADBEEF
19017 .xword 0xDEADBEEFDEADBEEF
19018 .xword 0xDEADBEEFDEADBEEF
19019 .xword 0xDEADBEEFDEADBEEF
19020 .xword 0xDEADBEEFDEADBEEF
19021 .xword 0xDEADBEEFDEADBEEF
19022 .xword 0xDEADBEEFDEADBEEF
19023 .xword 0xDEADBEEFDEADBEEF
19024 .xword 0xDEADBEEFDEADBEEF
19025 .xword 0xDEADBEEFDEADBEEF
19026 .xword 0xDEADBEEFDEADBEEF
19027 .xword 0xDEADBEEFDEADBEEF
19028 .xword 0xDEADBEEFDEADBEEF
19029 .xword 0xDEADBEEFDEADBEEF
19030 .xword 0xDEADBEEFDEADBEEF
19031 .xword 0xDEADBEEFDEADBEEF
19032 .xword 0xDEADBEEFDEADBEEF
19033 .xword 0xDEADBEEFDEADBEEF
19034 .xword 0xDEADBEEFDEADBEEF
19035 .xword 0xDEADBEEFDEADBEEF
19036 .xword 0xDEADBEEFDEADBEEF
19037 .xword 0xDEADBEEFDEADBEEF
19038 .xword 0xDEADBEEFDEADBEEF
19039 .xword 0xDEADBEEFDEADBEEF
19040 .xword 0xDEADBEEFDEADBEEF
19041 .xword 0xDEADBEEFDEADBEEF
19042 .xword 0xDEADBEEFDEADBEEF
19043 .xword 0xDEADBEEFDEADBEEF
19044 .xword 0xDEADBEEFDEADBEEF
19045 .xword 0xDEADBEEFDEADBEEF
19046 .xword 0xDEADBEEFDEADBEEF
19047 .xword 0xDEADBEEFDEADBEEF
19048 .xword 0xDEADBEEFDEADBEEF
19049 .xword 0xDEADBEEFDEADBEEF
19050 .xword 0xDEADBEEFDEADBEEF
19051 .xword 0xDEADBEEFDEADBEEF
19052 .xword 0xDEADBEEFDEADBEEF
19053 .xword 0xDEADBEEFDEADBEEF
19054 .xword 0xDEADBEEFDEADBEEF
19055 .xword 0xDEADBEEFDEADBEEF
19056 .xword 0xDEADBEEFDEADBEEF
19057 .xword 0xDEADBEEFDEADBEEF
19058 .xword 0xDEADBEEFDEADBEEF
19059 .xword 0xDEADBEEFDEADBEEF
19060 .xword 0xDEADBEEFDEADBEEF
19061 .xword 0xDEADBEEFDEADBEEF
19062 .xword 0xDEADBEEFDEADBEEF
19063 .xword 0xDEADBEEFDEADBEEF
19064 .xword 0xDEADBEEFDEADBEEF
19065 .xword 0xDEADBEEFDEADBEEF
19066 .xword 0xDEADBEEFDEADBEEF
19067 .xword 0xDEADBEEFDEADBEEF
19068 .xword 0xDEADBEEFDEADBEEF
19069 .xword 0xDEADBEEFDEADBEEF
19070 .xword 0xDEADBEEFDEADBEEF
19071 .xword 0xDEADBEEFDEADBEEF
19072 .xword 0xDEADBEEFDEADBEEF
19073 .xword 0xDEADBEEFDEADBEEF
19074 .xword 0xDEADBEEFDEADBEEF
19075 .xword 0xDEADBEEFDEADBEEF
19076 .xword 0xDEADBEEFDEADBEEF
19077 .xword 0xDEADBEEFDEADBEEF
19078 .xword 0xDEADBEEFDEADBEEF
19079 .xword 0xDEADBEEFDEADBEEF
19080 .xword 0xDEADBEEFDEADBEEF
19081 .xword 0xDEADBEEFDEADBEEF
19082 .xword 0xDEADBEEFDEADBEEF
19083 .xword 0xDEADBEEFDEADBEEF
19084 .xword 0xDEADBEEFDEADBEEF
19085 .xword 0xDEADBEEFDEADBEEF
19086 .xword 0xDEADBEEFDEADBEEF
19087 .xword 0xDEADBEEFDEADBEEF
19088 .xword 0xDEADBEEFDEADBEEF
19089 .xword 0xDEADBEEFDEADBEEF
19090 .xword 0xDEADBEEFDEADBEEF
19091 .xword 0xDEADBEEFDEADBEEF
19092 .xword 0xDEADBEEFDEADBEEF
19093 .xword 0xDEADBEEFDEADBEEF
19094 .xword 0xDEADBEEFDEADBEEF
19095 .xword 0xDEADBEEFDEADBEEF
19096 .xword 0xDEADBEEFDEADBEEF
19097 .xword 0xDEADBEEFDEADBEEF
19098 .xword 0xDEADBEEFDEADBEEF
19099 .xword 0xDEADBEEFDEADBEEF
19100 .xword 0xDEADBEEFDEADBEEF
19101 .xword 0xDEADBEEFDEADBEEF
19102 .xword 0xDEADBEEFDEADBEEF
19103 .xword 0xDEADBEEFDEADBEEF
19104 .xword 0xDEADBEEFDEADBEEF
19105 .xword 0xDEADBEEFDEADBEEF
19106 .xword 0xDEADBEEFDEADBEEF
19107 .xword 0xDEADBEEFDEADBEEF
19108 .xword 0xDEADBEEFDEADBEEF
19109.align 16
19110_t1_aes_auth_key:
19111 .xword 0x0be0d0a13353ea7f
19112 .xword 0x574e80f6ca4455b7
19113 .xword 0x7da93c55143d3ee1
19114 .xword 0x5832424bdc988e7f
19115 .xword 0xb69ad0bdcfe9f1f8
19116 .xword 0x3d25098a2a5ce06d
19117 .xword 0x82c0f86a250fce64
19118 .xword 0xc9eda6ce32adb1c8
19119 .xword 0xb6d4a833a4e68e8d
19120 .xword 0x7e97c108b6154013
19121 .xword 0x8ea09c85ac8c5626
19122 .xword 0x6b8dbc0216803f59
19123 .xword 0x51adcf66dad2667c
19124 .xword 0x752a91933bc8224b
19125 .xword 0x2b6fb4645d398ed5
19126 .xword 0xb6c03a5f64396c32
19127 .xword 0xa3fe8e2e34dad8a9
19128 .xword 0x44f59e03eb6e64f7
19129 .xword 0xfa431396a90a3e93
19130 .xword 0x8588cf2dcef2df7e
19131 .xword 0x8ba39637de57b426
19132 .xword 0x797b93a10dd91bba
19133 .xword 0x52c9bc4b32aa750b
19134.align 16
19135_t1_aes_auth_iv:
19136 .xword 0x126b4f5fc9b64016
19137 .xword 0xfae1eaf2420b95a8
19138 .xword 0x58744d0adbfb12a6
19139 .xword 0xa285c7f6915f2961
19140 .xword 0xea684c2b13eb7c68
19141 .xword 0xa87c2c0d5efbd32f
19142 .xword 0x76dd2f13117917e8
19143 .xword 0x6bf878ba5eae4f05
19144 .xword 0xedb7285f0eb932c8
19145 .xword 0xa12cc698259e0c90
19146 .xword 0x37e9d5e07d37b9ad
19147 .xword 0x658c6a82cbf296cc
19148 .xword 0x78d7684ccdf1f3c0
19149 .xword 0x1188003c396a26bc
19150 .xword 0x64c218006e5799c6
19151 .xword 0x4da31e23a65c4212
19152 .xword 0x45ba0c983fb2af71
19153 .xword 0xba063c118685f941
19154 .xword 0xa170aaaea29bbafc
19155 .xword 0x019e3b48855aaf40
19156 .xword 0xffbbc102e8f76bda
19157 .xword 0x93a6ca4ff46d71c4
19158 .xword 0xd36a58e7709d7c48
19159.align 16
19160_t1_aes_fas_result:
19161 .xword 0xDEADBEEFDEADBEEF
19162 .xword 0xDEADBEEFDEADBEEF
19163 .xword 0xDEADBEEFDEADBEEF
19164 .xword 0xDEADBEEFDEADBEEF
19165 .xword 0xDEADBEEFDEADBEEF
19166 .xword 0xDEADBEEFDEADBEEF
19167 .xword 0xDEADBEEFDEADBEEF
19168 .xword 0xDEADBEEFDEADBEEF
19169 .xword 0xDEADBEEFDEADBEEF
19170 .xword 0xDEADBEEFDEADBEEF
19171 .xword 0xDEADBEEFDEADBEEF
19172 .xword 0xDEADBEEFDEADBEEF
19173 .xword 0xDEADBEEFDEADBEEF
19174 .xword 0xDEADBEEFDEADBEEF
19175 .xword 0xDEADBEEFDEADBEEF
19176 .xword 0xDEADBEEFDEADBEEF
19177 .xword 0xDEADBEEFDEADBEEF
19178 .xword 0xDEADBEEFDEADBEEF
19179 .xword 0xDEADBEEFDEADBEEF
19180 .xword 0xDEADBEEFDEADBEEF
19181 .xword 0xDEADBEEFDEADBEEF
19182 .xword 0xDEADBEEFDEADBEEF
19183 .xword 0xDEADBEEFDEADBEEF
19184.align 16
19185_t1_des_key_array:
19186 .xword 0xf0fe7cc45c8a9e94
19187 .xword 0x60a88ec4768d8afd
19188 .xword 0xc530d4d5db529aab
19189 .xword 0x6f39ddb4e4566e4f
19190 .xword 0x8570b3e31474b78c
19191 .xword 0x76b67fcc542ea96b
19192 .xword 0xeec868b7836f70fa
19193 .xword 0xe6dd0329aff2b1b8
19194 .xword 0xeac1a38e9ca06218
19195 .xword 0xc0662a1302d61dc7
19196 .xword 0x749c06cd00c1ab59
19197 .xword 0x711b24bbc8939f49
19198 .xword 0xd447f0139d33aa77
19199 .xword 0x36c1521516033a2c
19200 .xword 0x58eba03a7b31b266
19201 .xword 0x1a24ee86f14a0b6c
19202 .xword 0xecd84d7f7c815965
19203 .xword 0x421becc7ab4f5f0f
19204 .xword 0x35d45bde3ac05585
19205 .xword 0x326f143e3714875f
19206 .xword 0xcc42a7eb710ee983
19207 .xword 0xe25a84081d4c8693
19208 .xword 0x8ad3e63629249209
19209 .xword 0x130c3391000232b9
19210 .xword 0x788c25bb866783a7
19211 .xword 0x6db6c29abc16245b
19212 .xword 0x02d985664312d108
19213 .xword 0xa77dcbd47e02a77f
19214 .xword 0x337c6769b1ff223d
19215 .xword 0x6860db9b0dd8111b
19216 .xword 0x63cfd3c00244f9e6
19217 .xword 0x76c2a63e1a6afa25
19218 .xword 0x1aaf589a38eee59a
19219 .xword 0x8916a49a0105343a
19220 .xword 0xbfb794d10eb688e5
19221 .xword 0x1dd7130a2ec717bc
19222 .xword 0x13126c47449a83cb
19223 .xword 0x257d236a5e4b1702
19224 .xword 0x4561439548970339
19225 .xword 0x7d760aad507a2e26
19226 .xword 0xfc93114c02af8169
19227 .xword 0x17f787169eb30365
19228 .xword 0xf846834871b7e23c
19229 .xword 0xe4412de002e22f31
19230 .xword 0xae6ea41d79dd60b7
19231 .xword 0x3ea0d8c3a87be30d
19232 .xword 0x6fea3bfd6d831275
19233 .xword 0xc7adfa8635480492
19234 .xword 0x3d8b9872f96d234e
19235 .xword 0x542e0026e75a9c23
19236 .xword 0x1e32d0a34ef94cef
19237.align 16
19238_t1_des_iv_array:
19239 .xword 0x9d0cfd2bf969d98b
19240 .xword 0xaa8fabb7a1e8fa40
19241 .xword 0x8bdf6de83ddd2819
19242 .xword 0xa6200c0fa222843c
19243 .xword 0xe46438d79ece1ebb
19244 .xword 0xc6c995065844ad37
19245 .xword 0xdc42be09cc8e09ce
19246 .xword 0x43c644c7576e9318
19247 .xword 0x8953e350ba9885a2
19248 .xword 0x7088066448387799
19249 .xword 0x40188c773359acc1
19250 .xword 0x6d23b5cc26582922
19251 .xword 0x1feb44f862c089f3
19252 .xword 0xce18aa7634498c4f
19253 .xword 0x34f4318805fafefe
19254 .xword 0xdf9f87171faf28a2
19255 .xword 0xa99fc8a547e2abd1
19256 .xword 0x767433507cca800f
19257 .xword 0x57d9ee5ee3e8a6bd
19258 .xword 0x186765a9a95a59fb
19259 .xword 0x32b92886991bb0a6
19260 .xword 0x681e9a79e5f2b353
19261 .xword 0xe672392408f4eafa
19262 .xword 0xc53462cc481f3de4
19263 .xword 0xd1d2dadd4db4bb29
19264 .xword 0xf46a362b4792a24a
19265 .xword 0x737c64d4b431e5fe
19266 .xword 0xb5fa07b5615da0be
19267 .xword 0x3ba6579b328e41c5
19268 .xword 0x18c5aad4739e4385
19269 .xword 0xfb32ad2ed2a830d3
19270 .xword 0x728e46decc27c0c8
19271 .xword 0x9e80d90bba5c1b80
19272 .xword 0x11c8a1d2ed8f20f5
19273 .xword 0x88088e64bde5411c
19274 .xword 0xeb8ddbf851ec885b
19275 .xword 0x942c16033cd7f41a
19276 .xword 0xf03738b66cd080d7
19277 .xword 0x3eb27fe47b696411
19278 .xword 0xe3d6da4f1c3f75ca
19279 .xword 0x9638e4c00652846a
19280 .xword 0x944032a3008493c6
19281 .xword 0x009b8b78e5d1649f
19282 .xword 0xd80008092c4ea0bc
19283 .xword 0x78ca04358f11c276
19284.align 16
19285_t1_des_alignment_array:
19286 .xword 2
19287 .xword 11
19288 .xword 4
19289 .xword 1
19290 .xword 6
19291 .xword 14
19292 .xword 5
19293 .xword 5
19294 .xword 3
19295 .xword 9
19296 .xword 8
19297 .xword 14
19298 .xword 9
19299 .xword 12
19300 .xword 4
19301 .xword 13
19302 .xword 15
19303 .xword 14
19304 .xword 1
19305 .xword 1
19306 .xword 15
19307 .xword 5
19308 .xword 5
19309 .xword 0
19310 .xword 13
19311 .xword 12
19312 .xword 10
19313 .xword 1
19314 .xword 2
19315 .xword 13
19316 .xword 12
19317 .xword 12
19318 .xword 13
19319 .xword 12
19320 .xword 2
19321 .xword 12
19322 .xword 3
19323 .xword 13
19324 .xword 13
19325 .xword 12
19326 .xword 15
19327 .xword 7
19328 .xword 4
19329 .xword 0
19330 .xword 12
19331 .xword 8
19332 .xword 4
19333 .xword 7
19334 .xword 1
19335 .xword 13
19336 .xword 13
19337 .xword 8
19338 .xword 7
19339 .xword 14
19340 .xword 5
19341 .xword 5
19342 .xword 12
19343 .xword 7
19344 .xword 11
19345 .xword 12
19346 .xword 1
19347 .xword 9
19348 .xword 11
19349 .xword 8
19350 .xword 4
19351 .xword 2
19352 .xword 10
19353 .xword 2
19354 .xword 0
19355 .xword 3
19356 .xword 11
19357 .xword 4
19358 .xword 11
19359 .xword 14
19360 .xword 11
19361 .xword 15
19362 .xword 4
19363 .xword 13
19364 .xword 1
19365 .xword 0
19366 .xword 0
19367 .xword 14
19368 .xword 9
19369 .xword 10
19370 .xword 1
19371 .xword 10
19372 .xword 13
19373 .xword 14
19374 .xword 15
19375 .xword 11
19376 .xword 6
19377 .xword 6
19378 .xword 7
19379 .xword 0
19380 .xword 3
19381 .xword 7
19382 .xword 2
19383 .xword 3
19384 .xword 9
19385 .xword 5
19386 .xword 3
19387 .xword 2
19388 .xword 8
19389 .xword 9
19390 .xword 2
19391.align 16
19392_t1_des_src:
19393 .xword 0x2b3bdf8ee019cb67
19394 .xword 0xc0322e8f466bfb6d
19395 .xword 0x6748d2bee5040535
19396 .xword 0xddb611783db6e3ab
19397 .xword 0x7395311cda651b85
19398 .xword 0x7af5358676bd8db4
19399 .xword 0x7fde79521d450767
19400 .xword 0x06e33a703d9e1358
19401 .xword 0x218a54277291a181
19402 .xword 0x18a2c8969793cf22
19403 .xword 0xb02bc3343df13ea9
19404 .xword 0xe9067d73b1fb0ac5
19405 .xword 0xf2efd2cecfc6997e
19406 .xword 0x52a213d5b67d9405
19407 .xword 0xf0189ed213f35671
19408 .xword 0x835738ba44cc3adb
19409 .xword 0x5aeb547633cbd816
19410 .xword 0xd74f3b4eb1127a55
19411 .xword 0xace306644c7b7f0f
19412 .xword 0xdcf155a4bbc34155
19413 .xword 0x6964ed5489a657d2
19414 .xword 0x889b8f7fae4018d8
19415 .xword 0xbcf35cf93427f03c
19416 .xword 0x9dfa3d3215561bff
19417 .xword 0xb1f624027341f4ff
19418 .xword 0xeba5232aa0358c42
19419 .xword 0x19ae66304c3f2208
19420 .xword 0xf38eeb3babea176d
19421 .xword 0x7d3a27c2101797b6
19422 .xword 0x51929ab76c2f8e5e
19423 .xword 0x407193c69b856e8e
19424 .xword 0x690aa8bec9007b1a
19425 .xword 0x083b567b58f98e1a
19426 .xword 0x95bd5afb1c932ad4
19427 .xword 0x73134ee3a61f70a6
19428 .xword 0x072c47c6fd6ef5bb
19429 .xword 0x3aa517e35a7c6b24
19430 .xword 0x5975daa0c8384ba5
19431 .xword 0x9ef5dc275c9a9434
19432 .xword 0x93a0b19de12eba5c
19433 .xword 0x7a7c2c6657d9ab67
19434 .xword 0x8a5c8e34563d1431
19435 .xword 0x1b4e352fe7fb1184
19436 .xword 0xb98b9588a7d6e5ed
19437 .xword 0x9bd0c49fb3cf7bf1
19438 .xword 0x9acdd76bdff44fb4
19439 .xword 0xcb1b508848ce1fe3
19440 .xword 0xd0851603b55c3fec
19441 .xword 0x28cef7c0ccbe9e62
19442 .xword 0x586786d2c6e725fc
19443 .xword 0xa634867baff24a95
19444 .xword 0xd038d531ec7b22dc
19445 .xword 0xb66572f2d3d99ff3
19446 .xword 0x3a458cbb6a93bf09
19447 .xword 0xb8825ff9b6246d0f
19448 .xword 0x1723551b5d732ca1
19449 .xword 0x4ee55730094a0aed
19450 .xword 0x2e2500d4db02bb3b
19451 .xword 0xfa2c912c424310f3
19452 .xword 0x8ee10c40cba6218a
19453 .xword 0xefc02a678375d3a3
19454 .xword 0xd623c774dc3ca6f5
19455 .xword 0x5e94daff2327a5b3
19456 .xword 0x494e81d3137d56db
19457 .xword 0xf440b7ec2b133de1
19458 .xword 0x31f05e07bbd97110
19459 .xword 0x34f620588023248b
19460 .xword 0x3448da530d0d4ff4
19461 .xword 0xacf3b33ebe3b1140
19462 .xword 0x5ad172fdf1b601c3
19463 .xword 0xfacf46961d3f74f5
19464 .xword 0x5f47a109128d660d
19465 .xword 0x207af1f298950940
19466 .xword 0x1e927e5c4f908b63
19467 .xword 0x1da80244ae455783
19468 .xword 0x09ee8eed3e81da7c
19469 .xword 0xba0fbbf8381428f9
19470 .xword 0x5ff9d45295a768c5
19471 .xword 0x302fae50589c1eb8
19472 .xword 0xfa776ced71db4db8
19473 .xword 0x7e0d98fb0326ec6a
19474 .xword 0x8f143b9f4bad5e83
19475 .xword 0xcb67a196431064de
19476 .xword 0x3fa19387f4a71258
19477 .xword 0xfac3dfb7c4ec48e5
19478 .xword 0x6dd79f2b17ec5ef6
19479 .xword 0xc25c537b9c698636
19480 .xword 0x7d3e4149d269d445
19481 .xword 0x0d3406348cc87cfd
19482 .xword 0x981a35c1bf7410c6
19483 .xword 0x2041ac4bcfc85d58
19484 .xword 0x6306cdfc733d4987
19485 .xword 0x083455bfe3e48e91
19486 .xword 0x2dcc1a49b28dfd4d
19487 .xword 0x2f79a821fbc2677e
19488 .xword 0x7f33e5fa836c7e1b
19489 .xword 0x4572052a5805a34b
19490 .xword 0x49d8fe972fa36f8b
19491 .xword 0x5191d9b11ab71b49
19492 .xword 0xb571ba9fa83eb78e
19493 .xword 0xececc608e2f53ed6
19494 .xword 0xc17c9dc61183a900
19495 .xword 0xc36c84baaa4cd979
19496 .xword 0x9d75de65841c2268
19497 .xword 0x474e1696fca04923
19498 .xword 0x6e1219704ab60c21
19499 .xword 0x067079852e0a1763
19500 .xword 0x14d2985c124b7f02
19501 .xword 0x0d1be8fdd1a463f5
19502 .xword 0xf8762e7d2039d015
19503 .xword 0xda5e1c02c36ad795
19504 .xword 0x2d00014d16c7a427
19505 .xword 0xe4133eb077a221dd
19506 .xword 0xf1fc15e22dceae3f
19507 .xword 0x330b2b984d2a0960
19508 .xword 0xa1e867e3bec42cd3
19509 .xword 0xe36a97577cee2ac2
19510 .xword 0xd22d501d03187818
19511 .xword 0x3d02a51edf50f7f0
19512 .xword 0xcfa334987e156c67
19513 .xword 0x1a14060398309c61
19514 .xword 0xc9af100b92b665cd
19515 .xword 0x03825830112c52e0
19516 .xword 0xa402da710e04e723
19517 .xword 0x43bf1c0b630941ab
19518 .xword 0x76d13772de7b4c49
19519 .xword 0x4445aa8babfa6d87
19520 .xword 0x1a9e11c4eadc251c
19521 .xword 0xa5eef09fcee14c13
19522 .xword 0x858c341aa319cb45
19523 .xword 0x2214577d491091a1
19524 .xword 0x55f555dacb452634
19525 .xword 0x3fa75bd257eeb6cb
19526 .xword 0x0f439a384112cabe
19527 .xword 0x8d38f252418e90b4
19528 .xword 0xd546a0da72e73b8f
19529 .xword 0x0b853054e148a1df
19530 .xword 0xd455d3e433f89eee
19531 .xword 0x66fe410e514c56cd
19532 .xword 0x4e6c7cc501a9f614
19533 .xword 0xa003274411867ad4
19534 .xword 0x1cc0ed38988e53e5
19535 .xword 0x05c3cf7aa46e8f4e
19536 .xword 0xe1409303accb2820
19537 .xword 0x3fe7912ae54a5a5b
19538 .xword 0x81fbea4723e3bdb1
19539 .xword 0x0f27dbd90c31c45c
19540 .xword 0x870ee6b218256aae
19541 .xword 0x741f145bb01c5e4f
19542 .xword 0x910505582f8e9749
19543 .xword 0x3e6c162a6ffc96de
19544 .xword 0xd0f3fb98415180fc
19545 .xword 0x6072ae8bb520a9dd
19546 .xword 0xb12884f8f81f24ff
19547 .xword 0x4bcac294182cb5c0
19548 .xword 0x067f94656d5321a3
19549 .xword 0xd82570d0647d3e24
19550 .xword 0x362fe39b134f7ae9
19551 .xword 0x9fcfb8dc2b7fa57e
19552 .xword 0x75249b9809cc747b
19553 .xword 0x629f1e32d4ffcb11
19554 .xword 0x17d4b6b3dfac11bd
19555 .xword 0x3e0ff594795448d6
19556 .xword 0x2cbdade045112aec
19557 .xword 0x01df1ea6869bc8d1
19558 .xword 0xe45e133d811a2071
19559 .xword 0x6206db8ee9989b43
19560 .xword 0x3c9bf24cffc3d4b1
19561 .xword 0xcd18ba5167db29f2
19562 .xword 0x0f8ec23e01cfcba5
19563 .xword 0x3150a3efc9fb33f3
19564 .xword 0xbc886df502c71626
19565 .xword 0x0d56ff0f6f912744
19566 .xword 0xd710051e9007db74
19567 .xword 0x6a7cb4ff1084d335
19568 .xword 0x4646bc571363bc93
19569 .xword 0xb3136b54a2c88adb
19570 .xword 0x77d8c3d851cb013a
19571 .xword 0x084e1a583ad7edcd
19572 .xword 0xe6ed9aafb94fd1e4
19573 .xword 0x6a4e582a0c43d4e6
19574 .xword 0xef537ae9cb94222a
19575 .xword 0x23d2caf3608fbeac
19576 .xword 0x0a83890e8c861a38
19577 .xword 0x77b780d364d1f710
19578 .xword 0xca4327b2451a020b
19579 .xword 0x604503e1df3b1c31
19580 .xword 0x5f783fe2274afee1
19581 .xword 0x168d1e29e85a35f1
19582 .xword 0x700a9da4dc001b44
19583 .xword 0x8680b610e10ea5ba
19584 .xword 0xd63261bb9eac6473
19585 .xword 0x46f87ae81974bc8c
19586 .xword 0x1a20384acf7e7ec9
19587 .xword 0xea8e44f3a5e955f9
19588 .xword 0xfd7926212ebdb819
19589 .xword 0x904c001561e259b0
19590 .xword 0x67c6a9cddf09f106
19591 .xword 0xb3d673caf5790b86
19592 .xword 0xad31d19ab9638115
19593 .xword 0x3cfaed2bf8646298
19594 .xword 0xf36e8ae0792de838
19595 .xword 0x1c65be35f3c13b6b
19596 .xword 0xb6ec7e0df0900ec1
19597 .xword 0x471ccc0c0cf131df
19598 .xword 0x6a304d3f727dbe3f
19599 .xword 0x7f47599ef1463e0f
19600 .xword 0x738cfbda9e20bc39
19601 .xword 0x93ade730c99ec3a6
19602 .xword 0xf1f00e3de2598238
19603 .xword 0xcb94644164a92c39
19604 .xword 0xacf74be88e024cda
19605 .xword 0x337d46dc6bc170c4
19606 .xword 0x304da787e6ceb83a
19607 .xword 0x70340641dc980574
19608 .xword 0xdab1e30d5eec9b98
19609 .xword 0x81fde918085c3ed5
19610 .xword 0x1684b484f179f831
19611 .xword 0xe42c87bde3f1e0d7
19612 .xword 0x014549971dff2bbc
19613 .xword 0x0b623556c3f0107c
19614 .xword 0x691cc20ad07d8e7e
19615 .xword 0x68eea024b2188136
19616 .xword 0xa1eefc611392657e
19617 .xword 0xf7789ce4cd5f9cd2
19618 .xword 0xd91470ee66e7a1fb
19619 .xword 0xfed8f596c65b584c
19620 .xword 0x670377fa56d97727
19621 .xword 0xdf0fc54ba2d3ed35
19622 .xword 0xe6a8bfbf29f450d1
19623 .xword 0xbc900f351501f760
19624 .xword 0xdc9dff4ccefcc915
19625 .xword 0xe92915efefe3fe50
19626 .xword 0xfdbb77fa1e98882f
19627 .xword 0x9e26a42204f7fa15
19628 .xword 0x37d620f6b46b5fbf
19629 .xword 0x6b3061fbfbcd0eba
19630 .xword 0xbc9963d36594cf5f
19631 .xword 0x2bd5c18176433420
19632 .xword 0xa550b7982a5cbfce
19633 .xword 0x39cc2216ddc5a0f4
19634 .xword 0xbee5c576cf378d0a
19635 .xword 0xcc1556f07d4c7608
19636 .xword 0xe7695aa2b5d6ae1e
19637 .xword 0x33934efded0b85aa
19638 .xword 0xb53e47c59d93b972
19639 .xword 0x035a0452fe61f186
19640 .xword 0x8d3284597588e57b
19641 .xword 0x4f73f4745a9c54ad
19642 .xword 0x77f3da9fc744d59c
19643 .xword 0x75b742c44b43a7b9
19644 .xword 0xc33eea8817001748
19645 .xword 0xe468a53be48a2d7d
19646 .xword 0x03accc8e2bc2a0ae
19647 .xword 0xcc9977ff57339d84
19648 .xword 0x1912292749bff5e5
19649 .xword 0xc9a7c279088b0b97
19650 .xword 0xb3768770e3d2fa5e
19651 .xword 0x10feea44e51c5f71
19652 .xword 0xa9d63a440a4a1c3f
19653 .xword 0x8891ad8b49706b22
19654 .xword 0x0bd28a2fb046811c
19655 .xword 0x3bdff182bef4cb46
19656 .xword 0x2f5c3c5a0c800a95
19657 .xword 0xaa182cfef28d3982
19658 .xword 0xf3d0dfc493f31387
19659 .xword 0xdaf713b5251a9fbf
19660 .xword 0x2c30ececd63a83e3
19661 .xword 0xc024fbaed770533f
19662 .xword 0x63a3b4ca9bbadf35
19663 .xword 0xab0b09357f10fbc4
19664 .xword 0xdc8df9dec0d990ff
19665 .xword 0xa6654fca7cfc6187
19666 .xword 0xc719f438f8cf2d14
19667 .xword 0xa1809c39b5af1c0d
19668.align 16
19669_t1_des_dest:
19670 .xword 0xDEADBEEFDEADBEEF
19671 .xword 0xDEADBEEFDEADBEEF
19672 .xword 0xDEADBEEFDEADBEEF
19673 .xword 0xDEADBEEFDEADBEEF
19674 .xword 0xDEADBEEFDEADBEEF
19675 .xword 0xDEADBEEFDEADBEEF
19676 .xword 0xDEADBEEFDEADBEEF
19677 .xword 0xDEADBEEFDEADBEEF
19678 .xword 0xDEADBEEFDEADBEEF
19679 .xword 0xDEADBEEFDEADBEEF
19680 .xword 0xDEADBEEFDEADBEEF
19681 .xword 0xDEADBEEFDEADBEEF
19682 .xword 0xDEADBEEFDEADBEEF
19683 .xword 0xDEADBEEFDEADBEEF
19684 .xword 0xDEADBEEFDEADBEEF
19685 .xword 0xDEADBEEFDEADBEEF
19686 .xword 0xDEADBEEFDEADBEEF
19687 .xword 0xDEADBEEFDEADBEEF
19688 .xword 0xDEADBEEFDEADBEEF
19689 .xword 0xDEADBEEFDEADBEEF
19690 .xword 0xDEADBEEFDEADBEEF
19691 .xword 0xDEADBEEFDEADBEEF
19692 .xword 0xDEADBEEFDEADBEEF
19693 .xword 0xDEADBEEFDEADBEEF
19694 .xword 0xDEADBEEFDEADBEEF
19695 .xword 0xDEADBEEFDEADBEEF
19696 .xword 0xDEADBEEFDEADBEEF
19697 .xword 0xDEADBEEFDEADBEEF
19698 .xword 0xDEADBEEFDEADBEEF
19699 .xword 0xDEADBEEFDEADBEEF
19700 .xword 0xDEADBEEFDEADBEEF
19701 .xword 0xDEADBEEFDEADBEEF
19702 .xword 0xDEADBEEFDEADBEEF
19703 .xword 0xDEADBEEFDEADBEEF
19704 .xword 0xDEADBEEFDEADBEEF
19705 .xword 0xDEADBEEFDEADBEEF
19706 .xword 0xDEADBEEFDEADBEEF
19707 .xword 0xDEADBEEFDEADBEEF
19708 .xword 0xDEADBEEFDEADBEEF
19709 .xword 0xDEADBEEFDEADBEEF
19710 .xword 0xDEADBEEFDEADBEEF
19711 .xword 0xDEADBEEFDEADBEEF
19712 .xword 0xDEADBEEFDEADBEEF
19713 .xword 0xDEADBEEFDEADBEEF
19714 .xword 0xDEADBEEFDEADBEEF
19715 .xword 0xDEADBEEFDEADBEEF
19716 .xword 0xDEADBEEFDEADBEEF
19717 .xword 0xDEADBEEFDEADBEEF
19718 .xword 0xDEADBEEFDEADBEEF
19719 .xword 0xDEADBEEFDEADBEEF
19720 .xword 0xDEADBEEFDEADBEEF
19721 .xword 0xDEADBEEFDEADBEEF
19722 .xword 0xDEADBEEFDEADBEEF
19723 .xword 0xDEADBEEFDEADBEEF
19724 .xword 0xDEADBEEFDEADBEEF
19725 .xword 0xDEADBEEFDEADBEEF
19726 .xword 0xDEADBEEFDEADBEEF
19727 .xword 0xDEADBEEFDEADBEEF
19728 .xword 0xDEADBEEFDEADBEEF
19729 .xword 0xDEADBEEFDEADBEEF
19730 .xword 0xDEADBEEFDEADBEEF
19731 .xword 0xDEADBEEFDEADBEEF
19732 .xword 0xDEADBEEFDEADBEEF
19733 .xword 0xDEADBEEFDEADBEEF
19734 .xword 0xDEADBEEFDEADBEEF
19735 .xword 0xDEADBEEFDEADBEEF
19736 .xword 0xDEADBEEFDEADBEEF
19737 .xword 0xDEADBEEFDEADBEEF
19738 .xword 0xDEADBEEFDEADBEEF
19739 .xword 0xDEADBEEFDEADBEEF
19740 .xword 0xDEADBEEFDEADBEEF
19741 .xword 0xDEADBEEFDEADBEEF
19742 .xword 0xDEADBEEFDEADBEEF
19743 .xword 0xDEADBEEFDEADBEEF
19744 .xword 0xDEADBEEFDEADBEEF
19745 .xword 0xDEADBEEFDEADBEEF
19746 .xword 0xDEADBEEFDEADBEEF
19747 .xword 0xDEADBEEFDEADBEEF
19748 .xword 0xDEADBEEFDEADBEEF
19749 .xword 0xDEADBEEFDEADBEEF
19750 .xword 0xDEADBEEFDEADBEEF
19751 .xword 0xDEADBEEFDEADBEEF
19752 .xword 0xDEADBEEFDEADBEEF
19753 .xword 0xDEADBEEFDEADBEEF
19754 .xword 0xDEADBEEFDEADBEEF
19755 .xword 0xDEADBEEFDEADBEEF
19756 .xword 0xDEADBEEFDEADBEEF
19757 .xword 0xDEADBEEFDEADBEEF
19758 .xword 0xDEADBEEFDEADBEEF
19759 .xword 0xDEADBEEFDEADBEEF
19760 .xword 0xDEADBEEFDEADBEEF
19761 .xword 0xDEADBEEFDEADBEEF
19762 .xword 0xDEADBEEFDEADBEEF
19763 .xword 0xDEADBEEFDEADBEEF
19764 .xword 0xDEADBEEFDEADBEEF
19765 .xword 0xDEADBEEFDEADBEEF
19766 .xword 0xDEADBEEFDEADBEEF
19767 .xword 0xDEADBEEFDEADBEEF
19768 .xword 0xDEADBEEFDEADBEEF
19769 .xword 0xDEADBEEFDEADBEEF
19770 .xword 0xDEADBEEFDEADBEEF
19771 .xword 0xDEADBEEFDEADBEEF
19772 .xword 0xDEADBEEFDEADBEEF
19773 .xword 0xDEADBEEFDEADBEEF
19774 .xword 0xDEADBEEFDEADBEEF
19775 .xword 0xDEADBEEFDEADBEEF
19776 .xword 0xDEADBEEFDEADBEEF
19777 .xword 0xDEADBEEFDEADBEEF
19778 .xword 0xDEADBEEFDEADBEEF
19779 .xword 0xDEADBEEFDEADBEEF
19780 .xword 0xDEADBEEFDEADBEEF
19781 .xword 0xDEADBEEFDEADBEEF
19782 .xword 0xDEADBEEFDEADBEEF
19783 .xword 0xDEADBEEFDEADBEEF
19784 .xword 0xDEADBEEFDEADBEEF
19785 .xword 0xDEADBEEFDEADBEEF
19786 .xword 0xDEADBEEFDEADBEEF
19787 .xword 0xDEADBEEFDEADBEEF
19788 .xword 0xDEADBEEFDEADBEEF
19789 .xword 0xDEADBEEFDEADBEEF
19790 .xword 0xDEADBEEFDEADBEEF
19791 .xword 0xDEADBEEFDEADBEEF
19792 .xword 0xDEADBEEFDEADBEEF
19793 .xword 0xDEADBEEFDEADBEEF
19794 .xword 0xDEADBEEFDEADBEEF
19795 .xword 0xDEADBEEFDEADBEEF
19796 .xword 0xDEADBEEFDEADBEEF
19797 .xword 0xDEADBEEFDEADBEEF
19798 .xword 0xDEADBEEFDEADBEEF
19799 .xword 0xDEADBEEFDEADBEEF
19800 .xword 0xDEADBEEFDEADBEEF
19801 .xword 0xDEADBEEFDEADBEEF
19802 .xword 0xDEADBEEFDEADBEEF
19803 .xword 0xDEADBEEFDEADBEEF
19804 .xword 0xDEADBEEFDEADBEEF
19805 .xword 0xDEADBEEFDEADBEEF
19806 .xword 0xDEADBEEFDEADBEEF
19807 .xword 0xDEADBEEFDEADBEEF
19808 .xword 0xDEADBEEFDEADBEEF
19809 .xword 0xDEADBEEFDEADBEEF
19810 .xword 0xDEADBEEFDEADBEEF
19811 .xword 0xDEADBEEFDEADBEEF
19812 .xword 0xDEADBEEFDEADBEEF
19813 .xword 0xDEADBEEFDEADBEEF
19814 .xword 0xDEADBEEFDEADBEEF
19815 .xword 0xDEADBEEFDEADBEEF
19816 .xword 0xDEADBEEFDEADBEEF
19817 .xword 0xDEADBEEFDEADBEEF
19818 .xword 0xDEADBEEFDEADBEEF
19819 .xword 0xDEADBEEFDEADBEEF
19820 .xword 0xDEADBEEFDEADBEEF
19821 .xword 0xDEADBEEFDEADBEEF
19822 .xword 0xDEADBEEFDEADBEEF
19823 .xword 0xDEADBEEFDEADBEEF
19824 .xword 0xDEADBEEFDEADBEEF
19825 .xword 0xDEADBEEFDEADBEEF
19826 .xword 0xDEADBEEFDEADBEEF
19827 .xword 0xDEADBEEFDEADBEEF
19828 .xword 0xDEADBEEFDEADBEEF
19829 .xword 0xDEADBEEFDEADBEEF
19830 .xword 0xDEADBEEFDEADBEEF
19831 .xword 0xDEADBEEFDEADBEEF
19832 .xword 0xDEADBEEFDEADBEEF
19833 .xword 0xDEADBEEFDEADBEEF
19834 .xword 0xDEADBEEFDEADBEEF
19835 .xword 0xDEADBEEFDEADBEEF
19836 .xword 0xDEADBEEFDEADBEEF
19837 .xword 0xDEADBEEFDEADBEEF
19838 .xword 0xDEADBEEFDEADBEEF
19839 .xword 0xDEADBEEFDEADBEEF
19840 .xword 0xDEADBEEFDEADBEEF
19841 .xword 0xDEADBEEFDEADBEEF
19842 .xword 0xDEADBEEFDEADBEEF
19843 .xword 0xDEADBEEFDEADBEEF
19844 .xword 0xDEADBEEFDEADBEEF
19845 .xword 0xDEADBEEFDEADBEEF
19846 .xword 0xDEADBEEFDEADBEEF
19847 .xword 0xDEADBEEFDEADBEEF
19848 .xword 0xDEADBEEFDEADBEEF
19849 .xword 0xDEADBEEFDEADBEEF
19850 .xword 0xDEADBEEFDEADBEEF
19851 .xword 0xDEADBEEFDEADBEEF
19852 .xword 0xDEADBEEFDEADBEEF
19853 .xword 0xDEADBEEFDEADBEEF
19854 .xword 0xDEADBEEFDEADBEEF
19855 .xword 0xDEADBEEFDEADBEEF
19856 .xword 0xDEADBEEFDEADBEEF
19857 .xword 0xDEADBEEFDEADBEEF
19858 .xword 0xDEADBEEFDEADBEEF
19859 .xword 0xDEADBEEFDEADBEEF
19860 .xword 0xDEADBEEFDEADBEEF
19861 .xword 0xDEADBEEFDEADBEEF
19862 .xword 0xDEADBEEFDEADBEEF
19863 .xword 0xDEADBEEFDEADBEEF
19864 .xword 0xDEADBEEFDEADBEEF
19865 .xword 0xDEADBEEFDEADBEEF
19866 .xword 0xDEADBEEFDEADBEEF
19867 .xword 0xDEADBEEFDEADBEEF
19868 .xword 0xDEADBEEFDEADBEEF
19869 .xword 0xDEADBEEFDEADBEEF
19870 .xword 0xDEADBEEFDEADBEEF
19871 .xword 0xDEADBEEFDEADBEEF
19872 .xword 0xDEADBEEFDEADBEEF
19873 .xword 0xDEADBEEFDEADBEEF
19874 .xword 0xDEADBEEFDEADBEEF
19875 .xword 0xDEADBEEFDEADBEEF
19876 .xword 0xDEADBEEFDEADBEEF
19877 .xword 0xDEADBEEFDEADBEEF
19878 .xword 0xDEADBEEFDEADBEEF
19879 .xword 0xDEADBEEFDEADBEEF
19880 .xword 0xDEADBEEFDEADBEEF
19881 .xword 0xDEADBEEFDEADBEEF
19882 .xword 0xDEADBEEFDEADBEEF
19883 .xword 0xDEADBEEFDEADBEEF
19884 .xword 0xDEADBEEFDEADBEEF
19885 .xword 0xDEADBEEFDEADBEEF
19886 .xword 0xDEADBEEFDEADBEEF
19887 .xword 0xDEADBEEFDEADBEEF
19888 .xword 0xDEADBEEFDEADBEEF
19889 .xword 0xDEADBEEFDEADBEEF
19890 .xword 0xDEADBEEFDEADBEEF
19891 .xword 0xDEADBEEFDEADBEEF
19892 .xword 0xDEADBEEFDEADBEEF
19893 .xword 0xDEADBEEFDEADBEEF
19894 .xword 0xDEADBEEFDEADBEEF
19895 .xword 0xDEADBEEFDEADBEEF
19896 .xword 0xDEADBEEFDEADBEEF
19897 .xword 0xDEADBEEFDEADBEEF
19898 .xword 0xDEADBEEFDEADBEEF
19899 .xword 0xDEADBEEFDEADBEEF
19900 .xword 0xDEADBEEFDEADBEEF
19901 .xword 0xDEADBEEFDEADBEEF
19902 .xword 0xDEADBEEFDEADBEEF
19903 .xword 0xDEADBEEFDEADBEEF
19904 .xword 0xDEADBEEFDEADBEEF
19905 .xword 0xDEADBEEFDEADBEEF
19906 .xword 0xDEADBEEFDEADBEEF
19907 .xword 0xDEADBEEFDEADBEEF
19908 .xword 0xDEADBEEFDEADBEEF
19909 .xword 0xDEADBEEFDEADBEEF
19910 .xword 0xDEADBEEFDEADBEEF
19911 .xword 0xDEADBEEFDEADBEEF
19912 .xword 0xDEADBEEFDEADBEEF
19913 .xword 0xDEADBEEFDEADBEEF
19914 .xword 0xDEADBEEFDEADBEEF
19915 .xword 0xDEADBEEFDEADBEEF
19916 .xword 0xDEADBEEFDEADBEEF
19917 .xword 0xDEADBEEFDEADBEEF
19918 .xword 0xDEADBEEFDEADBEEF
19919 .xword 0xDEADBEEFDEADBEEF
19920 .xword 0xDEADBEEFDEADBEEF
19921 .xword 0xDEADBEEFDEADBEEF
19922 .xword 0xDEADBEEFDEADBEEF
19923 .xword 0xDEADBEEFDEADBEEF
19924 .xword 0xDEADBEEFDEADBEEF
19925 .xword 0xDEADBEEFDEADBEEF
19926 .xword 0xDEADBEEFDEADBEEF
19927 .xword 0xDEADBEEFDEADBEEF
19928 .xword 0xDEADBEEFDEADBEEF
19929 .xword 0xDEADBEEFDEADBEEF
19930 .xword 0xDEADBEEFDEADBEEF
19931 .xword 0xDEADBEEFDEADBEEF
19932 .xword 0xDEADBEEFDEADBEEF
19933 .xword 0xDEADBEEFDEADBEEF
19934 .xword 0xDEADBEEFDEADBEEF
19935 .xword 0xDEADBEEFDEADBEEF
19936 .xword 0xDEADBEEFDEADBEEF
19937 .xword 0xDEADBEEFDEADBEEF
19938 .xword 0xDEADBEEFDEADBEEF
19939 .xword 0xDEADBEEFDEADBEEF
19940 .xword 0xDEADBEEFDEADBEEF
19941 .xword 0xDEADBEEFDEADBEEF
19942 .xword 0xDEADBEEFDEADBEEF
19943 .xword 0xDEADBEEFDEADBEEF
19944 .xword 0xDEADBEEFDEADBEEF
19945.align 16
19946_t1_des_auth_key:
19947 .xword 0x4705c05ef20828ce
19948 .xword 0xf782cf869fe61a04
19949 .xword 0xb805af25808f0b8d
19950 .xword 0xef253c46f8a0750b
19951 .xword 0x4f206cb35aaaadf2
19952 .xword 0x66a0c5b999d0e9af
19953 .xword 0xfc69057009d1f67e
19954 .xword 0xae3a935983988ea1
19955 .xword 0xd2fae5a8471e8737
19956 .xword 0x7b36143bc542a142
19957 .xword 0x0b2177b0c2b70aa7
19958 .xword 0x6717f810352a77ce
19959 .xword 0xcf0e85fe47e211d3
19960 .xword 0x1a1fc76b0335db57
19961 .xword 0x609df2d3f66df23f
19962 .xword 0xe04434ce632f363d
19963 .xword 0x442976d18fa37bef
19964 .xword 0x67d2b91001219a46
19965 .xword 0x211355a4fd1c36cf
19966 .xword 0xae7bbf6a4c4c2af3
19967 .xword 0x9f093be7576fc9ba
19968 .xword 0xbde771dec3da4422
19969 .xword 0x0c061764c2c23f69
19970.align 16
19971_t1_des_auth_iv:
19972 .xword 0x37016aec862e04d7
19973 .xword 0x16149ebbd8c51107
19974 .xword 0x56122c7cb4b45086
19975 .xword 0xaf77d7a1c216034d
19976 .xword 0xb7cedc277063c89b
19977 .xword 0xf1da94ce08d4b2ab
19978 .xword 0x2cb9448a560081c8
19979 .xword 0x567a108a9fb0d400
19980 .xword 0x24e90ce822b76c77
19981 .xword 0x14f6245e9755f991
19982 .xword 0xc0c94e6f9f275176
19983 .xword 0x8b6cf6ab7e726e0a
19984 .xword 0xa799d3bba288cd07
19985 .xword 0xa4a3f6b3cf7ad9a0
19986 .xword 0xa042d6d54995a32a
19987 .xword 0x137cfca33b578b2f
19988 .xword 0x047bebd7d27f6d11
19989 .xword 0xe6408048d3a54a76
19990 .xword 0x2ba506eb4d01798f
19991 .xword 0x8945545be14f0c82
19992 .xword 0x7b1875faff88e0ea
19993 .xword 0x1568337a15c28a58
19994 .xword 0x9945abe3a4f8e807
19995.align 16
19996_t1_des_fas_result:
19997 .xword 0xDEADBEEFDEADBEEF
19998 .xword 0xDEADBEEFDEADBEEF
19999 .xword 0xDEADBEEFDEADBEEF
20000 .xword 0xDEADBEEFDEADBEEF
20001 .xword 0xDEADBEEFDEADBEEF
20002 .xword 0xDEADBEEFDEADBEEF
20003 .xword 0xDEADBEEFDEADBEEF
20004 .xword 0xDEADBEEFDEADBEEF
20005 .xword 0xDEADBEEFDEADBEEF
20006 .xword 0xDEADBEEFDEADBEEF
20007 .xword 0xDEADBEEFDEADBEEF
20008 .xword 0xDEADBEEFDEADBEEF
20009 .xword 0xDEADBEEFDEADBEEF
20010 .xword 0xDEADBEEFDEADBEEF
20011 .xword 0xDEADBEEFDEADBEEF
20012 .xword 0xDEADBEEFDEADBEEF
20013 .xword 0xDEADBEEFDEADBEEF
20014 .xword 0xDEADBEEFDEADBEEF
20015 .xword 0xDEADBEEFDEADBEEF
20016 .xword 0xDEADBEEFDEADBEEF
20017 .xword 0xDEADBEEFDEADBEEF
20018 .xword 0xDEADBEEFDEADBEEF
20019 .xword 0xDEADBEEFDEADBEEF
20020.align 16
20021_t1_copy_key_array:
20022 .xword 0x874a692bb689d050
20023 .xword 0xa4a937e8e8cc3793
20024 .xword 0x6f356fac9fee8994
20025 .xword 0xfed0ee4b01a6f584
20026 .xword 0x80afcd89ec95ae3b
20027 .xword 0x98452f238ac36cad
20028 .xword 0x77fd1b4f2b310a60
20029 .xword 0x2ca97b03c30682a3
20030 .xword 0x5901c4aef6a4cbfc
20031 .xword 0xd9d59da8851fca72
20032 .xword 0xfb6a56c875f07977
20033 .xword 0xc70dec82cfe60049
20034 .xword 0x7f103545c79f2d6f
20035 .xword 0x5f652e1354d6e5dd
20036 .xword 0x18465fa91b0ca3ca
20037 .xword 0x16728bf7b5ee1a1c
20038 .xword 0x4b920ee86cdfcac4
20039 .xword 0x647de556aa84cbdc
20040 .xword 0x5e9c5869df61ed21
20041 .xword 0x5841dbe0a4cc03b8
20042 .xword 0x7dbc79e91991aa56
20043 .xword 0x5c18d45404bd4db8
20044 .xword 0x281bb7398f4be221
20045 .xword 0x43df7b9297a2075f
20046 .xword 0xaafd4b250d83580c
20047 .xword 0x87d3c13b5a00645e
20048 .xword 0x9570632a7a1930a4
20049 .xword 0x401f89ec81e46170
20050 .xword 0xebf6b7f073235bb3
20051 .xword 0x36255a859447ab1f
20052 .xword 0xae362f81039115ec
20053 .xword 0x06cb6d8b4c2b2fa2
20054 .xword 0x22c689fb8077e976
20055 .xword 0x4084ecaae5a1f032
20056 .xword 0xe654e817b91dbb86
20057 .xword 0xd5ced38c43b22440
20058 .xword 0xcdcca1ac1f9117cc
20059 .xword 0x7acb4b6cd8f785c4
20060 .xword 0x66ae6f0fe10b0113
20061 .xword 0x8dd7f46d6ef7a6bd
20062 .xword 0x230e83b5c6b93785
20063 .xword 0x497461fc7759b691
20064 .xword 0x32ac8c3b591c52fc
20065 .xword 0x8b5f06101603050d
20066 .xword 0x761c61be7ff0aee5
20067 .xword 0x9a1a97e0cd0b2615
20068 .xword 0xfdca893895b9dd2e
20069 .xword 0xe0ad54b2f8577311
20070 .xword 0x178f0ac0e9112046
20071 .xword 0x1d01c0686fc6b3b5
20072 .xword 0x49dd3bc2dec24dfc
20073.align 16
20074_t1_copy_iv_array:
20075 .xword 0x3778f0719ee03dfb
20076 .xword 0x7e05e8cad58d126e
20077 .xword 0xa04ce1d4e455c3e8
20078 .xword 0x7c11689b741b4d6f
20079 .xword 0xe54f08b352465a5b
20080 .xword 0x04a177c268932b37
20081 .xword 0xcda0f324ef1ace17
20082 .xword 0xf2cdd7ef5cd179f9
20083 .xword 0x3cf22d248e2050cd
20084 .xword 0x6514685357374e79
20085 .xword 0x5fc85da2fae461be
20086 .xword 0x3681e84824d3641c
20087 .xword 0x5f730817612ac1e2
20088 .xword 0x91805a1bc8aa5a8d
20089 .xword 0x65c8842253335e7e
20090 .xword 0x2f0253a57c68d489
20091 .xword 0xb1710b68695edd77
20092 .xword 0x44fc8d62e02e5c1a
20093 .xword 0x38f18535c47eab3b
20094 .xword 0x7c78194de8829608
20095 .xword 0x345a99cbf9871b0f
20096 .xword 0x50cc8d4d4412b608
20097 .xword 0xd86df46577fe1ce1
20098 .xword 0xae83b3d1ad447381
20099 .xword 0x3d3690473c9535f8
20100 .xword 0x6715365caa781a34
20101 .xword 0x1f52cd812a4a06de
20102 .xword 0x82030e4afbd8340f
20103 .xword 0x0d12bf81b7a62894
20104 .xword 0xaff5dde8a40e22b5
20105 .xword 0x014df0cf8a54f3bf
20106 .xword 0xbbad4f557179886a
20107 .xword 0x4a57f013f77ce732
20108 .xword 0xadb6da133abc9ab1
20109 .xword 0x38974a7c509528d1
20110 .xword 0x23651f7dc3b33ae7
20111 .xword 0x21e615c960e99f39
20112 .xword 0xd4fa3b066300a8bc
20113 .xword 0xf0c5de9dd4cd14ed
20114 .xword 0xf76d98e0019431d4
20115 .xword 0x4d6170c77851139f
20116 .xword 0xdcf11f9ffd56a5cc
20117 .xword 0x36752d06bb030c12
20118 .xword 0x99e02850c16341af
20119 .xword 0x25930b4f16fb6ea3
20120.align 16
20121_t1_copy_alignment_array:
20122 .xword 12
20123 .xword 13
20124 .xword 13
20125 .xword 14
20126 .xword 5
20127 .xword 2
20128 .xword 0
20129 .xword 8
20130 .xword 10
20131 .xword 15
20132 .xword 15
20133 .xword 4
20134 .xword 7
20135 .xword 7
20136 .xword 9
20137 .xword 10
20138 .xword 8
20139 .xword 2
20140 .xword 6
20141 .xword 8
20142 .xword 5
20143 .xword 0
20144 .xword 1
20145 .xword 8
20146 .xword 12
20147 .xword 0
20148 .xword 5
20149 .xword 14
20150 .xword 8
20151 .xword 14
20152 .xword 12
20153 .xword 2
20154 .xword 7
20155 .xword 6
20156 .xword 9
20157 .xword 7
20158 .xword 9
20159 .xword 14
20160 .xword 11
20161 .xword 6
20162 .xword 12
20163 .xword 4
20164 .xword 11
20165 .xword 11
20166 .xword 12
20167 .xword 7
20168 .xword 9
20169 .xword 1
20170 .xword 11
20171 .xword 7
20172 .xword 1
20173 .xword 11
20174 .xword 3
20175 .xword 4
20176 .xword 6
20177 .xword 15
20178 .xword 15
20179 .xword 9
20180 .xword 9
20181 .xword 4
20182 .xword 15
20183 .xword 15
20184 .xword 1
20185 .xword 5
20186 .xword 8
20187 .xword 4
20188 .xword 3
20189 .xword 7
20190 .xword 12
20191 .xword 8
20192 .xword 14
20193 .xword 2
20194 .xword 1
20195 .xword 1
20196 .xword 14
20197 .xword 11
20198 .xword 1
20199 .xword 8
20200 .xword 14
20201 .xword 10
20202 .xword 15
20203 .xword 10
20204 .xword 6
20205 .xword 14
20206 .xword 5
20207 .xword 6
20208 .xword 15
20209 .xword 6
20210 .xword 4
20211 .xword 10
20212 .xword 2
20213 .xword 15
20214 .xword 0
20215 .xword 5
20216 .xword 5
20217 .xword 2
20218 .xword 1
20219 .xword 3
20220 .xword 11
20221 .xword 3
20222 .xword 12
20223 .xword 7
20224 .xword 0
20225 .xword 10
20226 .xword 10
20227.align 16
20228_t1_copy_src:
20229 .xword 0xdc79bab2abc3bc23
20230 .xword 0x592a389bfc9bbcb9
20231 .xword 0x565502cbff2c3fa3
20232 .xword 0x3c3b398feecd7424
20233 .xword 0x35365f8de2fe16a3
20234 .xword 0xf0b424ff0d16dc21
20235 .xword 0x2ef5f64e98d37de4
20236 .xword 0x06fc909a5225c627
20237 .xword 0x662d2a8566d967f9
20238 .xword 0x4fa43be16d6d6ddc
20239 .xword 0xca97797a3e3f0dd5
20240 .xword 0x88e0afa7019196b4
20241 .xword 0xe023e3fbcae2607b
20242 .xword 0x820f0d471c109179
20243 .xword 0xe9ad9ed4b040f443
20244 .xword 0xab0480ffa1cc7d26
20245 .xword 0x7b605a892007102c
20246 .xword 0x1f154246a25609b6
20247 .xword 0xc24f8a7959d0f640
20248 .xword 0xa0b4ec2ebfa268bc
20249 .xword 0x9e5422d1a39674a2
20250 .xword 0x145dd2c097b20a03
20251 .xword 0x654342206cf2fd47
20252 .xword 0x6de3a6beff2867dd
20253 .xword 0x34f1f6b9f3e8e14a
20254 .xword 0x359afbb4b9ad8deb
20255 .xword 0xa9ec8951a5dccb27
20256 .xword 0xec8aba7b018e4b11
20257 .xword 0xeabb2656eefabeee
20258 .xword 0x7502690dff204588
20259 .xword 0x2983f7a75e5e0a75
20260 .xword 0x37be1cc278c5f039
20261 .xword 0x0cbdc7920996a59f
20262 .xword 0x5ebc5b84f8188301
20263 .xword 0x9bd74a7ae0fd1a78
20264 .xword 0xf0f8d16ad93b5dba
20265 .xword 0xcae70c1f47c89080
20266 .xword 0x8859974367fc25fd
20267 .xword 0x7dbc5b5e268868e4
20268 .xword 0xc1efe3bd8875463e
20269 .xword 0x5e21e555a20a6aa6
20270 .xword 0x3fc5d1ee001bf206
20271 .xword 0x9a8e4c40e2905caa
20272 .xword 0xa697186d99d16fcf
20273 .xword 0x4d327060f1c01751
20274 .xword 0xc8341c45e31a68b3
20275 .xword 0xdf6846cafa7cded2
20276 .xword 0x4e1aaf27bc3c5bcc
20277 .xword 0x4e5a2a402058e8a1
20278 .xword 0xa05d1aa11449cbe5
20279 .xword 0x68347caec8066e21
20280 .xword 0x22eacff529532bbe
20281 .xword 0x3ba318bdc06560cb
20282 .xword 0xbcce9e086fac581f
20283 .xword 0xe72c9cc11ed53abc
20284 .xword 0x118457d8178dfb5d
20285 .xword 0xa5b3f0dd0eff0cca
20286 .xword 0x05a00d45932f002e
20287 .xword 0xb8940e144bae8226
20288 .xword 0x25b3c2b6d66e3f7a
20289 .xword 0x1aedc191376fbdb5
20290 .xword 0x1f94f5ba39338315
20291 .xword 0xffd6ddffdc0508a5
20292 .xword 0xad9cce72cca0b067
20293 .xword 0x2c795e883f668eef
20294 .xword 0xab4cafdcf2cda0ed
20295 .xword 0x39893e39ef8e25ae
20296 .xword 0x0dd35145f3c584d0
20297 .xword 0x397481aa82f0b7c6
20298 .xword 0xe887335e36f64d57
20299 .xword 0xa1e34c41360d826c
20300 .xword 0x198d6f92ba0df006
20301 .xword 0xc4cb5c15f12400b7
20302 .xword 0x0192f26491c8e530
20303 .xword 0xd90f88be3795f9d9
20304 .xword 0xbb0a10519c231aa8
20305 .xword 0x8519b4b0ff2c8066
20306 .xword 0xc6993040d0ad7eb1
20307 .xword 0xd1ef8f8a9f099c58
20308 .xword 0x0e5ef7befe0be210
20309 .xword 0x42bc1d05b9065e96
20310 .xword 0x1b3956a5a4e9d019
20311 .xword 0x25aa05bddeb684d0
20312 .xword 0xae1b85375245519f
20313 .xword 0x06ba3e503381fa03
20314 .xword 0x3d8de2c79c7d2e7b
20315 .xword 0x06179b05228334dd
20316 .xword 0xf221b89d5fa533e4
20317 .xword 0x86a506b2904d3d52
20318 .xword 0xee6d03a2ed97076e
20319 .xword 0x0aab9a8df95c6718
20320 .xword 0x38e5bce1b2608191
20321 .xword 0x892158162b74da70
20322 .xword 0xd372748f35c94ebb
20323 .xword 0xc220080b886bb086
20324 .xword 0x4e04a5e998442098
20325 .xword 0xfe927666e5f26b7b
20326 .xword 0xec8b1b1d3bf133ee
20327 .xword 0x9444b5a8164615fd
20328 .xword 0x3cc63e56481eb506
20329 .xword 0x24d4c81ea83f7d62
20330 .xword 0x39608c27713ead96
20331 .xword 0x332e373dd5d4cc1c
20332 .xword 0x8f5f227df7b9065d
20333 .xword 0xce08f6163c995093
20334 .xword 0xc5e2410c7107ea9a
20335 .xword 0xc59eea0229623aa5
20336 .xword 0x5e05330d55f17811
20337 .xword 0x71390de00014f03e
20338 .xword 0xa956de6ee38eb4af
20339 .xword 0x52c45392a8be3345
20340 .xword 0x7cb5ee79c60bc9ae
20341 .xword 0xc53f56220cd4fa14
20342 .xword 0xad34975b1246f50f
20343 .xword 0x56e0240b9a20c1ad
20344 .xword 0x1f668b12f1e75e7a
20345 .xword 0x2bd3d650a92e8fcb
20346 .xword 0x9c88abbea3ac74c8
20347 .xword 0x54d00010e64ba87b
20348 .xword 0x37236aee94efad00
20349 .xword 0x6b60b0ff056f79d3
20350 .xword 0x61d674ccec98b85e
20351 .xword 0x88bbe841ffddc9d9
20352 .xword 0x1d454afb42293ce7
20353 .xword 0xfce0380da6155675
20354 .xword 0x9d14b17aa1e4cb4e
20355 .xword 0x2f4e12d10e5678af
20356 .xword 0xc0a13de452e19dc4
20357 .xword 0xaad092cef33d0e17
20358 .xword 0xd5ec510084e7acf5
20359 .xword 0xfbe0e3cf39a67e12
20360 .xword 0x489fa9a49bbb64a5
20361 .xword 0x40a9ddf30054afcb
20362 .xword 0xb12d21aec0d42b6f
20363 .xword 0x9ebf99ca9abced2a
20364 .xword 0xac3587ca29007c9f
20365 .xword 0xf8e913ff7cd6889a
20366 .xword 0xf00771827fe6fb7f
20367 .xword 0xb9ab8ac4356beda1
20368 .xword 0xf45b40cd240f8a5a
20369 .xword 0xa971afbe4f13c69b
20370 .xword 0x65f46df9176b6d86
20371 .xword 0xc7f9a66af023047b
20372 .xword 0xbca7b601ef0c97c9
20373 .xword 0x2d7862fdedfe558f
20374 .xword 0xa5f2aa9781329538
20375 .xword 0x0291cb59e3e20fb3
20376 .xword 0x3a795b460218fba5
20377 .xword 0x2f26fc000beeb4f9
20378 .xword 0x5a68c55e0dcff2b2
20379 .xword 0x463075f6328579fb
20380 .xword 0x2838fa8fff689186
20381 .xword 0x8edec17de8f5ee61
20382 .xword 0x0ae978f5f1b30373
20383 .xword 0x90af88970f5ef89f
20384 .xword 0x49c9d6dcf1742301
20385 .xword 0x34dfd7571e2750eb
20386 .xword 0xc411a07cba6b4150
20387 .xword 0xc17b767fdd146cb2
20388 .xword 0xc443664d8b91420f
20389 .xword 0x172c871e1a35db6e
20390 .xword 0x074e4738482bd7de
20391 .xword 0x5cf6883adcd9461c
20392 .xword 0x3aa68f615a8022bf
20393 .xword 0x5249ae929d803143
20394 .xword 0x9f325ef998ebf887
20395 .xword 0x374fb1f3fd56d6cf
20396 .xword 0xcd86caf021f2e09b
20397 .xword 0xf3362a653eab1de5
20398 .xword 0x8ae4287cefa004f8
20399 .xword 0x7981fc5c2c192f0f
20400 .xword 0x709f2adcddbfd4b4
20401 .xword 0xf89769a5b623b6ef
20402 .xword 0x584321e24c154738
20403 .xword 0xdea614d5f4030037
20404 .xword 0x80d6eefad3ec473a
20405 .xword 0xa0fde76b9d39a39d
20406 .xword 0x4805cdf1f2c882ef
20407 .xword 0x1b151fd0e036cc1c
20408 .xword 0x1cf17cbe6bfbfbd2
20409 .xword 0x6d0e50fa5fbfc066
20410 .xword 0x773089e7334c89f0
20411 .xword 0xd0c9abc2f8caf2c3
20412 .xword 0xb9a3e9f780493d05
20413 .xword 0x882de6268ca64d78
20414 .xword 0xb05354d34d8fc8af
20415 .xword 0x3ff79b8c14a9c49c
20416 .xword 0xacf832ee397f8153
20417 .xword 0x217a00005083f1ff
20418 .xword 0xe7836577c80d04ba
20419 .xword 0xe6ff8d2602bf044a
20420 .xword 0x7687d4a130fed9a4
20421 .xword 0x212722cea6caceec
20422 .xword 0x20fd3fec8743dbf3
20423 .xword 0x47e5b147ed81acdb
20424 .xword 0x40b638cd145be25e
20425 .xword 0x71d3207132fae79a
20426 .xword 0x99780d4d6e815e33
20427 .xword 0xb0998facec6d963e
20428 .xword 0x8e1eb32d47f9b4c5
20429 .xword 0x69329d594b7efed9
20430 .xword 0xebe31787e7137af3
20431 .xword 0xb4c145d17c87e439
20432 .xword 0xce70c17292ebb67b
20433 .xword 0x385b77a3338f464b
20434 .xword 0x265aa8aa6f75258a
20435 .xword 0xb492a60e0aaf0561
20436 .xword 0xe0428318ff5fef24
20437 .xword 0x4c1638cefca03dee
20438 .xword 0xcf5cac827e5a7e86
20439 .xword 0x6d22c8f9243673d6
20440 .xword 0xaa19be52abee553b
20441 .xword 0x102e81aa1c2644ae
20442 .xword 0x7f4118ad731727a8
20443 .xword 0x7a3bf2d42f54d871
20444 .xword 0xf7cbab3532123197
20445 .xword 0x02a38e592fec7dcd
20446 .xword 0xc23b37184f8fa4e7
20447 .xword 0xe7f8942003674c59
20448 .xword 0xcd4fb5784e79626d
20449 .xword 0x28e0882026e42b0d
20450 .xword 0x7e9809e54ff7159e
20451 .xword 0x083bb8e516293f1e
20452 .xword 0x3df3abb5a349e893
20453 .xword 0x1e7e14cbc0b4633b
20454 .xword 0x233c815fd78bb94f
20455 .xword 0x6cc7c638595aebab
20456 .xword 0x9a2cfe7df2893e83
20457 .xword 0xe3fdf340a4aac8e4
20458 .xword 0x7216b8e4f2eb00a4
20459 .xword 0x3ccbbb875018d67a
20460 .xword 0xd9af20fcbf290a4d
20461 .xword 0xa84aaae1625d3910
20462 .xword 0x673a128da8e7b97a
20463 .xword 0x7051f7822bb01b6f
20464 .xword 0xcf1fff5c989ad725
20465 .xword 0x2f7315dec271f5a3
20466 .xword 0x5a362b30708ee738
20467 .xword 0xa79bfbf474a6fb32
20468 .xword 0xebd0f9ef7b4d0551
20469 .xword 0x5708f9d5e426a179
20470 .xword 0x8d9cc299c9b36a88
20471 .xword 0x5a795fe77a83f086
20472 .xword 0x6ac03aadd5807a1a
20473 .xword 0x1dbcdc509e5fbd17
20474 .xword 0x8414009469cf0148
20475 .xword 0x4d04566b7eee903b
20476 .xword 0x16cd7cb98f466aae
20477 .xword 0x77bfae9ac15b9b7e
20478 .xword 0x90a88917180c79a6
20479 .xword 0x181a08b625f25919
20480 .xword 0xeb72a10073b8a313
20481 .xword 0x605c345dce32494e
20482 .xword 0xa157563bb47a03b6
20483 .xword 0xdf2182bf03c21b8c
20484 .xword 0xb49de6aaf1ea91d7
20485 .xword 0x2b51758f4960601d
20486 .xword 0x62376715fd32c314
20487 .xword 0x72c4231919a2a5cd
20488 .xword 0x3318f9a42a794cb9
20489 .xword 0xb1f8dec6f12fc2e4
20490 .xword 0xafe379878bc3bd18
20491 .xword 0x9a46ccaac1aa2b5c
20492 .xword 0xfff6622e51de2b2d
20493 .xword 0xd7fcff93394f8e5a
20494 .xword 0x903b9985b0b4b6a2
20495 .xword 0xffe962399fbc4da7
20496 .xword 0x1363cc737031c608
20497 .xword 0x9503d6e017db50cb
20498 .xword 0xb16c6494826ba383
20499 .xword 0xbfb84e87dc7cd841
20500 .xword 0x25ed0b1dfdca064f
20501 .xword 0x62332bfa21731c54
20502 .xword 0xcc80ad1cc6b90676
20503 .xword 0xd631a6cff2c98a73
20504.align 16
20505_t1_copy_dest:
20506 .xword 0xDEADBEEFDEADBEEF
20507 .xword 0xDEADBEEFDEADBEEF
20508 .xword 0xDEADBEEFDEADBEEF
20509 .xword 0xDEADBEEFDEADBEEF
20510 .xword 0xDEADBEEFDEADBEEF
20511 .xword 0xDEADBEEFDEADBEEF
20512 .xword 0xDEADBEEFDEADBEEF
20513 .xword 0xDEADBEEFDEADBEEF
20514 .xword 0xDEADBEEFDEADBEEF
20515 .xword 0xDEADBEEFDEADBEEF
20516 .xword 0xDEADBEEFDEADBEEF
20517 .xword 0xDEADBEEFDEADBEEF
20518 .xword 0xDEADBEEFDEADBEEF
20519 .xword 0xDEADBEEFDEADBEEF
20520 .xword 0xDEADBEEFDEADBEEF
20521 .xword 0xDEADBEEFDEADBEEF
20522 .xword 0xDEADBEEFDEADBEEF
20523 .xword 0xDEADBEEFDEADBEEF
20524 .xword 0xDEADBEEFDEADBEEF
20525 .xword 0xDEADBEEFDEADBEEF
20526 .xword 0xDEADBEEFDEADBEEF
20527 .xword 0xDEADBEEFDEADBEEF
20528 .xword 0xDEADBEEFDEADBEEF
20529 .xword 0xDEADBEEFDEADBEEF
20530 .xword 0xDEADBEEFDEADBEEF
20531 .xword 0xDEADBEEFDEADBEEF
20532 .xword 0xDEADBEEFDEADBEEF
20533 .xword 0xDEADBEEFDEADBEEF
20534 .xword 0xDEADBEEFDEADBEEF
20535 .xword 0xDEADBEEFDEADBEEF
20536 .xword 0xDEADBEEFDEADBEEF
20537 .xword 0xDEADBEEFDEADBEEF
20538 .xword 0xDEADBEEFDEADBEEF
20539 .xword 0xDEADBEEFDEADBEEF
20540 .xword 0xDEADBEEFDEADBEEF
20541 .xword 0xDEADBEEFDEADBEEF
20542 .xword 0xDEADBEEFDEADBEEF
20543 .xword 0xDEADBEEFDEADBEEF
20544 .xword 0xDEADBEEFDEADBEEF
20545 .xword 0xDEADBEEFDEADBEEF
20546 .xword 0xDEADBEEFDEADBEEF
20547 .xword 0xDEADBEEFDEADBEEF
20548 .xword 0xDEADBEEFDEADBEEF
20549 .xword 0xDEADBEEFDEADBEEF
20550 .xword 0xDEADBEEFDEADBEEF
20551 .xword 0xDEADBEEFDEADBEEF
20552 .xword 0xDEADBEEFDEADBEEF
20553 .xword 0xDEADBEEFDEADBEEF
20554 .xword 0xDEADBEEFDEADBEEF
20555 .xword 0xDEADBEEFDEADBEEF
20556 .xword 0xDEADBEEFDEADBEEF
20557 .xword 0xDEADBEEFDEADBEEF
20558 .xword 0xDEADBEEFDEADBEEF
20559 .xword 0xDEADBEEFDEADBEEF
20560 .xword 0xDEADBEEFDEADBEEF
20561 .xword 0xDEADBEEFDEADBEEF
20562 .xword 0xDEADBEEFDEADBEEF
20563 .xword 0xDEADBEEFDEADBEEF
20564 .xword 0xDEADBEEFDEADBEEF
20565 .xword 0xDEADBEEFDEADBEEF
20566 .xword 0xDEADBEEFDEADBEEF
20567 .xword 0xDEADBEEFDEADBEEF
20568 .xword 0xDEADBEEFDEADBEEF
20569 .xword 0xDEADBEEFDEADBEEF
20570 .xword 0xDEADBEEFDEADBEEF
20571 .xword 0xDEADBEEFDEADBEEF
20572 .xword 0xDEADBEEFDEADBEEF
20573 .xword 0xDEADBEEFDEADBEEF
20574 .xword 0xDEADBEEFDEADBEEF
20575 .xword 0xDEADBEEFDEADBEEF
20576 .xword 0xDEADBEEFDEADBEEF
20577 .xword 0xDEADBEEFDEADBEEF
20578 .xword 0xDEADBEEFDEADBEEF
20579 .xword 0xDEADBEEFDEADBEEF
20580 .xword 0xDEADBEEFDEADBEEF
20581 .xword 0xDEADBEEFDEADBEEF
20582 .xword 0xDEADBEEFDEADBEEF
20583 .xword 0xDEADBEEFDEADBEEF
20584 .xword 0xDEADBEEFDEADBEEF
20585 .xword 0xDEADBEEFDEADBEEF
20586 .xword 0xDEADBEEFDEADBEEF
20587 .xword 0xDEADBEEFDEADBEEF
20588 .xword 0xDEADBEEFDEADBEEF
20589 .xword 0xDEADBEEFDEADBEEF
20590 .xword 0xDEADBEEFDEADBEEF
20591 .xword 0xDEADBEEFDEADBEEF
20592 .xword 0xDEADBEEFDEADBEEF
20593 .xword 0xDEADBEEFDEADBEEF
20594 .xword 0xDEADBEEFDEADBEEF
20595 .xword 0xDEADBEEFDEADBEEF
20596 .xword 0xDEADBEEFDEADBEEF
20597 .xword 0xDEADBEEFDEADBEEF
20598 .xword 0xDEADBEEFDEADBEEF
20599 .xword 0xDEADBEEFDEADBEEF
20600 .xword 0xDEADBEEFDEADBEEF
20601 .xword 0xDEADBEEFDEADBEEF
20602 .xword 0xDEADBEEFDEADBEEF
20603 .xword 0xDEADBEEFDEADBEEF
20604 .xword 0xDEADBEEFDEADBEEF
20605 .xword 0xDEADBEEFDEADBEEF
20606 .xword 0xDEADBEEFDEADBEEF
20607 .xword 0xDEADBEEFDEADBEEF
20608 .xword 0xDEADBEEFDEADBEEF
20609 .xword 0xDEADBEEFDEADBEEF
20610 .xword 0xDEADBEEFDEADBEEF
20611 .xword 0xDEADBEEFDEADBEEF
20612 .xword 0xDEADBEEFDEADBEEF
20613 .xword 0xDEADBEEFDEADBEEF
20614 .xword 0xDEADBEEFDEADBEEF
20615 .xword 0xDEADBEEFDEADBEEF
20616 .xword 0xDEADBEEFDEADBEEF
20617 .xword 0xDEADBEEFDEADBEEF
20618 .xword 0xDEADBEEFDEADBEEF
20619 .xword 0xDEADBEEFDEADBEEF
20620 .xword 0xDEADBEEFDEADBEEF
20621 .xword 0xDEADBEEFDEADBEEF
20622 .xword 0xDEADBEEFDEADBEEF
20623 .xword 0xDEADBEEFDEADBEEF
20624 .xword 0xDEADBEEFDEADBEEF
20625 .xword 0xDEADBEEFDEADBEEF
20626 .xword 0xDEADBEEFDEADBEEF
20627 .xword 0xDEADBEEFDEADBEEF
20628 .xword 0xDEADBEEFDEADBEEF
20629 .xword 0xDEADBEEFDEADBEEF
20630 .xword 0xDEADBEEFDEADBEEF
20631 .xword 0xDEADBEEFDEADBEEF
20632 .xword 0xDEADBEEFDEADBEEF
20633 .xword 0xDEADBEEFDEADBEEF
20634 .xword 0xDEADBEEFDEADBEEF
20635 .xword 0xDEADBEEFDEADBEEF
20636 .xword 0xDEADBEEFDEADBEEF
20637 .xword 0xDEADBEEFDEADBEEF
20638 .xword 0xDEADBEEFDEADBEEF
20639 .xword 0xDEADBEEFDEADBEEF
20640 .xword 0xDEADBEEFDEADBEEF
20641 .xword 0xDEADBEEFDEADBEEF
20642 .xword 0xDEADBEEFDEADBEEF
20643 .xword 0xDEADBEEFDEADBEEF
20644 .xword 0xDEADBEEFDEADBEEF
20645 .xword 0xDEADBEEFDEADBEEF
20646 .xword 0xDEADBEEFDEADBEEF
20647 .xword 0xDEADBEEFDEADBEEF
20648 .xword 0xDEADBEEFDEADBEEF
20649 .xword 0xDEADBEEFDEADBEEF
20650 .xword 0xDEADBEEFDEADBEEF
20651 .xword 0xDEADBEEFDEADBEEF
20652 .xword 0xDEADBEEFDEADBEEF
20653 .xword 0xDEADBEEFDEADBEEF
20654 .xword 0xDEADBEEFDEADBEEF
20655 .xword 0xDEADBEEFDEADBEEF
20656 .xword 0xDEADBEEFDEADBEEF
20657 .xword 0xDEADBEEFDEADBEEF
20658 .xword 0xDEADBEEFDEADBEEF
20659 .xword 0xDEADBEEFDEADBEEF
20660 .xword 0xDEADBEEFDEADBEEF
20661 .xword 0xDEADBEEFDEADBEEF
20662 .xword 0xDEADBEEFDEADBEEF
20663 .xword 0xDEADBEEFDEADBEEF
20664 .xword 0xDEADBEEFDEADBEEF
20665 .xword 0xDEADBEEFDEADBEEF
20666 .xword 0xDEADBEEFDEADBEEF
20667 .xword 0xDEADBEEFDEADBEEF
20668 .xword 0xDEADBEEFDEADBEEF
20669 .xword 0xDEADBEEFDEADBEEF
20670 .xword 0xDEADBEEFDEADBEEF
20671 .xword 0xDEADBEEFDEADBEEF
20672 .xword 0xDEADBEEFDEADBEEF
20673 .xword 0xDEADBEEFDEADBEEF
20674 .xword 0xDEADBEEFDEADBEEF
20675 .xword 0xDEADBEEFDEADBEEF
20676 .xword 0xDEADBEEFDEADBEEF
20677 .xword 0xDEADBEEFDEADBEEF
20678 .xword 0xDEADBEEFDEADBEEF
20679 .xword 0xDEADBEEFDEADBEEF
20680 .xword 0xDEADBEEFDEADBEEF
20681 .xword 0xDEADBEEFDEADBEEF
20682 .xword 0xDEADBEEFDEADBEEF
20683 .xword 0xDEADBEEFDEADBEEF
20684 .xword 0xDEADBEEFDEADBEEF
20685 .xword 0xDEADBEEFDEADBEEF
20686 .xword 0xDEADBEEFDEADBEEF
20687 .xword 0xDEADBEEFDEADBEEF
20688 .xword 0xDEADBEEFDEADBEEF
20689 .xword 0xDEADBEEFDEADBEEF
20690 .xword 0xDEADBEEFDEADBEEF
20691 .xword 0xDEADBEEFDEADBEEF
20692 .xword 0xDEADBEEFDEADBEEF
20693 .xword 0xDEADBEEFDEADBEEF
20694 .xword 0xDEADBEEFDEADBEEF
20695 .xword 0xDEADBEEFDEADBEEF
20696 .xword 0xDEADBEEFDEADBEEF
20697 .xword 0xDEADBEEFDEADBEEF
20698 .xword 0xDEADBEEFDEADBEEF
20699 .xword 0xDEADBEEFDEADBEEF
20700 .xword 0xDEADBEEFDEADBEEF
20701 .xword 0xDEADBEEFDEADBEEF
20702 .xword 0xDEADBEEFDEADBEEF
20703 .xword 0xDEADBEEFDEADBEEF
20704 .xword 0xDEADBEEFDEADBEEF
20705 .xword 0xDEADBEEFDEADBEEF
20706 .xword 0xDEADBEEFDEADBEEF
20707 .xword 0xDEADBEEFDEADBEEF
20708 .xword 0xDEADBEEFDEADBEEF
20709 .xword 0xDEADBEEFDEADBEEF
20710 .xword 0xDEADBEEFDEADBEEF
20711 .xword 0xDEADBEEFDEADBEEF
20712 .xword 0xDEADBEEFDEADBEEF
20713 .xword 0xDEADBEEFDEADBEEF
20714 .xword 0xDEADBEEFDEADBEEF
20715 .xword 0xDEADBEEFDEADBEEF
20716 .xword 0xDEADBEEFDEADBEEF
20717 .xword 0xDEADBEEFDEADBEEF
20718 .xword 0xDEADBEEFDEADBEEF
20719 .xword 0xDEADBEEFDEADBEEF
20720 .xword 0xDEADBEEFDEADBEEF
20721 .xword 0xDEADBEEFDEADBEEF
20722 .xword 0xDEADBEEFDEADBEEF
20723 .xword 0xDEADBEEFDEADBEEF
20724 .xword 0xDEADBEEFDEADBEEF
20725 .xword 0xDEADBEEFDEADBEEF
20726 .xword 0xDEADBEEFDEADBEEF
20727 .xword 0xDEADBEEFDEADBEEF
20728 .xword 0xDEADBEEFDEADBEEF
20729 .xword 0xDEADBEEFDEADBEEF
20730 .xword 0xDEADBEEFDEADBEEF
20731 .xword 0xDEADBEEFDEADBEEF
20732 .xword 0xDEADBEEFDEADBEEF
20733 .xword 0xDEADBEEFDEADBEEF
20734 .xword 0xDEADBEEFDEADBEEF
20735 .xword 0xDEADBEEFDEADBEEF
20736 .xword 0xDEADBEEFDEADBEEF
20737 .xword 0xDEADBEEFDEADBEEF
20738 .xword 0xDEADBEEFDEADBEEF
20739 .xword 0xDEADBEEFDEADBEEF
20740 .xword 0xDEADBEEFDEADBEEF
20741 .xword 0xDEADBEEFDEADBEEF
20742 .xword 0xDEADBEEFDEADBEEF
20743 .xword 0xDEADBEEFDEADBEEF
20744 .xword 0xDEADBEEFDEADBEEF
20745 .xword 0xDEADBEEFDEADBEEF
20746 .xword 0xDEADBEEFDEADBEEF
20747 .xword 0xDEADBEEFDEADBEEF
20748 .xword 0xDEADBEEFDEADBEEF
20749 .xword 0xDEADBEEFDEADBEEF
20750 .xword 0xDEADBEEFDEADBEEF
20751 .xword 0xDEADBEEFDEADBEEF
20752 .xword 0xDEADBEEFDEADBEEF
20753 .xword 0xDEADBEEFDEADBEEF
20754 .xword 0xDEADBEEFDEADBEEF
20755 .xword 0xDEADBEEFDEADBEEF
20756 .xword 0xDEADBEEFDEADBEEF
20757 .xword 0xDEADBEEFDEADBEEF
20758 .xword 0xDEADBEEFDEADBEEF
20759 .xword 0xDEADBEEFDEADBEEF
20760 .xword 0xDEADBEEFDEADBEEF
20761 .xword 0xDEADBEEFDEADBEEF
20762 .xword 0xDEADBEEFDEADBEEF
20763 .xword 0xDEADBEEFDEADBEEF
20764 .xword 0xDEADBEEFDEADBEEF
20765 .xword 0xDEADBEEFDEADBEEF
20766 .xword 0xDEADBEEFDEADBEEF
20767 .xword 0xDEADBEEFDEADBEEF
20768 .xword 0xDEADBEEFDEADBEEF
20769 .xword 0xDEADBEEFDEADBEEF
20770 .xword 0xDEADBEEFDEADBEEF
20771 .xword 0xDEADBEEFDEADBEEF
20772 .xword 0xDEADBEEFDEADBEEF
20773 .xword 0xDEADBEEFDEADBEEF
20774 .xword 0xDEADBEEFDEADBEEF
20775 .xword 0xDEADBEEFDEADBEEF
20776 .xword 0xDEADBEEFDEADBEEF
20777 .xword 0xDEADBEEFDEADBEEF
20778 .xword 0xDEADBEEFDEADBEEF
20779 .xword 0xDEADBEEFDEADBEEF
20780 .xword 0xDEADBEEFDEADBEEF
20781.align 16
20782_t1_copy_auth_key:
20783 .xword 0x58be920dfa7cd372
20784 .xword 0xcffd1d8d220163a0
20785 .xword 0xe6806262d8a494b9
20786 .xword 0x605da87127fd66e8
20787 .xword 0xb16985d04b60a60f
20788 .xword 0x186a6f4d0062374c
20789 .xword 0xf77ee6e02db20039
20790 .xword 0xcb50c2001ab9bf08
20791 .xword 0x88fc041c860e17d2
20792 .xword 0x82aae1b30fb84a5c
20793 .xword 0x576af507d13158d0
20794 .xword 0x95e4e6efcaa08cfa
20795 .xword 0x372df8421141442e
20796 .xword 0x01586e5a2c7fbdf4
20797 .xword 0xf09e46521c2436a2
20798 .xword 0x1c2d25189e9859d1
20799 .xword 0x05f60a20931566e9
20800 .xword 0x3274991a4aa20973
20801 .xword 0xfdb255daa65e57d4
20802 .xword 0x14b9ead091a9f00f
20803 .xword 0x172512351cbc19fc
20804 .xword 0xec4f300e6f5f9d11
20805 .xword 0x32e6b41f84313f67
20806.align 16
20807_t1_copy_auth_iv:
20808 .xword 0x3d53bdeeac79714c
20809 .xword 0x78dc3dfbcf0c691d
20810 .xword 0x7b80f9a92172d236
20811 .xword 0x08c8aa72006a80b6
20812 .xword 0x5efa060dcff084c7
20813 .xword 0xc414e56962a7c4b2
20814 .xword 0x909acd2bb0aa8069
20815 .xword 0x965dc380c083ee48
20816 .xword 0x7d25194a0041e8d1
20817 .xword 0x4e09d08c6bc8008f
20818 .xword 0x725c3aafd3a62bbe
20819 .xword 0x66fc8650bd421fcf
20820 .xword 0xdae7f687f127b724
20821 .xword 0xa0bfdd7cd28b1e80
20822 .xword 0x4395e20918676d3c
20823 .xword 0x08d1168265b052a8
20824 .xword 0xd92d0027d7a6f422
20825 .xword 0xcd213275756330db
20826 .xword 0x40df09d34a8d5020
20827 .xword 0x4948c8d96a1b0240
20828 .xword 0x7b8171baccbe2b97
20829 .xword 0xd6a95a8f8237965e
20830 .xword 0x4a8849bb99a511df
20831.align 16
20832_t1_copy_fas_result:
20833 .xword 0xDEADBEEFDEADBEEF
20834 .xword 0xDEADBEEFDEADBEEF
20835 .xword 0xDEADBEEFDEADBEEF
20836 .xword 0xDEADBEEFDEADBEEF
20837 .xword 0xDEADBEEFDEADBEEF
20838 .xword 0xDEADBEEFDEADBEEF
20839 .xword 0xDEADBEEFDEADBEEF
20840 .xword 0xDEADBEEFDEADBEEF
20841 .xword 0xDEADBEEFDEADBEEF
20842 .xword 0xDEADBEEFDEADBEEF
20843 .xword 0xDEADBEEFDEADBEEF
20844 .xword 0xDEADBEEFDEADBEEF
20845 .xword 0xDEADBEEFDEADBEEF
20846 .xword 0xDEADBEEFDEADBEEF
20847 .xword 0xDEADBEEFDEADBEEF
20848 .xword 0xDEADBEEFDEADBEEF
20849 .xword 0xDEADBEEFDEADBEEF
20850 .xword 0xDEADBEEFDEADBEEF
20851 .xword 0xDEADBEEFDEADBEEF
20852 .xword 0xDEADBEEFDEADBEEF
20853 .xword 0xDEADBEEFDEADBEEF
20854 .xword 0xDEADBEEFDEADBEEF
20855 .xword 0xDEADBEEFDEADBEEF
20856.align 16
20857_t1_crc_key_array:
20858 .xword 0x9c29217ec6a2c5e3
20859 .xword 0x37484dc970cbad84
20860 .xword 0x7a75c62305c87ad0
20861 .xword 0x14cb4993104689da
20862 .xword 0x1f987e92df24d299
20863 .xword 0xc7c0246820807e2f
20864 .xword 0x10ae864201f55cdc
20865 .xword 0xabb819211608c65c
20866 .xword 0xad1218509bb353a0
20867 .xword 0x4cc22f92aea7265d
20868 .xword 0x14e507e26d7c9204
20869 .xword 0x7c44aeac769c0a64
20870 .xword 0x40adf028570abaf8
20871 .xword 0x3157deed9216be1e
20872 .xword 0x3b26d150630322a6
20873 .xword 0xa012ae8e2ebed57e
20874 .xword 0x9eb4daea33996ac6
20875 .xword 0xf12af6c8d6b627f3
20876 .xword 0xb95455a312ea15d5
20877 .xword 0xa0a55f06e56a36da
20878 .xword 0xa998e9c42fcd9b30
20879 .xword 0x0e66981af3fe0c28
20880 .xword 0x25ccfdd8b03b57eb
20881 .xword 0x33e68e9a104f38cc
20882 .xword 0xe451e9cef38f1980
20883 .xword 0xaf82fd4a746ad764
20884 .xword 0xb9ee77e32e46640f
20885 .xword 0xc5806f4e6c966c88
20886 .xword 0x0d9395928a517103
20887 .xword 0x4d06057905dbc7e5
20888 .xword 0x7c0f7122855a2223
20889 .xword 0xb8cf9b222e6c8d7e
20890 .xword 0x7e90ba8e3f0d0b6d
20891 .xword 0xe1dc3c0560f37c2f
20892 .xword 0xb5068cc7a333ad8a
20893 .xword 0x22eab1261518946c
20894 .xword 0x20527ea8a0101f1c
20895 .xword 0x77af4a60f416b778
20896 .xword 0x29957e1a845276f9
20897 .xword 0xcd60508d88e82f4d
20898 .xword 0x453f879c8a898e0b
20899 .xword 0xe8bda9d9fcf6f412
20900 .xword 0x54f40fedec9a4b72
20901 .xword 0x9ebc6275bbd8d62e
20902 .xword 0x0d6c20dcb502ab74
20903 .xword 0xa4faf23bcf8d71e6
20904 .xword 0x11a5eef01c9d243e
20905 .xword 0x957d5dfce46e949b
20906 .xword 0xd45909f65bddf3fb
20907 .xword 0x6a02ebb9eb51ac5f
20908 .xword 0x0636f5d8ba96667c
20909.align 16
20910_t1_crc_iv_array:
20911 .xword 0x1f50778a1066d2d4
20912 .xword 0x58dbea43f0f97f7e
20913 .xword 0x978bbab4533e0f21
20914 .xword 0x882e156623898fdc
20915 .xword 0x0961b59c98a830fb
20916 .xword 0xa0232665270e0528
20917 .xword 0xe87bea2884d2bb48
20918 .xword 0xeca4421fd999e697
20919 .xword 0x424008a07ca2568f
20920 .xword 0x0a138efb2873a56c
20921 .xword 0xd59f2efd0a2a9e91
20922 .xword 0x0999a4f294674261
20923 .xword 0x0176c9a38cf78bf6
20924 .xword 0xec86b499fcba4945
20925 .xword 0x620f18ef9ce5d728
20926 .xword 0xf4587bebf2bfc388
20927 .xword 0x9320e469f76929e9
20928 .xword 0x096663ccf4d79027
20929 .xword 0xb05d97e4148ca3f2
20930 .xword 0xfc8f2ca201f061fc
20931 .xword 0x1e50467fb186f1cb
20932 .xword 0x21578c75dfbf8ddb
20933 .xword 0x9a3066267a9b3baa
20934 .xword 0x2d2b3b1fa2410f6d
20935 .xword 0x1239941717ed888c
20936 .xword 0x7e887cf5a24ed267
20937 .xword 0x6c353189fa4c8694
20938 .xword 0xd5fcb599f4862722
20939 .xword 0x984158bd6eab8ef7
20940 .xword 0x6bf0ff345cec57da
20941 .xword 0xa50cd8512f583bc7
20942 .xword 0xd6f71ff120708a4d
20943 .xword 0xa6c6d6fd46e02fc7
20944 .xword 0x8e87b0e458bb44e4
20945 .xword 0x5a3d71e00b9b6d0d
20946 .xword 0x31fc3b7930a6df63
20947 .xword 0x5dd07734b6b201de
20948 .xword 0x2ad9bc9e897d310f
20949 .xword 0xaf74dd83c1ef0578
20950 .xword 0x986910156a52b7e3
20951 .xword 0x36d35e3126ffc190
20952 .xword 0x2aeb1338b71d85ce
20953 .xword 0x978611939bfb388e
20954 .xword 0xca0e021cfc5fc6f0
20955 .xword 0xb9d4c45f54562ea7
20956.align 16
20957_t1_crc_alignment_array:
20958 .xword 8
20959 .xword 2
20960 .xword 1
20961 .xword 8
20962 .xword 2
20963 .xword 8
20964 .xword 13
20965 .xword 7
20966 .xword 2
20967 .xword 15
20968 .xword 0
20969 .xword 14
20970 .xword 1
20971 .xword 14
20972 .xword 0
20973 .xword 7
20974 .xword 1
20975 .xword 4
20976 .xword 15
20977 .xword 5
20978 .xword 9
20979 .xword 15
20980 .xword 10
20981 .xword 11
20982 .xword 0
20983 .xword 13
20984 .xword 7
20985 .xword 2
20986 .xword 6
20987 .xword 5
20988 .xword 5
20989 .xword 5
20990 .xword 9
20991 .xword 6
20992 .xword 4
20993 .xword 7
20994 .xword 9
20995 .xword 15
20996 .xword 12
20997 .xword 1
20998 .xword 11
20999 .xword 15
21000 .xword 14
21001 .xword 4
21002 .xword 4
21003 .xword 0
21004 .xword 7
21005 .xword 15
21006 .xword 10
21007 .xword 8
21008 .xword 4
21009 .xword 7
21010 .xword 2
21011 .xword 2
21012 .xword 10
21013 .xword 1
21014 .xword 12
21015 .xword 14
21016 .xword 8
21017 .xword 11
21018 .xword 7
21019 .xword 0
21020 .xword 11
21021 .xword 10
21022 .xword 14
21023 .xword 14
21024 .xword 10
21025 .xword 0
21026 .xword 7
21027 .xword 14
21028 .xword 7
21029 .xword 6
21030 .xword 7
21031 .xword 9
21032 .xword 7
21033 .xword 7
21034 .xword 10
21035 .xword 13
21036 .xword 1
21037 .xword 1
21038 .xword 4
21039 .xword 9
21040 .xword 12
21041 .xword 13
21042 .xword 12
21043 .xword 3
21044 .xword 15
21045 .xword 4
21046 .xword 4
21047 .xword 5
21048 .xword 11
21049 .xword 13
21050 .xword 2
21051 .xword 2
21052 .xword 2
21053 .xword 12
21054 .xword 10
21055 .xword 2
21056 .xword 9
21057 .xword 7
21058 .xword 8
21059 .xword 1
21060 .xword 13
21061 .xword 10
21062 .xword 0
21063.align 16
21064_t1_crc_src:
21065 .xword 0xc2e301ba705e1de7
21066 .xword 0x5ca02fd76de1564a
21067 .xword 0xa3a8401f2075a880
21068 .xword 0xc9626e9fc07cf3cc
21069 .xword 0xe0a5ec1a11ab8c3e
21070 .xword 0xf4d9319d223e4ef0
21071 .xword 0x105a897fac94bfbd
21072 .xword 0xa7239947b3bb131d
21073 .xword 0xac0c8e296d018190
21074 .xword 0x10a2985e2b659b0e
21075 .xword 0x2383a84fb6143873
21076 .xword 0x66c1f90142d934cb
21077 .xword 0x11d018259923291a
21078 .xword 0x64670b6ea93e45ab
21079 .xword 0x62dca6f423a9ec6d
21080 .xword 0x908dcf70846f30e7
21081 .xword 0xfc55c161810380ec
21082 .xword 0xcc53eb9d02e347a0
21083 .xword 0xc11e80199f731f37
21084 .xword 0xf9605288e3cbd80a
21085 .xword 0xddf25a4f298fce3c
21086 .xword 0x97c046ca751118de
21087 .xword 0xd81f7e6d92549894
21088 .xword 0xa13a0b06158736a7
21089 .xword 0x44cb43b1fa5362b3
21090 .xword 0x6865ff340984a1d6
21091 .xword 0x24111b3a97d71354
21092 .xword 0xdc9d11e18d6152bb
21093 .xword 0xff465ee36f20c8bf
21094 .xword 0xb64c45fc52eafdca
21095 .xword 0xcc60429a566c54a5
21096 .xword 0xfc8bc3de8bf7e7d4
21097 .xword 0xa63f2d249f00c6bc
21098 .xword 0x40a4a37800c608a7
21099 .xword 0xc4c9033335bb104f
21100 .xword 0x75302ad90257d2db
21101 .xword 0x6cdc48fb1c9e06e0
21102 .xword 0xce5beb7565aff0db
21103 .xword 0xadf4805ec5b3c8b3
21104 .xword 0x865b2250b1cdb38c
21105 .xword 0xf0e44fd26d1c1731
21106 .xword 0x56e187066f4e2c8c
21107 .xword 0xd6253b0b3c9647a4
21108 .xword 0xc899e7246df79b74
21109 .xword 0xa6a3a6e621dd1773
21110 .xword 0x2bf39395c487e933
21111 .xword 0x2435edf98cfe49cf
21112 .xword 0x4e739076b50faadb
21113 .xword 0x0d2680f7e438c1d8
21114 .xword 0x679f9b3f0c6f66ca
21115 .xword 0xdd8580764a9d900e
21116 .xword 0xab7d313cb90e0b50
21117 .xword 0x5cf3602513d1a35d
21118 .xword 0x671e61124353328f
21119 .xword 0x6c72ed1d5a869891
21120 .xword 0x035162583e3e2429
21121 .xword 0x72ece371e5530895
21122 .xword 0xeb709600b04c3a35
21123 .xword 0x212d67b8ae953340
21124 .xword 0xfe0d6fe2c6261565
21125 .xword 0xeb65d1d48a5f258f
21126 .xword 0x0904a23f434beb87
21127 .xword 0x72006df5cd7ab0be
21128 .xword 0x57ccb5408d2e57fb
21129 .xword 0x924f41dd7af19e84
21130 .xword 0x9852eaa6b502fab8
21131 .xword 0x87677aa93e36f137
21132 .xword 0x37a7c1c16a660bbb
21133 .xword 0x39b9ab94b9c0d669
21134 .xword 0x024dcb73ad4cec93
21135 .xword 0x00142d1a863a1255
21136 .xword 0x37ce62e002b7ba35
21137 .xword 0xcb62ec9cd3daa3e4
21138 .xword 0xc5e02422ab18e478
21139 .xword 0x4c60e9ddfad862c7
21140 .xword 0x76a7354dd5d195e6
21141 .xword 0xccae170c635bb413
21142 .xword 0x23cfda6ddd1e73d9
21143 .xword 0x34cd18f5e53e3722
21144 .xword 0x036543128b629725
21145 .xword 0x344293617e78a68f
21146 .xword 0x3e906f7351b78513
21147 .xword 0x590a4d548ac808a6
21148 .xword 0x6773803fd8991adc
21149 .xword 0xb1d77783672b0e7c
21150 .xword 0x15257f38781cb899
21151 .xword 0x99f8c87246749fee
21152 .xword 0xb78eecba5fe381fd
21153 .xword 0xdb0d62b01c075adf
21154 .xword 0x92c285003d7ee7a5
21155 .xword 0xc1fb483d407f81ed
21156 .xword 0xceb273aaff6e532e
21157 .xword 0xa6552758773e5698
21158 .xword 0x433fecc73c482031
21159 .xword 0x3ced2b7f9a23f7b0
21160 .xword 0x556f84d404f1ae5e
21161 .xword 0xc61f4751f1ec93d8
21162 .xword 0x11280c38132c94bf
21163 .xword 0xd6d151574163a14b
21164 .xword 0xd4b637102626fc75
21165 .xword 0x719bcbee90d45d10
21166 .xword 0x2b0c964ce6327a51
21167 .xword 0xa71d67d906ae22f8
21168 .xword 0x1f3af12bb4176678
21169 .xword 0xf1da9d9741a85e0e
21170 .xword 0x9d28f77846004443
21171 .xword 0xbeca5c4cf161a3b9
21172 .xword 0xf7cf84207a26b1c8
21173 .xword 0xbfa841ed8a2a3ad5
21174 .xword 0x31ae7a6371ef811e
21175 .xword 0x4f0e982b25626921
21176 .xword 0x7f275ea435e5a22e
21177 .xword 0x357a0c8c180fab70
21178 .xword 0x98a39014218f260f
21179 .xword 0xf20fbd222081d1f6
21180 .xword 0xb4ca1532b8be3db7
21181 .xword 0xa8a8886eb9505a01
21182 .xword 0xc8970e279717c1d9
21183 .xword 0xdb99a779e7e3bdd6
21184 .xword 0x0927af6a60682f07
21185 .xword 0x14a08709a38b5b60
21186 .xword 0x1aa390a0d666bbd4
21187 .xword 0x02a63f52120847a2
21188 .xword 0x69c2bd6df03b0ae4
21189 .xword 0x460a0add3e4759b3
21190 .xword 0x31270f57d833cbda
21191 .xword 0x86eabddfa1a1f4b2
21192 .xword 0x5164d42f820961f4
21193 .xword 0x7bec163d895e142c
21194 .xword 0xafa82d58a16a1b0b
21195 .xword 0xcceac97d734c6f55
21196 .xword 0xc89f979e8d7b5578
21197 .xword 0x7323322129b670f2
21198 .xword 0x84b6fcf757a547a5
21199 .xword 0xb25b644d604dbd79
21200 .xword 0xa2f6f869b273fac1
21201 .xword 0x6d6aa418ce798b44
21202 .xword 0x62dc685a87544852
21203 .xword 0x0a99eb8b4fca8236
21204 .xword 0x1d1649006c74165a
21205 .xword 0xfd407bcd5b0cc923
21206 .xword 0x220009c47db5910c
21207 .xword 0x3d602daa48f4ad61
21208 .xword 0x6dd29c05d9f88ac2
21209 .xword 0xd2286a4a36de4f38
21210 .xword 0xadc97ebbcb244c97
21211 .xword 0x5d7063afd4b1e273
21212 .xword 0x3e6fe5c3c14f4920
21213 .xword 0xead3abf373a4d4ca
21214 .xword 0xf2f7f750551b1707
21215 .xword 0xec1cb4f04260a742
21216 .xword 0xdf4b8cb7b0c596b7
21217 .xword 0x284dc8e4d99e8179
21218 .xword 0xa621f8256292d1fa
21219 .xword 0x7afcb858dffed6d5
21220 .xword 0x73f384c0e1323c1a
21221 .xword 0xd807e5b7208a44f0
21222 .xword 0xd86e4a1cfec9ccbd
21223 .xword 0x03701680e95900e1
21224 .xword 0x92957d37112be1ec
21225 .xword 0x2c93eb24b6afb694
21226 .xword 0x2336e2ffb4df3e9e
21227 .xword 0x1ddcb378ba78f1e1
21228 .xword 0xb9488172ad518e04
21229 .xword 0x380f8c2d6c6b4ebf
21230 .xword 0xbc53cf0f3fd3ebaf
21231 .xword 0x19506de74f5f9076
21232 .xword 0x012f928cdfcb0082
21233 .xword 0x5b3d45069118d82d
21234 .xword 0xe8f4e15a45a40d50
21235 .xword 0x0a584096c99ab174
21236 .xword 0x080d08524b7ffb93
21237 .xword 0xcfa6385ad006bd63
21238 .xword 0xc4b7dda1d4b25ec3
21239 .xword 0x0c4de2b1112f1ad2
21240 .xword 0x80dfa37888b2d1e7
21241 .xword 0xe9fc364aae740c4f
21242 .xword 0xee5e14bdac9e5beb
21243 .xword 0xbbc78a2c80baf99d
21244 .xword 0xa44fdfd96de7947a
21245 .xword 0xe951075d02a4648e
21246 .xword 0xab68cf21e38f3bf2
21247 .xword 0x2da0d056173f5999
21248 .xword 0x7c23ba08806bddd3
21249 .xword 0xbea75cc22ce7673c
21250 .xword 0x8373922979d4190a
21251 .xword 0x99c809df99566336
21252 .xword 0xf37f96796f170171
21253 .xword 0xa2af7d2733342103
21254 .xword 0x66a535802fe0cc5d
21255 .xword 0xde3e6b8e631c3a75
21256 .xword 0xe5f94eb09bcba4d6
21257 .xword 0xb335b22799c98710
21258 .xword 0x06df846a59caad19
21259 .xword 0x06546f18dd5d7099
21260 .xword 0xd88297b6a69d4b58
21261 .xword 0x472251d1605c438e
21262 .xword 0xe0a7295e240e5abf
21263 .xword 0x83e2f19ba3adc1e3
21264 .xword 0x23eb152128950e1b
21265 .xword 0x2e3e33ddcb4b66a1
21266 .xword 0x4c9134bae990eebe
21267 .xword 0xd97bfdd0f4b98062
21268 .xword 0x66be1599c2e16bcd
21269 .xword 0x89c37aa9e0f0f43b
21270 .xword 0x90f09a38de1cdf55
21271 .xword 0x14054ac27eb9634c
21272 .xword 0x7f6a6b1af8f2a150
21273 .xword 0x3677cc912bd47924
21274 .xword 0xc00b8857b85484e5
21275 .xword 0x00e5a514773812ed
21276 .xword 0x558e235e7ef8d5e3
21277 .xword 0x719ca0de06599cce
21278 .xword 0x7211beb5c1c055e0
21279 .xword 0x7703370151d4de9c
21280 .xword 0x3ed01483fa4b8487
21281 .xword 0x749a3bae243c4e6f
21282 .xword 0xfbddf8f42e91b004
21283 .xword 0x121c19e95f20678e
21284 .xword 0x6b810922a90609a9
21285 .xword 0xef1e7f28e5146e43
21286 .xword 0x7f182f6a63693482
21287 .xword 0x4f3c3713f9246c02
21288 .xword 0xebc5b67c1e1c7324
21289 .xword 0xd623d1b4b3b76e08
21290 .xword 0x096932dea84566d7
21291 .xword 0x09333123ce238e38
21292 .xword 0xd6ac4351579f825b
21293 .xword 0xaf239e706cfcbd07
21294 .xword 0x11f1fe268b20605e
21295 .xword 0x727956bd774fad12
21296 .xword 0x629721d93cb5b750
21297 .xword 0xe2ff26f7488474ac
21298 .xword 0x434effc7d5acede3
21299 .xword 0x918d588d9385e77f
21300 .xword 0x997cf6819e5932bd
21301 .xword 0x01cd5a7ee2287c90
21302 .xword 0xbe1c14960b9952fe
21303 .xword 0x098c654a9d749245
21304 .xword 0x4e0a3c06294482f7
21305 .xword 0x16d67daec75dc697
21306 .xword 0x87d8312f466f5f25
21307 .xword 0x721079f5d90c528a
21308 .xword 0xae8e313d66140090
21309 .xword 0xa4b684652e3e8dc5
21310 .xword 0xd9fdb29e27fe06c7
21311 .xword 0x350bf23653cd199d
21312 .xword 0x7ede64c48826a814
21313 .xword 0x16584206ae11174c
21314 .xword 0x95c020f05c49145d
21315 .xword 0x3141e38011e67a06
21316 .xword 0x6af109331f094ac9
21317 .xword 0x8a91cbf4febb6ad5
21318 .xword 0xe0bc7523c4d7ef6b
21319 .xword 0xeaf43f3fce9d8b18
21320 .xword 0xb963d3de7ed6c1f1
21321 .xword 0xb3f7013143c20d26
21322 .xword 0x6a932a54e434b20c
21323 .xword 0xa279bddc8e3ac646
21324 .xword 0x4faf4d2218d6b331
21325 .xword 0xf4901ffca6727e10
21326 .xword 0x4fa9b1788d32d243
21327 .xword 0xce24e8bbc3e9f864
21328 .xword 0xc8fb54d9a04b1e9f
21329 .xword 0x59aa264d8c0f6a66
21330 .xword 0x9e1037fb47248cc0
21331 .xword 0x96e24771570ad9d9
21332 .xword 0x54fe6924fe22e6af
21333 .xword 0xd6fd861888f930d0
21334 .xword 0x615ffe9f05170b9e
21335 .xword 0x13787ae0be68737a
21336 .xword 0x1331a522a2597add
21337 .xword 0x729f5e6b8f7bc644
21338 .xword 0xef9caaee38559805
21339 .xword 0x7770a709a9d93db2
21340.align 16
21341_t1_crc_dest:
21342 .xword 0xDEADBEEFDEADBEEF
21343 .xword 0xDEADBEEFDEADBEEF
21344 .xword 0xDEADBEEFDEADBEEF
21345 .xword 0xDEADBEEFDEADBEEF
21346 .xword 0xDEADBEEFDEADBEEF
21347 .xword 0xDEADBEEFDEADBEEF
21348 .xword 0xDEADBEEFDEADBEEF
21349 .xword 0xDEADBEEFDEADBEEF
21350 .xword 0xDEADBEEFDEADBEEF
21351 .xword 0xDEADBEEFDEADBEEF
21352 .xword 0xDEADBEEFDEADBEEF
21353 .xword 0xDEADBEEFDEADBEEF
21354 .xword 0xDEADBEEFDEADBEEF
21355 .xword 0xDEADBEEFDEADBEEF
21356 .xword 0xDEADBEEFDEADBEEF
21357 .xword 0xDEADBEEFDEADBEEF
21358 .xword 0xDEADBEEFDEADBEEF
21359 .xword 0xDEADBEEFDEADBEEF
21360 .xword 0xDEADBEEFDEADBEEF
21361 .xword 0xDEADBEEFDEADBEEF
21362 .xword 0xDEADBEEFDEADBEEF
21363 .xword 0xDEADBEEFDEADBEEF
21364 .xword 0xDEADBEEFDEADBEEF
21365 .xword 0xDEADBEEFDEADBEEF
21366 .xword 0xDEADBEEFDEADBEEF
21367 .xword 0xDEADBEEFDEADBEEF
21368 .xword 0xDEADBEEFDEADBEEF
21369 .xword 0xDEADBEEFDEADBEEF
21370 .xword 0xDEADBEEFDEADBEEF
21371 .xword 0xDEADBEEFDEADBEEF
21372 .xword 0xDEADBEEFDEADBEEF
21373 .xword 0xDEADBEEFDEADBEEF
21374 .xword 0xDEADBEEFDEADBEEF
21375 .xword 0xDEADBEEFDEADBEEF
21376 .xword 0xDEADBEEFDEADBEEF
21377 .xword 0xDEADBEEFDEADBEEF
21378 .xword 0xDEADBEEFDEADBEEF
21379 .xword 0xDEADBEEFDEADBEEF
21380 .xword 0xDEADBEEFDEADBEEF
21381 .xword 0xDEADBEEFDEADBEEF
21382 .xword 0xDEADBEEFDEADBEEF
21383 .xword 0xDEADBEEFDEADBEEF
21384 .xword 0xDEADBEEFDEADBEEF
21385 .xword 0xDEADBEEFDEADBEEF
21386 .xword 0xDEADBEEFDEADBEEF
21387 .xword 0xDEADBEEFDEADBEEF
21388 .xword 0xDEADBEEFDEADBEEF
21389 .xword 0xDEADBEEFDEADBEEF
21390 .xword 0xDEADBEEFDEADBEEF
21391 .xword 0xDEADBEEFDEADBEEF
21392 .xword 0xDEADBEEFDEADBEEF
21393 .xword 0xDEADBEEFDEADBEEF
21394 .xword 0xDEADBEEFDEADBEEF
21395 .xword 0xDEADBEEFDEADBEEF
21396 .xword 0xDEADBEEFDEADBEEF
21397 .xword 0xDEADBEEFDEADBEEF
21398 .xword 0xDEADBEEFDEADBEEF
21399 .xword 0xDEADBEEFDEADBEEF
21400 .xword 0xDEADBEEFDEADBEEF
21401 .xword 0xDEADBEEFDEADBEEF
21402 .xword 0xDEADBEEFDEADBEEF
21403 .xword 0xDEADBEEFDEADBEEF
21404 .xword 0xDEADBEEFDEADBEEF
21405 .xword 0xDEADBEEFDEADBEEF
21406 .xword 0xDEADBEEFDEADBEEF
21407 .xword 0xDEADBEEFDEADBEEF
21408 .xword 0xDEADBEEFDEADBEEF
21409 .xword 0xDEADBEEFDEADBEEF
21410 .xword 0xDEADBEEFDEADBEEF
21411 .xword 0xDEADBEEFDEADBEEF
21412 .xword 0xDEADBEEFDEADBEEF
21413 .xword 0xDEADBEEFDEADBEEF
21414 .xword 0xDEADBEEFDEADBEEF
21415 .xword 0xDEADBEEFDEADBEEF
21416 .xword 0xDEADBEEFDEADBEEF
21417 .xword 0xDEADBEEFDEADBEEF
21418 .xword 0xDEADBEEFDEADBEEF
21419 .xword 0xDEADBEEFDEADBEEF
21420 .xword 0xDEADBEEFDEADBEEF
21421 .xword 0xDEADBEEFDEADBEEF
21422 .xword 0xDEADBEEFDEADBEEF
21423 .xword 0xDEADBEEFDEADBEEF
21424 .xword 0xDEADBEEFDEADBEEF
21425 .xword 0xDEADBEEFDEADBEEF
21426 .xword 0xDEADBEEFDEADBEEF
21427 .xword 0xDEADBEEFDEADBEEF
21428 .xword 0xDEADBEEFDEADBEEF
21429 .xword 0xDEADBEEFDEADBEEF
21430 .xword 0xDEADBEEFDEADBEEF
21431 .xword 0xDEADBEEFDEADBEEF
21432 .xword 0xDEADBEEFDEADBEEF
21433 .xword 0xDEADBEEFDEADBEEF
21434 .xword 0xDEADBEEFDEADBEEF
21435 .xword 0xDEADBEEFDEADBEEF
21436 .xword 0xDEADBEEFDEADBEEF
21437 .xword 0xDEADBEEFDEADBEEF
21438 .xword 0xDEADBEEFDEADBEEF
21439 .xword 0xDEADBEEFDEADBEEF
21440 .xword 0xDEADBEEFDEADBEEF
21441 .xword 0xDEADBEEFDEADBEEF
21442 .xword 0xDEADBEEFDEADBEEF
21443 .xword 0xDEADBEEFDEADBEEF
21444 .xword 0xDEADBEEFDEADBEEF
21445 .xword 0xDEADBEEFDEADBEEF
21446 .xword 0xDEADBEEFDEADBEEF
21447 .xword 0xDEADBEEFDEADBEEF
21448 .xword 0xDEADBEEFDEADBEEF
21449 .xword 0xDEADBEEFDEADBEEF
21450 .xword 0xDEADBEEFDEADBEEF
21451 .xword 0xDEADBEEFDEADBEEF
21452 .xword 0xDEADBEEFDEADBEEF
21453 .xword 0xDEADBEEFDEADBEEF
21454 .xword 0xDEADBEEFDEADBEEF
21455 .xword 0xDEADBEEFDEADBEEF
21456 .xword 0xDEADBEEFDEADBEEF
21457 .xword 0xDEADBEEFDEADBEEF
21458 .xword 0xDEADBEEFDEADBEEF
21459 .xword 0xDEADBEEFDEADBEEF
21460 .xword 0xDEADBEEFDEADBEEF
21461 .xword 0xDEADBEEFDEADBEEF
21462 .xword 0xDEADBEEFDEADBEEF
21463 .xword 0xDEADBEEFDEADBEEF
21464 .xword 0xDEADBEEFDEADBEEF
21465 .xword 0xDEADBEEFDEADBEEF
21466 .xword 0xDEADBEEFDEADBEEF
21467 .xword 0xDEADBEEFDEADBEEF
21468 .xword 0xDEADBEEFDEADBEEF
21469 .xword 0xDEADBEEFDEADBEEF
21470 .xword 0xDEADBEEFDEADBEEF
21471 .xword 0xDEADBEEFDEADBEEF
21472 .xword 0xDEADBEEFDEADBEEF
21473 .xword 0xDEADBEEFDEADBEEF
21474 .xword 0xDEADBEEFDEADBEEF
21475 .xword 0xDEADBEEFDEADBEEF
21476 .xword 0xDEADBEEFDEADBEEF
21477 .xword 0xDEADBEEFDEADBEEF
21478 .xword 0xDEADBEEFDEADBEEF
21479 .xword 0xDEADBEEFDEADBEEF
21480 .xword 0xDEADBEEFDEADBEEF
21481 .xword 0xDEADBEEFDEADBEEF
21482 .xword 0xDEADBEEFDEADBEEF
21483 .xword 0xDEADBEEFDEADBEEF
21484 .xword 0xDEADBEEFDEADBEEF
21485 .xword 0xDEADBEEFDEADBEEF
21486 .xword 0xDEADBEEFDEADBEEF
21487 .xword 0xDEADBEEFDEADBEEF
21488 .xword 0xDEADBEEFDEADBEEF
21489 .xword 0xDEADBEEFDEADBEEF
21490 .xword 0xDEADBEEFDEADBEEF
21491 .xword 0xDEADBEEFDEADBEEF
21492 .xword 0xDEADBEEFDEADBEEF
21493 .xword 0xDEADBEEFDEADBEEF
21494 .xword 0xDEADBEEFDEADBEEF
21495 .xword 0xDEADBEEFDEADBEEF
21496 .xword 0xDEADBEEFDEADBEEF
21497 .xword 0xDEADBEEFDEADBEEF
21498 .xword 0xDEADBEEFDEADBEEF
21499 .xword 0xDEADBEEFDEADBEEF
21500 .xword 0xDEADBEEFDEADBEEF
21501 .xword 0xDEADBEEFDEADBEEF
21502 .xword 0xDEADBEEFDEADBEEF
21503 .xword 0xDEADBEEFDEADBEEF
21504 .xword 0xDEADBEEFDEADBEEF
21505 .xword 0xDEADBEEFDEADBEEF
21506 .xword 0xDEADBEEFDEADBEEF
21507 .xword 0xDEADBEEFDEADBEEF
21508 .xword 0xDEADBEEFDEADBEEF
21509 .xword 0xDEADBEEFDEADBEEF
21510 .xword 0xDEADBEEFDEADBEEF
21511 .xword 0xDEADBEEFDEADBEEF
21512 .xword 0xDEADBEEFDEADBEEF
21513 .xword 0xDEADBEEFDEADBEEF
21514 .xword 0xDEADBEEFDEADBEEF
21515 .xword 0xDEADBEEFDEADBEEF
21516 .xword 0xDEADBEEFDEADBEEF
21517 .xword 0xDEADBEEFDEADBEEF
21518 .xword 0xDEADBEEFDEADBEEF
21519 .xword 0xDEADBEEFDEADBEEF
21520 .xword 0xDEADBEEFDEADBEEF
21521 .xword 0xDEADBEEFDEADBEEF
21522 .xword 0xDEADBEEFDEADBEEF
21523 .xword 0xDEADBEEFDEADBEEF
21524 .xword 0xDEADBEEFDEADBEEF
21525 .xword 0xDEADBEEFDEADBEEF
21526 .xword 0xDEADBEEFDEADBEEF
21527 .xword 0xDEADBEEFDEADBEEF
21528 .xword 0xDEADBEEFDEADBEEF
21529 .xword 0xDEADBEEFDEADBEEF
21530 .xword 0xDEADBEEFDEADBEEF
21531 .xword 0xDEADBEEFDEADBEEF
21532 .xword 0xDEADBEEFDEADBEEF
21533 .xword 0xDEADBEEFDEADBEEF
21534 .xword 0xDEADBEEFDEADBEEF
21535 .xword 0xDEADBEEFDEADBEEF
21536 .xword 0xDEADBEEFDEADBEEF
21537 .xword 0xDEADBEEFDEADBEEF
21538 .xword 0xDEADBEEFDEADBEEF
21539 .xword 0xDEADBEEFDEADBEEF
21540 .xword 0xDEADBEEFDEADBEEF
21541 .xword 0xDEADBEEFDEADBEEF
21542 .xword 0xDEADBEEFDEADBEEF
21543 .xword 0xDEADBEEFDEADBEEF
21544 .xword 0xDEADBEEFDEADBEEF
21545 .xword 0xDEADBEEFDEADBEEF
21546 .xword 0xDEADBEEFDEADBEEF
21547 .xword 0xDEADBEEFDEADBEEF
21548 .xword 0xDEADBEEFDEADBEEF
21549 .xword 0xDEADBEEFDEADBEEF
21550 .xword 0xDEADBEEFDEADBEEF
21551 .xword 0xDEADBEEFDEADBEEF
21552 .xword 0xDEADBEEFDEADBEEF
21553 .xword 0xDEADBEEFDEADBEEF
21554 .xword 0xDEADBEEFDEADBEEF
21555 .xword 0xDEADBEEFDEADBEEF
21556 .xword 0xDEADBEEFDEADBEEF
21557 .xword 0xDEADBEEFDEADBEEF
21558 .xword 0xDEADBEEFDEADBEEF
21559 .xword 0xDEADBEEFDEADBEEF
21560 .xword 0xDEADBEEFDEADBEEF
21561 .xword 0xDEADBEEFDEADBEEF
21562 .xword 0xDEADBEEFDEADBEEF
21563 .xword 0xDEADBEEFDEADBEEF
21564 .xword 0xDEADBEEFDEADBEEF
21565 .xword 0xDEADBEEFDEADBEEF
21566 .xword 0xDEADBEEFDEADBEEF
21567 .xword 0xDEADBEEFDEADBEEF
21568 .xword 0xDEADBEEFDEADBEEF
21569 .xword 0xDEADBEEFDEADBEEF
21570 .xword 0xDEADBEEFDEADBEEF
21571 .xword 0xDEADBEEFDEADBEEF
21572 .xword 0xDEADBEEFDEADBEEF
21573 .xword 0xDEADBEEFDEADBEEF
21574 .xword 0xDEADBEEFDEADBEEF
21575 .xword 0xDEADBEEFDEADBEEF
21576 .xword 0xDEADBEEFDEADBEEF
21577 .xword 0xDEADBEEFDEADBEEF
21578 .xword 0xDEADBEEFDEADBEEF
21579 .xword 0xDEADBEEFDEADBEEF
21580 .xword 0xDEADBEEFDEADBEEF
21581 .xword 0xDEADBEEFDEADBEEF
21582 .xword 0xDEADBEEFDEADBEEF
21583 .xword 0xDEADBEEFDEADBEEF
21584 .xword 0xDEADBEEFDEADBEEF
21585 .xword 0xDEADBEEFDEADBEEF
21586 .xword 0xDEADBEEFDEADBEEF
21587 .xword 0xDEADBEEFDEADBEEF
21588 .xword 0xDEADBEEFDEADBEEF
21589 .xword 0xDEADBEEFDEADBEEF
21590 .xword 0xDEADBEEFDEADBEEF
21591 .xword 0xDEADBEEFDEADBEEF
21592 .xword 0xDEADBEEFDEADBEEF
21593 .xword 0xDEADBEEFDEADBEEF
21594 .xword 0xDEADBEEFDEADBEEF
21595 .xword 0xDEADBEEFDEADBEEF
21596 .xword 0xDEADBEEFDEADBEEF
21597 .xword 0xDEADBEEFDEADBEEF
21598 .xword 0xDEADBEEFDEADBEEF
21599 .xword 0xDEADBEEFDEADBEEF
21600 .xword 0xDEADBEEFDEADBEEF
21601 .xword 0xDEADBEEFDEADBEEF
21602 .xword 0xDEADBEEFDEADBEEF
21603 .xword 0xDEADBEEFDEADBEEF
21604 .xword 0xDEADBEEFDEADBEEF
21605 .xword 0xDEADBEEFDEADBEEF
21606 .xword 0xDEADBEEFDEADBEEF
21607 .xword 0xDEADBEEFDEADBEEF
21608 .xword 0xDEADBEEFDEADBEEF
21609 .xword 0xDEADBEEFDEADBEEF
21610 .xword 0xDEADBEEFDEADBEEF
21611 .xword 0xDEADBEEFDEADBEEF
21612 .xword 0xDEADBEEFDEADBEEF
21613 .xword 0xDEADBEEFDEADBEEF
21614 .xword 0xDEADBEEFDEADBEEF
21615 .xword 0xDEADBEEFDEADBEEF
21616 .xword 0xDEADBEEFDEADBEEF
21617.align 16
21618_t1_crc_auth_key:
21619 .xword 0x96f1b4dad9f94a7e
21620 .xword 0x2112d13204698529
21621 .xword 0x403ed735544045ee
21622 .xword 0x8448d173e8953691
21623 .xword 0xda2494b032b24dbe
21624 .xword 0xc166261e2d6c7a6b
21625 .xword 0x4dd7b178f26744ec
21626 .xword 0xb44c96c0a3ed83e2
21627 .xword 0xd8465db47abab0e8
21628 .xword 0x1dfab38dd847fd1f
21629 .xword 0xaca1535ec899bc92
21630 .xword 0xcc3c025a4a72ed83
21631 .xword 0xe65b213cdc275b43
21632 .xword 0x7ccc6fea7925fd54
21633 .xword 0xc3f6c05200433a12
21634 .xword 0x49b7c88e668af9f0
21635 .xword 0x447101f45d55de1b
21636 .xword 0x99c352023e640018
21637 .xword 0xb05d3431c571d32b
21638 .xword 0x9af3f84aa220e3c2
21639 .xword 0x9446ecbbc9291921
21640 .xword 0x42f09cd5a6b64be9
21641 .xword 0x148fb4cf22d6cbe8
21642.align 16
21643_t1_crc_auth_iv:
21644 .xword 0x34cfeb01c62260bb
21645 .xword 0x731c435ef9e5d578
21646 .xword 0x30ef1eb547352551
21647 .xword 0xb5accbb5b29884b8
21648 .xword 0xb08e350f7d117771
21649 .xword 0xa28d302ee14abcaa
21650 .xword 0xb8409739a901c4a8
21651 .xword 0xcb9b254a038d958d
21652 .xword 0xab0a264a4161fbc3
21653 .xword 0xf7bc57252627efce
21654 .xword 0x1272e28a36c30681
21655 .xword 0x4d00bf663b3da394
21656 .xword 0x0b91715a1cf9512e
21657 .xword 0xfdddf2a2164b3cb4
21658 .xword 0x6178b73fd018f624
21659 .xword 0x02e92a83cf5a4157
21660 .xword 0x48c6309958b3f7ed
21661 .xword 0xb4ed827d71e3a47b
21662 .xword 0x4c97dd8a5158dc07
21663 .xword 0x3ab422a83fccfdb8
21664 .xword 0x0a396a3b7b22abc3
21665 .xword 0xf00e523463d8bcbc
21666 .xword 0x44c73d02037bc516
21667.align 16
21668_t1_crc_fas_result:
21669 .xword 0xDEADBEEFDEADBEEF
21670 .xword 0xDEADBEEFDEADBEEF
21671 .xword 0xDEADBEEFDEADBEEF
21672 .xword 0xDEADBEEFDEADBEEF
21673 .xword 0xDEADBEEFDEADBEEF
21674 .xword 0xDEADBEEFDEADBEEF
21675 .xword 0xDEADBEEFDEADBEEF
21676 .xword 0xDEADBEEFDEADBEEF
21677 .xword 0xDEADBEEFDEADBEEF
21678 .xword 0xDEADBEEFDEADBEEF
21679 .xword 0xDEADBEEFDEADBEEF
21680 .xword 0xDEADBEEFDEADBEEF
21681 .xword 0xDEADBEEFDEADBEEF
21682 .xword 0xDEADBEEFDEADBEEF
21683 .xword 0xDEADBEEFDEADBEEF
21684 .xword 0xDEADBEEFDEADBEEF
21685 .xword 0xDEADBEEFDEADBEEF
21686 .xword 0xDEADBEEFDEADBEEF
21687 .xword 0xDEADBEEFDEADBEEF
21688 .xword 0xDEADBEEFDEADBEEF
21689 .xword 0xDEADBEEFDEADBEEF
21690 .xword 0xDEADBEEFDEADBEEF
21691 .xword 0xDEADBEEFDEADBEEF
21692.align 16
21693_t1_hash_key_array:
21694 .xword 0x4c25474eff64e91b
21695 .xword 0xeec2afb52ef3d07f
21696 .xword 0xdc52058c8c63289a
21697 .xword 0xf2b40f0fca8079b8
21698 .xword 0x4d7fe71eacd7b68e
21699 .xword 0x8f69437b5d8b9f34
21700 .xword 0x502827cf400b94a5
21701 .xword 0x390157334209f8c4
21702 .xword 0x4cc86e43e9393296
21703 .xword 0x7781cccf287f5fb7
21704 .xword 0xefa49311d0a08260
21705 .xword 0x27a662e7e333dda2
21706 .xword 0x6dea1b10772ce37f
21707 .xword 0x16ac3a8e2b4d4a02
21708 .xword 0x638f50dc49c6f1a0
21709 .xword 0xb6015df1db8123cc
21710 .xword 0x6b138623125f0142
21711 .xword 0xc72ea11684321db5
21712 .xword 0xd2b065fb64a5a154
21713 .xword 0x6fbcbf4cf49086ff
21714 .xword 0x36e72896fae9ce52
21715 .xword 0x2dd398135a5027f3
21716 .xword 0xeebe3ce6aaa2b04e
21717 .xword 0xd7041a8b17fba01c
21718 .xword 0x7ec66069f8e3d553
21719 .xword 0x35146847d475e5ca
21720 .xword 0x56afe5ca4120bbca
21721 .xword 0xc8f7c05738e92aea
21722 .xword 0x88bd4b4f215d0d66
21723 .xword 0xbc8c3249bccf3a93
21724 .xword 0x478dcbf46c73c60f
21725 .xword 0x77686c271a1de1b8
21726 .xword 0x5a12b99c9673c2ce
21727 .xword 0x013747dfcf586e69
21728 .xword 0x4c444733990bf525
21729 .xword 0x86d41c542324cd21
21730 .xword 0xa8e53665076a109e
21731 .xword 0xe381bc9410e3e429
21732 .xword 0x5614924913d777b6
21733 .xword 0x1e65d2987b28a68e
21734 .xword 0xf9b854bc319163e8
21735 .xword 0xb3ea3eb9fc303c41
21736 .xword 0x667b627fb3784eec
21737 .xword 0x2086f9d5de58add5
21738 .xword 0x1246a6d4c1fbf1b2
21739 .xword 0xdf49471b2f528e39
21740 .xword 0x479e96b3fb16f3d7
21741 .xword 0xc4cc9eedcd910b72
21742 .xword 0x8321d662f7bf629e
21743 .xword 0xe9e43605f2e4ed17
21744 .xword 0x933a5c44e381fefb
21745.align 16
21746_t1_hash_iv_array:
21747 .xword 0x9cad73b4baeca879
21748 .xword 0xbed32a042450dcf2
21749 .xword 0x7a9a134c213c4861
21750 .xword 0x9c1bdef618622c01
21751 .xword 0x9ddb551f38f8b777
21752 .xword 0x575c9f953d98b315
21753 .xword 0xbd304270adb61290
21754 .xword 0x274e288dd1432f2b
21755 .xword 0xd17713cdd27f4d28
21756 .xword 0xf1b8107edf469997
21757 .xword 0xa0cf9dedccd0fcb6
21758 .xword 0xc1a6ff0bbb13eb12
21759 .xword 0x468d7dfbd2b9e9ff
21760 .xword 0x934c55a73eb5cf45
21761 .xword 0x03eda3bb908673aa
21762 .xword 0xaedd60992d1078db
21763 .xword 0xf094309dc287ee9c
21764 .xword 0x65965b65ce19e780
21765 .xword 0xb364a3fabf3f8347
21766 .xword 0x054417f6778d9293
21767 .xword 0x753f97155c20fe6f
21768 .xword 0x3b7b82eabede315d
21769 .xword 0x5c41f86ab838f490
21770 .xword 0x86ec25ab0d658430
21771 .xword 0xab9a884928239f7c
21772 .xword 0xe012111d3c459598
21773 .xword 0x7e962cf6cffe5538
21774 .xword 0xefb82d376b8f32e1
21775 .xword 0x48930444888a6a6b
21776 .xword 0x885f4f90756f8826
21777 .xword 0x0d4c5962e5ae4011
21778 .xword 0x51303c541042d064
21779 .xword 0x4519908c98c47381
21780 .xword 0x2504900e1dec8fd5
21781 .xword 0x7c042b64d334a5a6
21782 .xword 0xc4a708fecbdd81e5
21783 .xword 0x4e5a6001c762a4fc
21784 .xword 0x703f691fd4c62450
21785 .xword 0x8ba538d7519e5b17
21786 .xword 0xf926d82b4f6173a6
21787 .xword 0x140d82a518ab1334
21788 .xword 0xfd1f7a7b6bc0cfcf
21789 .xword 0xf80c844b0f5af487
21790 .xword 0xe47f97d405852cb9
21791 .xword 0xead9398caf96b928
21792.align 16
21793_t1_hash_alignment_array:
21794 .xword 4
21795 .xword 1
21796 .xword 5
21797 .xword 0
21798 .xword 10
21799 .xword 4
21800 .xword 9
21801 .xword 2
21802 .xword 10
21803 .xword 2
21804 .xword 8
21805 .xword 4
21806 .xword 3
21807 .xword 0
21808 .xword 14
21809 .xword 2
21810 .xword 8
21811 .xword 5
21812 .xword 0
21813 .xword 11
21814 .xword 11
21815 .xword 13
21816 .xword 2
21817 .xword 8
21818 .xword 3
21819 .xword 0
21820 .xword 7
21821 .xword 7
21822 .xword 11
21823 .xword 11
21824 .xword 13
21825 .xword 13
21826 .xword 8
21827 .xword 9
21828 .xword 12
21829 .xword 0
21830 .xword 5
21831 .xword 6
21832 .xword 0
21833 .xword 15
21834 .xword 9
21835 .xword 14
21836 .xword 1
21837 .xword 9
21838 .xword 13
21839 .xword 4
21840 .xword 1
21841 .xword 7
21842 .xword 15
21843 .xword 2
21844 .xword 1
21845 .xword 4
21846 .xword 0
21847 .xword 6
21848 .xword 11
21849 .xword 8
21850 .xword 6
21851 .xword 2
21852 .xword 0
21853 .xword 8
21854 .xword 9
21855 .xword 4
21856 .xword 9
21857 .xword 14
21858 .xword 9
21859 .xword 6
21860 .xword 14
21861 .xword 13
21862 .xword 12
21863 .xword 10
21864 .xword 3
21865 .xword 5
21866 .xword 5
21867 .xword 9
21868 .xword 1
21869 .xword 2
21870 .xword 15
21871 .xword 15
21872 .xword 12
21873 .xword 13
21874 .xword 12
21875 .xword 14
21876 .xword 4
21877 .xword 14
21878 .xword 14
21879 .xword 2
21880 .xword 13
21881 .xword 9
21882 .xword 10
21883 .xword 1
21884 .xword 14
21885 .xword 2
21886 .xword 7
21887 .xword 6
21888 .xword 5
21889 .xword 6
21890 .xword 9
21891 .xword 10
21892 .xword 7
21893 .xword 7
21894 .xword 14
21895 .xword 10
21896 .xword 9
21897 .xword 13
21898 .xword 9
21899.align 16
21900_t1_hash_src:
21901 .xword 0x1c4a4bcbdc29c273
21902 .xword 0xd9e1c1975eac2bc2
21903 .xword 0x3913e88ef503653c
21904 .xword 0xd6e74e8c05237f5f
21905 .xword 0xd345e302bfd0dff7
21906 .xword 0x0e3b5daf2c2dd16a
21907 .xword 0xf973266893903b6e
21908 .xword 0x82dd23248eb13d8d
21909 .xword 0xeabfe4cac6403561
21910 .xword 0xd1210e0f58074466
21911 .xword 0x54104659960d9ce5
21912 .xword 0x58c81b8fce6b25f0
21913 .xword 0x74066d1ed516262e
21914 .xword 0xdc31c6cab597af85
21915 .xword 0xe53d426ac82b9b94
21916 .xword 0x3ed84e0d0ef186bc
21917 .xword 0x7cb8bc8f8989c896
21918 .xword 0x3931dca891f46421
21919 .xword 0x371cc3df362bba1e
21920 .xword 0x6a7ed2b917b21880
21921 .xword 0x16af4d2dbe5bdc4b
21922 .xword 0x38edba76de7320a1
21923 .xword 0x3095282f7c85362c
21924 .xword 0xa17d050e21425af5
21925 .xword 0x4387e85ffef720e3
21926 .xword 0x5295c53c076825e2
21927 .xword 0x5b2fac88a128ab67
21928 .xword 0x882e6f9a9e632915
21929 .xword 0x66b5da50c2f1b34c
21930 .xword 0x2e7599729584b5db
21931 .xword 0xefe95073f9d32b2b
21932 .xword 0x6e3b169c89296c97
21933 .xword 0x53226f35b61650ba
21934 .xword 0x658b1dfc414fe711
21935 .xword 0x71e3f85242fc1b80
21936 .xword 0x60e41c4ea645bb38
21937 .xword 0x9d9693aaecbaee32
21938 .xword 0x2ee38ab47ef6e4b8
21939 .xword 0x19059595732a47a4
21940 .xword 0xc1ac8e59ed7e4c31
21941 .xword 0xe64e0f59c132b78d
21942 .xword 0xeb7a25fd40b6063b
21943 .xword 0x55f4f171e1826156
21944 .xword 0xf5d4c5bf582f9350
21945 .xword 0xec6c0b17ea3c9f42
21946 .xword 0x5917182dd390dfc7
21947 .xword 0x81ffc83be92a2c73
21948 .xword 0xa47123f486721def
21949 .xword 0xaad3d68dccdb46ea
21950 .xword 0x6f6991b66ead690b
21951 .xword 0x86fc651424fd2ff3
21952 .xword 0xe1dd46edc5628d7d
21953 .xword 0x68586efa1149d46f
21954 .xword 0x4cd902edcac131f6
21955 .xword 0x9ad9f822a9e42e5a
21956 .xword 0x0b5fa9844db5ec1f
21957 .xword 0x3796e7a42f2f7371
21958 .xword 0x7c3a4f0cb535bacc
21959 .xword 0x1bf2a29a223b30e5
21960 .xword 0x25a215265baed3f2
21961 .xword 0xc90e9122c3871582
21962 .xword 0x4c062dd4718bcddd
21963 .xword 0x5c8da21f3bab3909
21964 .xword 0xa40a401507d4de07
21965 .xword 0xa1405c055f231c23
21966 .xword 0x81234a003083368a
21967 .xword 0xa0a9c49f3a4cb45e
21968 .xword 0x6a73bb554f2f8ea9
21969 .xword 0x160ce0a24bfe0710
21970 .xword 0xe6c4c227bd76e997
21971 .xword 0x1484855dbf94bb3b
21972 .xword 0x981c43eca30ee7fd
21973 .xword 0x6f78db03a277f1af
21974 .xword 0x0655a2e281abce7a
21975 .xword 0x3dd23024e8299d2c
21976 .xword 0xefa3fe30e3f3c8e1
21977 .xword 0xf4ed6a502a403b14
21978 .xword 0x7e56781d2ded860f
21979 .xword 0xd9a8d337b23383d2
21980 .xword 0x587f580085867b29
21981 .xword 0xd6117142741964f2
21982 .xword 0x1d805f1c6df809e7
21983 .xword 0x4e2d08e33d33fe0f
21984 .xword 0x7e7dca2d18db456b
21985 .xword 0x4aa826b1d503e0b6
21986 .xword 0x30a5ee22cb59c7ac
21987 .xword 0xb09a5794290a6b8b
21988 .xword 0x6513440a0e25df8f
21989 .xword 0x977e9d83785c1fd0
21990 .xword 0x0606d13b1f347533
21991 .xword 0xfa2ef6747729b6dd
21992 .xword 0x63116d2560e91ffc
21993 .xword 0x414839300c6a5666
21994 .xword 0x0be140476693528b
21995 .xword 0xd40b45c3358d3674
21996 .xword 0xe2dfc4a55e4fa542
21997 .xword 0x0ec22c099acbbbdd
21998 .xword 0x7007cb48ab691dd6
21999 .xword 0x25f44103a01d48eb
22000 .xword 0xedc81d24253125b5
22001 .xword 0x51d2a15ba7de038f
22002 .xword 0x236474dd43b324ff
22003 .xword 0xecd090fb107c3fb6
22004 .xword 0x87a91a2660e60459
22005 .xword 0x1ab12334a377a48a
22006 .xword 0x723e62ed32407249
22007 .xword 0xc004c78e1e2c08e9
22008 .xword 0x206119c58c54d27b
22009 .xword 0xbfea19d3fe35842b
22010 .xword 0x561d90a5fa08a144
22011 .xword 0xd52f5d6b8b5b7d22
22012 .xword 0x2ab6dd3e94bb86f9
22013 .xword 0x6dbf01cec9abd1c4
22014 .xword 0x7b55693b0d958214
22015 .xword 0xb1f7a35b1e4f5d45
22016 .xword 0x875d9fd80ecabdb8
22017 .xword 0x47120e6ea384043a
22018 .xword 0x745dddc75d907a2f
22019 .xword 0x8c14df9c2aa7e52e
22020 .xword 0x715871ddea9e3ca9
22021 .xword 0xa2f525d8c7479cfe
22022 .xword 0xc8ec48fe6977abcd
22023 .xword 0x6f95c323169dd742
22024 .xword 0xf390f7d780385227
22025 .xword 0x99c31f6e8bf3253c
22026 .xword 0xadfc8a27f22d39e2
22027 .xword 0x6dc63cea56a21fce
22028 .xword 0x1d59a9f7616af4ed
22029 .xword 0x4367b30559ad5d7a
22030 .xword 0xa4a8391f7ce303ae
22031 .xword 0x692337fc01048526
22032 .xword 0x61850316d9125e4c
22033 .xword 0xb9f6af6af40342f3
22034 .xword 0x2a8fffdb5b825b04
22035 .xword 0xb9d082e8f13a3c2e
22036 .xword 0x334eedfecc098e72
22037 .xword 0x524fc0ebedf56a19
22038 .xword 0x4acb85aea5129a41
22039 .xword 0x5216f16e4a34257b
22040 .xword 0x8a07bf510c6d25de
22041 .xword 0x49518357b5c1bf7b
22042 .xword 0x5f4e204474eb7922
22043 .xword 0x50ffc5f71a869554
22044 .xword 0xf4d2dc59f27b23ca
22045 .xword 0x7d1ac8f72be7593e
22046 .xword 0x0a0b2fcb65842c21
22047 .xword 0x3f996d7caa386806
22048 .xword 0x487993d1686ae52f
22049 .xword 0x3f04db80c2819be0
22050 .xword 0xeba93646f79d006f
22051 .xword 0x727af3779aef406c
22052 .xword 0x5b715d3520d46c5e
22053 .xword 0x90f48203f4ee80fe
22054 .xword 0x70cd5c43d6409133
22055 .xword 0x7caa479eed429c96
22056 .xword 0x441c0a873bab2aca
22057 .xword 0xaed6462547632203
22058 .xword 0xabfa8f997a3a9c39
22059 .xword 0x40a5541e4828e118
22060 .xword 0x24d0e81ae1cc3a9d
22061 .xword 0x6a4d89bdede19da6
22062 .xword 0xbe6b627ed21b06ea
22063 .xword 0x167f816af5eef490
22064 .xword 0x2e309fca63b0ab4a
22065 .xword 0xd5d3e2686125b98c
22066 .xword 0x88c7203f8a8b65c9
22067 .xword 0xdfe7321ff595a737
22068 .xword 0xf89b41160f387579
22069 .xword 0xa3fab28d974258b5
22070 .xword 0xb01e607751708639
22071 .xword 0x948411de4f659386
22072 .xword 0xafb37bd15054f734
22073 .xword 0x5781d0a9a75164e5
22074 .xword 0xcace560a56f04c94
22075 .xword 0xe49e5987407aa904
22076 .xword 0xa400dca3559d5b33
22077 .xword 0x0913857038d66fe4
22078 .xword 0x125ef479d1e24b06
22079 .xword 0x4ed877298d1e70df
22080 .xword 0x7feed8f564a18893
22081 .xword 0x071c1c0c7eaabce5
22082 .xword 0x17eb5da862f2fac3
22083 .xword 0x00edccfa87b167a3
22084 .xword 0xa514481c867e0a79
22085 .xword 0x7c0e6a0b8beca4e3
22086 .xword 0x7e89baf776877c11
22087 .xword 0x74398438a07e5b22
22088 .xword 0xa2758a27e3286343
22089 .xword 0x1d15b548f250e4f0
22090 .xword 0xf344338bf6b4ac2f
22091 .xword 0x79729714f6e49298
22092 .xword 0x3f5f0c4441b6522d
22093 .xword 0x50452b805a39e172
22094 .xword 0x7b1eddfd5c3dae06
22095 .xword 0xdd02769f918b9e5a
22096 .xword 0x5d1ea357ea398c10
22097 .xword 0x76f4a5f0de78d57a
22098 .xword 0xaea2822379686825
22099 .xword 0x1023a097b5f7d0a2
22100 .xword 0x7781346dcd32577b
22101 .xword 0x654aa18357cbd37a
22102 .xword 0x73f492f225d78fd0
22103 .xword 0xf8bd912774f5bd0f
22104 .xword 0xb8e4dc7ff3ce4fdd
22105 .xword 0x7dbe8b8061ca4112
22106 .xword 0x735b04c02e0981c7
22107 .xword 0xb1a7d42047ff6a42
22108 .xword 0xd2d378a6b3272377
22109 .xword 0xb8a1a795d7074b76
22110 .xword 0x37c66661f2547fca
22111 .xword 0x6fb37ffb14955f73
22112 .xword 0x5757326e65353c81
22113 .xword 0x787517b8c9460de4
22114 .xword 0xa92e4c3ef0212a24
22115 .xword 0x318e54d0c311d3bd
22116 .xword 0x0e27821cef9f784b
22117 .xword 0x2452506e0090f782
22118 .xword 0xbfb60e4f82bf1833
22119 .xword 0x8d74e0d265d31d38
22120 .xword 0xb01175ac671db938
22121 .xword 0x532be33126fda6f1
22122 .xword 0x1e481eebf7fb4236
22123 .xword 0xb2fadf796c71a7c8
22124 .xword 0xe5ad91978c283285
22125 .xword 0x414a736843f5d683
22126 .xword 0x8fedfe1474001931
22127 .xword 0x05f00c284e401535
22128 .xword 0xfd11d9d049f34693
22129 .xword 0xa6c9ed909ffe1dac
22130 .xword 0x8ae460c44926b462
22131 .xword 0x7e134d84176b4d07
22132 .xword 0x8524f032d41907ed
22133 .xword 0x05d7e881b72ecd05
22134 .xword 0xd8157fe7dba66447
22135 .xword 0x031a0e4bb18dca4e
22136 .xword 0xaa56ae7d0d59fc1a
22137 .xword 0x608d030558064b8c
22138 .xword 0x74129efc608c1a4d
22139 .xword 0x34da3bb23f046c32
22140 .xword 0xd58ce1a2836da377
22141 .xword 0x41e9e2e08b7bd5e3
22142 .xword 0x35433453a330dc0b
22143 .xword 0x5923310c65f69dd6
22144 .xword 0x668d7b491d1f2b94
22145 .xword 0x16a257430caafd7f
22146 .xword 0x7a37456302bac9f2
22147 .xword 0x36b42c8ce0fddee4
22148 .xword 0x7ea54bf63592c26c
22149 .xword 0xfb406041d53431b4
22150 .xword 0xf3666b7a7eebd61c
22151 .xword 0xb9fb2336f749464a
22152 .xword 0xefe9168ae400dc94
22153 .xword 0xbfc14d876e74553f
22154 .xword 0x434872fd6fb04916
22155 .xword 0x0680a02df00c42f5
22156 .xword 0x4ad974bd3adbbb9f
22157 .xword 0xef625779cadf1f95
22158 .xword 0x641bbf60fafbf321
22159 .xword 0x97f6c1d4a465114a
22160 .xword 0xc7e3b805baa07516
22161 .xword 0x066d42dd70dffbc3
22162 .xword 0x913940943097456d
22163 .xword 0x23dc511b32b5c055
22164 .xword 0xc9131fbdafa0a1a3
22165 .xword 0xe82972b3049d83d3
22166 .xword 0x5b260ccb66089e42
22167 .xword 0xe52b329e8c7055bd
22168 .xword 0x665a88532441a334
22169 .xword 0x62b5d0693752c642
22170 .xword 0x45250d5faa0e5438
22171 .xword 0x7ab667a4522722b0
22172 .xword 0x6d0a6bec588cba27
22173 .xword 0x3594e7fdf497e344
22174 .xword 0xfdd3fcde6345851c
22175 .xword 0xedd28a8d1fb07d8a
22176.align 16
22177_t1_hash_dest:
22178 .xword 0xDEADBEEFDEADBEEF
22179 .xword 0xDEADBEEFDEADBEEF
22180 .xword 0xDEADBEEFDEADBEEF
22181 .xword 0xDEADBEEFDEADBEEF
22182 .xword 0xDEADBEEFDEADBEEF
22183 .xword 0xDEADBEEFDEADBEEF
22184 .xword 0xDEADBEEFDEADBEEF
22185 .xword 0xDEADBEEFDEADBEEF
22186 .xword 0xDEADBEEFDEADBEEF
22187 .xword 0xDEADBEEFDEADBEEF
22188 .xword 0xDEADBEEFDEADBEEF
22189 .xword 0xDEADBEEFDEADBEEF
22190 .xword 0xDEADBEEFDEADBEEF
22191 .xword 0xDEADBEEFDEADBEEF
22192 .xword 0xDEADBEEFDEADBEEF
22193 .xword 0xDEADBEEFDEADBEEF
22194 .xword 0xDEADBEEFDEADBEEF
22195 .xword 0xDEADBEEFDEADBEEF
22196 .xword 0xDEADBEEFDEADBEEF
22197 .xword 0xDEADBEEFDEADBEEF
22198 .xword 0xDEADBEEFDEADBEEF
22199 .xword 0xDEADBEEFDEADBEEF
22200 .xword 0xDEADBEEFDEADBEEF
22201 .xword 0xDEADBEEFDEADBEEF
22202 .xword 0xDEADBEEFDEADBEEF
22203 .xword 0xDEADBEEFDEADBEEF
22204 .xword 0xDEADBEEFDEADBEEF
22205 .xword 0xDEADBEEFDEADBEEF
22206 .xword 0xDEADBEEFDEADBEEF
22207 .xword 0xDEADBEEFDEADBEEF
22208 .xword 0xDEADBEEFDEADBEEF
22209 .xword 0xDEADBEEFDEADBEEF
22210 .xword 0xDEADBEEFDEADBEEF
22211 .xword 0xDEADBEEFDEADBEEF
22212 .xword 0xDEADBEEFDEADBEEF
22213 .xword 0xDEADBEEFDEADBEEF
22214 .xword 0xDEADBEEFDEADBEEF
22215 .xword 0xDEADBEEFDEADBEEF
22216 .xword 0xDEADBEEFDEADBEEF
22217 .xword 0xDEADBEEFDEADBEEF
22218 .xword 0xDEADBEEFDEADBEEF
22219 .xword 0xDEADBEEFDEADBEEF
22220 .xword 0xDEADBEEFDEADBEEF
22221 .xword 0xDEADBEEFDEADBEEF
22222 .xword 0xDEADBEEFDEADBEEF
22223 .xword 0xDEADBEEFDEADBEEF
22224 .xword 0xDEADBEEFDEADBEEF
22225 .xword 0xDEADBEEFDEADBEEF
22226 .xword 0xDEADBEEFDEADBEEF
22227 .xword 0xDEADBEEFDEADBEEF
22228 .xword 0xDEADBEEFDEADBEEF
22229 .xword 0xDEADBEEFDEADBEEF
22230 .xword 0xDEADBEEFDEADBEEF
22231 .xword 0xDEADBEEFDEADBEEF
22232 .xword 0xDEADBEEFDEADBEEF
22233 .xword 0xDEADBEEFDEADBEEF
22234 .xword 0xDEADBEEFDEADBEEF
22235 .xword 0xDEADBEEFDEADBEEF
22236 .xword 0xDEADBEEFDEADBEEF
22237 .xword 0xDEADBEEFDEADBEEF
22238 .xword 0xDEADBEEFDEADBEEF
22239 .xword 0xDEADBEEFDEADBEEF
22240 .xword 0xDEADBEEFDEADBEEF
22241 .xword 0xDEADBEEFDEADBEEF
22242 .xword 0xDEADBEEFDEADBEEF
22243 .xword 0xDEADBEEFDEADBEEF
22244 .xword 0xDEADBEEFDEADBEEF
22245 .xword 0xDEADBEEFDEADBEEF
22246 .xword 0xDEADBEEFDEADBEEF
22247 .xword 0xDEADBEEFDEADBEEF
22248 .xword 0xDEADBEEFDEADBEEF
22249 .xword 0xDEADBEEFDEADBEEF
22250 .xword 0xDEADBEEFDEADBEEF
22251 .xword 0xDEADBEEFDEADBEEF
22252 .xword 0xDEADBEEFDEADBEEF
22253 .xword 0xDEADBEEFDEADBEEF
22254 .xword 0xDEADBEEFDEADBEEF
22255 .xword 0xDEADBEEFDEADBEEF
22256 .xword 0xDEADBEEFDEADBEEF
22257 .xword 0xDEADBEEFDEADBEEF
22258 .xword 0xDEADBEEFDEADBEEF
22259 .xword 0xDEADBEEFDEADBEEF
22260 .xword 0xDEADBEEFDEADBEEF
22261 .xword 0xDEADBEEFDEADBEEF
22262 .xword 0xDEADBEEFDEADBEEF
22263 .xword 0xDEADBEEFDEADBEEF
22264 .xword 0xDEADBEEFDEADBEEF
22265 .xword 0xDEADBEEFDEADBEEF
22266 .xword 0xDEADBEEFDEADBEEF
22267 .xword 0xDEADBEEFDEADBEEF
22268 .xword 0xDEADBEEFDEADBEEF
22269 .xword 0xDEADBEEFDEADBEEF
22270 .xword 0xDEADBEEFDEADBEEF
22271 .xword 0xDEADBEEFDEADBEEF
22272 .xword 0xDEADBEEFDEADBEEF
22273 .xword 0xDEADBEEFDEADBEEF
22274 .xword 0xDEADBEEFDEADBEEF
22275 .xword 0xDEADBEEFDEADBEEF
22276 .xword 0xDEADBEEFDEADBEEF
22277 .xword 0xDEADBEEFDEADBEEF
22278 .xword 0xDEADBEEFDEADBEEF
22279 .xword 0xDEADBEEFDEADBEEF
22280 .xword 0xDEADBEEFDEADBEEF
22281 .xword 0xDEADBEEFDEADBEEF
22282 .xword 0xDEADBEEFDEADBEEF
22283 .xword 0xDEADBEEFDEADBEEF
22284 .xword 0xDEADBEEFDEADBEEF
22285 .xword 0xDEADBEEFDEADBEEF
22286 .xword 0xDEADBEEFDEADBEEF
22287 .xword 0xDEADBEEFDEADBEEF
22288 .xword 0xDEADBEEFDEADBEEF
22289 .xword 0xDEADBEEFDEADBEEF
22290 .xword 0xDEADBEEFDEADBEEF
22291 .xword 0xDEADBEEFDEADBEEF
22292 .xword 0xDEADBEEFDEADBEEF
22293 .xword 0xDEADBEEFDEADBEEF
22294 .xword 0xDEADBEEFDEADBEEF
22295 .xword 0xDEADBEEFDEADBEEF
22296 .xword 0xDEADBEEFDEADBEEF
22297 .xword 0xDEADBEEFDEADBEEF
22298 .xword 0xDEADBEEFDEADBEEF
22299 .xword 0xDEADBEEFDEADBEEF
22300 .xword 0xDEADBEEFDEADBEEF
22301 .xword 0xDEADBEEFDEADBEEF
22302 .xword 0xDEADBEEFDEADBEEF
22303 .xword 0xDEADBEEFDEADBEEF
22304 .xword 0xDEADBEEFDEADBEEF
22305 .xword 0xDEADBEEFDEADBEEF
22306 .xword 0xDEADBEEFDEADBEEF
22307 .xword 0xDEADBEEFDEADBEEF
22308 .xword 0xDEADBEEFDEADBEEF
22309 .xword 0xDEADBEEFDEADBEEF
22310 .xword 0xDEADBEEFDEADBEEF
22311 .xword 0xDEADBEEFDEADBEEF
22312 .xword 0xDEADBEEFDEADBEEF
22313 .xword 0xDEADBEEFDEADBEEF
22314 .xword 0xDEADBEEFDEADBEEF
22315 .xword 0xDEADBEEFDEADBEEF
22316 .xword 0xDEADBEEFDEADBEEF
22317 .xword 0xDEADBEEFDEADBEEF
22318 .xword 0xDEADBEEFDEADBEEF
22319 .xword 0xDEADBEEFDEADBEEF
22320 .xword 0xDEADBEEFDEADBEEF
22321 .xword 0xDEADBEEFDEADBEEF
22322 .xword 0xDEADBEEFDEADBEEF
22323 .xword 0xDEADBEEFDEADBEEF
22324 .xword 0xDEADBEEFDEADBEEF
22325 .xword 0xDEADBEEFDEADBEEF
22326 .xword 0xDEADBEEFDEADBEEF
22327 .xword 0xDEADBEEFDEADBEEF
22328 .xword 0xDEADBEEFDEADBEEF
22329 .xword 0xDEADBEEFDEADBEEF
22330 .xword 0xDEADBEEFDEADBEEF
22331 .xword 0xDEADBEEFDEADBEEF
22332 .xword 0xDEADBEEFDEADBEEF
22333 .xword 0xDEADBEEFDEADBEEF
22334 .xword 0xDEADBEEFDEADBEEF
22335 .xword 0xDEADBEEFDEADBEEF
22336 .xword 0xDEADBEEFDEADBEEF
22337 .xword 0xDEADBEEFDEADBEEF
22338 .xword 0xDEADBEEFDEADBEEF
22339 .xword 0xDEADBEEFDEADBEEF
22340 .xword 0xDEADBEEFDEADBEEF
22341 .xword 0xDEADBEEFDEADBEEF
22342 .xword 0xDEADBEEFDEADBEEF
22343 .xword 0xDEADBEEFDEADBEEF
22344 .xword 0xDEADBEEFDEADBEEF
22345 .xword 0xDEADBEEFDEADBEEF
22346 .xword 0xDEADBEEFDEADBEEF
22347 .xword 0xDEADBEEFDEADBEEF
22348 .xword 0xDEADBEEFDEADBEEF
22349 .xword 0xDEADBEEFDEADBEEF
22350 .xword 0xDEADBEEFDEADBEEF
22351 .xword 0xDEADBEEFDEADBEEF
22352 .xword 0xDEADBEEFDEADBEEF
22353 .xword 0xDEADBEEFDEADBEEF
22354 .xword 0xDEADBEEFDEADBEEF
22355 .xword 0xDEADBEEFDEADBEEF
22356 .xword 0xDEADBEEFDEADBEEF
22357 .xword 0xDEADBEEFDEADBEEF
22358 .xword 0xDEADBEEFDEADBEEF
22359 .xword 0xDEADBEEFDEADBEEF
22360 .xword 0xDEADBEEFDEADBEEF
22361 .xword 0xDEADBEEFDEADBEEF
22362 .xword 0xDEADBEEFDEADBEEF
22363 .xword 0xDEADBEEFDEADBEEF
22364 .xword 0xDEADBEEFDEADBEEF
22365 .xword 0xDEADBEEFDEADBEEF
22366 .xword 0xDEADBEEFDEADBEEF
22367 .xword 0xDEADBEEFDEADBEEF
22368 .xword 0xDEADBEEFDEADBEEF
22369 .xword 0xDEADBEEFDEADBEEF
22370 .xword 0xDEADBEEFDEADBEEF
22371 .xword 0xDEADBEEFDEADBEEF
22372 .xword 0xDEADBEEFDEADBEEF
22373 .xword 0xDEADBEEFDEADBEEF
22374 .xword 0xDEADBEEFDEADBEEF
22375 .xword 0xDEADBEEFDEADBEEF
22376 .xword 0xDEADBEEFDEADBEEF
22377 .xword 0xDEADBEEFDEADBEEF
22378 .xword 0xDEADBEEFDEADBEEF
22379 .xword 0xDEADBEEFDEADBEEF
22380 .xword 0xDEADBEEFDEADBEEF
22381 .xword 0xDEADBEEFDEADBEEF
22382 .xword 0xDEADBEEFDEADBEEF
22383 .xword 0xDEADBEEFDEADBEEF
22384 .xword 0xDEADBEEFDEADBEEF
22385 .xword 0xDEADBEEFDEADBEEF
22386 .xword 0xDEADBEEFDEADBEEF
22387 .xword 0xDEADBEEFDEADBEEF
22388 .xword 0xDEADBEEFDEADBEEF
22389 .xword 0xDEADBEEFDEADBEEF
22390 .xword 0xDEADBEEFDEADBEEF
22391 .xword 0xDEADBEEFDEADBEEF
22392 .xword 0xDEADBEEFDEADBEEF
22393 .xword 0xDEADBEEFDEADBEEF
22394 .xword 0xDEADBEEFDEADBEEF
22395 .xword 0xDEADBEEFDEADBEEF
22396 .xword 0xDEADBEEFDEADBEEF
22397 .xword 0xDEADBEEFDEADBEEF
22398 .xword 0xDEADBEEFDEADBEEF
22399 .xword 0xDEADBEEFDEADBEEF
22400 .xword 0xDEADBEEFDEADBEEF
22401 .xword 0xDEADBEEFDEADBEEF
22402 .xword 0xDEADBEEFDEADBEEF
22403 .xword 0xDEADBEEFDEADBEEF
22404 .xword 0xDEADBEEFDEADBEEF
22405 .xword 0xDEADBEEFDEADBEEF
22406 .xword 0xDEADBEEFDEADBEEF
22407 .xword 0xDEADBEEFDEADBEEF
22408 .xword 0xDEADBEEFDEADBEEF
22409 .xword 0xDEADBEEFDEADBEEF
22410 .xword 0xDEADBEEFDEADBEEF
22411 .xword 0xDEADBEEFDEADBEEF
22412 .xword 0xDEADBEEFDEADBEEF
22413 .xword 0xDEADBEEFDEADBEEF
22414 .xword 0xDEADBEEFDEADBEEF
22415 .xword 0xDEADBEEFDEADBEEF
22416 .xword 0xDEADBEEFDEADBEEF
22417 .xword 0xDEADBEEFDEADBEEF
22418 .xword 0xDEADBEEFDEADBEEF
22419 .xword 0xDEADBEEFDEADBEEF
22420 .xword 0xDEADBEEFDEADBEEF
22421 .xword 0xDEADBEEFDEADBEEF
22422 .xword 0xDEADBEEFDEADBEEF
22423 .xword 0xDEADBEEFDEADBEEF
22424 .xword 0xDEADBEEFDEADBEEF
22425 .xword 0xDEADBEEFDEADBEEF
22426 .xword 0xDEADBEEFDEADBEEF
22427 .xword 0xDEADBEEFDEADBEEF
22428 .xword 0xDEADBEEFDEADBEEF
22429 .xword 0xDEADBEEFDEADBEEF
22430 .xword 0xDEADBEEFDEADBEEF
22431 .xword 0xDEADBEEFDEADBEEF
22432 .xword 0xDEADBEEFDEADBEEF
22433 .xword 0xDEADBEEFDEADBEEF
22434 .xword 0xDEADBEEFDEADBEEF
22435 .xword 0xDEADBEEFDEADBEEF
22436 .xword 0xDEADBEEFDEADBEEF
22437 .xword 0xDEADBEEFDEADBEEF
22438 .xword 0xDEADBEEFDEADBEEF
22439 .xword 0xDEADBEEFDEADBEEF
22440 .xword 0xDEADBEEFDEADBEEF
22441 .xword 0xDEADBEEFDEADBEEF
22442 .xword 0xDEADBEEFDEADBEEF
22443 .xword 0xDEADBEEFDEADBEEF
22444 .xword 0xDEADBEEFDEADBEEF
22445 .xword 0xDEADBEEFDEADBEEF
22446 .xword 0xDEADBEEFDEADBEEF
22447 .xword 0xDEADBEEFDEADBEEF
22448 .xword 0xDEADBEEFDEADBEEF
22449 .xword 0xDEADBEEFDEADBEEF
22450 .xword 0xDEADBEEFDEADBEEF
22451 .xword 0xDEADBEEFDEADBEEF
22452 .xword 0xDEADBEEFDEADBEEF
22453.align 16
22454_t1_hash_auth_key:
22455 .xword 0xfed818f9f4c6207a
22456 .xword 0xa64a69644b9af5bd
22457 .xword 0x67a4cca98b24ccf8
22458 .xword 0x4dfd19eb32b49c94
22459 .xword 0x6b2996b2df1b7c75
22460 .xword 0x120ffdfae6db37eb
22461 .xword 0xc9f59e79a1370710
22462 .xword 0x5b8af74c9c8b25f8
22463 .xword 0x4f7bc12ed04de6cc
22464 .xword 0xa81e2d72e6941641
22465 .xword 0x9e8dff46ee6af227
22466 .xword 0xd1f4394d7914e587
22467 .xword 0x02f3cd1d453d133b
22468 .xword 0x526dead4f965813c
22469 .xword 0x3b6ec0b250153302
22470 .xword 0xd5ff8b2ee27f299e
22471 .xword 0xce7fa4dd5612d0fb
22472 .xword 0x3e4bba063a236bce
22473 .xword 0x38f045d4dc3dc948
22474 .xword 0x96e6415186a7e9fa
22475 .xword 0x4ceb890f11b052fa
22476 .xword 0x4b2d39efcc5d05a0
22477 .xword 0x409bbe589a5fcbe4
22478.align 16
22479_t1_hash_auth_iv:
22480 .xword 0x7a92123b9fad6242
22481 .xword 0xd225d76588d6e7e5
22482 .xword 0x52ca703b6eb3aafd
22483 .xword 0xb18ae40440bb4d99
22484 .xword 0xfad1c05ab3cdfec2
22485 .xword 0x9bcf6a7d3f92559b
22486 .xword 0x12ff5cd235fb4565
22487 .xword 0x1b0caf27134dedd7
22488 .xword 0x39d31a96662e8d54
22489 .xword 0xec38569030c364df
22490 .xword 0xf747d4233058ef63
22491 .xword 0xc83c0b213fcc5284
22492 .xword 0xd699861f70f49bcf
22493 .xword 0x61a8f11629e16b61
22494 .xword 0xaddd5058dba4bbb1
22495 .xword 0x6d9a3224f81b264d
22496 .xword 0xa2c7c13a802195a2
22497 .xword 0xdfa94038dc1da5a0
22498 .xword 0xc83a71609842563c
22499 .xword 0x6767d37d3b8cd74c
22500 .xword 0xe36bbbc26665e945
22501 .xword 0x6387b019847eb434
22502 .xword 0xbb219d8307cfc994
22503.align 16
22504_t1_hash_fas_result:
22505 .xword 0xDEADBEEFDEADBEEF
22506 .xword 0xDEADBEEFDEADBEEF
22507 .xword 0xDEADBEEFDEADBEEF
22508 .xword 0xDEADBEEFDEADBEEF
22509 .xword 0xDEADBEEFDEADBEEF
22510 .xword 0xDEADBEEFDEADBEEF
22511 .xword 0xDEADBEEFDEADBEEF
22512 .xword 0xDEADBEEFDEADBEEF
22513 .xword 0xDEADBEEFDEADBEEF
22514 .xword 0xDEADBEEFDEADBEEF
22515 .xword 0xDEADBEEFDEADBEEF
22516 .xword 0xDEADBEEFDEADBEEF
22517 .xword 0xDEADBEEFDEADBEEF
22518 .xword 0xDEADBEEFDEADBEEF
22519 .xword 0xDEADBEEFDEADBEEF
22520 .xword 0xDEADBEEFDEADBEEF
22521 .xword 0xDEADBEEFDEADBEEF
22522 .xword 0xDEADBEEFDEADBEEF
22523 .xword 0xDEADBEEFDEADBEEF
22524 .xword 0xDEADBEEFDEADBEEF
22525 .xword 0xDEADBEEFDEADBEEF
22526 .xword 0xDEADBEEFDEADBEEF
22527 .xword 0xDEADBEEFDEADBEEF
22528.align 16
22529_t1_hmac_key_array:
22530 .xword 0x97d385196d048ca3
22531 .xword 0xcbaa999391204e62
22532 .xword 0xee15ab08d81a862f
22533 .xword 0x6d07c85c4bb72ee0
22534 .xword 0xa069a7d90abd73f6
22535 .xword 0x305e14c53558b364
22536 .xword 0x9786c2f2a3709e38
22537 .xword 0x8cbb0792aacbf2ed
22538 .xword 0xf297d297d856957c
22539 .xword 0xf453b3d0dd2fd390
22540 .xword 0x9f5056e0823e0f57
22541 .xword 0x6796c7270e79fd82
22542 .xword 0x516af678c3503c0a
22543 .xword 0xbe60de312b00f4bb
22544 .xword 0x55ca3557184f3055
22545 .xword 0x6c0f3d3fccfe804f
22546 .xword 0x358e3aa980693b56
22547 .xword 0xe3d11a3670bb97a1
22548 .xword 0xe12c534d3a11f47a
22549 .xword 0xa7f59d79c6098901
22550 .xword 0x6062c02abeae3101
22551 .xword 0x786d708a5057137c
22552 .xword 0x5df4eaa86ddd7a4b
22553 .xword 0x122eebe631945cbf
22554 .xword 0x68a18918a4a84682
22555 .xword 0x7adf9bbc666811ff
22556 .xword 0xa304d3ae93165e7a
22557 .xword 0xbd0718e516f4e88f
22558 .xword 0xee3bc50657a0d60a
22559 .xword 0xa97e448396f0dffa
22560 .xword 0xf67cfa7ec2fb8841
22561 .xword 0x1b0fccf2824eab55
22562 .xword 0x1ee6a3283da0596c
22563 .xword 0x1e1743b17c0ef228
22564 .xword 0xbc1ea32796fc8a22
22565 .xword 0x982678bddc3fdb5d
22566 .xword 0xe7cbe86bd5a020b6
22567 .xword 0x3a9e7f9fef81ee12
22568 .xword 0x0267d8b3a64b5ced
22569 .xword 0x3c682f5c42bb2711
22570 .xword 0x75955b00cc0ad64c
22571 .xword 0xeb64e378572874e7
22572 .xword 0xd460ad7cc6a668dd
22573 .xword 0x45afb66af924437d
22574 .xword 0xffc5546dc0a2739a
22575 .xword 0xf81f120f8299e798
22576 .xword 0xae8dd62bc4fd469a
22577 .xword 0x0f8e804a4c8e00bb
22578 .xword 0x36b173dde9417b4b
22579 .xword 0x4182e40613591e86
22580 .xword 0xa2a69b25366a7e40
22581.align 16
22582_t1_hmac_iv_array:
22583 .xword 0x09ceb566910fe27e
22584 .xword 0xd845d55e9a895f47
22585 .xword 0x579e5caeafa27a8c
22586 .xword 0x073ff284a9f0324b
22587 .xword 0xbe0e0c694723de68
22588 .xword 0xf03a11cb8a9ada84
22589 .xword 0xca02155e4c6d8a54
22590 .xword 0x78905d8b088a8a63
22591 .xword 0xb9ca79f8282cd860
22592 .xword 0x9c27aa99a74f21f3
22593 .xword 0xf8f5b2ef29801ccb
22594 .xword 0xf88531ada4a10e0f
22595 .xword 0x21185ad2f7b7d4ea
22596 .xword 0xb5ea1232dc921d5c
22597 .xword 0x6e4004e7c1279521
22598 .xword 0x68fdff3b483b9ef0
22599 .xword 0xf99f46115ea9784a
22600 .xword 0x0c8f579761daa1d2
22601 .xword 0xe42fcb0faa22e722
22602 .xword 0x854e0ace44275695
22603 .xword 0x3307eea87a00f271
22604 .xword 0x93f4f4c39f01fc04
22605 .xword 0x5ad7b2b759151b9b
22606 .xword 0xab4f07357bee4a82
22607 .xword 0x33d4b1a0884276e1
22608 .xword 0x5b997c0cb1d3c223
22609 .xword 0x6aff9a0cc7476816
22610 .xword 0x6559985fec196b33
22611 .xword 0x793971f44461fd71
22612 .xword 0x0d99cefbd37124f9
22613 .xword 0x0bacb88cbc3636e7
22614 .xword 0xb3a6b18bf364749f
22615 .xword 0xd1fea4522b8c5fe7
22616 .xword 0xe5a79cff7c289079
22617 .xword 0x760b5276f8541c42
22618 .xword 0x82f5c5f798339b62
22619 .xword 0xf61c00dffb5a3206
22620 .xword 0xa8ad39aebe9b8398
22621 .xword 0xf281cb0b65670f24
22622 .xword 0x31e69f6105047280
22623 .xword 0x1781c220cc326dae
22624 .xword 0xd856d93b10f18452
22625 .xword 0xaaf351adc2e4c039
22626 .xword 0x127d143e6745ff9a
22627 .xword 0x19ead92b1c647b24
22628.align 16
22629_t1_hmac_alignment_array:
22630 .xword 6
22631 .xword 14
22632 .xword 3
22633 .xword 8
22634 .xword 11
22635 .xword 2
22636 .xword 0
22637 .xword 4
22638 .xword 14
22639 .xword 10
22640 .xword 2
22641 .xword 7
22642 .xword 7
22643 .xword 6
22644 .xword 13
22645 .xword 3
22646 .xword 9
22647 .xword 11
22648 .xword 5
22649 .xword 2
22650 .xword 5
22651 .xword 1
22652 .xword 14
22653 .xword 2
22654 .xword 0
22655 .xword 10
22656 .xword 11
22657 .xword 2
22658 .xword 12
22659 .xword 0
22660 .xword 13
22661 .xword 5
22662 .xword 3
22663 .xword 6
22664 .xword 3
22665 .xword 14
22666 .xword 5
22667 .xword 7
22668 .xword 12
22669 .xword 9
22670 .xword 8
22671 .xword 11
22672 .xword 2
22673 .xword 15
22674 .xword 13
22675 .xword 7
22676 .xword 7
22677 .xword 8
22678 .xword 8
22679 .xword 12
22680 .xword 3
22681 .xword 4
22682 .xword 6
22683 .xword 6
22684 .xword 6
22685 .xword 12
22686 .xword 3
22687 .xword 3
22688 .xword 15
22689 .xword 13
22690 .xword 12
22691 .xword 11
22692 .xword 2
22693 .xword 0
22694 .xword 3
22695 .xword 15
22696 .xword 5
22697 .xword 1
22698 .xword 4
22699 .xword 6
22700 .xword 15
22701 .xword 5
22702 .xword 14
22703 .xword 11
22704 .xword 9
22705 .xword 1
22706 .xword 9
22707 .xword 9
22708 .xword 4
22709 .xword 15
22710 .xword 9
22711 .xword 8
22712 .xword 13
22713 .xword 9
22714 .xword 1
22715 .xword 2
22716 .xword 11
22717 .xword 0
22718 .xword 13
22719 .xword 2
22720 .xword 5
22721 .xword 6
22722 .xword 9
22723 .xword 15
22724 .xword 4
22725 .xword 9
22726 .xword 8
22727 .xword 9
22728 .xword 11
22729 .xword 6
22730 .xword 4
22731 .xword 11
22732 .xword 4
22733 .xword 14
22734 .xword 11
22735.align 16
22736_t1_hmac_src:
22737 .xword 0xedc171b5b071059e
22738 .xword 0xcde72fbcaab47d1b
22739 .xword 0x56d0be1f91d74ada
22740 .xword 0xd86e4c97efbfaf30
22741 .xword 0xda9afaf180cb0193
22742 .xword 0x976675e3038876e7
22743 .xword 0x169d1f893638c5c6
22744 .xword 0x0633e42885709f49
22745 .xword 0x68782981fd781e0e
22746 .xword 0xdeb1dd6026505575
22747 .xword 0xbd4e88c00be172da
22748 .xword 0xaaee1491cd642ebc
22749 .xword 0xc70c8032b439329e
22750 .xword 0x2c7011b7b4004e65
22751 .xword 0x52d1a4be1189f5f2
22752 .xword 0x3f100807f0f1a369
22753 .xword 0xf1ea5e7148b4d072
22754 .xword 0xc447cb38ddd40e65
22755 .xword 0x0941d5f2756f52fa
22756 .xword 0xe044198d0e169f37
22757 .xword 0xaf8656236a9f2e4a
22758 .xword 0x0650096a82b77829
22759 .xword 0xa3d0976a961869fc
22760 .xword 0x251a8561a4525d5c
22761 .xword 0x12983dcdbb923656
22762 .xword 0xf51fcbb636b6bb30
22763 .xword 0xe52e42b48ba39d9e
22764 .xword 0x6b9ed0eab738030e
22765 .xword 0x4d65912d4d43295d
22766 .xword 0xe6892977ea7442f9
22767 .xword 0x5c40c4bdc6f6333f
22768 .xword 0x231d1e853d4fa754
22769 .xword 0xd1ecea8fe6d628df
22770 .xword 0xe727ceafbe8c3d15
22771 .xword 0x049ea64fd7e1d8ce
22772 .xword 0xb7e06269ff7ea559
22773 .xword 0x5d572ed025c8010c
22774 .xword 0xe3f55a7d5d572d0a
22775 .xword 0xafcabbd6f86be8c7
22776 .xword 0x6ba1d5456cdc6e00
22777 .xword 0x9d1d35887795c87c
22778 .xword 0x71d31c686098c36d
22779 .xword 0x3b58813201ae37fd
22780 .xword 0x3f00f60e6831feb4
22781 .xword 0xb6dcd5b2bbe8f231
22782 .xword 0xb009760cb8333639
22783 .xword 0x8fe323b67b8592e2
22784 .xword 0x1bf684a3dbcf9595
22785 .xword 0x2030e6349dcbaff5
22786 .xword 0x0e3aa4ced0b45354
22787 .xword 0x8a34c02d9c5d6c41
22788 .xword 0x17908e1a3bcf7c9c
22789 .xword 0x6acdaab4435f998c
22790 .xword 0x09365c49267c7319
22791 .xword 0x37b037e3645d1d26
22792 .xword 0x166357882ecc8a16
22793 .xword 0x0bcf6c42312994b8
22794 .xword 0x0182e8b73d79773f
22795 .xword 0x7729786b6f2aebad
22796 .xword 0xb48e288840b32ded
22797 .xword 0x98f9076c962798b3
22798 .xword 0xe8e9ca8a0346dd26
22799 .xword 0x999667fbad207714
22800 .xword 0x05ac6fbc75e5035a
22801 .xword 0xd4c855ae65cc78a7
22802 .xword 0x5a65804a4b4c4041
22803 .xword 0xc5c292eb592ef179
22804 .xword 0xb950699addcdb111
22805 .xword 0x9c10d476c9940968
22806 .xword 0xd95bf59943e38d14
22807 .xword 0x38897de2c41b4a70
22808 .xword 0xfafb5232606eb6ed
22809 .xword 0x401117af755b4a18
22810 .xword 0x2fe895d5e7553070
22811 .xword 0x4af82a4876e21937
22812 .xword 0x9164dbdfbef7103b
22813 .xword 0xc85c9c25fc32ce32
22814 .xword 0x9bf99770b8706a0b
22815 .xword 0x7d829913fcd9dd97
22816 .xword 0xf6950c67f2faadde
22817 .xword 0xaa83b447760ee227
22818 .xword 0x4a4d7d3325832350
22819 .xword 0xf5f2a6adf2632956
22820 .xword 0x10d1d85382016b89
22821 .xword 0xdc6d1aed378aac3b
22822 .xword 0xb8cb5b2741456c5e
22823 .xword 0x21a29ee7a334bd25
22824 .xword 0x3dc57178b66758a8
22825 .xword 0x393aebd007273186
22826 .xword 0xa148ad91bf428df6
22827 .xword 0x566fa7f6aeb0fef0
22828 .xword 0x9780e55f9cd67be2
22829 .xword 0x21f81ad00a7f8e68
22830 .xword 0x61ebfdcc99868b0e
22831 .xword 0xc1662eb6acfe176d
22832 .xword 0x5159481b1c100d7d
22833 .xword 0x6e4626b4c0f5a489
22834 .xword 0x4a126dd542bae2de
22835 .xword 0xc4ab86a4452de929
22836 .xword 0x863e7d10ab39fcc7
22837 .xword 0x15abd47c2341396e
22838 .xword 0x88c8cb7b2f49dca6
22839 .xword 0x5f1b408b9c7a5f08
22840 .xword 0xcbd3f9e2973f04cb
22841 .xword 0x6a471a8562ab281d
22842 .xword 0x91739000ee705453
22843 .xword 0x8ef54d9c9bf96f86
22844 .xword 0xd8fd5f8738b711f0
22845 .xword 0xb3b5dcad00780ca0
22846 .xword 0xf24d04275da0b4c8
22847 .xword 0x940cd6605e5d6a71
22848 .xword 0x59079e492da9637e
22849 .xword 0xa1b617f37e467294
22850 .xword 0xb46dbd6234938cef
22851 .xword 0x1f789233723f1ff4
22852 .xword 0x1a34b4c577fdf2c5
22853 .xword 0x6f2d184d33ae5b9f
22854 .xword 0xf16cc437a07fc3f0
22855 .xword 0xe9a48160bad93fc5
22856 .xword 0x0f6a4391ec22ef81
22857 .xword 0x43fa6ae6ed65bf9e
22858 .xword 0x8211a0a1ecf16225
22859 .xword 0xc9b410717648cc54
22860 .xword 0x781f9aba6d489b1c
22861 .xword 0xb3a71ceb69b13c14
22862 .xword 0x835883e2104b01c2
22863 .xword 0x0ef7d1c3fafeaeee
22864 .xword 0x13163bc72941eb92
22865 .xword 0x63ea8ab66d8459e6
22866 .xword 0xb69c67da6255cae4
22867 .xword 0xcf1d4644c798051d
22868 .xword 0x57fc60c857e2794d
22869 .xword 0xb1323b6edf8ad2d5
22870 .xword 0x9d51feed48e5d68f
22871 .xword 0x4ef88bf7587c8855
22872 .xword 0xcb4c7b72df14c305
22873 .xword 0x66ce203544ec8f4a
22874 .xword 0x006b51fddc2f308d
22875 .xword 0x2c8054e97ef6fa34
22876 .xword 0xf77b0ce71fad8dbc
22877 .xword 0xe6fccd28bb9210ba
22878 .xword 0x1b148822a3f07577
22879 .xword 0x1f156a4344d76211
22880 .xword 0x230f755e473f242e
22881 .xword 0xc7e2c50513465c20
22882 .xword 0xe20245f71332d5fc
22883 .xword 0x33c079d34ccc49ad
22884 .xword 0x1a47bbe032ffc01e
22885 .xword 0xb3d2c4cb78d0e8aa
22886 .xword 0xc3d6a5b224372c55
22887 .xword 0x2517fea5d62e51f2
22888 .xword 0x4b8c3b19029cf22a
22889 .xword 0x18301443c8946e36
22890 .xword 0xd9e965cf5cffca8f
22891 .xword 0x218117e23b9fb902
22892 .xword 0xea6cfbe8fb9aff75
22893 .xword 0x2c83efb970db6a8b
22894 .xword 0x1c228c6a7c665660
22895 .xword 0x158264dbdcaba8c3
22896 .xword 0xf3e04252407f831e
22897 .xword 0x935dee8c2c02d06a
22898 .xword 0x9027637fda626e45
22899 .xword 0x084bb31180a2daec
22900 .xword 0xa0020f4f9190ecc8
22901 .xword 0x0dabd404453de6ea
22902 .xword 0x1077a8ede50aec27
22903 .xword 0x029663879c8c0695
22904 .xword 0xf9640b2e801c2617
22905 .xword 0x69849147b7bcd3c3
22906 .xword 0xb0088d93e1ce10e3
22907 .xword 0x128c60b1eb9bca7e
22908 .xword 0x2fdac26a7d95590c
22909 .xword 0x7f5f4d7471a283df
22910 .xword 0x8967f1215466fe0f
22911 .xword 0xc1e8881ae2f5a4b0
22912 .xword 0x1a4eca293adeb595
22913 .xword 0x9960eaf0ffbb4846
22914 .xword 0xe4bc11d9af6a8b84
22915 .xword 0x7a08e61a9f961ae4
22916 .xword 0x89746a245b9b01cc
22917 .xword 0x6a969d165c6ec00b
22918 .xword 0x0aa99174c6941c66
22919 .xword 0x8fb216b90f1697a4
22920 .xword 0x15fb2f9dccfd5315
22921 .xword 0x59e1b66c98f44ec1
22922 .xword 0x1d0e3c300166d44d
22923 .xword 0x1a39c2ac437ff671
22924 .xword 0x09dca15651076cee
22925 .xword 0x2810a8892a410b02
22926 .xword 0x97cc9f7321d95907
22927 .xword 0xded12dba94e75e9c
22928 .xword 0x2134b8dd9966df7a
22929 .xword 0x1ce9dfa5cea7a00a
22930 .xword 0x7e024f3f982de826
22931 .xword 0xea7eddfd809aa13b
22932 .xword 0xb60dc0baa55373c8
22933 .xword 0x1d5a2c222684d49e
22934 .xword 0x51670839fec972d2
22935 .xword 0x4af360c2792be967
22936 .xword 0xef7eb290b9b59c78
22937 .xword 0x0df66fc7a3b323ff
22938 .xword 0x0148a7de751cf246
22939 .xword 0x8fe48cc64ee9ad54
22940 .xword 0xa3e4baf4659d438f
22941 .xword 0x2f0d90f7b9c624f1
22942 .xword 0x4ab51eedeb6f9c4c
22943 .xword 0xcbf398cb5290c5ea
22944 .xword 0xf0723fc976b2a687
22945 .xword 0xadfd3d0c059fbe4b
22946 .xword 0x444d46b75949e17f
22947 .xword 0xcb6412043b2507b1
22948 .xword 0xb60606ee9da386f7
22949 .xword 0x16b696051b346c18
22950 .xword 0xfb75f6509924a585
22951 .xword 0x454755b54dc4e1b3
22952 .xword 0xbeb50af958cb795a
22953 .xword 0x4bb5bd52a67426e5
22954 .xword 0xadea40c198976cfd
22955 .xword 0x73e80a06d14f6b3f
22956 .xword 0x0dae61387900a373
22957 .xword 0xfe648ca01273aeca
22958 .xword 0x01ac4ce073b3d706
22959 .xword 0x949b3639e008a098
22960 .xword 0x8d27804ba6beb178
22961 .xword 0x38787926e5f26781
22962 .xword 0x32d37164bf8b8fc2
22963 .xword 0xdc23bce1878c5996
22964 .xword 0x9749b586ba014b58
22965 .xword 0xeb72e5f9f2070422
22966 .xword 0xdb0f66318348331d
22967 .xword 0xd45a6d0716491fac
22968 .xword 0x50b42ad3e2c4c12e
22969 .xword 0x7eec49dfa5158c4d
22970 .xword 0x3fb0189bd32ae530
22971 .xword 0x040ac99966e50211
22972 .xword 0x4cd1a755a00da91a
22973 .xword 0xaaa798cc5568d1a5
22974 .xword 0xaf4b563d165d73eb
22975 .xword 0xa621f28d560d3630
22976 .xword 0x6f53f3f2f5b48ace
22977 .xword 0xb4015fd9d610505e
22978 .xword 0x15a6219e138ceccf
22979 .xword 0x5a038c2ddd090d07
22980 .xword 0x81e79fe37a262410
22981 .xword 0x3b1fe954076c9a25
22982 .xword 0x64254c3f104f2c3a
22983 .xword 0xf6a4e65655f9ff8f
22984 .xword 0x62be099de8154b70
22985 .xword 0x6e742aa664274aa5
22986 .xword 0x5840e063056062d3
22987 .xword 0xe3400fdfee4e410d
22988 .xword 0x3955be6262a278a0
22989 .xword 0xfa1d378a7b3a9fd9
22990 .xword 0xf4e43c3d5e7da2cb
22991 .xword 0xa62ef24b73e9e8d8
22992 .xword 0x7d025510e0c51740
22993 .xword 0x514806c17c1e6245
22994 .xword 0xa4559e63df9fc1fc
22995 .xword 0xe96f5e1103079730
22996 .xword 0x57d3f5292e417eb4
22997 .xword 0x3a320354e024f2c6
22998 .xword 0xf4a420b72e4af75b
22999 .xword 0xe6457167da2108d6
23000 .xword 0xddf7930900323d74
23001 .xword 0x6f83ea8b79ef66ff
23002 .xword 0xc2f030f49c4003eb
23003 .xword 0xcf04dcde9303cb06
23004 .xword 0x3402efca30d1826d
23005 .xword 0xd0c5e0c65fd405e0
23006 .xword 0xa351d5c2f1ac425a
23007 .xword 0x7482725acb96aac9
23008 .xword 0xf564155d7a990626
23009 .xword 0xa54fb1861d8207a3
23010 .xword 0x480b52ab43851d33
23011 .xword 0x6e48d3a6107ee319
23012.align 16
23013_t1_hmac_dest:
23014 .xword 0xDEADBEEFDEADBEEF
23015 .xword 0xDEADBEEFDEADBEEF
23016 .xword 0xDEADBEEFDEADBEEF
23017 .xword 0xDEADBEEFDEADBEEF
23018 .xword 0xDEADBEEFDEADBEEF
23019 .xword 0xDEADBEEFDEADBEEF
23020 .xword 0xDEADBEEFDEADBEEF
23021 .xword 0xDEADBEEFDEADBEEF
23022 .xword 0xDEADBEEFDEADBEEF
23023 .xword 0xDEADBEEFDEADBEEF
23024 .xword 0xDEADBEEFDEADBEEF
23025 .xword 0xDEADBEEFDEADBEEF
23026 .xword 0xDEADBEEFDEADBEEF
23027 .xword 0xDEADBEEFDEADBEEF
23028 .xword 0xDEADBEEFDEADBEEF
23029 .xword 0xDEADBEEFDEADBEEF
23030 .xword 0xDEADBEEFDEADBEEF
23031 .xword 0xDEADBEEFDEADBEEF
23032 .xword 0xDEADBEEFDEADBEEF
23033 .xword 0xDEADBEEFDEADBEEF
23034 .xword 0xDEADBEEFDEADBEEF
23035 .xword 0xDEADBEEFDEADBEEF
23036 .xword 0xDEADBEEFDEADBEEF
23037 .xword 0xDEADBEEFDEADBEEF
23038 .xword 0xDEADBEEFDEADBEEF
23039 .xword 0xDEADBEEFDEADBEEF
23040 .xword 0xDEADBEEFDEADBEEF
23041 .xword 0xDEADBEEFDEADBEEF
23042 .xword 0xDEADBEEFDEADBEEF
23043 .xword 0xDEADBEEFDEADBEEF
23044 .xword 0xDEADBEEFDEADBEEF
23045 .xword 0xDEADBEEFDEADBEEF
23046 .xword 0xDEADBEEFDEADBEEF
23047 .xword 0xDEADBEEFDEADBEEF
23048 .xword 0xDEADBEEFDEADBEEF
23049 .xword 0xDEADBEEFDEADBEEF
23050 .xword 0xDEADBEEFDEADBEEF
23051 .xword 0xDEADBEEFDEADBEEF
23052 .xword 0xDEADBEEFDEADBEEF
23053 .xword 0xDEADBEEFDEADBEEF
23054 .xword 0xDEADBEEFDEADBEEF
23055 .xword 0xDEADBEEFDEADBEEF
23056 .xword 0xDEADBEEFDEADBEEF
23057 .xword 0xDEADBEEFDEADBEEF
23058 .xword 0xDEADBEEFDEADBEEF
23059 .xword 0xDEADBEEFDEADBEEF
23060 .xword 0xDEADBEEFDEADBEEF
23061 .xword 0xDEADBEEFDEADBEEF
23062 .xword 0xDEADBEEFDEADBEEF
23063 .xword 0xDEADBEEFDEADBEEF
23064 .xword 0xDEADBEEFDEADBEEF
23065 .xword 0xDEADBEEFDEADBEEF
23066 .xword 0xDEADBEEFDEADBEEF
23067 .xword 0xDEADBEEFDEADBEEF
23068 .xword 0xDEADBEEFDEADBEEF
23069 .xword 0xDEADBEEFDEADBEEF
23070 .xword 0xDEADBEEFDEADBEEF
23071 .xword 0xDEADBEEFDEADBEEF
23072 .xword 0xDEADBEEFDEADBEEF
23073 .xword 0xDEADBEEFDEADBEEF
23074 .xword 0xDEADBEEFDEADBEEF
23075 .xword 0xDEADBEEFDEADBEEF
23076 .xword 0xDEADBEEFDEADBEEF
23077 .xword 0xDEADBEEFDEADBEEF
23078 .xword 0xDEADBEEFDEADBEEF
23079 .xword 0xDEADBEEFDEADBEEF
23080 .xword 0xDEADBEEFDEADBEEF
23081 .xword 0xDEADBEEFDEADBEEF
23082 .xword 0xDEADBEEFDEADBEEF
23083 .xword 0xDEADBEEFDEADBEEF
23084 .xword 0xDEADBEEFDEADBEEF
23085 .xword 0xDEADBEEFDEADBEEF
23086 .xword 0xDEADBEEFDEADBEEF
23087 .xword 0xDEADBEEFDEADBEEF
23088 .xword 0xDEADBEEFDEADBEEF
23089 .xword 0xDEADBEEFDEADBEEF
23090 .xword 0xDEADBEEFDEADBEEF
23091 .xword 0xDEADBEEFDEADBEEF
23092 .xword 0xDEADBEEFDEADBEEF
23093 .xword 0xDEADBEEFDEADBEEF
23094 .xword 0xDEADBEEFDEADBEEF
23095 .xword 0xDEADBEEFDEADBEEF
23096 .xword 0xDEADBEEFDEADBEEF
23097 .xword 0xDEADBEEFDEADBEEF
23098 .xword 0xDEADBEEFDEADBEEF
23099 .xword 0xDEADBEEFDEADBEEF
23100 .xword 0xDEADBEEFDEADBEEF
23101 .xword 0xDEADBEEFDEADBEEF
23102 .xword 0xDEADBEEFDEADBEEF
23103 .xword 0xDEADBEEFDEADBEEF
23104 .xword 0xDEADBEEFDEADBEEF
23105 .xword 0xDEADBEEFDEADBEEF
23106 .xword 0xDEADBEEFDEADBEEF
23107 .xword 0xDEADBEEFDEADBEEF
23108 .xword 0xDEADBEEFDEADBEEF
23109 .xword 0xDEADBEEFDEADBEEF
23110 .xword 0xDEADBEEFDEADBEEF
23111 .xword 0xDEADBEEFDEADBEEF
23112 .xword 0xDEADBEEFDEADBEEF
23113 .xword 0xDEADBEEFDEADBEEF
23114 .xword 0xDEADBEEFDEADBEEF
23115 .xword 0xDEADBEEFDEADBEEF
23116 .xword 0xDEADBEEFDEADBEEF
23117 .xword 0xDEADBEEFDEADBEEF
23118 .xword 0xDEADBEEFDEADBEEF
23119 .xword 0xDEADBEEFDEADBEEF
23120 .xword 0xDEADBEEFDEADBEEF
23121 .xword 0xDEADBEEFDEADBEEF
23122 .xword 0xDEADBEEFDEADBEEF
23123 .xword 0xDEADBEEFDEADBEEF
23124 .xword 0xDEADBEEFDEADBEEF
23125 .xword 0xDEADBEEFDEADBEEF
23126 .xword 0xDEADBEEFDEADBEEF
23127 .xword 0xDEADBEEFDEADBEEF
23128 .xword 0xDEADBEEFDEADBEEF
23129 .xword 0xDEADBEEFDEADBEEF
23130 .xword 0xDEADBEEFDEADBEEF
23131 .xword 0xDEADBEEFDEADBEEF
23132 .xword 0xDEADBEEFDEADBEEF
23133 .xword 0xDEADBEEFDEADBEEF
23134 .xword 0xDEADBEEFDEADBEEF
23135 .xword 0xDEADBEEFDEADBEEF
23136 .xword 0xDEADBEEFDEADBEEF
23137 .xword 0xDEADBEEFDEADBEEF
23138 .xword 0xDEADBEEFDEADBEEF
23139 .xword 0xDEADBEEFDEADBEEF
23140 .xword 0xDEADBEEFDEADBEEF
23141 .xword 0xDEADBEEFDEADBEEF
23142 .xword 0xDEADBEEFDEADBEEF
23143 .xword 0xDEADBEEFDEADBEEF
23144 .xword 0xDEADBEEFDEADBEEF
23145 .xword 0xDEADBEEFDEADBEEF
23146 .xword 0xDEADBEEFDEADBEEF
23147 .xword 0xDEADBEEFDEADBEEF
23148 .xword 0xDEADBEEFDEADBEEF
23149 .xword 0xDEADBEEFDEADBEEF
23150 .xword 0xDEADBEEFDEADBEEF
23151 .xword 0xDEADBEEFDEADBEEF
23152 .xword 0xDEADBEEFDEADBEEF
23153 .xword 0xDEADBEEFDEADBEEF
23154 .xword 0xDEADBEEFDEADBEEF
23155 .xword 0xDEADBEEFDEADBEEF
23156 .xword 0xDEADBEEFDEADBEEF
23157 .xword 0xDEADBEEFDEADBEEF
23158 .xword 0xDEADBEEFDEADBEEF
23159 .xword 0xDEADBEEFDEADBEEF
23160 .xword 0xDEADBEEFDEADBEEF
23161 .xword 0xDEADBEEFDEADBEEF
23162 .xword 0xDEADBEEFDEADBEEF
23163 .xword 0xDEADBEEFDEADBEEF
23164 .xword 0xDEADBEEFDEADBEEF
23165 .xword 0xDEADBEEFDEADBEEF
23166 .xword 0xDEADBEEFDEADBEEF
23167 .xword 0xDEADBEEFDEADBEEF
23168 .xword 0xDEADBEEFDEADBEEF
23169 .xword 0xDEADBEEFDEADBEEF
23170 .xword 0xDEADBEEFDEADBEEF
23171 .xword 0xDEADBEEFDEADBEEF
23172 .xword 0xDEADBEEFDEADBEEF
23173 .xword 0xDEADBEEFDEADBEEF
23174 .xword 0xDEADBEEFDEADBEEF
23175 .xword 0xDEADBEEFDEADBEEF
23176 .xword 0xDEADBEEFDEADBEEF
23177 .xword 0xDEADBEEFDEADBEEF
23178 .xword 0xDEADBEEFDEADBEEF
23179 .xword 0xDEADBEEFDEADBEEF
23180 .xword 0xDEADBEEFDEADBEEF
23181 .xword 0xDEADBEEFDEADBEEF
23182 .xword 0xDEADBEEFDEADBEEF
23183 .xword 0xDEADBEEFDEADBEEF
23184 .xword 0xDEADBEEFDEADBEEF
23185 .xword 0xDEADBEEFDEADBEEF
23186 .xword 0xDEADBEEFDEADBEEF
23187 .xword 0xDEADBEEFDEADBEEF
23188 .xword 0xDEADBEEFDEADBEEF
23189 .xword 0xDEADBEEFDEADBEEF
23190 .xword 0xDEADBEEFDEADBEEF
23191 .xword 0xDEADBEEFDEADBEEF
23192 .xword 0xDEADBEEFDEADBEEF
23193 .xword 0xDEADBEEFDEADBEEF
23194 .xword 0xDEADBEEFDEADBEEF
23195 .xword 0xDEADBEEFDEADBEEF
23196 .xword 0xDEADBEEFDEADBEEF
23197 .xword 0xDEADBEEFDEADBEEF
23198 .xword 0xDEADBEEFDEADBEEF
23199 .xword 0xDEADBEEFDEADBEEF
23200 .xword 0xDEADBEEFDEADBEEF
23201 .xword 0xDEADBEEFDEADBEEF
23202 .xword 0xDEADBEEFDEADBEEF
23203 .xword 0xDEADBEEFDEADBEEF
23204 .xword 0xDEADBEEFDEADBEEF
23205 .xword 0xDEADBEEFDEADBEEF
23206 .xword 0xDEADBEEFDEADBEEF
23207 .xword 0xDEADBEEFDEADBEEF
23208 .xword 0xDEADBEEFDEADBEEF
23209 .xword 0xDEADBEEFDEADBEEF
23210 .xword 0xDEADBEEFDEADBEEF
23211 .xword 0xDEADBEEFDEADBEEF
23212 .xword 0xDEADBEEFDEADBEEF
23213 .xword 0xDEADBEEFDEADBEEF
23214 .xword 0xDEADBEEFDEADBEEF
23215 .xword 0xDEADBEEFDEADBEEF
23216 .xword 0xDEADBEEFDEADBEEF
23217 .xword 0xDEADBEEFDEADBEEF
23218 .xword 0xDEADBEEFDEADBEEF
23219 .xword 0xDEADBEEFDEADBEEF
23220 .xword 0xDEADBEEFDEADBEEF
23221 .xword 0xDEADBEEFDEADBEEF
23222 .xword 0xDEADBEEFDEADBEEF
23223 .xword 0xDEADBEEFDEADBEEF
23224 .xword 0xDEADBEEFDEADBEEF
23225 .xword 0xDEADBEEFDEADBEEF
23226 .xword 0xDEADBEEFDEADBEEF
23227 .xword 0xDEADBEEFDEADBEEF
23228 .xword 0xDEADBEEFDEADBEEF
23229 .xword 0xDEADBEEFDEADBEEF
23230 .xword 0xDEADBEEFDEADBEEF
23231 .xword 0xDEADBEEFDEADBEEF
23232 .xword 0xDEADBEEFDEADBEEF
23233 .xword 0xDEADBEEFDEADBEEF
23234 .xword 0xDEADBEEFDEADBEEF
23235 .xword 0xDEADBEEFDEADBEEF
23236 .xword 0xDEADBEEFDEADBEEF
23237 .xword 0xDEADBEEFDEADBEEF
23238 .xword 0xDEADBEEFDEADBEEF
23239 .xword 0xDEADBEEFDEADBEEF
23240 .xword 0xDEADBEEFDEADBEEF
23241 .xword 0xDEADBEEFDEADBEEF
23242 .xword 0xDEADBEEFDEADBEEF
23243 .xword 0xDEADBEEFDEADBEEF
23244 .xword 0xDEADBEEFDEADBEEF
23245 .xword 0xDEADBEEFDEADBEEF
23246 .xword 0xDEADBEEFDEADBEEF
23247 .xword 0xDEADBEEFDEADBEEF
23248 .xword 0xDEADBEEFDEADBEEF
23249 .xword 0xDEADBEEFDEADBEEF
23250 .xword 0xDEADBEEFDEADBEEF
23251 .xword 0xDEADBEEFDEADBEEF
23252 .xword 0xDEADBEEFDEADBEEF
23253 .xword 0xDEADBEEFDEADBEEF
23254 .xword 0xDEADBEEFDEADBEEF
23255 .xword 0xDEADBEEFDEADBEEF
23256 .xword 0xDEADBEEFDEADBEEF
23257 .xword 0xDEADBEEFDEADBEEF
23258 .xword 0xDEADBEEFDEADBEEF
23259 .xword 0xDEADBEEFDEADBEEF
23260 .xword 0xDEADBEEFDEADBEEF
23261 .xword 0xDEADBEEFDEADBEEF
23262 .xword 0xDEADBEEFDEADBEEF
23263 .xword 0xDEADBEEFDEADBEEF
23264 .xword 0xDEADBEEFDEADBEEF
23265 .xword 0xDEADBEEFDEADBEEF
23266 .xword 0xDEADBEEFDEADBEEF
23267 .xword 0xDEADBEEFDEADBEEF
23268 .xword 0xDEADBEEFDEADBEEF
23269 .xword 0xDEADBEEFDEADBEEF
23270 .xword 0xDEADBEEFDEADBEEF
23271 .xword 0xDEADBEEFDEADBEEF
23272 .xword 0xDEADBEEFDEADBEEF
23273 .xword 0xDEADBEEFDEADBEEF
23274 .xword 0xDEADBEEFDEADBEEF
23275 .xword 0xDEADBEEFDEADBEEF
23276 .xword 0xDEADBEEFDEADBEEF
23277 .xword 0xDEADBEEFDEADBEEF
23278 .xword 0xDEADBEEFDEADBEEF
23279 .xword 0xDEADBEEFDEADBEEF
23280 .xword 0xDEADBEEFDEADBEEF
23281 .xword 0xDEADBEEFDEADBEEF
23282 .xword 0xDEADBEEFDEADBEEF
23283 .xword 0xDEADBEEFDEADBEEF
23284 .xword 0xDEADBEEFDEADBEEF
23285 .xword 0xDEADBEEFDEADBEEF
23286 .xword 0xDEADBEEFDEADBEEF
23287 .xword 0xDEADBEEFDEADBEEF
23288 .xword 0xDEADBEEFDEADBEEF
23289.align 16
23290_t1_hmac_auth_key:
23291 .xword 0xb4a491c417f770f0
23292 .xword 0x2c627ccd7bb4ad6d
23293 .xword 0xc6e9bc04e80dc676
23294 .xword 0xe3a4b375d2905bff
23295 .xword 0x29eaef2079d848a8
23296 .xword 0x902501e7fe919d94
23297 .xword 0xfaee55be5fe35b59
23298 .xword 0xd29f3327e6e1a5b1
23299 .xword 0xf9adae4a5a9ab692
23300 .xword 0x00738a2f2166b756
23301 .xword 0x4734e12b136da025
23302 .xword 0xe7c61cd054ad2cc0
23303 .xword 0x64e97d5c437a1112
23304 .xword 0x4eb86fa9cc6c412c
23305 .xword 0xb8fce1a2fcb2ab7c
23306 .xword 0x1f040e64661b49bf
23307 .xword 0xadc181dcc5178050
23308 .xword 0xc83539d9a13785c2
23309 .xword 0x09e1022eeb4c7b49
23310 .xword 0x0252b8451a5e3fa3
23311 .xword 0xcdbf5884eac3ecb4
23312 .xword 0x69a6cc91d166fe0b
23313 .xword 0x08644429f90eecce
23314.align 16
23315_t1_hmac_auth_iv:
23316 .xword 0x4e65b4add73fc097
23317 .xword 0x7022bf74d3e34301
23318 .xword 0xee0abec4593a0056
23319 .xword 0x14b38a7b6fdfe4a7
23320 .xword 0xc735431138d6f2a3
23321 .xword 0xf81f62a3d7bb8a80
23322 .xword 0x9160fba10229327a
23323 .xword 0xb6a95b5d1aa900ac
23324 .xword 0xd83a1dc8b0fbc551
23325 .xword 0x1ce7e0ab48a2cc4a
23326 .xword 0xdfc067a75304b96f
23327 .xword 0x922496806b6f4e4b
23328 .xword 0x23072ae2dbcdf7a2
23329 .xword 0x4413419f25e7142f
23330 .xword 0xf8d06f502bc8266f
23331 .xword 0xd11e241c9af6c0a4
23332 .xword 0xf5e7e676c4d3280e
23333 .xword 0xeedf83f34096a2ae
23334 .xword 0x6ecc80fff773a0cc
23335 .xword 0xb9db2ac358a0b34e
23336 .xword 0xfadb16c945c31deb
23337 .xword 0x0b7a5c04c69eaf2c
23338 .xword 0xaa5b33e319c8ca41
23339.align 16
23340_t1_hmac_fas_result:
23341 .xword 0xDEADBEEFDEADBEEF
23342 .xword 0xDEADBEEFDEADBEEF
23343 .xword 0xDEADBEEFDEADBEEF
23344 .xword 0xDEADBEEFDEADBEEF
23345 .xword 0xDEADBEEFDEADBEEF
23346 .xword 0xDEADBEEFDEADBEEF
23347 .xword 0xDEADBEEFDEADBEEF
23348 .xword 0xDEADBEEFDEADBEEF
23349 .xword 0xDEADBEEFDEADBEEF
23350 .xword 0xDEADBEEFDEADBEEF
23351 .xword 0xDEADBEEFDEADBEEF
23352 .xword 0xDEADBEEFDEADBEEF
23353 .xword 0xDEADBEEFDEADBEEF
23354 .xword 0xDEADBEEFDEADBEEF
23355 .xword 0xDEADBEEFDEADBEEF
23356 .xword 0xDEADBEEFDEADBEEF
23357 .xword 0xDEADBEEFDEADBEEF
23358 .xword 0xDEADBEEFDEADBEEF
23359 .xword 0xDEADBEEFDEADBEEF
23360 .xword 0xDEADBEEFDEADBEEF
23361 .xword 0xDEADBEEFDEADBEEF
23362 .xword 0xDEADBEEFDEADBEEF
23363 .xword 0xDEADBEEFDEADBEEF
23364.align 16
23365_t1_rc4_key_array:
23366 .xword 0xf3c684fdbfc0d4ac
23367 .xword 0xf8adfceea930c193
23368 .xword 0xfc95f54db9ea2e2c
23369 .xword 0x4065350f59105b28
23370 .xword 0x2084c79de19b6307
23371 .xword 0x4b7e9c38f7131767
23372 .xword 0x57231fc4633b322b
23373 .xword 0xbe56a86845e7afc5
23374 .xword 0xb29c8476f9934353
23375 .xword 0x7484f060e0d2db66
23376 .xword 0xf79f96782b19b9f6
23377 .xword 0x89c2d00e6eb14087
23378 .xword 0xbf0e2f309bd26eb8
23379 .xword 0x25cce2ea040e9ce7
23380 .xword 0x0ea1f6ed5c2d4db3
23381 .xword 0x539ffeab47ded377
23382 .xword 0x944c0ca20936551d
23383 .xword 0xa88912c12f338ffb
23384 .xword 0x32740aff1a87f732
23385 .xword 0x96509702901c4f32
23386 .xword 0xa97fc17f2a9a55f2
23387 .xword 0x2257b8f631ef2d20
23388 .xword 0xe2c429c1f26830e7
23389 .xword 0x1b0bb2e68ac74623
23390 .xword 0x92dd6d8c2c0cc2f8
23391 .xword 0xf2e24359c0fc1fe5
23392 .xword 0x3d38e725dba311dd
23393 .xword 0xfa1be1a3ce6c3460
23394 .xword 0x24076ad6f37884f0
23395 .xword 0xe513fb99f07d43bc
23396 .xword 0xc7c8864f7fca20f8
23397 .xword 0x6ca198905aba3ceb
23398 .xword 0x9328fc27385608e2
23399 .xword 0xdd0e859e2d9e45e4
23400 .xword 0xb43595c336ccb5d9
23401 .xword 0xdbb4ca121affb25d
23402 .xword 0x2f7481d00c560f8f
23403 .xword 0xa5ed6e478f51b19c
23404 .xword 0x17c5ddc37b827f02
23405 .xword 0x9162919e56868cf6
23406 .xword 0xf30bcfadb74475e4
23407 .xword 0x7eeba4e3bf4eb097
23408 .xword 0x1e63225d0df75d72
23409 .xword 0xd72b24aa78bd2948
23410 .xword 0x95983c8367e5f3a4
23411 .xword 0xc85b20d2a3de301f
23412 .xword 0x54980df32bdc152a
23413 .xword 0xf55370a70c7ed925
23414 .xword 0x1eca7f06f77b7adf
23415 .xword 0x19847337f275bc78
23416 .xword 0x600083522f3bfb0c
23417.align 16
23418_t1_rc4_iv_array:
23419 .xword 0xd8e94efa1d425a53
23420 .xword 0x8bbd36d9ea39c012
23421 .xword 0x98937b6eb958f076
23422 .xword 0x16e175499af82fdb
23423 .xword 0x6740ac9730ff9e6d
23424 .xword 0x69110015d839bbe7
23425 .xword 0xb800db93ca9aa957
23426 .xword 0x89e6d32a758aad57
23427 .xword 0x73a8f9488a95758f
23428 .xword 0x5a652094b8d76da6
23429 .xword 0xa82df96b5bac707c
23430 .xword 0x2f2fc0b81d9fc4da
23431 .xword 0x79eeb548c7089eda
23432 .xword 0x13a0c09e6ef7d05b
23433 .xword 0x7a94a1349bc191bd
23434 .xword 0xefeae5b9fce4939f
23435 .xword 0xd8dce06ba2b18545
23436 .xword 0x374178a1520221af
23437 .xword 0xb07f921cf1b9c918
23438 .xword 0xd427ea5edfc28671
23439 .xword 0xd4b97409acb5c899
23440 .xword 0x673106e90f10b9e2
23441 .xword 0x78b5c861ff4af543
23442 .xword 0x5d71e9098eb2fa7b
23443 .xword 0xea9412df9c3a924f
23444 .xword 0x87200e6cd1b0f6fd
23445 .xword 0xdbf029b1fc10f012
23446 .xword 0xa724e887c3f9f022
23447 .xword 0x0b83ce9d28e5b65a
23448 .xword 0xb8aebb1c5876da9f
23449 .xword 0x687cc03b92fc2298
23450 .xword 0xdfa2400a6ddff1f8
23451 .xword 0xe5ca849160867dae
23452 .xword 0xefedb87c3e43e9d5
23453 .xword 0xd9719291b81048d0
23454 .xword 0x04619b2be4f45692
23455 .xword 0x18b6bc4fa45b53ef
23456 .xword 0x5f7e4853a9b19d71
23457 .xword 0x1fbea9b5807fe248
23458 .xword 0x25c9c6bee59c35ee
23459 .xword 0x25183bcfb1c0fad2
23460 .xword 0xcfd36766ad4b4643
23461 .xword 0x3902b3501cfffd33
23462 .xword 0x0d24c78fd23d70df
23463 .xword 0x87e7091325b20e35
23464.align 16
23465_t1_rc4_alignment_array:
23466 .xword 0
23467 .xword 7
23468 .xword 7
23469 .xword 2
23470 .xword 13
23471 .xword 9
23472 .xword 12
23473 .xword 14
23474 .xword 4
23475 .xword 0
23476 .xword 2
23477 .xword 2
23478 .xword 11
23479 .xword 14
23480 .xword 0
23481 .xword 10
23482 .xword 6
23483 .xword 6
23484 .xword 7
23485 .xword 3
23486 .xword 2
23487 .xword 11
23488 .xword 7
23489 .xword 9
23490 .xword 5
23491 .xword 5
23492 .xword 3
23493 .xword 14
23494 .xword 14
23495 .xword 0
23496 .xword 15
23497 .xword 5
23498 .xword 2
23499 .xword 7
23500 .xword 3
23501 .xword 15
23502 .xword 9
23503 .xword 13
23504 .xword 14
23505 .xword 14
23506 .xword 8
23507 .xword 11
23508 .xword 8
23509 .xword 0
23510 .xword 10
23511 .xword 7
23512 .xword 4
23513 .xword 14
23514 .xword 11
23515 .xword 6
23516 .xword 8
23517 .xword 7
23518 .xword 9
23519 .xword 8
23520 .xword 4
23521 .xword 1
23522 .xword 7
23523 .xword 0
23524 .xword 14
23525 .xword 7
23526 .xword 13
23527 .xword 2
23528 .xword 7
23529 .xword 9
23530 .xword 2
23531 .xword 13
23532 .xword 13
23533 .xword 6
23534 .xword 2
23535 .xword 5
23536 .xword 1
23537 .xword 7
23538 .xword 7
23539 .xword 5
23540 .xword 8
23541 .xword 7
23542 .xword 4
23543 .xword 5
23544 .xword 3
23545 .xword 12
23546 .xword 13
23547 .xword 6
23548 .xword 1
23549 .xword 8
23550 .xword 11
23551 .xword 11
23552 .xword 12
23553 .xword 5
23554 .xword 11
23555 .xword 8
23556 .xword 11
23557 .xword 11
23558 .xword 0
23559 .xword 15
23560 .xword 5
23561 .xword 10
23562 .xword 4
23563 .xword 1
23564 .xword 1
23565 .xword 3
23566 .xword 6
23567 .xword 4
23568 .xword 8
23569 .xword 4
23570 .xword 7
23571.align 16
23572_t1_rc4_src:
23573 .xword 0x2d1fc6c5080a86d7
23574 .xword 0xf313d83005248d78
23575 .xword 0xf7784f173fce3b78
23576 .xword 0x081aa2807cd0055e
23577 .xword 0x22b04a19f09dd333
23578 .xword 0x3980e9bfefe3fc6a
23579 .xword 0x09f3a96d0146cdf9
23580 .xword 0x7556eeecc74cd008
23581 .xword 0xe4f7662761b4324a
23582 .xword 0x4c73821963227455
23583 .xword 0x56d2852112153824
23584 .xword 0x860fb39426bfbaa1
23585 .xword 0x56fb033f2e6f2759
23586 .xword 0x5dbe28c4089b3b65
23587 .xword 0x0fbe612110cf1d88
23588 .xword 0xda6ca1c12282ea84
23589 .xword 0xab63688258729fcc
23590 .xword 0x8ca7f72bbb6e5c4e
23591 .xword 0xa88abf5586657323
23592 .xword 0x16b24b8e19d5868c
23593 .xword 0x42f9edf485cdaec7
23594 .xword 0xe46b207f797185d1
23595 .xword 0x945a6c6339414472
23596 .xword 0xff30d93528beff89
23597 .xword 0x561a85af25136d9d
23598 .xword 0xf8a6e1f3e3437fb4
23599 .xword 0xcc03a578946bf92e
23600 .xword 0xc1a176a7c428b899
23601 .xword 0xb2af5985ee4dfb7b
23602 .xword 0x65f70d51b5ecb118
23603 .xword 0xa541a8ce4f3628a1
23604 .xword 0x8586950829e486db
23605 .xword 0x9806b071f0b502a0
23606 .xword 0x89985601c61f5c0a
23607 .xword 0x9b79a7e4a3445d53
23608 .xword 0xc61d23e1e393072b
23609 .xword 0x02a40b132c0acbb5
23610 .xword 0x5100d7417214a7f6
23611 .xword 0x832ae1cbf7b11e8b
23612 .xword 0x35d5143f1b42ddca
23613 .xword 0x3465e14e3d5e4914
23614 .xword 0xc3e95bd0f998f75d
23615 .xword 0x24c37fc16e03092d
23616 .xword 0xaf68fffe42e68ca9
23617 .xword 0x01dbe4514f250eb7
23618 .xword 0x0a4cbbe7627856e2
23619 .xword 0x199c09b2081512fa
23620 .xword 0x7ce2fa4d59b2dad0
23621 .xword 0xdc0b1b1ff9a29793
23622 .xword 0x3edb27a63b7b3dc9
23623 .xword 0xf37b1f23eb6fdc69
23624 .xword 0xa7479a5a3620bbfa
23625 .xword 0x93b34a386036ae1d
23626 .xword 0x4442056cb03cf369
23627 .xword 0x65391368a693b72c
23628 .xword 0xb044e2a8c5134810
23629 .xword 0x237a9cd0021ab1e6
23630 .xword 0x258a90a26bb78e03
23631 .xword 0x54e715b46c9acfc3
23632 .xword 0x104c422e57357586
23633 .xword 0xb1bb222b36ee2320
23634 .xword 0x4caf57c3282e921c
23635 .xword 0x39a15119ef0005af
23636 .xword 0x5519118a434137f5
23637 .xword 0xa81c6551947a4824
23638 .xword 0xa29afd39f26a3500
23639 .xword 0x4ff3cb5283f89da7
23640 .xword 0x4dd7bbf33d9c0f3c
23641 .xword 0x30f09971a0d8e471
23642 .xword 0x378fcd519b6e0788
23643 .xword 0x97f98b98d4446dc9
23644 .xword 0x23e6a8ddf2263859
23645 .xword 0xbbba9f8fcd29e4fc
23646 .xword 0x0d0f92d742cc7eac
23647 .xword 0xfcea4f0f4f30cf92
23648 .xword 0x4356011ee09d8187
23649 .xword 0x51f8c9507da96205
23650 .xword 0x3afbaa21d8c0bd1c
23651 .xword 0x779edc0ac77f7857
23652 .xword 0xc27fea17af47413f
23653 .xword 0x1c9142cf9afeefa9
23654 .xword 0x24a41b4bd8755fd6
23655 .xword 0x9fbaa29e1aa389a0
23656 .xword 0x9ded9fdbb6d45b00
23657 .xword 0x764710a120a1f693
23658 .xword 0xe02487cfc1a9d135
23659 .xword 0x7a1fd24614cb2c37
23660 .xword 0xdb1710537ec0d0a5
23661 .xword 0xa97dc3c8038b90f3
23662 .xword 0xc9e93e1f63199ef7
23663 .xword 0xac5b055f676293ab
23664 .xword 0x49b271143fbc24f6
23665 .xword 0x281dc297fd5eb1cd
23666 .xword 0xc6613ca58bf37cb9
23667 .xword 0x2b9e62cf1d73f32d
23668 .xword 0x394232a0b4b8c09c
23669 .xword 0x73cccb9d197989fd
23670 .xword 0xe93c0e2199b451bf
23671 .xword 0xd5629b1725c0da76
23672 .xword 0x350216d14d7bdeef
23673 .xword 0xff94cdf553d8da35
23674 .xword 0x2484a3312a0d1c91
23675 .xword 0xaff441eda724440c
23676 .xword 0x3ffbadfe7ac6c6b3
23677 .xword 0x5d805dfc8c0f4cb0
23678 .xword 0x9633ec78a0e11794
23679 .xword 0x8d7aef234a4edb4a
23680 .xword 0xaac3f91789327cd5
23681 .xword 0x5a7b0c7116d50744
23682 .xword 0xe9469c2ee1fd5e04
23683 .xword 0x09ee85310ff8bbaa
23684 .xword 0x2b97719fe78be8a0
23685 .xword 0xa2a50aefe50408a2
23686 .xword 0xc95e7ea0bff4b5f1
23687 .xword 0x91a60b63767fd027
23688 .xword 0xfefc799ddc58d5e2
23689 .xword 0xbeea5092a14b7cb0
23690 .xword 0x1ea21a80bf9b04a8
23691 .xword 0x09070e4eee5045d3
23692 .xword 0x3949c9ccc7b98f50
23693 .xword 0xeebd11ebe0906c4c
23694 .xword 0xa83a71553dc7f204
23695 .xword 0xa8dfdbf52048d194
23696 .xword 0xa77bbfcc9ec2d0a5
23697 .xword 0x7a6bd6fcdf0f9815
23698 .xword 0xe64ec944e38288dc
23699 .xword 0x67cbf33b4bed58f6
23700 .xword 0xdfe29c22cd107838
23701 .xword 0xb3c201e899cace28
23702 .xword 0xcf2f34e36d03e5c6
23703 .xword 0x7d0de6dbe2fcc34a
23704 .xword 0x4fe3c217c7cf5a4b
23705 .xword 0x3b1eb747649432a2
23706 .xword 0x0136df5e8d35ab7b
23707 .xword 0xcac622a57c0d538e
23708 .xword 0xdfdaf7aef5b4eb8e
23709 .xword 0x07f4cc73af67d3b8
23710 .xword 0x7d2eb71ce2c5dc3b
23711 .xword 0x0bab0a0e6dfd83fc
23712 .xword 0x2f13807f15320861
23713 .xword 0x2147a3ae21c63e6c
23714 .xword 0xc54571f19284e4bb
23715 .xword 0x3d2118f7894fc7d1
23716 .xword 0xf3229609c2d5a3b5
23717 .xword 0x7d1588ff42d48c99
23718 .xword 0x61219f05a738a1a3
23719 .xword 0x98e5d9dac5338d4e
23720 .xword 0x49d8db6f114cfa95
23721 .xword 0x8ce1bd1d967bbba1
23722 .xword 0xb2b0c36966631ad2
23723 .xword 0x250bb41446186907
23724 .xword 0xb48c78e17458c3f1
23725 .xword 0xe993b8a2cd83f699
23726 .xword 0xf604d3a580b5402e
23727 .xword 0x309fb2eddea423ef
23728 .xword 0x93b69b6ddee51542
23729 .xword 0xa069d2b4f10059d9
23730 .xword 0x5a1becc578e1d615
23731 .xword 0x8284857add55bc82
23732 .xword 0xdfe8b5c87c8048e7
23733 .xword 0xfc13b2b8d447630c
23734 .xword 0xda2915b7a0b5a88a
23735 .xword 0xf071c63a88049cc8
23736 .xword 0x77c9acf087bd35a4
23737 .xword 0xc518006b838662e0
23738 .xword 0xe463d4ae4ed79639
23739 .xword 0x6eb845363a523c6d
23740 .xword 0x60c7e06580041c72
23741 .xword 0x75f6d036a9c42787
23742 .xword 0x0a4f5b57b0b84b10
23743 .xword 0x5ab71edabc73f90f
23744 .xword 0xc4b26ab696a8df5c
23745 .xword 0xdaab1bacdbbb2f2b
23746 .xword 0x413c30b7982cebc4
23747 .xword 0x43da643f3880366b
23748 .xword 0xfa9dd177d23e0ee1
23749 .xword 0x9f127930f08350f9
23750 .xword 0x276cb967a3f37af4
23751 .xword 0xac4759b4ed42f6ca
23752 .xword 0x692fac7b04ead376
23753 .xword 0x533caddc2b79c73c
23754 .xword 0x096ebe9952f7c6d5
23755 .xword 0xc9e67b5308d32344
23756 .xword 0xe3281033d4ab19fd
23757 .xword 0x2b22af8e4a1f3b4f
23758 .xword 0x3e814b6682aea9db
23759 .xword 0x90b63bf5c51c8be1
23760 .xword 0xe0e85317b6f6e22d
23761 .xword 0x34dfb1d51f0e8352
23762 .xword 0xea8ef4d227882494
23763 .xword 0x2ef5758b4c883699
23764 .xword 0xf4fe25aaa1736906
23765 .xword 0xa4c58aa07dbf389d
23766 .xword 0xdd3592bf7f7f67ee
23767 .xword 0xe04ee17ac49369bf
23768 .xword 0x658e79c743cffba9
23769 .xword 0x54fed9174329b4a7
23770 .xword 0x4a67c28e64a73d1e
23771 .xword 0x0210bbe81f615adc
23772 .xword 0x8e1df14dd769ef9a
23773 .xword 0x3df586038199162d
23774 .xword 0xf2f3baffbab4fbb1
23775 .xword 0x511b80ffe6b8b02c
23776 .xword 0xfdb8c082ed959f6e
23777 .xword 0x6aa5e20e46a09b80
23778 .xword 0x60c4776db0710e1c
23779 .xword 0xf08212897a9d3355
23780 .xword 0x6c8d819106e72e73
23781 .xword 0xa48b8e7a09c90cff
23782 .xword 0x4b91f08a715b33e0
23783 .xword 0x519d9726f11e297f
23784 .xword 0xd396f7b3718d1d48
23785 .xword 0x11819bc2dd26d954
23786 .xword 0x67f07a3fe70d3f18
23787 .xword 0xa44b6a0c5ab96906
23788 .xword 0x3c57f35cbacc37cf
23789 .xword 0x9b4f2c1d8cbb795d
23790 .xword 0x173d23924a033cde
23791 .xword 0x26f0af67aca01c97
23792 .xword 0x7d6b145d1ac29ad4
23793 .xword 0x2d86e4e54ea87368
23794 .xword 0x06a765a5c4a8ddba
23795 .xword 0x28b27633f0463dc6
23796 .xword 0x9abca07bb053c84a
23797 .xword 0xaf43504e79d64b86
23798 .xword 0x5efefdb67f72b079
23799 .xword 0xd34ec98fc47f4464
23800 .xword 0xca21e51aff3e857f
23801 .xword 0x17e5bf9371212321
23802 .xword 0x5e0efb06a1b95164
23803 .xword 0xc55688821645bc4f
23804 .xword 0xdfecd31ad6a288f3
23805 .xword 0xe035fbb859aa6c04
23806 .xword 0x9d4ec0851c061502
23807 .xword 0x12d76c7888f9509f
23808 .xword 0xd145b6d6d4bec943
23809 .xword 0x3f6181b1a04e9396
23810 .xword 0xdaa615b60257e910
23811 .xword 0xf4831216bf057da8
23812 .xword 0xab8a8531cc298ae5
23813 .xword 0x5a681fd4f2ffdf18
23814 .xword 0xbcfc887c894cadfd
23815 .xword 0x54907d9cffc87c38
23816 .xword 0x3db128713120d647
23817 .xword 0xfd1c5f2aeb8b6293
23818 .xword 0x2bc44cb66a19bce3
23819 .xword 0x5f65fcf0a2e6ea85
23820 .xword 0xbff7fc0697c51d9e
23821 .xword 0xcadc389367464b62
23822 .xword 0x9286e133ba6adcf4
23823 .xword 0x9e289fd755b50d01
23824 .xword 0x23eb789cc4eac942
23825 .xword 0xc2c95a1d9d413d87
23826 .xword 0x58d07e022b3eed3b
23827 .xword 0x732544ecab012cc6
23828 .xword 0xa8bcb33d2e4554b1
23829 .xword 0x59f906e8b65129d8
23830 .xword 0xb19f0244c0248529
23831 .xword 0xe6a0c701480e15d3
23832 .xword 0x89d7491b8c2e55be
23833 .xword 0x73a86a2a03bc6ff8
23834 .xword 0x5e5c7d9dac4b0838
23835 .xword 0x04c754d356364528
23836 .xword 0x1f7ff4acfd0f7c54
23837 .xword 0x78ab9a0bc5eec930
23838 .xword 0xb01527cd2e7961c7
23839 .xword 0xb05c7a9e8ec272bb
23840 .xword 0xa4363dfba19ff6d8
23841 .xword 0xef260fb62a72c829
23842 .xword 0x699f0b9da1382eb0
23843 .xword 0x334072bcf1b9955d
23844 .xword 0xd87e27973a96279d
23845 .xword 0x23c2d680d9d79a68
23846 .xword 0xc7fba0cddb080005
23847 .xword 0xd234962c39824f74
23848.align 16
23849_t1_rc4_dest:
23850 .xword 0xDEADBEEFDEADBEEF
23851 .xword 0xDEADBEEFDEADBEEF
23852 .xword 0xDEADBEEFDEADBEEF
23853 .xword 0xDEADBEEFDEADBEEF
23854 .xword 0xDEADBEEFDEADBEEF
23855 .xword 0xDEADBEEFDEADBEEF
23856 .xword 0xDEADBEEFDEADBEEF
23857 .xword 0xDEADBEEFDEADBEEF
23858 .xword 0xDEADBEEFDEADBEEF
23859 .xword 0xDEADBEEFDEADBEEF
23860 .xword 0xDEADBEEFDEADBEEF
23861 .xword 0xDEADBEEFDEADBEEF
23862 .xword 0xDEADBEEFDEADBEEF
23863 .xword 0xDEADBEEFDEADBEEF
23864 .xword 0xDEADBEEFDEADBEEF
23865 .xword 0xDEADBEEFDEADBEEF
23866 .xword 0xDEADBEEFDEADBEEF
23867 .xword 0xDEADBEEFDEADBEEF
23868 .xword 0xDEADBEEFDEADBEEF
23869 .xword 0xDEADBEEFDEADBEEF
23870 .xword 0xDEADBEEFDEADBEEF
23871 .xword 0xDEADBEEFDEADBEEF
23872 .xword 0xDEADBEEFDEADBEEF
23873 .xword 0xDEADBEEFDEADBEEF
23874 .xword 0xDEADBEEFDEADBEEF
23875 .xword 0xDEADBEEFDEADBEEF
23876 .xword 0xDEADBEEFDEADBEEF
23877 .xword 0xDEADBEEFDEADBEEF
23878 .xword 0xDEADBEEFDEADBEEF
23879 .xword 0xDEADBEEFDEADBEEF
23880 .xword 0xDEADBEEFDEADBEEF
23881 .xword 0xDEADBEEFDEADBEEF
23882 .xword 0xDEADBEEFDEADBEEF
23883 .xword 0xDEADBEEFDEADBEEF
23884 .xword 0xDEADBEEFDEADBEEF
23885 .xword 0xDEADBEEFDEADBEEF
23886 .xword 0xDEADBEEFDEADBEEF
23887 .xword 0xDEADBEEFDEADBEEF
23888 .xword 0xDEADBEEFDEADBEEF
23889 .xword 0xDEADBEEFDEADBEEF
23890 .xword 0xDEADBEEFDEADBEEF
23891 .xword 0xDEADBEEFDEADBEEF
23892 .xword 0xDEADBEEFDEADBEEF
23893 .xword 0xDEADBEEFDEADBEEF
23894 .xword 0xDEADBEEFDEADBEEF
23895 .xword 0xDEADBEEFDEADBEEF
23896 .xword 0xDEADBEEFDEADBEEF
23897 .xword 0xDEADBEEFDEADBEEF
23898 .xword 0xDEADBEEFDEADBEEF
23899 .xword 0xDEADBEEFDEADBEEF
23900 .xword 0xDEADBEEFDEADBEEF
23901 .xword 0xDEADBEEFDEADBEEF
23902 .xword 0xDEADBEEFDEADBEEF
23903 .xword 0xDEADBEEFDEADBEEF
23904 .xword 0xDEADBEEFDEADBEEF
23905 .xword 0xDEADBEEFDEADBEEF
23906 .xword 0xDEADBEEFDEADBEEF
23907 .xword 0xDEADBEEFDEADBEEF
23908 .xword 0xDEADBEEFDEADBEEF
23909 .xword 0xDEADBEEFDEADBEEF
23910 .xword 0xDEADBEEFDEADBEEF
23911 .xword 0xDEADBEEFDEADBEEF
23912 .xword 0xDEADBEEFDEADBEEF
23913 .xword 0xDEADBEEFDEADBEEF
23914 .xword 0xDEADBEEFDEADBEEF
23915 .xword 0xDEADBEEFDEADBEEF
23916 .xword 0xDEADBEEFDEADBEEF
23917 .xword 0xDEADBEEFDEADBEEF
23918 .xword 0xDEADBEEFDEADBEEF
23919 .xword 0xDEADBEEFDEADBEEF
23920 .xword 0xDEADBEEFDEADBEEF
23921 .xword 0xDEADBEEFDEADBEEF
23922 .xword 0xDEADBEEFDEADBEEF
23923 .xword 0xDEADBEEFDEADBEEF
23924 .xword 0xDEADBEEFDEADBEEF
23925 .xword 0xDEADBEEFDEADBEEF
23926 .xword 0xDEADBEEFDEADBEEF
23927 .xword 0xDEADBEEFDEADBEEF
23928 .xword 0xDEADBEEFDEADBEEF
23929 .xword 0xDEADBEEFDEADBEEF
23930 .xword 0xDEADBEEFDEADBEEF
23931 .xword 0xDEADBEEFDEADBEEF
23932 .xword 0xDEADBEEFDEADBEEF
23933 .xword 0xDEADBEEFDEADBEEF
23934 .xword 0xDEADBEEFDEADBEEF
23935 .xword 0xDEADBEEFDEADBEEF
23936 .xword 0xDEADBEEFDEADBEEF
23937 .xword 0xDEADBEEFDEADBEEF
23938 .xword 0xDEADBEEFDEADBEEF
23939 .xword 0xDEADBEEFDEADBEEF
23940 .xword 0xDEADBEEFDEADBEEF
23941 .xword 0xDEADBEEFDEADBEEF
23942 .xword 0xDEADBEEFDEADBEEF
23943 .xword 0xDEADBEEFDEADBEEF
23944 .xword 0xDEADBEEFDEADBEEF
23945 .xword 0xDEADBEEFDEADBEEF
23946 .xword 0xDEADBEEFDEADBEEF
23947 .xword 0xDEADBEEFDEADBEEF
23948 .xword 0xDEADBEEFDEADBEEF
23949 .xword 0xDEADBEEFDEADBEEF
23950 .xword 0xDEADBEEFDEADBEEF
23951 .xword 0xDEADBEEFDEADBEEF
23952 .xword 0xDEADBEEFDEADBEEF
23953 .xword 0xDEADBEEFDEADBEEF
23954 .xword 0xDEADBEEFDEADBEEF
23955 .xword 0xDEADBEEFDEADBEEF
23956 .xword 0xDEADBEEFDEADBEEF
23957 .xword 0xDEADBEEFDEADBEEF
23958 .xword 0xDEADBEEFDEADBEEF
23959 .xword 0xDEADBEEFDEADBEEF
23960 .xword 0xDEADBEEFDEADBEEF
23961 .xword 0xDEADBEEFDEADBEEF
23962 .xword 0xDEADBEEFDEADBEEF
23963 .xword 0xDEADBEEFDEADBEEF
23964 .xword 0xDEADBEEFDEADBEEF
23965 .xword 0xDEADBEEFDEADBEEF
23966 .xword 0xDEADBEEFDEADBEEF
23967 .xword 0xDEADBEEFDEADBEEF
23968 .xword 0xDEADBEEFDEADBEEF
23969 .xword 0xDEADBEEFDEADBEEF
23970 .xword 0xDEADBEEFDEADBEEF
23971 .xword 0xDEADBEEFDEADBEEF
23972 .xword 0xDEADBEEFDEADBEEF
23973 .xword 0xDEADBEEFDEADBEEF
23974 .xword 0xDEADBEEFDEADBEEF
23975 .xword 0xDEADBEEFDEADBEEF
23976 .xword 0xDEADBEEFDEADBEEF
23977 .xword 0xDEADBEEFDEADBEEF
23978 .xword 0xDEADBEEFDEADBEEF
23979 .xword 0xDEADBEEFDEADBEEF
23980 .xword 0xDEADBEEFDEADBEEF
23981 .xword 0xDEADBEEFDEADBEEF
23982 .xword 0xDEADBEEFDEADBEEF
23983 .xword 0xDEADBEEFDEADBEEF
23984 .xword 0xDEADBEEFDEADBEEF
23985 .xword 0xDEADBEEFDEADBEEF
23986 .xword 0xDEADBEEFDEADBEEF
23987 .xword 0xDEADBEEFDEADBEEF
23988 .xword 0xDEADBEEFDEADBEEF
23989 .xword 0xDEADBEEFDEADBEEF
23990 .xword 0xDEADBEEFDEADBEEF
23991 .xword 0xDEADBEEFDEADBEEF
23992 .xword 0xDEADBEEFDEADBEEF
23993 .xword 0xDEADBEEFDEADBEEF
23994 .xword 0xDEADBEEFDEADBEEF
23995 .xword 0xDEADBEEFDEADBEEF
23996 .xword 0xDEADBEEFDEADBEEF
23997 .xword 0xDEADBEEFDEADBEEF
23998 .xword 0xDEADBEEFDEADBEEF
23999 .xword 0xDEADBEEFDEADBEEF
24000 .xword 0xDEADBEEFDEADBEEF
24001 .xword 0xDEADBEEFDEADBEEF
24002 .xword 0xDEADBEEFDEADBEEF
24003 .xword 0xDEADBEEFDEADBEEF
24004 .xword 0xDEADBEEFDEADBEEF
24005 .xword 0xDEADBEEFDEADBEEF
24006 .xword 0xDEADBEEFDEADBEEF
24007 .xword 0xDEADBEEFDEADBEEF
24008 .xword 0xDEADBEEFDEADBEEF
24009 .xword 0xDEADBEEFDEADBEEF
24010 .xword 0xDEADBEEFDEADBEEF
24011 .xword 0xDEADBEEFDEADBEEF
24012 .xword 0xDEADBEEFDEADBEEF
24013 .xword 0xDEADBEEFDEADBEEF
24014 .xword 0xDEADBEEFDEADBEEF
24015 .xword 0xDEADBEEFDEADBEEF
24016 .xword 0xDEADBEEFDEADBEEF
24017 .xword 0xDEADBEEFDEADBEEF
24018 .xword 0xDEADBEEFDEADBEEF
24019 .xword 0xDEADBEEFDEADBEEF
24020 .xword 0xDEADBEEFDEADBEEF
24021 .xword 0xDEADBEEFDEADBEEF
24022 .xword 0xDEADBEEFDEADBEEF
24023 .xword 0xDEADBEEFDEADBEEF
24024 .xword 0xDEADBEEFDEADBEEF
24025 .xword 0xDEADBEEFDEADBEEF
24026 .xword 0xDEADBEEFDEADBEEF
24027 .xword 0xDEADBEEFDEADBEEF
24028 .xword 0xDEADBEEFDEADBEEF
24029 .xword 0xDEADBEEFDEADBEEF
24030 .xword 0xDEADBEEFDEADBEEF
24031 .xword 0xDEADBEEFDEADBEEF
24032 .xword 0xDEADBEEFDEADBEEF
24033 .xword 0xDEADBEEFDEADBEEF
24034 .xword 0xDEADBEEFDEADBEEF
24035 .xword 0xDEADBEEFDEADBEEF
24036 .xword 0xDEADBEEFDEADBEEF
24037 .xword 0xDEADBEEFDEADBEEF
24038 .xword 0xDEADBEEFDEADBEEF
24039 .xword 0xDEADBEEFDEADBEEF
24040 .xword 0xDEADBEEFDEADBEEF
24041 .xword 0xDEADBEEFDEADBEEF
24042 .xword 0xDEADBEEFDEADBEEF
24043 .xword 0xDEADBEEFDEADBEEF
24044 .xword 0xDEADBEEFDEADBEEF
24045 .xword 0xDEADBEEFDEADBEEF
24046 .xword 0xDEADBEEFDEADBEEF
24047 .xword 0xDEADBEEFDEADBEEF
24048 .xword 0xDEADBEEFDEADBEEF
24049 .xword 0xDEADBEEFDEADBEEF
24050 .xword 0xDEADBEEFDEADBEEF
24051 .xword 0xDEADBEEFDEADBEEF
24052 .xword 0xDEADBEEFDEADBEEF
24053 .xword 0xDEADBEEFDEADBEEF
24054 .xword 0xDEADBEEFDEADBEEF
24055 .xword 0xDEADBEEFDEADBEEF
24056 .xword 0xDEADBEEFDEADBEEF
24057 .xword 0xDEADBEEFDEADBEEF
24058 .xword 0xDEADBEEFDEADBEEF
24059 .xword 0xDEADBEEFDEADBEEF
24060 .xword 0xDEADBEEFDEADBEEF
24061 .xword 0xDEADBEEFDEADBEEF
24062 .xword 0xDEADBEEFDEADBEEF
24063 .xword 0xDEADBEEFDEADBEEF
24064 .xword 0xDEADBEEFDEADBEEF
24065 .xword 0xDEADBEEFDEADBEEF
24066 .xword 0xDEADBEEFDEADBEEF
24067 .xword 0xDEADBEEFDEADBEEF
24068 .xword 0xDEADBEEFDEADBEEF
24069 .xword 0xDEADBEEFDEADBEEF
24070 .xword 0xDEADBEEFDEADBEEF
24071 .xword 0xDEADBEEFDEADBEEF
24072 .xword 0xDEADBEEFDEADBEEF
24073 .xword 0xDEADBEEFDEADBEEF
24074 .xword 0xDEADBEEFDEADBEEF
24075 .xword 0xDEADBEEFDEADBEEF
24076 .xword 0xDEADBEEFDEADBEEF
24077 .xword 0xDEADBEEFDEADBEEF
24078 .xword 0xDEADBEEFDEADBEEF
24079 .xword 0xDEADBEEFDEADBEEF
24080 .xword 0xDEADBEEFDEADBEEF
24081 .xword 0xDEADBEEFDEADBEEF
24082 .xword 0xDEADBEEFDEADBEEF
24083 .xword 0xDEADBEEFDEADBEEF
24084 .xword 0xDEADBEEFDEADBEEF
24085 .xword 0xDEADBEEFDEADBEEF
24086 .xword 0xDEADBEEFDEADBEEF
24087 .xword 0xDEADBEEFDEADBEEF
24088 .xword 0xDEADBEEFDEADBEEF
24089 .xword 0xDEADBEEFDEADBEEF
24090 .xword 0xDEADBEEFDEADBEEF
24091 .xword 0xDEADBEEFDEADBEEF
24092 .xword 0xDEADBEEFDEADBEEF
24093 .xword 0xDEADBEEFDEADBEEF
24094 .xword 0xDEADBEEFDEADBEEF
24095 .xword 0xDEADBEEFDEADBEEF
24096 .xword 0xDEADBEEFDEADBEEF
24097 .xword 0xDEADBEEFDEADBEEF
24098 .xword 0xDEADBEEFDEADBEEF
24099 .xword 0xDEADBEEFDEADBEEF
24100 .xword 0xDEADBEEFDEADBEEF
24101 .xword 0xDEADBEEFDEADBEEF
24102 .xword 0xDEADBEEFDEADBEEF
24103 .xword 0xDEADBEEFDEADBEEF
24104 .xword 0xDEADBEEFDEADBEEF
24105 .xword 0xDEADBEEFDEADBEEF
24106 .xword 0xDEADBEEFDEADBEEF
24107 .xword 0xDEADBEEFDEADBEEF
24108 .xword 0xDEADBEEFDEADBEEF
24109 .xword 0xDEADBEEFDEADBEEF
24110 .xword 0xDEADBEEFDEADBEEF
24111 .xword 0xDEADBEEFDEADBEEF
24112 .xword 0xDEADBEEFDEADBEEF
24113 .xword 0xDEADBEEFDEADBEEF
24114 .xword 0xDEADBEEFDEADBEEF
24115 .xword 0xDEADBEEFDEADBEEF
24116 .xword 0xDEADBEEFDEADBEEF
24117 .xword 0xDEADBEEFDEADBEEF
24118 .xword 0xDEADBEEFDEADBEEF
24119 .xword 0xDEADBEEFDEADBEEF
24120 .xword 0xDEADBEEFDEADBEEF
24121 .xword 0xDEADBEEFDEADBEEF
24122 .xword 0xDEADBEEFDEADBEEF
24123 .xword 0xDEADBEEFDEADBEEF
24124 .xword 0xDEADBEEFDEADBEEF
24125.align 16
24126_t1_rc4_auth_key:
24127 .xword 0x3b6c353f314f5fc1
24128 .xword 0x2009bce38eb81be2
24129 .xword 0xc6df80c21948d4d5
24130 .xword 0x6b2b5e6dea76bf56
24131 .xword 0xf5ad7a41ae007402
24132 .xword 0xbae6f783a91561cd
24133 .xword 0xe6cfdc351dfc6d3c
24134 .xword 0x7fed9cef919d6e93
24135 .xword 0x48b389366b6c3dc2
24136 .xword 0x455ed8adb1419c40
24137 .xword 0xab1809e60602344c
24138 .xword 0x1b9c025299259a26
24139 .xword 0x4f524b4191d32d12
24140 .xword 0x30aec33d7268d16b
24141 .xword 0xfe45dd6fcf6b18a7
24142 .xword 0x09dd2f12410797d4
24143 .xword 0x800cda2c3217ca08
24144 .xword 0xac2257af631eea97
24145 .xword 0x21257631502938c5
24146 .xword 0x27c11ec430b455cf
24147 .xword 0x781255e3cd96d4ed
24148 .xword 0xf746641207b604bc
24149 .xword 0x498fc4e28424a72c
24150.align 16
24151_t1_rc4_auth_iv:
24152 .xword 0x249ea440bbd9c07a
24153 .xword 0x429b8534bb4d5181
24154 .xword 0xfc30dc7ba296cf2c
24155 .xword 0x8b97c66b8c689ff9
24156 .xword 0x8862911b8d6378af
24157 .xword 0x4e24b360df5b1bb5
24158 .xword 0xd8df864f1218506a
24159 .xword 0xb298cf8c5cf19087
24160 .xword 0x184342847959e827
24161 .xword 0xc7892621328bdb05
24162 .xword 0x2f1c06082e50ef14
24163 .xword 0x0e4c9e199e455d92
24164 .xword 0x85c7e13ba22c9183
24165 .xword 0xef27ab24be03009c
24166 .xword 0x7228895f8a325b64
24167 .xword 0x808bea38f282b511
24168 .xword 0xa7bc4b2aacb87b4e
24169 .xword 0x72995b0cc24eb8b4
24170 .xword 0xca26995fc30165c0
24171 .xword 0x3bf60eae5ef5b3fb
24172 .xword 0xaf132124573442aa
24173 .xword 0x7a157cab77b16315
24174 .xword 0xec612358a02a9dc0
24175.align 16
24176_t1_rc4_fas_result:
24177 .xword 0xDEADBEEFDEADBEEF
24178 .xword 0xDEADBEEFDEADBEEF
24179 .xword 0xDEADBEEFDEADBEEF
24180 .xword 0xDEADBEEFDEADBEEF
24181 .xword 0xDEADBEEFDEADBEEF
24182 .xword 0xDEADBEEFDEADBEEF
24183 .xword 0xDEADBEEFDEADBEEF
24184 .xword 0xDEADBEEFDEADBEEF
24185 .xword 0xDEADBEEFDEADBEEF
24186 .xword 0xDEADBEEFDEADBEEF
24187 .xword 0xDEADBEEFDEADBEEF
24188 .xword 0xDEADBEEFDEADBEEF
24189 .xword 0xDEADBEEFDEADBEEF
24190 .xword 0xDEADBEEFDEADBEEF
24191 .xword 0xDEADBEEFDEADBEEF
24192 .xword 0xDEADBEEFDEADBEEF
24193 .xword 0xDEADBEEFDEADBEEF
24194 .xword 0xDEADBEEFDEADBEEF
24195 .xword 0xDEADBEEFDEADBEEF
24196 .xword 0xDEADBEEFDEADBEEF
24197 .xword 0xDEADBEEFDEADBEEF
24198 .xword 0xDEADBEEFDEADBEEF
24199 .xword 0xDEADBEEFDEADBEEF
24200.align 16
24201_t1_sslkey_key_array:
24202 .xword 0x66c0f3d31fe247a4
24203 .xword 0x90c8b99b8c680c8c
24204 .xword 0x2bec7eee8452b42a
24205 .xword 0x6d0bdb00660f0b2d
24206 .xword 0xfdf3dc7ce0477bca
24207 .xword 0x1b49c514a8f65658
24208 .xword 0x3f61057f600757e4
24209 .xword 0x341125e7770f248b
24210 .xword 0x69edab3c26f8eae3
24211 .xword 0xb4f1f330b8281382
24212 .xword 0x4d81528ae3ae85ed
24213 .xword 0xad018d67da284c81
24214 .xword 0x3a40eeaeceefa5c4
24215 .xword 0xd06c62c49beef032
24216 .xword 0xe826e14b165fb14c
24217 .xword 0xcfa73c532b00c90b
24218 .xword 0x1101f9a9af2cadec
24219 .xword 0x575f65c8b18183b5
24220 .xword 0x5bd95974fbf6ba27
24221 .xword 0xb1585557c9702dd0
24222 .xword 0x561b630d375e99ec
24223 .xword 0x4968311ecfeb951b
24224 .xword 0x5615b88b9b6df38f
24225 .xword 0x87fcf273f9dc826f
24226 .xword 0x8c1af73d50da2cce
24227 .xword 0x87054473959f2076
24228 .xword 0x9294624b8894494c
24229 .xword 0x15a1973b515ce214
24230 .xword 0x003e5245e26774d5
24231 .xword 0x46edc2ee9c43988e
24232 .xword 0x199a093d1b7b769a
24233 .xword 0x7af161e6bcb6235b
24234 .xword 0x02efaf4ad81b42b2
24235 .xword 0x399a8065f32b639a
24236 .xword 0x087ac636a2b90fcd
24237 .xword 0x0c407f0e11d67989
24238 .xword 0xe99a54494840c82a
24239 .xword 0xc53c92f2e553c4b7
24240 .xword 0x0a1b532cac411fb2
24241 .xword 0xfa1430297226750e
24242 .xword 0xe58f49278868bd4c
24243 .xword 0x793eb9837eb22da9
24244 .xword 0x8052718612c5ab2c
24245 .xword 0xe1d48308dd12a90e
24246 .xword 0x8fa7108bc415c492
24247 .xword 0xc5ea26c46220a3dd
24248 .xword 0x622ea4256cd76bea
24249 .xword 0x8db05f72597d4a27
24250 .xword 0x933ac192bd216e2d
24251 .xword 0x592bd5c504b3b463
24252 .xword 0x7c879705b6966314
24253.align 16
24254_t1_sslkey_iv_array:
24255 .xword 0xad6afa02d8b20b6e
24256 .xword 0xc7d6141613e7dfdd
24257 .xword 0x1bc3380918623d92
24258 .xword 0x7d1142ef320defd6
24259 .xword 0x609c519cc0edd85f
24260 .xword 0xeb69f82643130f71
24261 .xword 0x97b6b1d334d3b173
24262 .xword 0x914048c88309cb76
24263 .xword 0x3cf95339db102921
24264 .xword 0xc12b559f8a92cbbc
24265 .xword 0x6743c344f410fdb9
24266 .xword 0x26c00fe917a4efad
24267 .xword 0xea38a96b98f74753
24268 .xword 0x36b30be2044fb370
24269 .xword 0x18dc683bf7878d66
24270 .xword 0x4f5891a5b64a3b67
24271 .xword 0x585324c43061ffb3
24272 .xword 0x6dd6b6b924ff1c88
24273 .xword 0xe6c2702193a48a4e
24274 .xword 0xc763ef1d31d1f12c
24275 .xword 0xd1bc858b77d906b1
24276 .xword 0x8f934a5360deb7b1
24277 .xword 0xafe9084e6936f172
24278 .xword 0x60dbb04e0ea81b94
24279 .xword 0x3e29fc483d81f2a8
24280 .xword 0x11f6da5315f05dd0
24281 .xword 0x514865d9d82b76ed
24282 .xword 0xc5a0853275e73517
24283 .xword 0x95b30df2eccdd233
24284 .xword 0x2de10db21b5e20b8
24285 .xword 0xb0d62d941581e36b
24286 .xword 0x6416f7cdf3896a5c
24287 .xword 0x2d350c3adf56d419
24288 .xword 0x67e19037db133395
24289 .xword 0x5083c62a1f428654
24290 .xword 0x4520516ed40d6242
24291 .xword 0xa1232cef54767b8e
24292 .xword 0x6380a1110a223d17
24293 .xword 0x5be0e4708576a5ae
24294 .xword 0x127297cb65b0494c
24295 .xword 0x74ed46adf6408ca7
24296 .xword 0x1583c70e0df550eb
24297 .xword 0xbab16b0005c8d9ad
24298 .xword 0xe3e08e0e85cb788a
24299 .xword 0x8fb7ba67d2029e91
24300.align 16
24301_t1_sslkey_alignment_array:
24302 .xword 0
24303 .xword 0
24304 .xword 0
24305 .xword 0
24306 .xword 0
24307 .xword 0
24308 .xword 0
24309 .xword 0
24310 .xword 0
24311 .xword 0
24312 .xword 0
24313 .xword 0
24314 .xword 0
24315 .xword 0
24316 .xword 0
24317 .xword 0
24318 .xword 0
24319 .xword 0
24320 .xword 0
24321 .xword 0
24322 .xword 0
24323 .xword 0
24324 .xword 0
24325 .xword 0
24326 .xword 0
24327 .xword 0
24328 .xword 0
24329 .xword 0
24330 .xword 0
24331 .xword 0
24332 .xword 0
24333 .xword 0
24334 .xword 0
24335 .xword 0
24336 .xword 0
24337 .xword 0
24338 .xword 0
24339 .xword 0
24340 .xword 0
24341 .xword 0
24342 .xword 0
24343 .xword 0
24344 .xword 0
24345 .xword 0
24346 .xword 0
24347 .xword 0
24348 .xword 0
24349 .xword 0
24350 .xword 0
24351 .xword 0
24352 .xword 0
24353 .xword 0
24354 .xword 0
24355 .xword 0
24356 .xword 0
24357 .xword 0
24358 .xword 0
24359 .xword 0
24360 .xword 0
24361 .xword 0
24362 .xword 0
24363 .xword 0
24364 .xword 0
24365 .xword 0
24366 .xword 0
24367 .xword 0
24368 .xword 0
24369 .xword 0
24370 .xword 0
24371 .xword 0
24372 .xword 0
24373 .xword 0
24374 .xword 0
24375 .xword 0
24376 .xword 0
24377 .xword 0
24378 .xword 0
24379 .xword 0
24380 .xword 0
24381 .xword 0
24382 .xword 0
24383 .xword 0
24384 .xword 0
24385 .xword 0
24386 .xword 0
24387 .xword 0
24388 .xword 0
24389 .xword 0
24390 .xword 0
24391 .xword 0
24392 .xword 0
24393 .xword 0
24394 .xword 0
24395 .xword 0
24396 .xword 0
24397 .xword 0
24398 .xword 0
24399 .xword 0
24400 .xword 0
24401 .xword 0
24402 .xword 0
24403 .xword 0
24404 .xword 0
24405 .xword 0
24406 .xword 0
24407.align 16
24408_t1_sslkey_src:
24409 .xword 0x1a93bd8d4af28343
24410 .xword 0x93e0c485bdcbc941
24411 .xword 0x7d64f71158d2b0c6
24412 .xword 0x0ce0ed6e20977641
24413 .xword 0x3b38a19b35039465
24414 .xword 0x92e1f67da7375d6f
24415 .xword 0xa8f510ea513b5ef8
24416 .xword 0x8ac7450bbaed9be9
24417 .xword 0x7b3e0565bfe8a4f3
24418 .xword 0xf57ed4de14bb17c2
24419 .xword 0x4fb1349ad8b548e1
24420 .xword 0xe71de0191aabe3f1
24421 .xword 0x33412a2c2d2919f3
24422 .xword 0x1a72d9ae0b74b9c5
24423 .xword 0xc3f22579983ef647
24424 .xword 0xec5ee59bfb17fb0a
24425 .xword 0x5872d2b441066ecc
24426 .xword 0xa7a81274debfe5d9
24427 .xword 0xcb487728af6a9c72
24428 .xword 0xeeacb5d84be0ad45
24429 .xword 0x1a71b086ded72cd0
24430 .xword 0xea5874b5119cf325
24431 .xword 0x996aa3aaac910eb5
24432 .xword 0x198b69d6399c186f
24433 .xword 0xf35935c92f368036
24434 .xword 0x86f3feb02c99219a
24435 .xword 0x6fa4d949be939a01
24436 .xword 0x25d9498c431af051
24437 .xword 0x4099e4c16f42af83
24438 .xword 0x7daeee1918597b2f
24439 .xword 0x9c5639da2001b65f
24440 .xword 0xa28378b8a2dd6ca2
24441 .xword 0xcc85131b2c2e5fc6
24442 .xword 0x98ee4cd42be38eba
24443 .xword 0xbcf680af752dbfd2
24444 .xword 0xeca643351a18080c
24445 .xword 0x0cb123a2a92bb3cd
24446 .xword 0x1b8a03f20ddfe4cc
24447 .xword 0x672f82c1694689f8
24448 .xword 0x41d0ff412ee0f358
24449 .xword 0xeec9675405e64095
24450 .xword 0xe956ba97ab6387bf
24451 .xword 0xb16fa623dfdfd658
24452 .xword 0x5b38ef0bfb239a56
24453 .xword 0x8cc24ae093af2ad9
24454 .xword 0x62462a9557a42b30
24455 .xword 0x8172d139e08ca5d1
24456 .xword 0x4cdfa6f30ecdd4e4
24457 .xword 0xe9c53d5613836257
24458 .xword 0x70801026ba705e10
24459 .xword 0xba7be5dc4b6235f2
24460 .xword 0xca407b9c781d17e6
24461 .xword 0x31acafb16b4e9d17
24462 .xword 0xc79cfbca1903c1a9
24463 .xword 0xbf7d26e325443abf
24464 .xword 0x64037d646942830a
24465 .xword 0x785d129ef4a33b66
24466 .xword 0xfc8a3780fca10cdb
24467 .xword 0x1a60192049df44cd
24468 .xword 0x97fe75ac6d5367c3
24469 .xword 0xae28e653702eca31
24470 .xword 0x02235edaffa2fad1
24471 .xword 0x39d3d51f3609fb19
24472 .xword 0x9695e06edafef1bf
24473 .xword 0x66fe164dfec1993a
24474 .xword 0x351ee94967b53d8b
24475 .xword 0x7b4684d9254d9e4e
24476 .xword 0xe9be8c93bf34a73b
24477 .xword 0x6c489947816a4855
24478 .xword 0xe61a7a19d3a9c80c
24479 .xword 0x51d20b154c55ff7a
24480 .xword 0x83e8540924269d28
24481 .xword 0xffd6bccbcffcc884
24482 .xword 0xc729716f581c4633
24483 .xword 0xcc691d2e01515a57
24484 .xword 0x6811b954046e123a
24485 .xword 0xc0d51f6530af8b7d
24486 .xword 0xf4223cccf59fbd2f
24487 .xword 0x6ff8603f4e479047
24488 .xword 0x62bd5c73d13fa4ec
24489 .xword 0x1abea23de91429e2
24490 .xword 0x3431ff24f0398e2c
24491 .xword 0x4ca9bb9a95797641
24492 .xword 0xd8deee4daad30246
24493 .xword 0x7f08c625596779b1
24494 .xword 0x20834ebd2582d77a
24495 .xword 0x6c69a35b37f9cae1
24496 .xword 0x087b44e4138a6787
24497 .xword 0xd295990787146ad9
24498 .xword 0x360cb594e768f365
24499 .xword 0xe7c6029079781264
24500 .xword 0x02c4f6fa3eb2ae41
24501 .xword 0x80781822a66d5e81
24502 .xword 0xe3f11ab15e6884a1
24503 .xword 0xab8ae6f2dfe1176b
24504 .xword 0x735a6554a39a41f0
24505 .xword 0xeb7c7bee910133db
24506 .xword 0xa91c1a7d8f1bf93d
24507 .xword 0xd305b3433d3dcab6
24508 .xword 0x36bc49e7266ec899
24509 .xword 0x10c1c7430f839993
24510 .xword 0x6e3af8ffc253be5e
24511 .xword 0x758aaf0079992696
24512 .xword 0x26a1adcbd9abe34b
24513 .xword 0x9d124ec6a0120150
24514 .xword 0x141d480e87c447f8
24515 .xword 0x6f4a1d1767d75a7f
24516 .xword 0xf54593c440021f5c
24517 .xword 0x2caa490d00ba44e1
24518 .xword 0x03af0393f1d566e4
24519 .xword 0xb65cf97bd859b73c
24520 .xword 0xc542c0f67a2f8d6a
24521 .xword 0x93d85d1672d0d8ac
24522 .xword 0x9c0367b9751abab2
24523 .xword 0x2615487adfa7b524
24524 .xword 0x7189911cdd1b9b74
24525 .xword 0x75ae7ce3df3d3a31
24526 .xword 0xc10c4f1f0d49f7ec
24527 .xword 0xfff8f5aa8219e316
24528 .xword 0x1fb9ba9d75c61b80
24529 .xword 0xe5f633a1c4bda353
24530 .xword 0xf7827dfdc69a5bfc
24531 .xword 0x072756711ae20671
24532 .xword 0xff9bf5745e258bfd
24533 .xword 0x28019a48815b071c
24534 .xword 0x9588fb832086a5f6
24535 .xword 0x381e32c974a2248a
24536 .xword 0x80c518f50893eb59
24537 .xword 0x073e39913d3a519c
24538 .xword 0x8853b21e82512753
24539 .xword 0xd26b7199de9d6a05
24540 .xword 0x915e0682c841cc93
24541 .xword 0x3ff06f6f658d6140
24542 .xword 0xa14b05d8d872abb4
24543 .xword 0x51cb282bc35170cd
24544 .xword 0xca93143f793ea5f9
24545 .xword 0x26622a97ce073019
24546 .xword 0x2e66fd2145e1c49a
24547 .xword 0x4141ab3f7e67a478
24548 .xword 0x3730812d4c191fa8
24549 .xword 0x8661303442100441
24550 .xword 0x3fdc44977ec5faaa
24551 .xword 0x52f6ffcb4e897e46
24552 .xword 0xd73773c97aeedd5d
24553 .xword 0xdb551cc9735304cd
24554 .xword 0xaf3df9c804d2eca8
24555 .xword 0x87c6f3a65e53314f
24556 .xword 0x25f50beb782a2818
24557 .xword 0xadaab18cd711c7d9
24558 .xword 0x1f6ff1debd8dc348
24559 .xword 0xdb09de10a0939eb2
24560 .xword 0x2f19b8ad76ba87ea
24561 .xword 0x4ffc8e822fa2906e
24562 .xword 0xbac043f3bd61fdb9
24563 .xword 0xa8223b5091edaf62
24564 .xword 0x0bc318bf7bdd0f12
24565 .xword 0xe243943c51603982
24566 .xword 0xa41eb3cf93e4e646
24567 .xword 0x097029a55a5f5142
24568 .xword 0x0f739912a701b628
24569 .xword 0x4761728b4990d025
24570 .xword 0x7ab5be99b53d29d4
24571 .xword 0x16933ceaa551a705
24572 .xword 0xa686d1acceafb6de
24573 .xword 0x2a54e51b1a4c8d07
24574 .xword 0xbf344d7e92ea0d2a
24575 .xword 0xebcc676a8e2cd591
24576 .xword 0xdef77b62d586a815
24577 .xword 0x4ed4737c7cb7f1c7
24578 .xword 0x6d65b2c8559c857b
24579 .xword 0xb5629511f9e821ac
24580 .xword 0xcda6d984e44c1ed3
24581 .xword 0x1469f7445705885d
24582 .xword 0x740eaae6fcd28330
24583 .xword 0x98435282d1544e5c
24584 .xword 0xf653c0e88f51c5e4
24585 .xword 0xde544c17b6a6e181
24586 .xword 0x26515edd6485740d
24587 .xword 0x908edfa00ca8bafd
24588 .xword 0x065cb0ea89e53603
24589 .xword 0xe91f0141c33952f6
24590 .xword 0xeecad661ace3a60d
24591 .xword 0x9f01cee6e8670350
24592 .xword 0xb822087e2421f7ae
24593 .xword 0x2499aee389a05938
24594 .xword 0xbca96de4edc195ed
24595 .xword 0x21d55d891890dead
24596 .xword 0x86e53cbc935ff5e5
24597 .xword 0x129c10a06f50c349
24598 .xword 0x51f60a3a6901c54f
24599 .xword 0xb29f23dd068b8bfe
24600 .xword 0xc54f29e1d37b99a0
24601 .xword 0x82430799d8469b4d
24602 .xword 0x247efb2dcf62ae24
24603 .xword 0x8427b10f84c56afe
24604 .xword 0xeeec3daca77b762b
24605 .xword 0xb93f9f9201cd0748
24606 .xword 0x29aa41f2433a9bb4
24607 .xword 0x337b02be18621283
24608 .xword 0x2ae8a547bf198951
24609 .xword 0x0232a8805b3dfcc7
24610 .xword 0xd566137e7be56b40
24611 .xword 0x126a1d2847e0a950
24612 .xword 0x49c6ce5720733311
24613 .xword 0x8eadb132b81a610c
24614 .xword 0x5eea69d249653fc9
24615 .xword 0xde496f85b8e6e08b
24616 .xword 0xeef6b476f2cd1ce8
24617 .xword 0x55aead3e8195479a
24618 .xword 0xc87b706f273cf1eb
24619 .xword 0xafec041b3110aeb6
24620 .xword 0x4379d813b40f22ee
24621 .xword 0xf53d9b05e9ce784b
24622 .xword 0x65058b3bedcf84ce
24623 .xword 0x1515367fd11128d8
24624 .xword 0xdc9460eb1ba68c01
24625 .xword 0x5141f0184049d127
24626 .xword 0x91b6a4494369879e
24627 .xword 0x21f8ebfcfeeab2a7
24628 .xword 0x78c08ef263db57e9
24629 .xword 0x34eb903f612dd2ba
24630 .xword 0xb846da0199c00040
24631 .xword 0xcafc6c40984395e0
24632 .xword 0x29788f6b674afbaa
24633 .xword 0xc6b628ff9a521a66
24634 .xword 0x4b2f0a5bd21eb7b3
24635 .xword 0x18be836da544a59b
24636 .xword 0xf94db689103f1ff3
24637 .xword 0x06c5bb8cf8dd86ef
24638 .xword 0x04d7f8f5076d0caf
24639 .xword 0x398153f131e0ba69
24640 .xword 0xa31b3227b8dbb151
24641 .xword 0x81a2c1c6f8a7025b
24642 .xword 0x1b977c040d63d18d
24643 .xword 0x399fda20ca4f52b7
24644 .xword 0x0a59e8f5c9e97a9e
24645 .xword 0xeecd971b27d24909
24646 .xword 0xaf8028ee3e13c4f5
24647 .xword 0xf12658f70209852b
24648 .xword 0x5ec4158ebd1d3e5c
24649 .xword 0x84ea9188bcb88e17
24650 .xword 0xa5640721d4158b2e
24651 .xword 0xacb6083b7eafaa3f
24652 .xword 0x8af8980f16c5ac3c
24653 .xword 0xe37e8e7fc7da67fc
24654 .xword 0xd338ce869643c4ba
24655 .xword 0x9354efdedfa2cce3
24656 .xword 0xdca981d393404c61
24657 .xword 0x2b57cebf41c91836
24658 .xword 0x200fce3d3ca3db1e
24659 .xword 0xbcf5396a48675893
24660 .xword 0x1382c056432fdefc
24661 .xword 0x8328b232b29259fc
24662 .xword 0xe4e68a7097fcfc74
24663 .xword 0x84b0aa82bd38d841
24664 .xword 0x25e68368b9851902
24665 .xword 0xc605ed22a4ce8054
24666 .xword 0xeefaafcda7b174f2
24667 .xword 0x888195358ec19f38
24668 .xword 0x9e92d0fbcb2efe27
24669 .xword 0x97966c57d855355c
24670 .xword 0x8ed0369d5ec57da1
24671 .xword 0xaa43926ea47e763c
24672 .xword 0x5b10dfdb1fd2a8af
24673 .xword 0xdcc07d0a73649206
24674 .xword 0x2535137ba1e5b45f
24675 .xword 0x328801232e24522c
24676 .xword 0x782508fc45f92d6c
24677 .xword 0xb0ade6ea4510f083
24678 .xword 0xfb807889bb1461f3
24679 .xword 0xd49d8165c495ac83
24680 .xword 0xd32b9df651dd2d8e
24681 .xword 0x73fde801038efd3f
24682 .xword 0xf7a93d239c6a64bf
24683 .xword 0x3cf9b56b2fa1ac62
24684.align 16
24685_t1_sslkey_dest:
24686 .xword 0xDEADBEEFDEADBEEF
24687 .xword 0xDEADBEEFDEADBEEF
24688 .xword 0xDEADBEEFDEADBEEF
24689 .xword 0xDEADBEEFDEADBEEF
24690 .xword 0xDEADBEEFDEADBEEF
24691 .xword 0xDEADBEEFDEADBEEF
24692 .xword 0xDEADBEEFDEADBEEF
24693 .xword 0xDEADBEEFDEADBEEF
24694 .xword 0xDEADBEEFDEADBEEF
24695 .xword 0xDEADBEEFDEADBEEF
24696 .xword 0xDEADBEEFDEADBEEF
24697 .xword 0xDEADBEEFDEADBEEF
24698 .xword 0xDEADBEEFDEADBEEF
24699 .xword 0xDEADBEEFDEADBEEF
24700 .xword 0xDEADBEEFDEADBEEF
24701 .xword 0xDEADBEEFDEADBEEF
24702 .xword 0xDEADBEEFDEADBEEF
24703 .xword 0xDEADBEEFDEADBEEF
24704 .xword 0xDEADBEEFDEADBEEF
24705 .xword 0xDEADBEEFDEADBEEF
24706 .xword 0xDEADBEEFDEADBEEF
24707 .xword 0xDEADBEEFDEADBEEF
24708 .xword 0xDEADBEEFDEADBEEF
24709 .xword 0xDEADBEEFDEADBEEF
24710 .xword 0xDEADBEEFDEADBEEF
24711 .xword 0xDEADBEEFDEADBEEF
24712 .xword 0xDEADBEEFDEADBEEF
24713 .xword 0xDEADBEEFDEADBEEF
24714 .xword 0xDEADBEEFDEADBEEF
24715 .xword 0xDEADBEEFDEADBEEF
24716 .xword 0xDEADBEEFDEADBEEF
24717 .xword 0xDEADBEEFDEADBEEF
24718 .xword 0xDEADBEEFDEADBEEF
24719 .xword 0xDEADBEEFDEADBEEF
24720 .xword 0xDEADBEEFDEADBEEF
24721 .xword 0xDEADBEEFDEADBEEF
24722 .xword 0xDEADBEEFDEADBEEF
24723 .xword 0xDEADBEEFDEADBEEF
24724 .xword 0xDEADBEEFDEADBEEF
24725 .xword 0xDEADBEEFDEADBEEF
24726 .xword 0xDEADBEEFDEADBEEF
24727 .xword 0xDEADBEEFDEADBEEF
24728 .xword 0xDEADBEEFDEADBEEF
24729 .xword 0xDEADBEEFDEADBEEF
24730 .xword 0xDEADBEEFDEADBEEF
24731 .xword 0xDEADBEEFDEADBEEF
24732 .xword 0xDEADBEEFDEADBEEF
24733 .xword 0xDEADBEEFDEADBEEF
24734 .xword 0xDEADBEEFDEADBEEF
24735 .xword 0xDEADBEEFDEADBEEF
24736 .xword 0xDEADBEEFDEADBEEF
24737 .xword 0xDEADBEEFDEADBEEF
24738 .xword 0xDEADBEEFDEADBEEF
24739 .xword 0xDEADBEEFDEADBEEF
24740 .xword 0xDEADBEEFDEADBEEF
24741 .xword 0xDEADBEEFDEADBEEF
24742 .xword 0xDEADBEEFDEADBEEF
24743 .xword 0xDEADBEEFDEADBEEF
24744 .xword 0xDEADBEEFDEADBEEF
24745 .xword 0xDEADBEEFDEADBEEF
24746 .xword 0xDEADBEEFDEADBEEF
24747 .xword 0xDEADBEEFDEADBEEF
24748 .xword 0xDEADBEEFDEADBEEF
24749 .xword 0xDEADBEEFDEADBEEF
24750 .xword 0xDEADBEEFDEADBEEF
24751 .xword 0xDEADBEEFDEADBEEF
24752 .xword 0xDEADBEEFDEADBEEF
24753 .xword 0xDEADBEEFDEADBEEF
24754 .xword 0xDEADBEEFDEADBEEF
24755 .xword 0xDEADBEEFDEADBEEF
24756 .xword 0xDEADBEEFDEADBEEF
24757 .xword 0xDEADBEEFDEADBEEF
24758 .xword 0xDEADBEEFDEADBEEF
24759 .xword 0xDEADBEEFDEADBEEF
24760 .xword 0xDEADBEEFDEADBEEF
24761 .xword 0xDEADBEEFDEADBEEF
24762 .xword 0xDEADBEEFDEADBEEF
24763 .xword 0xDEADBEEFDEADBEEF
24764 .xword 0xDEADBEEFDEADBEEF
24765 .xword 0xDEADBEEFDEADBEEF
24766 .xword 0xDEADBEEFDEADBEEF
24767 .xword 0xDEADBEEFDEADBEEF
24768 .xword 0xDEADBEEFDEADBEEF
24769 .xword 0xDEADBEEFDEADBEEF
24770 .xword 0xDEADBEEFDEADBEEF
24771 .xword 0xDEADBEEFDEADBEEF
24772 .xword 0xDEADBEEFDEADBEEF
24773 .xword 0xDEADBEEFDEADBEEF
24774 .xword 0xDEADBEEFDEADBEEF
24775 .xword 0xDEADBEEFDEADBEEF
24776 .xword 0xDEADBEEFDEADBEEF
24777 .xword 0xDEADBEEFDEADBEEF
24778 .xword 0xDEADBEEFDEADBEEF
24779 .xword 0xDEADBEEFDEADBEEF
24780 .xword 0xDEADBEEFDEADBEEF
24781 .xword 0xDEADBEEFDEADBEEF
24782 .xword 0xDEADBEEFDEADBEEF
24783 .xword 0xDEADBEEFDEADBEEF
24784 .xword 0xDEADBEEFDEADBEEF
24785 .xword 0xDEADBEEFDEADBEEF
24786 .xword 0xDEADBEEFDEADBEEF
24787 .xword 0xDEADBEEFDEADBEEF
24788 .xword 0xDEADBEEFDEADBEEF
24789 .xword 0xDEADBEEFDEADBEEF
24790 .xword 0xDEADBEEFDEADBEEF
24791 .xword 0xDEADBEEFDEADBEEF
24792 .xword 0xDEADBEEFDEADBEEF
24793 .xword 0xDEADBEEFDEADBEEF
24794 .xword 0xDEADBEEFDEADBEEF
24795 .xword 0xDEADBEEFDEADBEEF
24796 .xword 0xDEADBEEFDEADBEEF
24797 .xword 0xDEADBEEFDEADBEEF
24798 .xword 0xDEADBEEFDEADBEEF
24799 .xword 0xDEADBEEFDEADBEEF
24800 .xword 0xDEADBEEFDEADBEEF
24801 .xword 0xDEADBEEFDEADBEEF
24802 .xword 0xDEADBEEFDEADBEEF
24803 .xword 0xDEADBEEFDEADBEEF
24804 .xword 0xDEADBEEFDEADBEEF
24805 .xword 0xDEADBEEFDEADBEEF
24806 .xword 0xDEADBEEFDEADBEEF
24807 .xword 0xDEADBEEFDEADBEEF
24808 .xword 0xDEADBEEFDEADBEEF
24809 .xword 0xDEADBEEFDEADBEEF
24810 .xword 0xDEADBEEFDEADBEEF
24811 .xword 0xDEADBEEFDEADBEEF
24812 .xword 0xDEADBEEFDEADBEEF
24813 .xword 0xDEADBEEFDEADBEEF
24814 .xword 0xDEADBEEFDEADBEEF
24815 .xword 0xDEADBEEFDEADBEEF
24816 .xword 0xDEADBEEFDEADBEEF
24817 .xword 0xDEADBEEFDEADBEEF
24818 .xword 0xDEADBEEFDEADBEEF
24819 .xword 0xDEADBEEFDEADBEEF
24820 .xword 0xDEADBEEFDEADBEEF
24821 .xword 0xDEADBEEFDEADBEEF
24822 .xword 0xDEADBEEFDEADBEEF
24823 .xword 0xDEADBEEFDEADBEEF
24824 .xword 0xDEADBEEFDEADBEEF
24825 .xword 0xDEADBEEFDEADBEEF
24826 .xword 0xDEADBEEFDEADBEEF
24827 .xword 0xDEADBEEFDEADBEEF
24828 .xword 0xDEADBEEFDEADBEEF
24829 .xword 0xDEADBEEFDEADBEEF
24830 .xword 0xDEADBEEFDEADBEEF
24831 .xword 0xDEADBEEFDEADBEEF
24832 .xword 0xDEADBEEFDEADBEEF
24833 .xword 0xDEADBEEFDEADBEEF
24834 .xword 0xDEADBEEFDEADBEEF
24835 .xword 0xDEADBEEFDEADBEEF
24836 .xword 0xDEADBEEFDEADBEEF
24837 .xword 0xDEADBEEFDEADBEEF
24838 .xword 0xDEADBEEFDEADBEEF
24839 .xword 0xDEADBEEFDEADBEEF
24840 .xword 0xDEADBEEFDEADBEEF
24841 .xword 0xDEADBEEFDEADBEEF
24842 .xword 0xDEADBEEFDEADBEEF
24843 .xword 0xDEADBEEFDEADBEEF
24844 .xword 0xDEADBEEFDEADBEEF
24845 .xword 0xDEADBEEFDEADBEEF
24846 .xword 0xDEADBEEFDEADBEEF
24847 .xword 0xDEADBEEFDEADBEEF
24848 .xword 0xDEADBEEFDEADBEEF
24849 .xword 0xDEADBEEFDEADBEEF
24850 .xword 0xDEADBEEFDEADBEEF
24851 .xword 0xDEADBEEFDEADBEEF
24852 .xword 0xDEADBEEFDEADBEEF
24853 .xword 0xDEADBEEFDEADBEEF
24854 .xword 0xDEADBEEFDEADBEEF
24855 .xword 0xDEADBEEFDEADBEEF
24856 .xword 0xDEADBEEFDEADBEEF
24857 .xword 0xDEADBEEFDEADBEEF
24858 .xword 0xDEADBEEFDEADBEEF
24859 .xword 0xDEADBEEFDEADBEEF
24860 .xword 0xDEADBEEFDEADBEEF
24861 .xword 0xDEADBEEFDEADBEEF
24862 .xword 0xDEADBEEFDEADBEEF
24863 .xword 0xDEADBEEFDEADBEEF
24864 .xword 0xDEADBEEFDEADBEEF
24865 .xword 0xDEADBEEFDEADBEEF
24866 .xword 0xDEADBEEFDEADBEEF
24867 .xword 0xDEADBEEFDEADBEEF
24868 .xword 0xDEADBEEFDEADBEEF
24869 .xword 0xDEADBEEFDEADBEEF
24870 .xword 0xDEADBEEFDEADBEEF
24871 .xword 0xDEADBEEFDEADBEEF
24872 .xword 0xDEADBEEFDEADBEEF
24873 .xword 0xDEADBEEFDEADBEEF
24874 .xword 0xDEADBEEFDEADBEEF
24875 .xword 0xDEADBEEFDEADBEEF
24876 .xword 0xDEADBEEFDEADBEEF
24877 .xword 0xDEADBEEFDEADBEEF
24878 .xword 0xDEADBEEFDEADBEEF
24879 .xword 0xDEADBEEFDEADBEEF
24880 .xword 0xDEADBEEFDEADBEEF
24881 .xword 0xDEADBEEFDEADBEEF
24882 .xword 0xDEADBEEFDEADBEEF
24883 .xword 0xDEADBEEFDEADBEEF
24884 .xword 0xDEADBEEFDEADBEEF
24885 .xword 0xDEADBEEFDEADBEEF
24886 .xword 0xDEADBEEFDEADBEEF
24887 .xword 0xDEADBEEFDEADBEEF
24888 .xword 0xDEADBEEFDEADBEEF
24889 .xword 0xDEADBEEFDEADBEEF
24890 .xword 0xDEADBEEFDEADBEEF
24891 .xword 0xDEADBEEFDEADBEEF
24892 .xword 0xDEADBEEFDEADBEEF
24893 .xword 0xDEADBEEFDEADBEEF
24894 .xword 0xDEADBEEFDEADBEEF
24895 .xword 0xDEADBEEFDEADBEEF
24896 .xword 0xDEADBEEFDEADBEEF
24897 .xword 0xDEADBEEFDEADBEEF
24898 .xword 0xDEADBEEFDEADBEEF
24899 .xword 0xDEADBEEFDEADBEEF
24900 .xword 0xDEADBEEFDEADBEEF
24901 .xword 0xDEADBEEFDEADBEEF
24902 .xword 0xDEADBEEFDEADBEEF
24903 .xword 0xDEADBEEFDEADBEEF
24904 .xword 0xDEADBEEFDEADBEEF
24905 .xword 0xDEADBEEFDEADBEEF
24906 .xword 0xDEADBEEFDEADBEEF
24907 .xword 0xDEADBEEFDEADBEEF
24908 .xword 0xDEADBEEFDEADBEEF
24909 .xword 0xDEADBEEFDEADBEEF
24910 .xword 0xDEADBEEFDEADBEEF
24911 .xword 0xDEADBEEFDEADBEEF
24912 .xword 0xDEADBEEFDEADBEEF
24913 .xword 0xDEADBEEFDEADBEEF
24914 .xword 0xDEADBEEFDEADBEEF
24915 .xword 0xDEADBEEFDEADBEEF
24916 .xword 0xDEADBEEFDEADBEEF
24917 .xword 0xDEADBEEFDEADBEEF
24918 .xword 0xDEADBEEFDEADBEEF
24919 .xword 0xDEADBEEFDEADBEEF
24920 .xword 0xDEADBEEFDEADBEEF
24921 .xword 0xDEADBEEFDEADBEEF
24922 .xword 0xDEADBEEFDEADBEEF
24923 .xword 0xDEADBEEFDEADBEEF
24924 .xword 0xDEADBEEFDEADBEEF
24925 .xword 0xDEADBEEFDEADBEEF
24926 .xword 0xDEADBEEFDEADBEEF
24927 .xword 0xDEADBEEFDEADBEEF
24928 .xword 0xDEADBEEFDEADBEEF
24929 .xword 0xDEADBEEFDEADBEEF
24930 .xword 0xDEADBEEFDEADBEEF
24931 .xword 0xDEADBEEFDEADBEEF
24932 .xword 0xDEADBEEFDEADBEEF
24933 .xword 0xDEADBEEFDEADBEEF
24934 .xword 0xDEADBEEFDEADBEEF
24935 .xword 0xDEADBEEFDEADBEEF
24936 .xword 0xDEADBEEFDEADBEEF
24937 .xword 0xDEADBEEFDEADBEEF
24938 .xword 0xDEADBEEFDEADBEEF
24939 .xword 0xDEADBEEFDEADBEEF
24940 .xword 0xDEADBEEFDEADBEEF
24941 .xword 0xDEADBEEFDEADBEEF
24942 .xword 0xDEADBEEFDEADBEEF
24943 .xword 0xDEADBEEFDEADBEEF
24944 .xword 0xDEADBEEFDEADBEEF
24945 .xword 0xDEADBEEFDEADBEEF
24946 .xword 0xDEADBEEFDEADBEEF
24947 .xword 0xDEADBEEFDEADBEEF
24948 .xword 0xDEADBEEFDEADBEEF
24949 .xword 0xDEADBEEFDEADBEEF
24950 .xword 0xDEADBEEFDEADBEEF
24951 .xword 0xDEADBEEFDEADBEEF
24952 .xword 0xDEADBEEFDEADBEEF
24953 .xword 0xDEADBEEFDEADBEEF
24954 .xword 0xDEADBEEFDEADBEEF
24955 .xword 0xDEADBEEFDEADBEEF
24956 .xword 0xDEADBEEFDEADBEEF
24957 .xword 0xDEADBEEFDEADBEEF
24958 .xword 0xDEADBEEFDEADBEEF
24959 .xword 0xDEADBEEFDEADBEEF
24960 .xword 0xDEADBEEFDEADBEEF
24961.align 16
24962_t1_sslkey_auth_key:
24963 .xword 0xfcd4e05986b09f99
24964 .xword 0xafd40173e378f736
24965 .xword 0x957a9714c1fe1c7b
24966 .xword 0x9c3e851909095a81
24967 .xword 0xcd4fbf471002d076
24968 .xword 0x841dba39719a2104
24969 .xword 0xf47635978cf38c54
24970 .xword 0x0193965ec61638ca
24971 .xword 0x476a5051671c319c
24972 .xword 0x342ffcdf7cfcf1b8
24973 .xword 0x935b0cd69f9d9af2
24974 .xword 0xfde629e036788024
24975 .xword 0x4b50dda8080adab2
24976 .xword 0x2c2d092003b1e7c9
24977 .xword 0x9fb349c00f1dd24a
24978 .xword 0x78e8cee915c64c7d
24979 .xword 0xb1aedb33b4fa6fa1
24980 .xword 0xe5c7e2f9e01efb07
24981 .xword 0xbbc10770532a7781
24982 .xword 0x407b1b4ce4063c1c
24983 .xword 0x6408cba6454c8ecf
24984 .xword 0xaf2754fff4358473
24985 .xword 0xf82b70a5f340464e
24986.align 16
24987_t1_sslkey_auth_iv:
24988 .xword 0x36b1b4c1f8761c92
24989 .xword 0x8b92c92abe8ec2cb
24990 .xword 0x19a710df6b8ed54f
24991 .xword 0x085ea47e2a841e20
24992 .xword 0xfe4ff299172ac35b
24993 .xword 0x46baae10f5f9d9c9
24994 .xword 0x0139779b9de2591e
24995 .xword 0xb6a9042a11d610fd
24996 .xword 0xc16a38f7458086d1
24997 .xword 0x7283768fa081380a
24998 .xword 0xb090e1af16f621ca
24999 .xword 0x1a0ff6face003d62
25000 .xword 0xe7b5f9b15a896b39
25001 .xword 0x2903153d7ddd820f
25002 .xword 0xaac3feae1791e808
25003 .xword 0x75f9c54bdbda50ff
25004 .xword 0x410254ac5d34c7b5
25005 .xword 0x890cb4bfffeb5240
25006 .xword 0x731c8e5920103d46
25007 .xword 0xbcc9381177a299fa
25008 .xword 0xd974de957757c253
25009 .xword 0x505a2c2b9a84a634
25010 .xword 0x0311e58b3def80a0
25011.align 16
25012_t1_sslkey_fas_result:
25013 .xword 0xDEADBEEFDEADBEEF
25014 .xword 0xDEADBEEFDEADBEEF
25015 .xword 0xDEADBEEFDEADBEEF
25016 .xword 0xDEADBEEFDEADBEEF
25017 .xword 0xDEADBEEFDEADBEEF
25018 .xword 0xDEADBEEFDEADBEEF
25019 .xword 0xDEADBEEFDEADBEEF
25020 .xword 0xDEADBEEFDEADBEEF
25021 .xword 0xDEADBEEFDEADBEEF
25022 .xword 0xDEADBEEFDEADBEEF
25023 .xword 0xDEADBEEFDEADBEEF
25024 .xword 0xDEADBEEFDEADBEEF
25025 .xword 0xDEADBEEFDEADBEEF
25026 .xword 0xDEADBEEFDEADBEEF
25027 .xword 0xDEADBEEFDEADBEEF
25028 .xword 0xDEADBEEFDEADBEEF
25029 .xword 0xDEADBEEFDEADBEEF
25030 .xword 0xDEADBEEFDEADBEEF
25031 .xword 0xDEADBEEFDEADBEEF
25032 .xword 0xDEADBEEFDEADBEEF
25033 .xword 0xDEADBEEFDEADBEEF
25034 .xword 0xDEADBEEFDEADBEEF
25035 .xword 0xDEADBEEFDEADBEEF
25036_t1_aes_toc:
25037 .xword _t1_aes_cwd_array
25038 .xword _t1_aes_src
25039 .xword _t1_aes_auth_key
25040 .xword _t1_aes_auth_iv
25041 .xword _t1_aes_fas_result
25042 .xword _t1_aes_key_array
25043 .xword _t1_aes_iv_array
25044 .xword _t1_aes_dest
25045 .xword _t1_aes_alignment_array
25046_t1_des_toc:
25047 .xword _t1_des_cwd_array
25048 .xword _t1_des_src
25049 .xword _t1_des_auth_key
25050 .xword _t1_des_auth_iv
25051 .xword _t1_des_fas_result
25052 .xword _t1_des_key_array
25053 .xword _t1_des_iv_array
25054 .xword _t1_des_dest
25055 .xword _t1_des_alignment_array
25056_t1_copy_toc:
25057 .xword _t1_copy_cwd_array
25058 .xword _t1_copy_src
25059 .xword _t1_copy_auth_key
25060 .xword _t1_copy_auth_iv
25061 .xword _t1_copy_fas_result
25062 .xword _t1_copy_key_array
25063 .xword _t1_copy_iv_array
25064 .xword _t1_copy_dest
25065 .xword _t1_copy_alignment_array
25066_t1_crc_toc:
25067 .xword _t1_crc_cwd_array
25068 .xword _t1_crc_src
25069 .xword _t1_crc_auth_key
25070 .xword _t1_crc_auth_iv
25071 .xword _t1_crc_fas_result
25072 .xword _t1_crc_key_array
25073 .xword _t1_crc_iv_array
25074 .xword _t1_crc_dest
25075 .xword _t1_crc_alignment_array
25076_t1_hash_toc:
25077 .xword _t1_hash_cwd_array
25078 .xword _t1_hash_src
25079 .xword _t1_hash_auth_key
25080 .xword _t1_hash_auth_iv
25081 .xword _t1_hash_fas_result
25082 .xword _t1_hash_key_array
25083 .xword _t1_hash_iv_array
25084 .xword _t1_hash_dest
25085 .xword _t1_hash_alignment_array
25086_t1_hmac_toc:
25087 .xword _t1_hmac_cwd_array
25088 .xword _t1_hmac_src
25089 .xword _t1_hmac_auth_key
25090 .xword _t1_hmac_auth_iv
25091 .xword _t1_hmac_fas_result
25092 .xword _t1_hmac_key_array
25093 .xword _t1_hmac_iv_array
25094 .xword _t1_hmac_dest
25095 .xword _t1_hmac_alignment_array
25096_t1_rc4_toc:
25097 .xword _t1_rc4_cwd_array
25098 .xword _t1_rc4_src
25099 .xword _t1_rc4_auth_key
25100 .xword _t1_rc4_auth_iv
25101 .xword _t1_rc4_fas_result
25102 .xword _t1_rc4_key_array
25103 .xword _t1_rc4_iv_array
25104 .xword _t1_rc4_dest
25105 .xword _t1_rc4_alignment_array
25106_t1_sslkey_toc:
25107 .xword _t1_sslkey_cwd_array
25108 .xword _t1_sslkey_src
25109 .xword _t1_sslkey_auth_key
25110 .xword _t1_sslkey_auth_iv
25111 .xword _t1_sslkey_fas_result
25112 .xword _t1_sslkey_key_array
25113 .xword _t1_sslkey_iv_array
25114 .xword _t1_sslkey_dest
25115 .xword _t1_sslkey_alignment_array
25116.global _t1_table_of_context
25117_t1_table_of_context:
25118 .xword _t1_aes_toc
25119 .xword _t1_des_toc
25120 .xword _t1_copy_toc
25121 .xword _t1_crc_toc
25122 .xword _t1_hash_toc
25123 .xword _t1_hmac_toc
25124 .xword _t1_rc4_toc
25125 .xword _t1_sslkey_toc
25126
25127!# CWQ data area, set aside 512 CW's worth
25128!# 512*8*8 = 32KB
25129.align 32*1024
25130.global _t1_cwq_base
25131_t1_cwq_base:
25132 .xword 0xAAAAAAAAAAAAAAA
25133 .xword 0xAAAAAAAAAAAAAAA
25134 .xword 0xAAAAAAAAAAAAAAA
25135 .xword 0xAAAAAAAAAAAAAAA
25136 .xword 0xAAAAAAAAAAAAAAA
25137 .xword 0xAAAAAAAAAAAAAAA
25138 .xword 0xAAAAAAAAAAAAAAA
25139 .xword 0xAAAAAAAAAAAAAAA
25140.align 32*1024
25141.global _t1_cwq_last
25142_t1_cwq_last:
25143SECTION ._t1_T_CWQ_DATA1 DATA_VA=286261248
25144attr_data {
25145 Name = ._t1_T_CWQ_DATA1
25146 hypervisor
25147}
25148 .data
25149_t1_user_data_start1:
25150_t1_scratch_area1:
25151
25152.align 16
25153_t1_spu_op_array1:
25154 .xword 1
25155 .xword 0
25156 .xword 2
25157 .xword 3
25158 .xword 3
25159 .xword 2
25160 .xword 7
25161 .xword 5
25162 .xword 3
25163 .xword 6
25164 .xword 1
25165 .xword 7
25166 .xword 7
25167 .xword 1
25168 .xword 1
25169_t1_aes_cwd_array1:
25170 .xword 0x406000c01300002f
25171 .xword 0x406100001400000f
25172 .xword 0x40e100401800000f
25173 .xword 0xc06000a01900003f
25174 .xword 0xc0e000001400003f
25175 .xword 0xc06100401b00001f
25176 .xword 0xc06100801500001f
25177 .xword 0x406100e01500001f
25178 .xword 0xc0e000c01100001f
25179 .xword 0x40e100201800002f
25180 .xword 0xc06100201500003f
25181 .xword 0xc06100601400002f
25182 .xword 0xc0e000601800003f
25183 .xword 0x40e100201800000f
25184 .xword 0xc06000001000002f
25185_t1_des_cwd_array1:
25186 .xword 0x406000200d00001f
25187 .xword 0xc0e100a00d00001f
25188 .xword 0x40e000c009000007
25189 .xword 0xc0e100000900000f
25190 .xword 0x40e100e008000017
25191 .xword 0xc0e100200800000f
25192 .xword 0xc0e000c00e000007
25193 .xword 0x40e000600e00001f
25194 .xword 0x40e000c00d000017
25195 .xword 0xc0e100c00d000007
25196 .xword 0xc06100e00900001f
25197 .xword 0x406000800c000007
25198 .xword 0xc0e1000008000007
25199 .xword 0x406100a008000007
25200 .xword 0x406000000800001f
25201_t1_copy_cwd_array1:
25202 .xword 0x206000c000000008
25203 .xword 0xa06100c000000004
25204 .xword 0xa060000000000005
25205 .xword 0x206100400000000c
25206 .xword 0x2060002000000002
25207 .xword 0x206000000000000c
25208 .xword 0x206100400000000b
25209 .xword 0x206000e000000003
25210 .xword 0x2060002000000003
25211 .xword 0x206100800000000e
25212 .xword 0xa060006000000000
25213 .xword 0xa061006000000002
25214 .xword 0x206100c000000003
25215 .xword 0xa06000400000000f
25216 .xword 0xa061000000000003
25217_t1_crc_cwd_array1:
25218 .xword 0xc16103c400000007
25219 .xword 0xc16101880000000e
25220 .xword 0xc16203c40000000b
25221 .xword 0xc160014800000008
25222 .xword 0x416303e40000000b
25223 .xword 0xc16001880000000e
25224 .xword 0xc161038400000007
25225 .xword 0x4160012800000002
25226 .xword 0x4162034400000003
25227 .xword 0xc16201880000000d
25228 .xword 0x4161038400000004
25229 .xword 0xc16301c800000003
25230 .xword 0xc16003c400000002
25231 .xword 0xc163010800000007
25232_t1_hash_cwd_array1:
25233 .xword 0x416302610000002e
25234 .xword 0x41600d610000002e
25235 .xword 0xc161046100000016
25236 .xword 0xc1610c2200000025
25237 .xword 0x41610e8100000032
25238 .xword 0xc16002a100000025
25239 .xword 0x4163082200000015
25240 .xword 0x4160014100000014
25241 .xword 0x416208e10000002e
25242 .xword 0x4160066100000026
25243 .xword 0x41610cc100000036
25244 .xword 0x41600f2300000017
25245 .xword 0xc1620da100000027
25246 .xword 0xc1630ea100000037
25247 .xword 0x4162078100000003
25248_t1_hmac_cwd_array1:
25249 .xword 0xc1620d49000f0022
25250 .xword 0x41600149000f003d
25251 .xword 0x416103a9000f0016
25252 .xword 0xc1610809000f0002
25253 .xword 0x41630249000f003b
25254 .xword 0xc1630ee5000f0024
25255 .xword 0xc1600c49000f0035
25256 .xword 0xc1610c65000f0022
25257 .xword 0xc16201460013002e
25258 .xword 0xc1620c29000f0024
25259 .xword 0x416009e9000f0015
25260 .xword 0xc1620b8a0013003b
25261 .xword 0xc162102a00130035
25262 .xword 0xc1620185000f0011
25263 .xword 0x41620c89000f0031
25264_t1_rc4_cwd_array1:
25265 .xword 0xc0e100e004000007
25266 .xword 0x40e100000000000c
25267 .xword 0xc0e0002004000003
25268 .xword 0xc0e000e00000000c
25269 .xword 0xc0e1002004000004
25270 .xword 0xc0e0006004000006
25271 .xword 0xc0e100400000000f
25272 .xword 0xc0e100600400000a
25273 .xword 0x40e000c000000003
25274 .xword 0xc0e000200400000c
25275 .xword 0xc0e100600000000b
25276 .xword 0xc0e0006004000005
25277 .xword 0x40e0008000000007
25278 .xword 0xc0e000c004000000
25279 .xword 0x40e000000400000f
25280_t1_sslkey_cwd_array1:
25281 .xword 0x1060152000000000, 0
25282 .xword 0x1060032000000000, 0
25283 .xword 0x10602aa000000000, 0
25284 .xword 0x906023e000000000, 0
25285 .xword 0x9060396000000000, 0
25286 .xword 0x1060220000000000, 0
25287 .xword 0x1060206000000000, 0
25288 .xword 0x906030a000000000, 0
25289 .xword 0x906033c000000000, 0
25290 .xword 0x106029c000000000, 0
25291 .xword 0x10602b2000000000, 0
25292 .xword 0x106026a000000000, 0
25293 .xword 0x9060072000000000, 0
25294 .xword 0x106032c000000000, 0
25295 .xword 0x906016c000000000, 0
25296_t1_aes_key_array:
25297 .xword 0xa0cc32aa06d566d9
25298 .xword 0x079dfda642ac11fd
25299 .xword 0x2115e540111bbe82
25300 .xword 0x0d5237ba32dda9b3
25301 .xword 0x25b38fe8687eb2fe
25302 .xword 0x8f0c204d573f60d4
25303 .xword 0xc5289cf705ee8b76
25304 .xword 0x16c5731d36e9ae94
25305 .xword 0x2a9a09c362035542
25306 .xword 0x094d42f1d96a5e60
25307 .xword 0x8651a43753ef631f
25308 .xword 0xf4a5d9c4334806e7
25309 .xword 0x9230df4db330326c
25310 .xword 0x14f202bd1d80777f
25311 .xword 0xf580810cbef29446
25312 .xword 0x02fc4e8907b8f0ec
25313 .xword 0x61e228aed33eb50f
25314 .xword 0xa188a852ed7247be
25315 .xword 0x9f20c533db675a5c
25316 .xword 0xea9b82ebc90152dc
25317 .xword 0x4430155356eff088
25318 .xword 0xd5bcb3890d7dcfb8
25319 .xword 0x2b8dd5a9cce9c400
25320 .xword 0x7e626b46a1a48484
25321 .xword 0xaf3446d5dc6c1c81
25322 .xword 0x397c451d2f12cea2
25323 .xword 0xd0fcbb7f3f064103
25324 .xword 0x3069669eff9ab3b9
25325 .xword 0xbed91938c7999d73
25326 .xword 0xb149ec1109a14bd4
25327 .xword 0xf662c0a47c0657c5
25328 .xword 0xa64a698c6b1b1db9
25329 .xword 0x45366a3d15745efc
25330 .xword 0xc8025ed4ff2ae289
25331 .xword 0x171596ad4c90a67c
25332 .xword 0x20066aa74a1c11ec
25333 .xword 0xe9ac35e11a03b42c
25334 .xword 0x8d07b0d514aa459e
25335 .xword 0xd36159b6ddd5c96c
25336 .xword 0x846cfddd1f19c0fd
25337 .xword 0x14b64eb4081b3da0
25338 .xword 0xf7cac5b666278fe5
25339 .xword 0x02c5f8aa5e299ad6
25340 .xword 0x90e5890b0cdb9581
25341 .xword 0xe09ee017a3a01927
25342 .xword 0x1841e9400ccd7a42
25343 .xword 0x83c30656ff27a8ca
25344 .xword 0x58ad56820004cbe4
25345 .xword 0x65ef22e369fb633d
25346 .xword 0x2920c3ff939a09e7
25347 .xword 0x95a40d4f9a3a92c6
25348_t1_aes_iv_array:
25349 .xword 0xb4c29b19f425d63d
25350 .xword 0xf4f6eda9c7ab56d9
25351 .xword 0xd1a3cab2ee1d061f
25352 .xword 0x020f413fe0fcac77
25353 .xword 0xf77e638472e670c8
25354 .xword 0x17b07f26a5c1b0e2
25355 .xword 0x57af3e98540718ab
25356 .xword 0xd450e2219476e13b
25357 .xword 0x42bc4d408ae1aa6d
25358 .xword 0xc057f1fc38bcc7e4
25359 .xword 0xf51810029f49e8eb
25360 .xword 0xabfb24929af50160
25361 .xword 0xf62ae0eebd145204
25362 .xword 0xefbfa1b13b16129b
25363 .xword 0xcdf6c59143ae0467
25364 .xword 0xcdf469206f9e9a75
25365 .xword 0x0b56d63c624a8054
25366 .xword 0x2816f7b4d3978f38
25367 .xword 0x4c9ad75902a26224
25368 .xword 0xabd034a5aedd7dbc
25369 .xword 0xec6b365b53cb6d02
25370 .xword 0x16a318599dac7806
25371 .xword 0xb4a7f8e29fedbd19
25372 .xword 0x43e1de9b6a52fb44
25373 .xword 0x8b9430621a5b68ae
25374 .xword 0xe1877af301ac56d0
25375 .xword 0xd4569ec131907c19
25376 .xword 0xcb106ec8560360ec
25377 .xword 0xd1bee4b4ac9bd6f5
25378 .xword 0x58581b53a8e05d7c
25379 .xword 0xc268923518136fe1
25380 .xword 0x88c786b4c670b81b
25381 .xword 0x7d2026cf212910bb
25382 .xword 0x8b6b8979ca80c929
25383 .xword 0x145dbf083728bfc1
25384 .xword 0x2589f17f55b10fea
25385 .xword 0x2d463011ea219e7d
25386 .xword 0x3ac570f0e32ede53
25387 .xword 0x2512550956a41068
25388 .xword 0x8bc81beb844a2a42
25389 .xword 0x5e560b60ed721e49
25390 .xword 0x9c365e3898c07a41
25391 .xword 0xe09413dfedfbbeed
25392 .xword 0xa8e0b8a048cb7b9d
25393 .xword 0xdeecff1e270a39dd
25394_t1_aes_alignment_array:
25395 .xword 13
25396 .xword 8
25397 .xword 7
25398 .xword 4
25399 .xword 6
25400 .xword 8
25401 .xword 0
25402 .xword 9
25403 .xword 0
25404 .xword 14
25405 .xword 0
25406 .xword 13
25407 .xword 13
25408 .xword 10
25409 .xword 11
25410 .xword 11
25411 .xword 13
25412 .xword 6
25413 .xword 10
25414 .xword 14
25415 .xword 2
25416 .xword 12
25417 .xword 4
25418 .xword 7
25419 .xword 9
25420 .xword 10
25421 .xword 8
25422 .xword 4
25423 .xword 7
25424 .xword 2
25425 .xword 12
25426 .xword 5
25427 .xword 2
25428 .xword 11
25429 .xword 10
25430 .xword 9
25431 .xword 5
25432 .xword 0
25433 .xword 11
25434 .xword 13
25435 .xword 5
25436 .xword 15
25437 .xword 9
25438 .xword 4
25439 .xword 4
25440 .xword 10
25441 .xword 4
25442 .xword 12
25443 .xword 13
25444 .xword 3
25445 .xword 3
25446 .xword 14
25447 .xword 1
25448 .xword 7
25449 .xword 13
25450 .xword 8
25451 .xword 15
25452 .xword 6
25453 .xword 11
25454 .xword 14
25455 .xword 14
25456 .xword 3
25457 .xword 1
25458 .xword 3
25459 .xword 4
25460 .xword 13
25461 .xword 4
25462 .xword 7
25463 .xword 14
25464 .xword 13
25465 .xword 12
25466 .xword 13
25467 .xword 9
25468 .xword 5
25469 .xword 13
25470 .xword 7
25471 .xword 15
25472 .xword 5
25473 .xword 15
25474 .xword 14
25475 .xword 1
25476 .xword 11
25477 .xword 14
25478 .xword 6
25479 .xword 5
25480 .xword 11
25481 .xword 12
25482 .xword 1
25483 .xword 10
25484 .xword 7
25485 .xword 13
25486 .xword 5
25487 .xword 12
25488 .xword 4
25489 .xword 15
25490 .xword 1
25491 .xword 3
25492 .xword 15
25493 .xword 14
25494 .xword 5
25495 .xword 2
25496 .xword 15
25497 .xword 10
25498 .xword 8
25499 .xword 2
25500_t1_aes_src:
25501 .xword 0x3ede97f5e54ded2b
25502 .xword 0x763a21ac2221c87d
25503 .xword 0x88d173725a2a1e78
25504 .xword 0x734893ef4d6d7162
25505 .xword 0xf54dcad2a0e933d8
25506 .xword 0x4e1ac2f170ffef40
25507 .xword 0xd6d7f3c5590ac912
25508 .xword 0x55ca48f579e20b63
25509 .xword 0xcf1a6a26d8302738
25510 .xword 0xfce92f303456e66d
25511 .xword 0xd6599a9c9fe403a8
25512 .xword 0x15c4706db6e17686
25513 .xword 0xa436ea238e38ab97
25514 .xword 0xde524fa4f54a97ca
25515 .xword 0x8712216186455d60
25516 .xword 0xa34f39ac42080314
25517 .xword 0x0ea6279b7498fc82
25518 .xword 0x1c8113ed11d61daf
25519 .xword 0x50f19c639dd564aa
25520 .xword 0xa1cb0c08daa987e4
25521 .xword 0x90181a223d8b6ba3
25522 .xword 0x8822de65ddce667b
25523 .xword 0x40bc10bd8c448cc0
25524 .xword 0x9c1acbe40c00db34
25525 .xword 0x946eed23e3d987c2
25526 .xword 0x5a5458bfd749452c
25527 .xword 0x6a9083b6932d11cf
25528 .xword 0x224140c6d2bc8a1a
25529 .xword 0x8086fa4979897563
25530 .xword 0x30503282b8a522bb
25531 .xword 0x306625cd245ac4b7
25532 .xword 0xc06a2d40417749f8
25533 .xword 0xe5106453624394a5
25534 .xword 0x2b6d67fbadc900ce
25535 .xword 0xde8fbefa577ca79d
25536 .xword 0x2f4858d3a579fa43
25537 .xword 0x6eaab42e99f5942e
25538 .xword 0x48e66a5ab2c1fdb2
25539 .xword 0x0393fd442eb6e2ca
25540 .xword 0x7493a9696ba709a5
25541 .xword 0x590b9e8298fdf0e7
25542 .xword 0x2cecef3d24a09aa5
25543 .xword 0xf452cc8b44cf865e
25544 .xword 0x7853ed9586fe7964
25545 .xword 0x1407ccdbed540cda
25546 .xword 0xb100ceb4202f96b1
25547 .xword 0x5693ddbd86058a4e
25548 .xword 0x8711eb2e4fe871e5
25549 .xword 0xc1c0f06c6ff67771
25550 .xword 0xe3d9bf2faa1a8a85
25551 .xword 0x859dd47518404187
25552 .xword 0x168f1ae6f96469db
25553 .xword 0xbc5a090270b8718a
25554 .xword 0xacc7ecc8d78d9e54
25555 .xword 0x16f3d5f40f40a44f
25556 .xword 0x8c029f444320ba78
25557 .xword 0x865c02aadefd4bdf
25558 .xword 0xa490779ad80f943d
25559 .xword 0x747c8592e3f5f598
25560 .xword 0x3f440b56a7b90bd1
25561 .xword 0x2892b8c8cac54166
25562 .xword 0xa31d6dde63abf45b
25563 .xword 0x818cdb363d17fb8d
25564 .xword 0xa9cce6c1709b62fb
25565 .xword 0x45f058fb52148447
25566 .xword 0x0842490016b38c67
25567 .xword 0xc91a865de323073d
25568 .xword 0x1d0e59eb7dcedd21
25569 .xword 0x7bc4ccb3ca81c763
25570 .xword 0x702944ee930527aa
25571 .xword 0x8594240d92a84dc7
25572 .xword 0x15484e5e4114b71b
25573 .xword 0xa62b863e326afe5e
25574 .xword 0x0bb442931ed3cba6
25575 .xword 0xd0613ac96a638bac
25576 .xword 0x73c15743f2ded3d6
25577 .xword 0xdec758a4cbfc9ec3
25578 .xword 0x223b83e3555d2caa
25579 .xword 0x563148552ab11919
25580 .xword 0x547b9b45eaba19d8
25581 .xword 0xf831632577c6dce9
25582 .xword 0x804a9f5dbc802b5e
25583 .xword 0x72c6c8e5a720345f
25584 .xword 0xd239909ab99d1d7e
25585 .xword 0x00ba5c4457bbf824
25586 .xword 0xa8bd17365baa4176
25587 .xword 0x398712addfa74725
25588 .xword 0xecb7a2e1352c8ec2
25589 .xword 0x3e1c7a8ddd49e5c6
25590 .xword 0xfde8b2b2b56c2268
25591 .xword 0xef5f8fa02a06c31d
25592 .xword 0xc538d6ec4a5dd6e6
25593 .xword 0xcb34eb6830882f38
25594 .xword 0xbd4ed320d9fda58b
25595 .xword 0x44198161b867523f
25596 .xword 0xefa13da45c9a921e
25597 .xword 0x2cb12fea8910aae0
25598 .xword 0x5d5dd806dded385e
25599 .xword 0xd2a164ca9a2fd582
25600 .xword 0x799fa4075408ede5
25601 .xword 0x1e9f22ecedc0e372
25602 .xword 0x2e96df5f81fc8e8e
25603 .xword 0xbe5d21a0a63a2e72
25604 .xword 0x41d5b921880d9eda
25605 .xword 0x0abb1a401150e91f
25606 .xword 0xbcf125edab22780a
25607 .xword 0x84ad2554e04edc94
25608 .xword 0x33df4030e2a08efb
25609 .xword 0x868c86a0815398af
25610 .xword 0xd7497bfe9c47dacd
25611 .xword 0x910b8861231f35db
25612 .xword 0x6615c4343a7018e5
25613 .xword 0xae3c35e0f3519ebc
25614 .xword 0xd8c6c22c1dc19e83
25615 .xword 0xdcd106405681e9f8
25616 .xword 0x72f401f4ff906d09
25617 .xword 0x1a8a8a6e448870c4
25618 .xword 0xdf56520b6451cf18
25619 .xword 0x3b7d4b843b2ca219
25620 .xword 0xfb5220e8a84dfe80
25621 .xword 0xd16b1007a8b8dec9
25622 .xword 0x069ed63a7afd5e82
25623 .xword 0x1ef2b9c2e84ec249
25624 .xword 0x69140652a662769f
25625 .xword 0x7b789ba96224f6eb
25626 .xword 0x85b29847d4da7122
25627 .xword 0xbe1f1ed047d089bf
25628 .xword 0x31d911d0ad830663
25629 .xword 0x0c0a03752cd92a0b
25630 .xword 0xb4038ca7056ae29f
25631 .xword 0x07785c8dafea03e5
25632 .xword 0x55e930a740c83eb1
25633 .xword 0x999f853680176f1d
25634 .xword 0x5126cb7752464b45
25635 .xword 0xbe040cb1277363ab
25636 .xword 0x94e4b9dce8731978
25637 .xword 0xc4b293d197cb3532
25638 .xword 0xee383653ad78bea8
25639 .xword 0xee0a91df7617f232
25640 .xword 0x615b756c5b289f4d
25641 .xword 0xba9cf085690904e5
25642 .xword 0xf8607935d62cb129
25643 .xword 0x6cd2dace5a70b568
25644 .xword 0x854212023210a57b
25645 .xword 0x8ce07e51ff6c83f7
25646 .xword 0xf1de62fb0f06e543
25647 .xword 0xe0cf9451b2ab8ba3
25648 .xword 0x563a39156be94424
25649 .xword 0xd0b6d358aaeb3a14
25650 .xword 0x2f06912513c97461
25651 .xword 0x1158b5dad73475e7
25652 .xword 0xb57bc6d79304c707
25653 .xword 0x0c4714313288839a
25654 .xword 0x95f73b1dbc876a6c
25655 .xword 0x5be3d57a890f700f
25656 .xword 0xf422fdc8a728d861
25657 .xword 0xbcc291489be88f80
25658 .xword 0x347eb68a60a1a73e
25659 .xword 0x720539ed9bdec5e3
25660 .xword 0xbbe618e33cae678b
25661 .xword 0xe49e919c4b5ebb0d
25662 .xword 0x6e6fa4cae1c47e8b
25663 .xword 0xf7d4e1b564f6bc59
25664 .xword 0x888f0d6969bcc7d2
25665 .xword 0x7c5402bbf669ab3b
25666 .xword 0xf2e0b18e300b4e78
25667 .xword 0x7c7c842376a8e957
25668 .xword 0xf5f6a8a43d94aed8
25669 .xword 0xbcac7bfbd482e769
25670 .xword 0xe40c6dc60fdd78fb
25671 .xword 0x10c92b54f563a5bc
25672 .xword 0x000c782ce724ef8c
25673 .xword 0xebd75ad7b24034eb
25674 .xword 0x9de80b2a74fb8ed0
25675 .xword 0xd44ffd362758e199
25676 .xword 0xc16daefa27d83f30
25677 .xword 0xb35dd32a9cb9140f
25678 .xword 0x2afa87ce6fd881c4
25679 .xword 0xb7bfd74f6d0233ab
25680 .xword 0x5f4676f72a2fba5c
25681 .xword 0x4e757b74f5a6db71
25682 .xword 0x6eb5df559185651b
25683 .xword 0x513a4739ec1513e0
25684 .xword 0xcf77f63b41e022ba
25685 .xword 0x90bdcd912384c17f
25686 .xword 0x3cac88b71875afda
25687 .xword 0x0693e480267a7264
25688 .xword 0xa3c60ae5fabfdcf5
25689 .xword 0xe6001cf0b16ecb8a
25690 .xword 0x84f88dac5451488d
25691 .xword 0xa1a30214eda61469
25692 .xword 0x24b8391d4a427403
25693 .xword 0x07dfeec82ed232af
25694 .xword 0xa957deeb819aae17
25695 .xword 0x562ae019ce8191bf
25696 .xword 0x3cf6228859febd3b
25697 .xword 0x6379e93b5b9d39c1
25698 .xword 0x7175a34e43765a41
25699 .xword 0xc4e404d00780f361
25700 .xword 0xefa615de154928cb
25701 .xword 0x1fa3003632f5a77b
25702 .xword 0x4da49a50775d1fba
25703 .xword 0x8149e1a5c60168b3
25704 .xword 0x1ba31252710e393b
25705 .xword 0x8f81f0deba8a4a2a
25706 .xword 0xe1d19ef70ab7a4e5
25707 .xword 0x3e4700d5746fb2c5
25708 .xword 0xeea652b5d36b9dc9
25709 .xword 0xf01630102dada12b
25710 .xword 0x4b4bb03c30e77df1
25711 .xword 0x559047eaae22c15d
25712 .xword 0xc0c98e0aeacd5753
25713 .xword 0x1252346ca7507ee7
25714 .xword 0xf1ea2df3ad948bc7
25715 .xword 0x9bbb27b8ccad75c1
25716 .xword 0x1205e34b6a76bd68
25717 .xword 0xa71c72fabc4cdff0
25718 .xword 0x69b9b7704bf5d3db
25719 .xword 0x029685390cf5a9cf
25720 .xword 0x4f375a2db0901b19
25721 .xword 0x7c4ec373b8134e55
25722 .xword 0x43aa526d8aa4e454
25723 .xword 0xe7b73cdccc2b9f37
25724 .xword 0xc207d75db9f12577
25725 .xword 0x8239fcbb3ef8f6c8
25726 .xword 0x4c43cea75bc00794
25727 .xword 0xd93457011d5df0bf
25728 .xword 0xdb315c2d75874ec2
25729 .xword 0x2ef526a5d6934097
25730 .xword 0x8c67db990d5a88ca
25731 .xword 0x2d235aacae4da8da
25732 .xword 0xcc8076d8eee1b8c8
25733 .xword 0x53232f28bb2350a5
25734 .xword 0x5a602c7189d9703f
25735 .xword 0x7b4126469ef40885
25736 .xword 0x7360f32b879dbe59
25737 .xword 0x4c906f3e3c1d8b30
25738 .xword 0x2fe0efcbbfcc6966
25739 .xword 0x47419a24dd1aefe7
25740 .xword 0x3db4374277507bdb
25741 .xword 0x2c68a3a8e4631963
25742 .xword 0x324f637e41158d4e
25743 .xword 0x76e175addf0402ad
25744 .xword 0xc8051f727573f584
25745 .xword 0xcd0c0ce329970b61
25746 .xword 0x4e5215d0f809e26e
25747 .xword 0x10db91a1f58cd5f4
25748 .xword 0x787610fe9f8b051d
25749 .xword 0x243ace191bc539d1
25750 .xword 0x91a2bf00ce67757b
25751 .xword 0xbf867558d3ae069f
25752 .xword 0x7b5910bdf38ce786
25753 .xword 0x7eeda3d419e59f8a
25754 .xword 0x2e11726b14cdaa76
25755 .xword 0xe1dc41f240a758c1
25756 .xword 0x009ea43fc6e5aafe
25757 .xword 0x65613d4fb69777db
25758 .xword 0x70e7f8cb154f49aa
25759 .xword 0x68df819077ccbe07
25760 .xword 0xca3a4b0d6753908c
25761 .xword 0x32fb9af2cc1ce969
25762 .xword 0xb763c94d233fa726
25763 .xword 0x7008a4be1d5c7a1f
25764 .xword 0x02399b8c9088191c
25765 .xword 0x9c0266e1025d3e4c
25766 .xword 0x76bb9ca8daac36c2
25767 .xword 0x88e0478320571a14
25768 .xword 0xec5c3412772ae285
25769 .xword 0xc052306d98841965
25770 .xword 0xc14691719be7d3a4
25771 .xword 0x681da735e18986c6
25772 .xword 0x5d9bc345d89d274f
25773 .xword 0x1750875a7a096d39
25774 .xword 0xce7a386eeeb69fb1
25775 .xword 0xfb21dbba59d5be6e
25776_t1_aes_dest:
25777 .xword 0xDEADBEEFDEADBEEF
25778 .xword 0xDEADBEEFDEADBEEF
25779 .xword 0xDEADBEEFDEADBEEF
25780 .xword 0xDEADBEEFDEADBEEF
25781 .xword 0xDEADBEEFDEADBEEF
25782 .xword 0xDEADBEEFDEADBEEF
25783 .xword 0xDEADBEEFDEADBEEF
25784 .xword 0xDEADBEEFDEADBEEF
25785 .xword 0xDEADBEEFDEADBEEF
25786 .xword 0xDEADBEEFDEADBEEF
25787 .xword 0xDEADBEEFDEADBEEF
25788 .xword 0xDEADBEEFDEADBEEF
25789 .xword 0xDEADBEEFDEADBEEF
25790 .xword 0xDEADBEEFDEADBEEF
25791 .xword 0xDEADBEEFDEADBEEF
25792 .xword 0xDEADBEEFDEADBEEF
25793 .xword 0xDEADBEEFDEADBEEF
25794 .xword 0xDEADBEEFDEADBEEF
25795 .xword 0xDEADBEEFDEADBEEF
25796 .xword 0xDEADBEEFDEADBEEF
25797 .xword 0xDEADBEEFDEADBEEF
25798 .xword 0xDEADBEEFDEADBEEF
25799 .xword 0xDEADBEEFDEADBEEF
25800 .xword 0xDEADBEEFDEADBEEF
25801 .xword 0xDEADBEEFDEADBEEF
25802 .xword 0xDEADBEEFDEADBEEF
25803 .xword 0xDEADBEEFDEADBEEF
25804 .xword 0xDEADBEEFDEADBEEF
25805 .xword 0xDEADBEEFDEADBEEF
25806 .xword 0xDEADBEEFDEADBEEF
25807 .xword 0xDEADBEEFDEADBEEF
25808 .xword 0xDEADBEEFDEADBEEF
25809 .xword 0xDEADBEEFDEADBEEF
25810 .xword 0xDEADBEEFDEADBEEF
25811 .xword 0xDEADBEEFDEADBEEF
25812 .xword 0xDEADBEEFDEADBEEF
25813 .xword 0xDEADBEEFDEADBEEF
25814 .xword 0xDEADBEEFDEADBEEF
25815 .xword 0xDEADBEEFDEADBEEF
25816 .xword 0xDEADBEEFDEADBEEF
25817 .xword 0xDEADBEEFDEADBEEF
25818 .xword 0xDEADBEEFDEADBEEF
25819 .xword 0xDEADBEEFDEADBEEF
25820 .xword 0xDEADBEEFDEADBEEF
25821 .xword 0xDEADBEEFDEADBEEF
25822 .xword 0xDEADBEEFDEADBEEF
25823 .xword 0xDEADBEEFDEADBEEF
25824 .xword 0xDEADBEEFDEADBEEF
25825 .xword 0xDEADBEEFDEADBEEF
25826 .xword 0xDEADBEEFDEADBEEF
25827 .xword 0xDEADBEEFDEADBEEF
25828 .xword 0xDEADBEEFDEADBEEF
25829 .xword 0xDEADBEEFDEADBEEF
25830 .xword 0xDEADBEEFDEADBEEF
25831 .xword 0xDEADBEEFDEADBEEF
25832 .xword 0xDEADBEEFDEADBEEF
25833 .xword 0xDEADBEEFDEADBEEF
25834 .xword 0xDEADBEEFDEADBEEF
25835 .xword 0xDEADBEEFDEADBEEF
25836 .xword 0xDEADBEEFDEADBEEF
25837 .xword 0xDEADBEEFDEADBEEF
25838 .xword 0xDEADBEEFDEADBEEF
25839 .xword 0xDEADBEEFDEADBEEF
25840 .xword 0xDEADBEEFDEADBEEF
25841 .xword 0xDEADBEEFDEADBEEF
25842 .xword 0xDEADBEEFDEADBEEF
25843 .xword 0xDEADBEEFDEADBEEF
25844 .xword 0xDEADBEEFDEADBEEF
25845 .xword 0xDEADBEEFDEADBEEF
25846 .xword 0xDEADBEEFDEADBEEF
25847 .xword 0xDEADBEEFDEADBEEF
25848 .xword 0xDEADBEEFDEADBEEF
25849 .xword 0xDEADBEEFDEADBEEF
25850 .xword 0xDEADBEEFDEADBEEF
25851 .xword 0xDEADBEEFDEADBEEF
25852 .xword 0xDEADBEEFDEADBEEF
25853 .xword 0xDEADBEEFDEADBEEF
25854 .xword 0xDEADBEEFDEADBEEF
25855 .xword 0xDEADBEEFDEADBEEF
25856 .xword 0xDEADBEEFDEADBEEF
25857 .xword 0xDEADBEEFDEADBEEF
25858 .xword 0xDEADBEEFDEADBEEF
25859 .xword 0xDEADBEEFDEADBEEF
25860 .xword 0xDEADBEEFDEADBEEF
25861 .xword 0xDEADBEEFDEADBEEF
25862 .xword 0xDEADBEEFDEADBEEF
25863 .xword 0xDEADBEEFDEADBEEF
25864 .xword 0xDEADBEEFDEADBEEF
25865 .xword 0xDEADBEEFDEADBEEF
25866 .xword 0xDEADBEEFDEADBEEF
25867 .xword 0xDEADBEEFDEADBEEF
25868 .xword 0xDEADBEEFDEADBEEF
25869 .xword 0xDEADBEEFDEADBEEF
25870 .xword 0xDEADBEEFDEADBEEF
25871 .xword 0xDEADBEEFDEADBEEF
25872 .xword 0xDEADBEEFDEADBEEF
25873 .xword 0xDEADBEEFDEADBEEF
25874 .xword 0xDEADBEEFDEADBEEF
25875 .xword 0xDEADBEEFDEADBEEF
25876 .xword 0xDEADBEEFDEADBEEF
25877 .xword 0xDEADBEEFDEADBEEF
25878 .xword 0xDEADBEEFDEADBEEF
25879 .xword 0xDEADBEEFDEADBEEF
25880 .xword 0xDEADBEEFDEADBEEF
25881 .xword 0xDEADBEEFDEADBEEF
25882 .xword 0xDEADBEEFDEADBEEF
25883 .xword 0xDEADBEEFDEADBEEF
25884 .xword 0xDEADBEEFDEADBEEF
25885 .xword 0xDEADBEEFDEADBEEF
25886 .xword 0xDEADBEEFDEADBEEF
25887 .xword 0xDEADBEEFDEADBEEF
25888 .xword 0xDEADBEEFDEADBEEF
25889 .xword 0xDEADBEEFDEADBEEF
25890 .xword 0xDEADBEEFDEADBEEF
25891 .xword 0xDEADBEEFDEADBEEF
25892 .xword 0xDEADBEEFDEADBEEF
25893 .xword 0xDEADBEEFDEADBEEF
25894 .xword 0xDEADBEEFDEADBEEF
25895 .xword 0xDEADBEEFDEADBEEF
25896 .xword 0xDEADBEEFDEADBEEF
25897 .xword 0xDEADBEEFDEADBEEF
25898 .xword 0xDEADBEEFDEADBEEF
25899 .xword 0xDEADBEEFDEADBEEF
25900 .xword 0xDEADBEEFDEADBEEF
25901 .xword 0xDEADBEEFDEADBEEF
25902 .xword 0xDEADBEEFDEADBEEF
25903 .xword 0xDEADBEEFDEADBEEF
25904 .xword 0xDEADBEEFDEADBEEF
25905 .xword 0xDEADBEEFDEADBEEF
25906 .xword 0xDEADBEEFDEADBEEF
25907 .xword 0xDEADBEEFDEADBEEF
25908 .xword 0xDEADBEEFDEADBEEF
25909 .xword 0xDEADBEEFDEADBEEF
25910 .xword 0xDEADBEEFDEADBEEF
25911 .xword 0xDEADBEEFDEADBEEF
25912 .xword 0xDEADBEEFDEADBEEF
25913 .xword 0xDEADBEEFDEADBEEF
25914 .xword 0xDEADBEEFDEADBEEF
25915 .xword 0xDEADBEEFDEADBEEF
25916 .xword 0xDEADBEEFDEADBEEF
25917 .xword 0xDEADBEEFDEADBEEF
25918 .xword 0xDEADBEEFDEADBEEF
25919 .xword 0xDEADBEEFDEADBEEF
25920 .xword 0xDEADBEEFDEADBEEF
25921 .xword 0xDEADBEEFDEADBEEF
25922 .xword 0xDEADBEEFDEADBEEF
25923 .xword 0xDEADBEEFDEADBEEF
25924 .xword 0xDEADBEEFDEADBEEF
25925 .xword 0xDEADBEEFDEADBEEF
25926 .xword 0xDEADBEEFDEADBEEF
25927 .xword 0xDEADBEEFDEADBEEF
25928 .xword 0xDEADBEEFDEADBEEF
25929 .xword 0xDEADBEEFDEADBEEF
25930 .xword 0xDEADBEEFDEADBEEF
25931 .xword 0xDEADBEEFDEADBEEF
25932 .xword 0xDEADBEEFDEADBEEF
25933 .xword 0xDEADBEEFDEADBEEF
25934 .xword 0xDEADBEEFDEADBEEF
25935 .xword 0xDEADBEEFDEADBEEF
25936 .xword 0xDEADBEEFDEADBEEF
25937 .xword 0xDEADBEEFDEADBEEF
25938 .xword 0xDEADBEEFDEADBEEF
25939 .xword 0xDEADBEEFDEADBEEF
25940 .xword 0xDEADBEEFDEADBEEF
25941 .xword 0xDEADBEEFDEADBEEF
25942 .xword 0xDEADBEEFDEADBEEF
25943 .xword 0xDEADBEEFDEADBEEF
25944 .xword 0xDEADBEEFDEADBEEF
25945 .xword 0xDEADBEEFDEADBEEF
25946 .xword 0xDEADBEEFDEADBEEF
25947 .xword 0xDEADBEEFDEADBEEF
25948 .xword 0xDEADBEEFDEADBEEF
25949 .xword 0xDEADBEEFDEADBEEF
25950 .xword 0xDEADBEEFDEADBEEF
25951 .xword 0xDEADBEEFDEADBEEF
25952 .xword 0xDEADBEEFDEADBEEF
25953 .xword 0xDEADBEEFDEADBEEF
25954 .xword 0xDEADBEEFDEADBEEF
25955 .xword 0xDEADBEEFDEADBEEF
25956 .xword 0xDEADBEEFDEADBEEF
25957 .xword 0xDEADBEEFDEADBEEF
25958 .xword 0xDEADBEEFDEADBEEF
25959 .xword 0xDEADBEEFDEADBEEF
25960 .xword 0xDEADBEEFDEADBEEF
25961 .xword 0xDEADBEEFDEADBEEF
25962 .xword 0xDEADBEEFDEADBEEF
25963 .xword 0xDEADBEEFDEADBEEF
25964 .xword 0xDEADBEEFDEADBEEF
25965 .xword 0xDEADBEEFDEADBEEF
25966 .xword 0xDEADBEEFDEADBEEF
25967 .xword 0xDEADBEEFDEADBEEF
25968 .xword 0xDEADBEEFDEADBEEF
25969 .xword 0xDEADBEEFDEADBEEF
25970 .xword 0xDEADBEEFDEADBEEF
25971 .xword 0xDEADBEEFDEADBEEF
25972 .xword 0xDEADBEEFDEADBEEF
25973 .xword 0xDEADBEEFDEADBEEF
25974 .xword 0xDEADBEEFDEADBEEF
25975 .xword 0xDEADBEEFDEADBEEF
25976 .xword 0xDEADBEEFDEADBEEF
25977 .xword 0xDEADBEEFDEADBEEF
25978 .xword 0xDEADBEEFDEADBEEF
25979 .xword 0xDEADBEEFDEADBEEF
25980 .xword 0xDEADBEEFDEADBEEF
25981 .xword 0xDEADBEEFDEADBEEF
25982 .xword 0xDEADBEEFDEADBEEF
25983 .xword 0xDEADBEEFDEADBEEF
25984 .xword 0xDEADBEEFDEADBEEF
25985 .xword 0xDEADBEEFDEADBEEF
25986 .xword 0xDEADBEEFDEADBEEF
25987 .xword 0xDEADBEEFDEADBEEF
25988 .xword 0xDEADBEEFDEADBEEF
25989 .xword 0xDEADBEEFDEADBEEF
25990 .xword 0xDEADBEEFDEADBEEF
25991 .xword 0xDEADBEEFDEADBEEF
25992 .xword 0xDEADBEEFDEADBEEF
25993 .xword 0xDEADBEEFDEADBEEF
25994 .xword 0xDEADBEEFDEADBEEF
25995 .xword 0xDEADBEEFDEADBEEF
25996 .xword 0xDEADBEEFDEADBEEF
25997 .xword 0xDEADBEEFDEADBEEF
25998 .xword 0xDEADBEEFDEADBEEF
25999 .xword 0xDEADBEEFDEADBEEF
26000 .xword 0xDEADBEEFDEADBEEF
26001 .xword 0xDEADBEEFDEADBEEF
26002 .xword 0xDEADBEEFDEADBEEF
26003 .xword 0xDEADBEEFDEADBEEF
26004 .xword 0xDEADBEEFDEADBEEF
26005 .xword 0xDEADBEEFDEADBEEF
26006 .xword 0xDEADBEEFDEADBEEF
26007 .xword 0xDEADBEEFDEADBEEF
26008 .xword 0xDEADBEEFDEADBEEF
26009 .xword 0xDEADBEEFDEADBEEF
26010 .xword 0xDEADBEEFDEADBEEF
26011 .xword 0xDEADBEEFDEADBEEF
26012 .xword 0xDEADBEEFDEADBEEF
26013 .xword 0xDEADBEEFDEADBEEF
26014 .xword 0xDEADBEEFDEADBEEF
26015 .xword 0xDEADBEEFDEADBEEF
26016 .xword 0xDEADBEEFDEADBEEF
26017 .xword 0xDEADBEEFDEADBEEF
26018 .xword 0xDEADBEEFDEADBEEF
26019 .xword 0xDEADBEEFDEADBEEF
26020 .xword 0xDEADBEEFDEADBEEF
26021 .xword 0xDEADBEEFDEADBEEF
26022 .xword 0xDEADBEEFDEADBEEF
26023 .xword 0xDEADBEEFDEADBEEF
26024 .xword 0xDEADBEEFDEADBEEF
26025 .xword 0xDEADBEEFDEADBEEF
26026 .xword 0xDEADBEEFDEADBEEF
26027 .xword 0xDEADBEEFDEADBEEF
26028 .xword 0xDEADBEEFDEADBEEF
26029 .xword 0xDEADBEEFDEADBEEF
26030 .xword 0xDEADBEEFDEADBEEF
26031 .xword 0xDEADBEEFDEADBEEF
26032 .xword 0xDEADBEEFDEADBEEF
26033 .xword 0xDEADBEEFDEADBEEF
26034 .xword 0xDEADBEEFDEADBEEF
26035 .xword 0xDEADBEEFDEADBEEF
26036 .xword 0xDEADBEEFDEADBEEF
26037 .xword 0xDEADBEEFDEADBEEF
26038 .xword 0xDEADBEEFDEADBEEF
26039 .xword 0xDEADBEEFDEADBEEF
26040 .xword 0xDEADBEEFDEADBEEF
26041 .xword 0xDEADBEEFDEADBEEF
26042 .xword 0xDEADBEEFDEADBEEF
26043 .xword 0xDEADBEEFDEADBEEF
26044 .xword 0xDEADBEEFDEADBEEF
26045 .xword 0xDEADBEEFDEADBEEF
26046 .xword 0xDEADBEEFDEADBEEF
26047 .xword 0xDEADBEEFDEADBEEF
26048 .xword 0xDEADBEEFDEADBEEF
26049 .xword 0xDEADBEEFDEADBEEF
26050 .xword 0xDEADBEEFDEADBEEF
26051 .xword 0xDEADBEEFDEADBEEF
26052_t1_aes_auth_key:
26053 .xword 0x1ccd8a4a7dc60fc5
26054 .xword 0x75ed1c9c41c03737
26055 .xword 0x6e1835d77328ed21
26056 .xword 0xfb5e2a12b1054b5e
26057 .xword 0x33e92fad2f49afde
26058 .xword 0x733630273aba9c44
26059 .xword 0xc628754b72ae0099
26060 .xword 0x096cfd56c54faa5c
26061 .xword 0xf0394fa4cd53fa47
26062 .xword 0xd77cc4817c904e4d
26063 .xword 0xfb6e8ead99c47a71
26064 .xword 0x8d5f0d18a477dbd0
26065 .xword 0x3d552e8e5bec2d74
26066 .xword 0x4090b6d2c88bc4ba
26067 .xword 0xbe9190b9aa484e81
26068 .xword 0x94c5fd99469ddb7f
26069 .xword 0xa63ba6fed143e390
26070 .xword 0x355b7bd0550bc872
26071 .xword 0xbb127465aabbbb7c
26072 .xword 0x1b10f019232bc034
26073 .xword 0x980610b8fd3ada3c
26074 .xword 0x40babc35201967f7
26075 .xword 0xdcdb4fdb38f72c32
26076_t1_aes_auth_iv:
26077 .xword 0x3ea7a25aaa7572f9
26078 .xword 0x93fbf18db1d38fc5
26079 .xword 0x4ff0bf8738f10fa4
26080 .xword 0xda2b9314383a9d8e
26081 .xword 0xcdb573164b2e82dc
26082 .xword 0x3c6e5224f44b0501
26083 .xword 0x4100082162d75e06
26084 .xword 0xe9288a4dc539c991
26085 .xword 0x2565c69d953b9cf1
26086 .xword 0xb9211dc226cbe9fd
26087 .xword 0x8eb1314069f6234a
26088 .xword 0x4f290abb667f6e23
26089 .xword 0x4ccb97bd95f870b4
26090 .xword 0xea0aba5933813157
26091 .xword 0x2a485d89e9b99cd5
26092 .xword 0x1a228cb5d9394f77
26093 .xword 0xc8734c77022e86c7
26094 .xword 0xb8ebf2953da6db24
26095 .xword 0x2204e8471121cf46
26096 .xword 0x0426c2a52763cbd1
26097 .xword 0x5b932d4fac214e42
26098 .xword 0x375fd273a7cb2a26
26099 .xword 0x7d88021b8840e17e
26100_t1_aes_fas_result:
26101 .xword 0xDEADBEEFDEADBEEF
26102 .xword 0xDEADBEEFDEADBEEF
26103 .xword 0xDEADBEEFDEADBEEF
26104 .xword 0xDEADBEEFDEADBEEF
26105 .xword 0xDEADBEEFDEADBEEF
26106 .xword 0xDEADBEEFDEADBEEF
26107 .xword 0xDEADBEEFDEADBEEF
26108 .xword 0xDEADBEEFDEADBEEF
26109 .xword 0xDEADBEEFDEADBEEF
26110 .xword 0xDEADBEEFDEADBEEF
26111 .xword 0xDEADBEEFDEADBEEF
26112 .xword 0xDEADBEEFDEADBEEF
26113 .xword 0xDEADBEEFDEADBEEF
26114 .xword 0xDEADBEEFDEADBEEF
26115 .xword 0xDEADBEEFDEADBEEF
26116 .xword 0xDEADBEEFDEADBEEF
26117 .xword 0xDEADBEEFDEADBEEF
26118 .xword 0xDEADBEEFDEADBEEF
26119 .xword 0xDEADBEEFDEADBEEF
26120 .xword 0xDEADBEEFDEADBEEF
26121 .xword 0xDEADBEEFDEADBEEF
26122 .xword 0xDEADBEEFDEADBEEF
26123 .xword 0xDEADBEEFDEADBEEF
26124_t1_des_key_array:
26125 .xword 0xdda77b3ce1e03e56
26126 .xword 0x3065dbebb6811a9d
26127 .xword 0xad723995c1e7e8c7
26128 .xword 0x6777bac7084bb029
26129 .xword 0x45e168d501b6b659
26130 .xword 0xda6e6606ad9f5204
26131 .xword 0x8e61c33dee69ad2b
26132 .xword 0x4fe8e9bab0798f86
26133 .xword 0x2bbe28f4423f06b2
26134 .xword 0xb3760c98511be980
26135 .xword 0x0880026d40e929d9
26136 .xword 0x6b60fa5e73f9cf04
26137 .xword 0x0120bef273e42527
26138 .xword 0x34c9076adfbea2e4
26139 .xword 0x5c4068092fdbdbab
26140 .xword 0x256d2eeba281d919
26141 .xword 0xed8461638198acbd
26142 .xword 0x28e993f485a05fbe
26143 .xword 0x7b86345e0f6fbdb0
26144 .xword 0xcb5f3ac9a5190f2f
26145 .xword 0x31b783a7b5018fc0
26146 .xword 0x0cbe4c9c04595381
26147 .xword 0xcf05d175586fb59b
26148 .xword 0xdbd1b1d044e15b71
26149 .xword 0xa506eb67b8e68a1f
26150 .xword 0x48cac3c4e8a25506
26151 .xword 0x7cd5de17bf353395
26152 .xword 0xe77919bf6cd55b55
26153 .xword 0x6e67001daf9bf7fd
26154 .xword 0x14f881dfb86bda37
26155 .xword 0xaa1ee64570d7e05c
26156 .xword 0xa15d58060d3dadde
26157 .xword 0x43f4679e500d3d2f
26158 .xword 0xf9773fcf95263bea
26159 .xword 0x7a45f53b493198e6
26160 .xword 0xe83e0932325a20e4
26161 .xword 0x5fa1621a0fb1f8fa
26162 .xword 0x7885adbbd392f4a4
26163 .xword 0x5f070bf8323a5153
26164 .xword 0xfd65b0e5952c5aac
26165 .xword 0xc5996bc9e25dd808
26166 .xword 0xf86174f31524f796
26167 .xword 0xe7203252b37f7e78
26168 .xword 0x3719f36398726e00
26169 .xword 0x4de038296082ff50
26170 .xword 0x18502d0f11eb5055
26171 .xword 0x47b6da7d05c00ee8
26172 .xword 0xafed03ef95abf7f5
26173 .xword 0x463d9fa0c7151653
26174 .xword 0x586e001e67b9ed29
26175 .xword 0x1264173cab78386e
26176_t1_des_iv_array:
26177 .xword 0xe3ba41466e480908
26178 .xword 0xfb2ea17992e6a2f2
26179 .xword 0x10343b57e8be4a71
26180 .xword 0x571cabe8d2b7ad85
26181 .xword 0x37878054e6f26d2f
26182 .xword 0x56cf7ab56ea1331a
26183 .xword 0x829b908a94942862
26184 .xword 0xe6678ea13d15d054
26185 .xword 0x1f3ea4c8530c9f1a
26186 .xword 0x90956c8d593900b0
26187 .xword 0x7a6b378bcec58502
26188 .xword 0xb156e6f9282241a9
26189 .xword 0xb725306795e32152
26190 .xword 0x80fd161a0d55daa5
26191 .xword 0x8f0caff58a4d519d
26192 .xword 0xb55bdd4ab2197083
26193 .xword 0x8ddef2c00bc2c7cf
26194 .xword 0xedafbe6f8bdfb3d8
26195 .xword 0x1890d81363392428
26196 .xword 0x5b26eb65a157829c
26197 .xword 0x67acd3aaadbaed9a
26198 .xword 0xcb1275eeb13c3a22
26199 .xword 0x316dfd25783a39b2
26200 .xword 0x8e60c5e1e69f4e66
26201 .xword 0x1bf4377226ba552a
26202 .xword 0x7bfef25de421bd9a
26203 .xword 0x564fa067b58e7c7d
26204 .xword 0x80ad1a55a3b2d7e6
26205 .xword 0x996b39658e394c95
26206 .xword 0x4ac5dffd2bdedd2e
26207 .xword 0x7b3d462ae7030405
26208 .xword 0xf03dae1c09a86d14
26209 .xword 0xcb639a1a1506bf83
26210 .xword 0x4abcd195ca347cb2
26211 .xword 0x9ccba3f4fa552e14
26212 .xword 0xe66bd2f54805e216
26213 .xword 0xb44f8fc324cb4740
26214 .xword 0x505395bc4ccae856
26215 .xword 0x0bf857acd01cedd9
26216 .xword 0x75d88397ce644916
26217 .xword 0x8ef31508a379828b
26218 .xword 0x40635fe71262914b
26219 .xword 0xa0df21c7edb05aed
26220 .xword 0xe5bbe205dc1df99b
26221 .xword 0x4f7225dcec93afce
26222_t1_des_alignment_array:
26223 .xword 11
26224 .xword 6
26225 .xword 0
26226 .xword 11
26227 .xword 6
26228 .xword 13
26229 .xword 9
26230 .xword 3
26231 .xword 7
26232 .xword 10
26233 .xword 4
26234 .xword 15
26235 .xword 9
26236 .xword 2
26237 .xword 7
26238 .xword 14
26239 .xword 12
26240 .xword 2
26241 .xword 3
26242 .xword 5
26243 .xword 6
26244 .xword 0
26245 .xword 0
26246 .xword 12
26247 .xword 8
26248 .xword 11
26249 .xword 4
26250 .xword 11
26251 .xword 11
26252 .xword 1
26253 .xword 3
26254 .xword 11
26255 .xword 14
26256 .xword 6
26257 .xword 2
26258 .xword 2
26259 .xword 10
26260 .xword 14
26261 .xword 7
26262 .xword 10
26263 .xword 7
26264 .xword 12
26265 .xword 4
26266 .xword 15
26267 .xword 12
26268 .xword 14
26269 .xword 14
26270 .xword 5
26271 .xword 13
26272 .xword 9
26273 .xword 1
26274 .xword 12
26275 .xword 14
26276 .xword 10
26277 .xword 6
26278 .xword 8
26279 .xword 5
26280 .xword 11
26281 .xword 6
26282 .xword 2
26283 .xword 3
26284 .xword 5
26285 .xword 0
26286 .xword 9
26287 .xword 9
26288 .xword 4
26289 .xword 11
26290 .xword 0
26291 .xword 4
26292 .xword 11
26293 .xword 13
26294 .xword 15
26295 .xword 15
26296 .xword 6
26297 .xword 11
26298 .xword 15
26299 .xword 3
26300 .xword 12
26301 .xword 9
26302 .xword 12
26303 .xword 1
26304 .xword 12
26305 .xword 3
26306 .xword 8
26307 .xword 7
26308 .xword 0
26309 .xword 14
26310 .xword 11
26311 .xword 3
26312 .xword 6
26313 .xword 11
26314 .xword 12
26315 .xword 4
26316 .xword 8
26317 .xword 9
26318 .xword 6
26319 .xword 14
26320 .xword 7
26321 .xword 3
26322 .xword 4
26323 .xword 10
26324 .xword 1
26325 .xword 11
26326 .xword 13
26327 .xword 1
26328_t1_des_src:
26329 .xword 0x1bd26c901beab8bd
26330 .xword 0xb201264dd7088ad1
26331 .xword 0x989a8fa3b8991087
26332 .xword 0xe53d6ae18f33d99d
26333 .xword 0x62e444f031270ae2
26334 .xword 0xa1eaa5755e233def
26335 .xword 0x6697ab2c7e17df62
26336 .xword 0x6bcf45a04266f303
26337 .xword 0xf757a9c65fda2ede
26338 .xword 0x8f9789bec41a91e6
26339 .xword 0x21b4e7171574b63c
26340 .xword 0x5187214e121c3a7f
26341 .xword 0x71c9bcbb4a3ff5c9
26342 .xword 0xdac61da2de1036ab
26343 .xword 0x7bca0eee43d85ee1
26344 .xword 0xec5001711d60a3b6
26345 .xword 0xee585c9cdb29dd5c
26346 .xword 0xefb6777dcfb0b2f8
26347 .xword 0x978e6cfb85b70b3c
26348 .xword 0x3c03fe9783feb669
26349 .xword 0x64face17af55f32f
26350 .xword 0xfbf057536533fcf4
26351 .xword 0x3635f5d3231441e9
26352 .xword 0xecc4ca5c22251ccc
26353 .xword 0x5aefe24b7b4aaffd
26354 .xword 0x06805997f1ff055e
26355 .xword 0xc8dcf9a0a0e86357
26356 .xword 0x0feebc314272edd4
26357 .xword 0x81766f82ca561503
26358 .xword 0xb7c234c16981dbae
26359 .xword 0x375e38d26713cf23
26360 .xword 0x3153d919bc7421ef
26361 .xword 0x7472310d91570cd6
26362 .xword 0x9206ffd0ad90f42f
26363 .xword 0x484cc8cb3b693749
26364 .xword 0xf87642dde5fe7722
26365 .xword 0x5f631931e23b64da
26366 .xword 0x1164465a59ca8e28
26367 .xword 0x047b1991826b1ae9
26368 .xword 0xa3cdb36fee9d25f1
26369 .xword 0x55440406ffb3d3dd
26370 .xword 0x951ab501f9de6a3f
26371 .xword 0x9c23fa20e01ae0e0
26372 .xword 0xc6f21faf89ce2674
26373 .xword 0x3bc09c058c5d5526
26374 .xword 0xd4d062fe620bf1cc
26375 .xword 0x469e43a9fb3154eb
26376 .xword 0xd65d6fc8eeefc26d
26377 .xword 0xc9a491aed957b4fe
26378 .xword 0xd52593d9a22faa59
26379 .xword 0x2ee01b9d313a32b6
26380 .xword 0xc8b1f970c6ea88e0
26381 .xword 0xfb62b27497463f6d
26382 .xword 0x4abd23ede71dc221
26383 .xword 0xdf9ca6fd04de0991
26384 .xword 0x55b62f13b56d72cb
26385 .xword 0x26b72db3085e503d
26386 .xword 0xdfd0daeabe0ca2c4
26387 .xword 0xb8b0b5fe8e952a46
26388 .xword 0x752741b3734555f1
26389 .xword 0x17eab167982ad7b5
26390 .xword 0xc34e6ff3b8e130cb
26391 .xword 0x0cc1dd851ac93954
26392 .xword 0xd25037c2979889d5
26393 .xword 0xb6356408b2e2fe7a
26394 .xword 0x09aa67f507dea4ec
26395 .xword 0xeecbabfb888041e5
26396 .xword 0xcc612eb2b7f302d3
26397 .xword 0xc0bb771a24a152da
26398 .xword 0x1743f14049471c8f
26399 .xword 0xe4dd0cb748aa129e
26400 .xword 0xeee919a297deaf5b
26401 .xword 0x087b50f5588d8e53
26402 .xword 0x2ad787744011c476
26403 .xword 0x834b4364e061699f
26404 .xword 0xd73746bdc457ef52
26405 .xword 0x7aed87cecd7b227f
26406 .xword 0x4a267244219579b9
26407 .xword 0xb25331a2919f0fc9
26408 .xword 0x0298ee618b933699
26409 .xword 0xecf6c84b30f71ee2
26410 .xword 0x955d592aab3b51a9
26411 .xword 0xda1a32106008d621
26412 .xword 0x8fc1d23baedc3c2e
26413 .xword 0x2af286588074f5b6
26414 .xword 0x1b0fd534c33e9066
26415 .xword 0xdc320b0e8857172c
26416 .xword 0x1502ff8962ffff3c
26417 .xword 0x8a8f509820bcf708
26418 .xword 0x380ab30bc1f07ada
26419 .xword 0x3668bc8174e6fd18
26420 .xword 0x852058c8fadef966
26421 .xword 0x617d4755709fa60d
26422 .xword 0xeda53d996a756473
26423 .xword 0x8608013861bd3bec
26424 .xword 0xad248f6331add8bd
26425 .xword 0xc25e965035699a9a
26426 .xword 0xd93cf7fecceacc02
26427 .xword 0x09d2329a1b560efb
26428 .xword 0xa58a8ce743de2457
26429 .xword 0xaba7dbeb6b5a8d29
26430 .xword 0xccf284c7d53d441d
26431 .xword 0xd4f5d50ead30b458
26432 .xword 0xaa7998b3f8b1aecb
26433 .xword 0x0dbb49812c4358c1
26434 .xword 0xacaa2029740a2aba
26435 .xword 0xb48f28921fb4b8b2
26436 .xword 0x3f221207a0a7d32e
26437 .xword 0xf150129641c2c8d6
26438 .xword 0x960af216213f634c
26439 .xword 0xdb8fdda82a6f8698
26440 .xword 0x933c87474c1d84aa
26441 .xword 0x68d04cd937bb64a8
26442 .xword 0xd9fac366627afc0d
26443 .xword 0xb71a6795d0a281c8
26444 .xword 0x67a8a95bf5c4145d
26445 .xword 0x69c1453bde550f20
26446 .xword 0x2e3a7f00d67fb7c2
26447 .xword 0x2ad5befc1d9840c2
26448 .xword 0xfd1f4e9ae9640e9e
26449 .xword 0x86fb768165c93c09
26450 .xword 0x66f6df4b5445bcd8
26451 .xword 0x700df5f367c38a39
26452 .xword 0xf4a6727acc28f578
26453 .xword 0x90574a317e215d90
26454 .xword 0xc44f90c3f722c245
26455 .xword 0x82bff5f4fe11704c
26456 .xword 0x536a88d8afbe5d99
26457 .xword 0x63162fb4189bb079
26458 .xword 0x729694a6b3eeb980
26459 .xword 0x625c3d9e5467930d
26460 .xword 0x47031f8d051e5497
26461 .xword 0x5544bcbb0b0d7d76
26462 .xword 0x502a2194474999bf
26463 .xword 0xac8c55a3d75d8379
26464 .xword 0x99e1e4901f4239cb
26465 .xword 0xf63d08d5ba16e7c0
26466 .xword 0x379f70a230ede7cd
26467 .xword 0x88781f28a2de1569
26468 .xword 0x9ea4334380f6c7c1
26469 .xword 0x1144894fc62e3b8a
26470 .xword 0x81e5c0d37a9e7af5
26471 .xword 0x5f605953bd41403a
26472 .xword 0x3ffcaf92bdf34922
26473 .xword 0x00ad5486fc31d64a
26474 .xword 0xfa59911fac2137c6
26475 .xword 0xaa458dd616c5e1f6
26476 .xword 0x06ff4e37f6523a9c
26477 .xword 0x3a4a1dd17cbada6a
26478 .xword 0xa809194fae0cf46b
26479 .xword 0xdd56cd45940f396f
26480 .xword 0x16a0304f9f1cb98a
26481 .xword 0xdf852982cf505f90
26482 .xword 0x3f464d8d9a26893e
26483 .xword 0xfd8579c0a0693a35
26484 .xword 0xde27c9b8a7eb42f1
26485 .xword 0x4badd379069767cc
26486 .xword 0xfedfae3524f6d1b9
26487 .xword 0x9eb5f3653d54cc02
26488 .xword 0x22c0a05fac3699a3
26489 .xword 0x1c25bf4339241312
26490 .xword 0x3363a6aeab48865f
26491 .xword 0x88ca4a4265f4f0b6
26492 .xword 0x250fe642fa59464c
26493 .xword 0x79075adf6290c790
26494 .xword 0xc4265f1dfb2f066e
26495 .xword 0xb3a7d5a76837a9eb
26496 .xword 0xc29fcbf162ec5d37
26497 .xword 0x8e8bacabce82191d
26498 .xword 0x311fb9c91a81d920
26499 .xword 0x3b555dd6d970d121
26500 .xword 0x1aac10339ff0b1f0
26501 .xword 0xe067886539990e72
26502 .xword 0x2e734f0e5c69e003
26503 .xword 0x652785cd6bcb1258
26504 .xword 0x53ef4918fda044a6
26505 .xword 0x6283ff273bea496b
26506 .xword 0x3d32eb16d7af2960
26507 .xword 0xba2c7de7188aceb7
26508 .xword 0xb249442325c00609
26509 .xword 0x2c3e37553b8d2571
26510 .xword 0x7195a00513381c01
26511 .xword 0xedaf9459bcc12805
26512 .xword 0xc2eec04e9324bfc0
26513 .xword 0x8c1017cc7ef0d46c
26514 .xword 0xd1b9deef170a8692
26515 .xword 0x67390fd9608b84f1
26516 .xword 0x855d53248c8e98e7
26517 .xword 0xa382954f83675797
26518 .xword 0xfce543e8fe4c2b06
26519 .xword 0x0d1ba647fad12554
26520 .xword 0x4bbe2ab7f17b654f
26521 .xword 0xca98893c8397d466
26522 .xword 0x00801757aa907911
26523 .xword 0xb943e90cd98890eb
26524 .xword 0xc18dbcf5e9c2f334
26525 .xword 0xd4cef60a46d809e6
26526 .xword 0x2dfe5e1fc647a017
26527 .xword 0x2ad7756a9123d282
26528 .xword 0x70a9feede8eb1f1f
26529 .xword 0xa3a57bac2d4e43a6
26530 .xword 0xdf5c9e354115af30
26531 .xword 0xb549b8c9311c0830
26532 .xword 0xefcb365f7a19f5ab
26533 .xword 0x86394f4372bc7736
26534 .xword 0x7b32acb5dea0a473
26535 .xword 0xd0aa3637e82d9c40
26536 .xword 0x55f5e7e0135aba69
26537 .xword 0x1fcf37ca1d38a46c
26538 .xword 0x43bba3cab0e2df02
26539 .xword 0xaaacde5c4d658c3b
26540 .xword 0x0975f52e66bed17a
26541 .xword 0xf4657fc96cbaec79
26542 .xword 0xd827710c5f198619
26543 .xword 0x1204c0ec1d5c4916
26544 .xword 0x9b09d473fa1e9694
26545 .xword 0xd7496b47638aad8b
26546 .xword 0x7694c4ce8a54b9d4
26547 .xword 0x82c36e2a911e46a2
26548 .xword 0xa5cb6c50ea1623dd
26549 .xword 0xaad761d0909dfec2
26550 .xword 0x1ee7a76976609b98
26551 .xword 0x08f931fb07ff2743
26552 .xword 0xbbb34213d51a4c93
26553 .xword 0x3c695a15e945c338
26554 .xword 0xebf1e09ea697a73c
26555 .xword 0x144ab8165051db40
26556 .xword 0xdd36c7cb059f084a
26557 .xword 0xfd2356b8df17a200
26558 .xword 0x7ba4facb8aaa6a7f
26559 .xword 0x7867b4e96605ee43
26560 .xword 0x837f25ff0db8a66f
26561 .xword 0x80c3770ef61ea61f
26562 .xword 0x580cef1ebc62096f
26563 .xword 0x3fb44505e5b77223
26564 .xword 0x6fb587e7a360d0d6
26565 .xword 0x73fa77eee4906582
26566 .xword 0xc85edab49969b44d
26567 .xword 0x5aac0dd34a5612c6
26568 .xword 0x9682b3caacda96f9
26569 .xword 0xe6d97203248bfd9f
26570 .xword 0x178522214b4e7c3d
26571 .xword 0xdae55d56ba0f48bf
26572 .xword 0x230b8a699366f1e9
26573 .xword 0x5a9f5279f43b2aee
26574 .xword 0xac82ac0df7c5ec05
26575 .xword 0x37049e0cf4c7cb5c
26576 .xword 0xd866eff7bd8bec16
26577 .xword 0xdbeeecb71b023388
26578 .xword 0x92893cd6e5c2d9c9
26579 .xword 0x14055858223ca9f1
26580 .xword 0x2e9e53aad13cf414
26581 .xword 0xb0c8fcfb8e0dadd6
26582 .xword 0xeece5756f49b30db
26583 .xword 0x3a595ad01bce5aaf
26584 .xword 0x1eef54e0c2d327fa
26585 .xword 0x2b793d90d74ba7f4
26586 .xword 0xa56c113457057bae
26587 .xword 0xf0e2187130f8df7f
26588 .xword 0x82bfb38bc2b0b648
26589 .xword 0x087b3cd98d173e83
26590 .xword 0x02cf07901603483a
26591 .xword 0x98804269d61bb43b
26592 .xword 0x9a8983e7354be965
26593 .xword 0xbb07ad53fd93afb6
26594 .xword 0x0f31203a56359604
26595 .xword 0xfd6e2dc36e61b0eb
26596 .xword 0x6ac3af313d885611
26597 .xword 0xe25ff90b3b4ed9d1
26598 .xword 0x7d8edf6fc636ecd1
26599 .xword 0x8d00b6f36a2bfc51
26600 .xword 0xa97899c31e450ff1
26601 .xword 0x056940ebfea4686a
26602 .xword 0x395ca99cdcfeb161
26603 .xword 0x755a8b3e49602b54
26604_t1_des_dest:
26605 .xword 0xDEADBEEFDEADBEEF
26606 .xword 0xDEADBEEFDEADBEEF
26607 .xword 0xDEADBEEFDEADBEEF
26608 .xword 0xDEADBEEFDEADBEEF
26609 .xword 0xDEADBEEFDEADBEEF
26610 .xword 0xDEADBEEFDEADBEEF
26611 .xword 0xDEADBEEFDEADBEEF
26612 .xword 0xDEADBEEFDEADBEEF
26613 .xword 0xDEADBEEFDEADBEEF
26614 .xword 0xDEADBEEFDEADBEEF
26615 .xword 0xDEADBEEFDEADBEEF
26616 .xword 0xDEADBEEFDEADBEEF
26617 .xword 0xDEADBEEFDEADBEEF
26618 .xword 0xDEADBEEFDEADBEEF
26619 .xword 0xDEADBEEFDEADBEEF
26620 .xword 0xDEADBEEFDEADBEEF
26621 .xword 0xDEADBEEFDEADBEEF
26622 .xword 0xDEADBEEFDEADBEEF
26623 .xword 0xDEADBEEFDEADBEEF
26624 .xword 0xDEADBEEFDEADBEEF
26625 .xword 0xDEADBEEFDEADBEEF
26626 .xword 0xDEADBEEFDEADBEEF
26627 .xword 0xDEADBEEFDEADBEEF
26628 .xword 0xDEADBEEFDEADBEEF
26629 .xword 0xDEADBEEFDEADBEEF
26630 .xword 0xDEADBEEFDEADBEEF
26631 .xword 0xDEADBEEFDEADBEEF
26632 .xword 0xDEADBEEFDEADBEEF
26633 .xword 0xDEADBEEFDEADBEEF
26634 .xword 0xDEADBEEFDEADBEEF
26635 .xword 0xDEADBEEFDEADBEEF
26636 .xword 0xDEADBEEFDEADBEEF
26637 .xword 0xDEADBEEFDEADBEEF
26638 .xword 0xDEADBEEFDEADBEEF
26639 .xword 0xDEADBEEFDEADBEEF
26640 .xword 0xDEADBEEFDEADBEEF
26641 .xword 0xDEADBEEFDEADBEEF
26642 .xword 0xDEADBEEFDEADBEEF
26643 .xword 0xDEADBEEFDEADBEEF
26644 .xword 0xDEADBEEFDEADBEEF
26645 .xword 0xDEADBEEFDEADBEEF
26646 .xword 0xDEADBEEFDEADBEEF
26647 .xword 0xDEADBEEFDEADBEEF
26648 .xword 0xDEADBEEFDEADBEEF
26649 .xword 0xDEADBEEFDEADBEEF
26650 .xword 0xDEADBEEFDEADBEEF
26651 .xword 0xDEADBEEFDEADBEEF
26652 .xword 0xDEADBEEFDEADBEEF
26653 .xword 0xDEADBEEFDEADBEEF
26654 .xword 0xDEADBEEFDEADBEEF
26655 .xword 0xDEADBEEFDEADBEEF
26656 .xword 0xDEADBEEFDEADBEEF
26657 .xword 0xDEADBEEFDEADBEEF
26658 .xword 0xDEADBEEFDEADBEEF
26659 .xword 0xDEADBEEFDEADBEEF
26660 .xword 0xDEADBEEFDEADBEEF
26661 .xword 0xDEADBEEFDEADBEEF
26662 .xword 0xDEADBEEFDEADBEEF
26663 .xword 0xDEADBEEFDEADBEEF
26664 .xword 0xDEADBEEFDEADBEEF
26665 .xword 0xDEADBEEFDEADBEEF
26666 .xword 0xDEADBEEFDEADBEEF
26667 .xword 0xDEADBEEFDEADBEEF
26668 .xword 0xDEADBEEFDEADBEEF
26669 .xword 0xDEADBEEFDEADBEEF
26670 .xword 0xDEADBEEFDEADBEEF
26671 .xword 0xDEADBEEFDEADBEEF
26672 .xword 0xDEADBEEFDEADBEEF
26673 .xword 0xDEADBEEFDEADBEEF
26674 .xword 0xDEADBEEFDEADBEEF
26675 .xword 0xDEADBEEFDEADBEEF
26676 .xword 0xDEADBEEFDEADBEEF
26677 .xword 0xDEADBEEFDEADBEEF
26678 .xword 0xDEADBEEFDEADBEEF
26679 .xword 0xDEADBEEFDEADBEEF
26680 .xword 0xDEADBEEFDEADBEEF
26681 .xword 0xDEADBEEFDEADBEEF
26682 .xword 0xDEADBEEFDEADBEEF
26683 .xword 0xDEADBEEFDEADBEEF
26684 .xword 0xDEADBEEFDEADBEEF
26685 .xword 0xDEADBEEFDEADBEEF
26686 .xword 0xDEADBEEFDEADBEEF
26687 .xword 0xDEADBEEFDEADBEEF
26688 .xword 0xDEADBEEFDEADBEEF
26689 .xword 0xDEADBEEFDEADBEEF
26690 .xword 0xDEADBEEFDEADBEEF
26691 .xword 0xDEADBEEFDEADBEEF
26692 .xword 0xDEADBEEFDEADBEEF
26693 .xword 0xDEADBEEFDEADBEEF
26694 .xword 0xDEADBEEFDEADBEEF
26695 .xword 0xDEADBEEFDEADBEEF
26696 .xword 0xDEADBEEFDEADBEEF
26697 .xword 0xDEADBEEFDEADBEEF
26698 .xword 0xDEADBEEFDEADBEEF
26699 .xword 0xDEADBEEFDEADBEEF
26700 .xword 0xDEADBEEFDEADBEEF
26701 .xword 0xDEADBEEFDEADBEEF
26702 .xword 0xDEADBEEFDEADBEEF
26703 .xword 0xDEADBEEFDEADBEEF
26704 .xword 0xDEADBEEFDEADBEEF
26705 .xword 0xDEADBEEFDEADBEEF
26706 .xword 0xDEADBEEFDEADBEEF
26707 .xword 0xDEADBEEFDEADBEEF
26708 .xword 0xDEADBEEFDEADBEEF
26709 .xword 0xDEADBEEFDEADBEEF
26710 .xword 0xDEADBEEFDEADBEEF
26711 .xword 0xDEADBEEFDEADBEEF
26712 .xword 0xDEADBEEFDEADBEEF
26713 .xword 0xDEADBEEFDEADBEEF
26714 .xword 0xDEADBEEFDEADBEEF
26715 .xword 0xDEADBEEFDEADBEEF
26716 .xword 0xDEADBEEFDEADBEEF
26717 .xword 0xDEADBEEFDEADBEEF
26718 .xword 0xDEADBEEFDEADBEEF
26719 .xword 0xDEADBEEFDEADBEEF
26720 .xword 0xDEADBEEFDEADBEEF
26721 .xword 0xDEADBEEFDEADBEEF
26722 .xword 0xDEADBEEFDEADBEEF
26723 .xword 0xDEADBEEFDEADBEEF
26724 .xword 0xDEADBEEFDEADBEEF
26725 .xword 0xDEADBEEFDEADBEEF
26726 .xword 0xDEADBEEFDEADBEEF
26727 .xword 0xDEADBEEFDEADBEEF
26728 .xword 0xDEADBEEFDEADBEEF
26729 .xword 0xDEADBEEFDEADBEEF
26730 .xword 0xDEADBEEFDEADBEEF
26731 .xword 0xDEADBEEFDEADBEEF
26732 .xword 0xDEADBEEFDEADBEEF
26733 .xword 0xDEADBEEFDEADBEEF
26734 .xword 0xDEADBEEFDEADBEEF
26735 .xword 0xDEADBEEFDEADBEEF
26736 .xword 0xDEADBEEFDEADBEEF
26737 .xword 0xDEADBEEFDEADBEEF
26738 .xword 0xDEADBEEFDEADBEEF
26739 .xword 0xDEADBEEFDEADBEEF
26740 .xword 0xDEADBEEFDEADBEEF
26741 .xword 0xDEADBEEFDEADBEEF
26742 .xword 0xDEADBEEFDEADBEEF
26743 .xword 0xDEADBEEFDEADBEEF
26744 .xword 0xDEADBEEFDEADBEEF
26745 .xword 0xDEADBEEFDEADBEEF
26746 .xword 0xDEADBEEFDEADBEEF
26747 .xword 0xDEADBEEFDEADBEEF
26748 .xword 0xDEADBEEFDEADBEEF
26749 .xword 0xDEADBEEFDEADBEEF
26750 .xword 0xDEADBEEFDEADBEEF
26751 .xword 0xDEADBEEFDEADBEEF
26752 .xword 0xDEADBEEFDEADBEEF
26753 .xword 0xDEADBEEFDEADBEEF
26754 .xword 0xDEADBEEFDEADBEEF
26755 .xword 0xDEADBEEFDEADBEEF
26756 .xword 0xDEADBEEFDEADBEEF
26757 .xword 0xDEADBEEFDEADBEEF
26758 .xword 0xDEADBEEFDEADBEEF
26759 .xword 0xDEADBEEFDEADBEEF
26760 .xword 0xDEADBEEFDEADBEEF
26761 .xword 0xDEADBEEFDEADBEEF
26762 .xword 0xDEADBEEFDEADBEEF
26763 .xword 0xDEADBEEFDEADBEEF
26764 .xword 0xDEADBEEFDEADBEEF
26765 .xword 0xDEADBEEFDEADBEEF
26766 .xword 0xDEADBEEFDEADBEEF
26767 .xword 0xDEADBEEFDEADBEEF
26768 .xword 0xDEADBEEFDEADBEEF
26769 .xword 0xDEADBEEFDEADBEEF
26770 .xword 0xDEADBEEFDEADBEEF
26771 .xword 0xDEADBEEFDEADBEEF
26772 .xword 0xDEADBEEFDEADBEEF
26773 .xword 0xDEADBEEFDEADBEEF
26774 .xword 0xDEADBEEFDEADBEEF
26775 .xword 0xDEADBEEFDEADBEEF
26776 .xword 0xDEADBEEFDEADBEEF
26777 .xword 0xDEADBEEFDEADBEEF
26778 .xword 0xDEADBEEFDEADBEEF
26779 .xword 0xDEADBEEFDEADBEEF
26780 .xword 0xDEADBEEFDEADBEEF
26781 .xword 0xDEADBEEFDEADBEEF
26782 .xword 0xDEADBEEFDEADBEEF
26783 .xword 0xDEADBEEFDEADBEEF
26784 .xword 0xDEADBEEFDEADBEEF
26785 .xword 0xDEADBEEFDEADBEEF
26786 .xword 0xDEADBEEFDEADBEEF
26787 .xword 0xDEADBEEFDEADBEEF
26788 .xword 0xDEADBEEFDEADBEEF
26789 .xword 0xDEADBEEFDEADBEEF
26790 .xword 0xDEADBEEFDEADBEEF
26791 .xword 0xDEADBEEFDEADBEEF
26792 .xword 0xDEADBEEFDEADBEEF
26793 .xword 0xDEADBEEFDEADBEEF
26794 .xword 0xDEADBEEFDEADBEEF
26795 .xword 0xDEADBEEFDEADBEEF
26796 .xword 0xDEADBEEFDEADBEEF
26797 .xword 0xDEADBEEFDEADBEEF
26798 .xword 0xDEADBEEFDEADBEEF
26799 .xword 0xDEADBEEFDEADBEEF
26800 .xword 0xDEADBEEFDEADBEEF
26801 .xword 0xDEADBEEFDEADBEEF
26802 .xword 0xDEADBEEFDEADBEEF
26803 .xword 0xDEADBEEFDEADBEEF
26804 .xword 0xDEADBEEFDEADBEEF
26805 .xword 0xDEADBEEFDEADBEEF
26806 .xword 0xDEADBEEFDEADBEEF
26807 .xword 0xDEADBEEFDEADBEEF
26808 .xword 0xDEADBEEFDEADBEEF
26809 .xword 0xDEADBEEFDEADBEEF
26810 .xword 0xDEADBEEFDEADBEEF
26811 .xword 0xDEADBEEFDEADBEEF
26812 .xword 0xDEADBEEFDEADBEEF
26813 .xword 0xDEADBEEFDEADBEEF
26814 .xword 0xDEADBEEFDEADBEEF
26815 .xword 0xDEADBEEFDEADBEEF
26816 .xword 0xDEADBEEFDEADBEEF
26817 .xword 0xDEADBEEFDEADBEEF
26818 .xword 0xDEADBEEFDEADBEEF
26819 .xword 0xDEADBEEFDEADBEEF
26820 .xword 0xDEADBEEFDEADBEEF
26821 .xword 0xDEADBEEFDEADBEEF
26822 .xword 0xDEADBEEFDEADBEEF
26823 .xword 0xDEADBEEFDEADBEEF
26824 .xword 0xDEADBEEFDEADBEEF
26825 .xword 0xDEADBEEFDEADBEEF
26826 .xword 0xDEADBEEFDEADBEEF
26827 .xword 0xDEADBEEFDEADBEEF
26828 .xword 0xDEADBEEFDEADBEEF
26829 .xword 0xDEADBEEFDEADBEEF
26830 .xword 0xDEADBEEFDEADBEEF
26831 .xword 0xDEADBEEFDEADBEEF
26832 .xword 0xDEADBEEFDEADBEEF
26833 .xword 0xDEADBEEFDEADBEEF
26834 .xword 0xDEADBEEFDEADBEEF
26835 .xword 0xDEADBEEFDEADBEEF
26836 .xword 0xDEADBEEFDEADBEEF
26837 .xword 0xDEADBEEFDEADBEEF
26838 .xword 0xDEADBEEFDEADBEEF
26839 .xword 0xDEADBEEFDEADBEEF
26840 .xword 0xDEADBEEFDEADBEEF
26841 .xword 0xDEADBEEFDEADBEEF
26842 .xword 0xDEADBEEFDEADBEEF
26843 .xword 0xDEADBEEFDEADBEEF
26844 .xword 0xDEADBEEFDEADBEEF
26845 .xword 0xDEADBEEFDEADBEEF
26846 .xword 0xDEADBEEFDEADBEEF
26847 .xword 0xDEADBEEFDEADBEEF
26848 .xword 0xDEADBEEFDEADBEEF
26849 .xword 0xDEADBEEFDEADBEEF
26850 .xword 0xDEADBEEFDEADBEEF
26851 .xword 0xDEADBEEFDEADBEEF
26852 .xword 0xDEADBEEFDEADBEEF
26853 .xword 0xDEADBEEFDEADBEEF
26854 .xword 0xDEADBEEFDEADBEEF
26855 .xword 0xDEADBEEFDEADBEEF
26856 .xword 0xDEADBEEFDEADBEEF
26857 .xword 0xDEADBEEFDEADBEEF
26858 .xword 0xDEADBEEFDEADBEEF
26859 .xword 0xDEADBEEFDEADBEEF
26860 .xword 0xDEADBEEFDEADBEEF
26861 .xword 0xDEADBEEFDEADBEEF
26862 .xword 0xDEADBEEFDEADBEEF
26863 .xword 0xDEADBEEFDEADBEEF
26864 .xword 0xDEADBEEFDEADBEEF
26865 .xword 0xDEADBEEFDEADBEEF
26866 .xword 0xDEADBEEFDEADBEEF
26867 .xword 0xDEADBEEFDEADBEEF
26868 .xword 0xDEADBEEFDEADBEEF
26869 .xword 0xDEADBEEFDEADBEEF
26870 .xword 0xDEADBEEFDEADBEEF
26871 .xword 0xDEADBEEFDEADBEEF
26872 .xword 0xDEADBEEFDEADBEEF
26873 .xword 0xDEADBEEFDEADBEEF
26874 .xword 0xDEADBEEFDEADBEEF
26875 .xword 0xDEADBEEFDEADBEEF
26876 .xword 0xDEADBEEFDEADBEEF
26877 .xword 0xDEADBEEFDEADBEEF
26878 .xword 0xDEADBEEFDEADBEEF
26879 .xword 0xDEADBEEFDEADBEEF
26880_t1_des_auth_key:
26881 .xword 0x735e12ec99ffcbf4
26882 .xword 0x14709c9049dc4741
26883 .xword 0x10d6dce6fbacefee
26884 .xword 0xfd6bc33e5de4bd70
26885 .xword 0x4af2408e3228592e
26886 .xword 0xac4b45fa0050f651
26887 .xword 0x24d46c573f833fc7
26888 .xword 0xd0f3ae3d0922e108
26889 .xword 0x4505a454af888676
26890 .xword 0xcd1570b7ccffc2dd
26891 .xword 0xba9ac53b2183411c
26892 .xword 0x38516d219189b9fc
26893 .xword 0xd67d9f7d3f57dee4
26894 .xword 0xc2b38e1d263beb80
26895 .xword 0xbd4f7aff3a9dfe72
26896 .xword 0xf83a3f9e6ca52d2e
26897 .xword 0x75cb2fa4eab1c922
26898 .xword 0x2d7f496a43bd744e
26899 .xword 0xf743fe85ddbd31d5
26900 .xword 0xefa1afd12cfef085
26901 .xword 0x9e265899f4ba44eb
26902 .xword 0xbea8e31cfd1c2929
26903 .xword 0x7b644143e0464b89
26904_t1_des_auth_iv:
26905 .xword 0xb62c5367f737610e
26906 .xword 0xab7eb1eb0601192f
26907 .xword 0xa077d1a8e288c78b
26908 .xword 0xbc59f23138ca1cfc
26909 .xword 0x5be708ac7436bb81
26910 .xword 0xac9328abdc6ffd36
26911 .xword 0x29bb244bd364013e
26912 .xword 0x84695a53cea7eb69
26913 .xword 0xbc6dd54ed175aa25
26914 .xword 0xa3efbfaa66f8a301
26915 .xword 0x10e15cd62394a9ee
26916 .xword 0xa5a0e336a72a5e36
26917 .xword 0x2c9f9faa9887b12b
26918 .xword 0x477138611142216b
26919 .xword 0xb4925963c39193de
26920 .xword 0x244f3991109202e1
26921 .xword 0xa4f30300cf46baa9
26922 .xword 0x8662001420e7c7da
26923 .xword 0xaf3e4fe8c064d6bc
26924 .xword 0xa11b93e95ea82c80
26925 .xword 0xc7556461b97b7406
26926 .xword 0x8369777ce2c022b2
26927 .xword 0xe2aa1f206a026a96
26928_t1_des_fas_result:
26929 .xword 0xDEADBEEFDEADBEEF
26930 .xword 0xDEADBEEFDEADBEEF
26931 .xword 0xDEADBEEFDEADBEEF
26932 .xword 0xDEADBEEFDEADBEEF
26933 .xword 0xDEADBEEFDEADBEEF
26934 .xword 0xDEADBEEFDEADBEEF
26935 .xword 0xDEADBEEFDEADBEEF
26936 .xword 0xDEADBEEFDEADBEEF
26937 .xword 0xDEADBEEFDEADBEEF
26938 .xword 0xDEADBEEFDEADBEEF
26939 .xword 0xDEADBEEFDEADBEEF
26940 .xword 0xDEADBEEFDEADBEEF
26941 .xword 0xDEADBEEFDEADBEEF
26942 .xword 0xDEADBEEFDEADBEEF
26943 .xword 0xDEADBEEFDEADBEEF
26944 .xword 0xDEADBEEFDEADBEEF
26945 .xword 0xDEADBEEFDEADBEEF
26946 .xword 0xDEADBEEFDEADBEEF
26947 .xword 0xDEADBEEFDEADBEEF
26948 .xword 0xDEADBEEFDEADBEEF
26949 .xword 0xDEADBEEFDEADBEEF
26950 .xword 0xDEADBEEFDEADBEEF
26951 .xword 0xDEADBEEFDEADBEEF
26952_t1_copy_key_array:
26953 .xword 0xe0b34a18e3990bae
26954 .xword 0x16990472cc8be194
26955 .xword 0x786280fae592265a
26956 .xword 0x3a07aad3fa91e210
26957 .xword 0x124010477b8d91fd
26958 .xword 0xb6461374539508e0
26959 .xword 0x587008ad2e405c33
26960 .xword 0x0fbd63c7bc19cc05
26961 .xword 0xffb3b6b578e64b1e
26962 .xword 0xf9302efdbcdfb762
26963 .xword 0x3ebb67590435601b
26964 .xword 0x7f4728fbb2fc7274
26965 .xword 0xf898bb86ad215492
26966 .xword 0x27d20d51d7e0db20
26967 .xword 0xc4ba91719e028c22
26968 .xword 0xf838186ea60526ec
26969 .xword 0xf30047071577c578
26970 .xword 0xd11aa6b818cd0fa2
26971 .xword 0xa6ff4629f6953ba3
26972 .xword 0xaeb59cacbbc4dca8
26973 .xword 0xd33d9f7991877922
26974 .xword 0x8f9e7a435e071bf3
26975 .xword 0x5068a3ed7407c1d7
26976 .xword 0x9aeee45b9e1763d8
26977 .xword 0xd290b4caff71aa00
26978 .xword 0xa2247074daa11371
26979 .xword 0xeebb3d3001d34526
26980 .xword 0xdb294449e93e77cf
26981 .xword 0x306dacec4725c0b6
26982 .xword 0xb19afa5f83b967ca
26983 .xword 0xba4cb0feb60b926e
26984 .xword 0x7c203a54103b8cad
26985 .xword 0xf7cf5311d02e143d
26986 .xword 0x97ef4c116f29bd45
26987 .xword 0x0616c2eca548e066
26988 .xword 0x84bce4c8e5868e9b
26989 .xword 0x078726322e42f97f
26990 .xword 0x3231adb351734936
26991 .xword 0x6d3dc8bde0b58afa
26992 .xword 0x6e44cd511efc9557
26993 .xword 0xeec8bd9ca0b226ef
26994 .xword 0x56ec28f2e3cc4db2
26995 .xword 0xbcf7fe00d4c97ca0
26996 .xword 0x0967be6af65ac8c0
26997 .xword 0x80fa48e059ca0bab
26998 .xword 0xdd6215340b52996c
26999 .xword 0x5aec40ab50033a41
27000 .xword 0xb67933521392ae41
27001 .xword 0x02125138e1cd57d8
27002 .xword 0x2b56d54a7130b871
27003 .xword 0xe56f1d3a52b6f57c
27004_t1_copy_iv_array:
27005 .xword 0x85f4c0c02c2c4ab1
27006 .xword 0xf9e50dba1e536ca8
27007 .xword 0x0c6a2ae37a47c0bf
27008 .xword 0xc5566a3c1278cb75
27009 .xword 0xa7f11b8234ea52ce
27010 .xword 0x568888ac3ecfe82f
27011 .xword 0x39aba618c80ba037
27012 .xword 0xfa296be8615a26c2
27013 .xword 0x0ba5b204e353c66f
27014 .xword 0x0bbf45e3538905ef
27015 .xword 0x5afdf15bd281c9e7
27016 .xword 0xbe4bd94a186c8a85
27017 .xword 0x744a0ed8e4168eda
27018 .xword 0xa38804d2b69ae287
27019 .xword 0x2fca28bb2ed2323d
27020 .xword 0x41239bc620fa12e5
27021 .xword 0x66294bf99d30bd3b
27022 .xword 0xfffaf1d566df2681
27023 .xword 0xe13b5fecf3157e50
27024 .xword 0xca47d0b6ea521984
27025 .xword 0xfa8d1ca7b85644f5
27026 .xword 0x798130e2e6e47d40
27027 .xword 0x2c6f5ea3e200c1cb
27028 .xword 0xd41c03e7f7e6a1b1
27029 .xword 0xf7ff7287c52de8bd
27030 .xword 0xa10dc14849cd5cfe
27031 .xword 0xa246da9e6145bac5
27032 .xword 0xc03e32b0ce992e13
27033 .xword 0x30822a15037d8409
27034 .xword 0x4cf8f8bab9093a11
27035 .xword 0xe0517715ad976d19
27036 .xword 0x33126ec51c10a557
27037 .xword 0x407beb35430db4ea
27038 .xword 0xb814d261d79dafc0
27039 .xword 0x0d1985bfa162d052
27040 .xword 0x88d1b8f024384ace
27041 .xword 0xfaec594a4f250657
27042 .xword 0xeb94e7c55d43eed3
27043 .xword 0x7aa41ac353509f29
27044 .xword 0x9f2510bdab7dfba1
27045 .xword 0xccfa989671ebcabe
27046 .xword 0xc76becd21a31f5db
27047 .xword 0x143c3a327c22986e
27048 .xword 0xf3f768647c282118
27049 .xword 0xdd38dc06b03983c6
27050_t1_copy_alignment_array:
27051 .xword 1
27052 .xword 4
27053 .xword 15
27054 .xword 1
27055 .xword 1
27056 .xword 6
27057 .xword 6
27058 .xword 3
27059 .xword 5
27060 .xword 0
27061 .xword 13
27062 .xword 7
27063 .xword 4
27064 .xword 4
27065 .xword 11
27066 .xword 14
27067 .xword 3
27068 .xword 1
27069 .xword 5
27070 .xword 10
27071 .xword 8
27072 .xword 1
27073 .xword 12
27074 .xword 2
27075 .xword 13
27076 .xword 7
27077 .xword 2
27078 .xword 12
27079 .xword 13
27080 .xword 15
27081 .xword 0
27082 .xword 6
27083 .xword 9
27084 .xword 2
27085 .xword 7
27086 .xword 6
27087 .xword 10
27088 .xword 11
27089 .xword 3
27090 .xword 4
27091 .xword 14
27092 .xword 7
27093 .xword 0
27094 .xword 3
27095 .xword 2
27096 .xword 1
27097 .xword 12
27098 .xword 9
27099 .xword 0
27100 .xword 9
27101 .xword 8
27102 .xword 4
27103 .xword 11
27104 .xword 9
27105 .xword 6
27106 .xword 5
27107 .xword 2
27108 .xword 5
27109 .xword 1
27110 .xword 14
27111 .xword 13
27112 .xword 15
27113 .xword 1
27114 .xword 3
27115 .xword 7
27116 .xword 15
27117 .xword 6
27118 .xword 10
27119 .xword 9
27120 .xword 4
27121 .xword 9
27122 .xword 7
27123 .xword 14
27124 .xword 5
27125 .xword 4
27126 .xword 12
27127 .xword 6
27128 .xword 7
27129 .xword 2
27130 .xword 10
27131 .xword 15
27132 .xword 4
27133 .xword 1
27134 .xword 15
27135 .xword 12
27136 .xword 7
27137 .xword 13
27138 .xword 5
27139 .xword 2
27140 .xword 12
27141 .xword 14
27142 .xword 11
27143 .xword 14
27144 .xword 10
27145 .xword 2
27146 .xword 14
27147 .xword 6
27148 .xword 5
27149 .xword 7
27150 .xword 8
27151 .xword 2
27152 .xword 4
27153 .xword 9
27154 .xword 11
27155 .xword 11
27156_t1_copy_src:
27157 .xword 0x989d9b301e0130f4
27158 .xword 0x7a286cec584f0f45
27159 .xword 0x76c14df3505f689b
27160 .xword 0x452293667f1522dc
27161 .xword 0x0952b64b2c5d5bc2
27162 .xword 0x4263a8c03a42986b
27163 .xword 0xa5f2d904166814c2
27164 .xword 0xd60138bafaac5a3c
27165 .xword 0x6f2f5e7e12279a25
27166 .xword 0xc8eff3ed1520510f
27167 .xword 0x2caa098de27e8b38
27168 .xword 0xc4c52484c01039db
27169 .xword 0x9ee3a8151344505a
27170 .xword 0xde1e8646cd900838
27171 .xword 0xc7416aa578fc81c9
27172 .xword 0xeb8cb6c840938ccc
27173 .xword 0x4026858a15b6f292
27174 .xword 0x4d0b9de6271f7b35
27175 .xword 0x7a806a757afa0b2a
27176 .xword 0x67a65a7a38ac23a6
27177 .xword 0x99afd63d323a53b4
27178 .xword 0x92d7ebbce78c5cc3
27179 .xword 0x5d9cc9c316429a05
27180 .xword 0xe9137788411495bd
27181 .xword 0x45b56bf3a4653f4f
27182 .xword 0x1d32fdf8ee9a2587
27183 .xword 0x5d56b6846cfec8f6
27184 .xword 0xc9380c2d99864eec
27185 .xword 0x572fcac36af51cea
27186 .xword 0x9f903e25aa61df1b
27187 .xword 0x7bd1bb78664b78a2
27188 .xword 0x1715f00092fa9510
27189 .xword 0xf4019acc76afb266
27190 .xword 0xef231ec08268bc16
27191 .xword 0xde071c71e74c08ee
27192 .xword 0xeed77c5079343753
27193 .xword 0x73ea805c0be49a6e
27194 .xword 0x37be9a225e470acb
27195 .xword 0xcc0395c63ae72aba
27196 .xword 0x92f329649235785c
27197 .xword 0x658636d8ed21c9ed
27198 .xword 0x8cc038b3fc0163e9
27199 .xword 0x4cba97a6e5e77ab2
27200 .xword 0x451b0d9d7f16791c
27201 .xword 0x205fc01be3596b2a
27202 .xword 0xa401fc1bde57b6a9
27203 .xword 0xc22a36c402a034c9
27204 .xword 0x635ef34c4cf64d2a
27205 .xword 0xb1b998035bb4c0c7
27206 .xword 0xd3daef799bad307f
27207 .xword 0x9377787de631c297
27208 .xword 0x4825c0989414d05a
27209 .xword 0x7e2487ed1d2bc7ca
27210 .xword 0xade7827b49438195
27211 .xword 0xeb21c2061b69dbd3
27212 .xword 0xbffc06a63cfa0952
27213 .xword 0xf19b0abdbaae4569
27214 .xword 0x9a1a5a8b0152e5e8
27215 .xword 0x8593d87272f7e745
27216 .xword 0xbfc581f6ed2c5efe
27217 .xword 0xf0dc9a9b17bfb18d
27218 .xword 0x349540cac20940f0
27219 .xword 0xa23dac2aa9a59215
27220 .xword 0x34d6c96e57cc5580
27221 .xword 0x6a8d7e2e05d61d91
27222 .xword 0x8b4ad579fde4b270
27223 .xword 0x971d3d4b2e93b01f
27224 .xword 0x4fe13f472a4add21
27225 .xword 0xc000a58997ca63e7
27226 .xword 0x0cbcc855f5baf4f4
27227 .xword 0x86fc7260d7facd28
27228 .xword 0x192eb3455e56277c
27229 .xword 0xdb3432f82e2f4000
27230 .xword 0x6bc10b1254d25276
27231 .xword 0xa4fc0ef3cac79ade
27232 .xword 0x4fe0b01f8ef71fbc
27233 .xword 0x074364957ef0a610
27234 .xword 0xcc83e3d2edb196e3
27235 .xword 0xc8405f0e744eb334
27236 .xword 0x1184ae2e422bf938
27237 .xword 0xd21aa70931d658f7
27238 .xword 0x5c7cd6a29ae7ad6f
27239 .xword 0xf9b980745a91ff2b
27240 .xword 0x676bcb1520e09854
27241 .xword 0x8cdcf993a3bee22b
27242 .xword 0x8c923430dad46d80
27243 .xword 0x5831898887f2aecf
27244 .xword 0x7d5fe383f684e112
27245 .xword 0x13486ffcb124dd5f
27246 .xword 0x7f66efe8c929ec33
27247 .xword 0xff953636e2d27d93
27248 .xword 0x3048adab90c5532d
27249 .xword 0xe9a733608765ad7b
27250 .xword 0x6a05a12174ce95a0
27251 .xword 0x56bac0b2e5784d0d
27252 .xword 0x86af8ea527fbf718
27253 .xword 0xeff429abad5d16df
27254 .xword 0xd1858f20d17a99f1
27255 .xword 0x0b10d2a1c6f102b9
27256 .xword 0xb7d873e5641fa42d
27257 .xword 0x4b7446acb98f0fdf
27258 .xword 0x3837afc21d2c8507
27259 .xword 0x328219c5d7561c43
27260 .xword 0x4d2c58a649c4091d
27261 .xword 0x78c05ea786417197
27262 .xword 0x0d09b6f780ce2101
27263 .xword 0x978eae410de17087
27264 .xword 0xbf643881dca5119a
27265 .xword 0x11efb26e2d0129eb
27266 .xword 0xe5b2b87b9c71ca87
27267 .xword 0x0b91e15ec33edaf4
27268 .xword 0xc8af32af6499a337
27269 .xword 0xf16c56f842d24fe2
27270 .xword 0x2620a12c66b70f5d
27271 .xword 0x7a84cc9780b06ca5
27272 .xword 0x372a9db0faf8d24e
27273 .xword 0x2c4f88c201bfe142
27274 .xword 0x4b2d8cca43809a2b
27275 .xword 0xf509346917bec0f6
27276 .xword 0xda8345796e2e6c74
27277 .xword 0x441eb899c251dc07
27278 .xword 0x9c1cfc97f6f8e1d1
27279 .xword 0x9f08e736a6d3227d
27280 .xword 0x656de02cad0fb1c1
27281 .xword 0x336153a99753749f
27282 .xword 0x5631fc0d91fcd375
27283 .xword 0x2d035a4c23885442
27284 .xword 0x9adbf741fee01ea3
27285 .xword 0x97c67c650adfe2e0
27286 .xword 0xaaf541e6a1784071
27287 .xword 0x27b13272ca3f7420
27288 .xword 0x0d9c3a3ef9ff7ada
27289 .xword 0xa3ebc48bd32b66e7
27290 .xword 0x22b07bdeb8280063
27291 .xword 0xacd0e1dfc3ab66e0
27292 .xword 0xa8a9a09c103c6df7
27293 .xword 0xb8c869cd84becde2
27294 .xword 0x344b41ef56f0ccbd
27295 .xword 0x338e3bfc4c87bf2b
27296 .xword 0xa032def944e4612b
27297 .xword 0x8710330f31325031
27298 .xword 0x57039719fa3cc4cb
27299 .xword 0x5350f3ebdcfce9bc
27300 .xword 0xf4d9f328930a4a0f
27301 .xword 0x1625336740a366b4
27302 .xword 0xba1a35071a997013
27303 .xword 0xed45678d3452071b
27304 .xword 0xef648bf19b8c0691
27305 .xword 0x5e31843eab343a2b
27306 .xword 0xc5039d779c03cf38
27307 .xword 0x4da5b7631606f24e
27308 .xword 0x2aae688edb67bb3b
27309 .xword 0xf42915ddb4280278
27310 .xword 0xde6c3c12b6280e4d
27311 .xword 0x8ff179915b715649
27312 .xword 0x6adfe5d6e048e1eb
27313 .xword 0xb93d8d26a6ca8cf3
27314 .xword 0xb6a8cddcc3c228bb
27315 .xword 0xd4c762808f118fdb
27316 .xword 0x9203044c5d6e7449
27317 .xword 0x749ff8fe528c0f80
27318 .xword 0xb361933ec5836f10
27319 .xword 0x5db9e4d8149be802
27320 .xword 0x3427551373a7dd51
27321 .xword 0x9c5cec070e1902db
27322 .xword 0x185a646e2a65ad4e
27323 .xword 0xe37565442e7f50b6
27324 .xword 0x5391038ef4243db4
27325 .xword 0x27115f049b74086a
27326 .xword 0xf324ed789ececa25
27327 .xword 0x718072000a5ef12e
27328 .xword 0x683e2a295924fc78
27329 .xword 0x075b9bba1439a6ce
27330 .xword 0x787775085e693623
27331 .xword 0xf4151d6a00447221
27332 .xword 0x5cefc6d03d96674f
27333 .xword 0xebaf529b5ad82707
27334 .xword 0xe1085f1f68f7d133
27335 .xword 0x972249bc52c8145d
27336 .xword 0x7abe86805d1fc883
27337 .xword 0xa9ea154ace02c872
27338 .xword 0x50c1a14ff1eb46fd
27339 .xword 0xf74d7a3fe9515174
27340 .xword 0xeb5935d835506285
27341 .xword 0x03b2f3ee737b06ab
27342 .xword 0x9f88819e1f847a24
27343 .xword 0x5c2ba86b3e6cca85
27344 .xword 0x9c7d3544bea8f226
27345 .xword 0x45f1bf6d2767a88c
27346 .xword 0x370fd48f013ac9c9
27347 .xword 0xd9fd71ba60d02660
27348 .xword 0x89a0045d079ff622
27349 .xword 0x8a153b0a2cb0fcee
27350 .xword 0x13f45278a2399e5e
27351 .xword 0x9c5a0d8380f6b203
27352 .xword 0xc0c9bcaf480f1624
27353 .xword 0xa681c5b3f7e61585
27354 .xword 0xe78c87cbf7516820
27355 .xword 0x0a8800efdffa2083
27356 .xword 0x81b27e5626286523
27357 .xword 0x273a79cf53967562
27358 .xword 0xb2ece31d1b0a5011
27359 .xword 0x9a2972122d443d5a
27360 .xword 0x62f091785a548b75
27361 .xword 0xdd630e71df6ad885
27362 .xword 0x4e0d5ef35d423445
27363 .xword 0xc55d55c12bc18223
27364 .xword 0x7cf9152807f76abc
27365 .xword 0x2f83c42ac07ef61d
27366 .xword 0xeac0cdf2e0a164ed
27367 .xword 0x8cb83f2bf210aa55
27368 .xword 0x46a8829eeea1aa97
27369 .xword 0xf33ab86044bb4238
27370 .xword 0x3a825555b6bcfd7c
27371 .xword 0x46283465c9fba106
27372 .xword 0xf0cf7baaf9582064
27373 .xword 0x6db2a147a4ee8589
27374 .xword 0x147a31f08891e014
27375 .xword 0xf89525fce593822d
27376 .xword 0xb3fac655d5fef6c1
27377 .xword 0xe5e6b02988c4bc94
27378 .xword 0x62c9f3e098922880
27379 .xword 0x9ee4ca842b81db27
27380 .xword 0xe757efd3bb156561
27381 .xword 0x433a2617e738a9c4
27382 .xword 0x70ffa4b7b3b689f7
27383 .xword 0x4dc68b74c67a74a5
27384 .xword 0x428d1f8ebba8a6e6
27385 .xword 0xa37cb1f935f48d96
27386 .xword 0xb8f6776aac5154e5
27387 .xword 0x31d7466a3e81d24b
27388 .xword 0xc24102dcd3854140
27389 .xword 0x132aea699d0d42b4
27390 .xword 0x771522de73cf85a5
27391 .xword 0xff697f28f1b80ecb
27392 .xword 0xe289bbff03e6c7bc
27393 .xword 0xb8ab83e21b0436aa
27394 .xword 0x547c242780d21487
27395 .xword 0xf3bdf81a71346f9a
27396 .xword 0x9503d5afebd2f914
27397 .xword 0xb8527ca6c691a0c8
27398 .xword 0x55d508addcee01f1
27399 .xword 0x25cc278466c62129
27400 .xword 0xd96eb2a003a809bd
27401 .xword 0xdac9518eb66ac0cf
27402 .xword 0x482b25b1b79111f5
27403 .xword 0x8afd63c76dda54b0
27404 .xword 0xa297adf5e24ea2dd
27405 .xword 0xaeb0787edfe5fad2
27406 .xword 0x52cc5677fa403418
27407 .xword 0x74d04b68cf0c08c5
27408 .xword 0xc0a17f2ed548564b
27409 .xword 0x5651f0dbd96256b5
27410 .xword 0x4dee80a272151160
27411 .xword 0xa917dd937ff5cfec
27412 .xword 0x4534110be220fffb
27413 .xword 0xf7a8a58d474c03da
27414 .xword 0x919f25e34c226460
27415 .xword 0x262ffbe616f4808c
27416 .xword 0xf1a0899f09879010
27417 .xword 0x01f90f028b386ddb
27418 .xword 0xab3b1ae06aca67b3
27419 .xword 0xad6db5c853c8e5f2
27420 .xword 0xc7cb2301c4fdb298
27421 .xword 0x58daf202380f5e63
27422 .xword 0xfc1ee95e0e20dba6
27423 .xword 0x90b24ecdac042ff1
27424 .xword 0x5e349fd23002c478
27425 .xword 0xa0871d5249c5dc2f
27426 .xword 0x576107b1229867dc
27427 .xword 0xe994bc2cb1a32d0c
27428 .xword 0xacad33f5cbaa43e2
27429 .xword 0x8321ea8febc21f5a
27430 .xword 0x860687f85a90f253
27431 .xword 0x4ce24011e76d49e3
27432_t1_copy_dest:
27433 .xword 0xDEADBEEFDEADBEEF
27434 .xword 0xDEADBEEFDEADBEEF
27435 .xword 0xDEADBEEFDEADBEEF
27436 .xword 0xDEADBEEFDEADBEEF
27437 .xword 0xDEADBEEFDEADBEEF
27438 .xword 0xDEADBEEFDEADBEEF
27439 .xword 0xDEADBEEFDEADBEEF
27440 .xword 0xDEADBEEFDEADBEEF
27441 .xword 0xDEADBEEFDEADBEEF
27442 .xword 0xDEADBEEFDEADBEEF
27443 .xword 0xDEADBEEFDEADBEEF
27444 .xword 0xDEADBEEFDEADBEEF
27445 .xword 0xDEADBEEFDEADBEEF
27446 .xword 0xDEADBEEFDEADBEEF
27447 .xword 0xDEADBEEFDEADBEEF
27448 .xword 0xDEADBEEFDEADBEEF
27449 .xword 0xDEADBEEFDEADBEEF
27450 .xword 0xDEADBEEFDEADBEEF
27451 .xword 0xDEADBEEFDEADBEEF
27452 .xword 0xDEADBEEFDEADBEEF
27453 .xword 0xDEADBEEFDEADBEEF
27454 .xword 0xDEADBEEFDEADBEEF
27455 .xword 0xDEADBEEFDEADBEEF
27456 .xword 0xDEADBEEFDEADBEEF
27457 .xword 0xDEADBEEFDEADBEEF
27458 .xword 0xDEADBEEFDEADBEEF
27459 .xword 0xDEADBEEFDEADBEEF
27460 .xword 0xDEADBEEFDEADBEEF
27461 .xword 0xDEADBEEFDEADBEEF
27462 .xword 0xDEADBEEFDEADBEEF
27463 .xword 0xDEADBEEFDEADBEEF
27464 .xword 0xDEADBEEFDEADBEEF
27465 .xword 0xDEADBEEFDEADBEEF
27466 .xword 0xDEADBEEFDEADBEEF
27467 .xword 0xDEADBEEFDEADBEEF
27468 .xword 0xDEADBEEFDEADBEEF
27469 .xword 0xDEADBEEFDEADBEEF
27470 .xword 0xDEADBEEFDEADBEEF
27471 .xword 0xDEADBEEFDEADBEEF
27472 .xword 0xDEADBEEFDEADBEEF
27473 .xword 0xDEADBEEFDEADBEEF
27474 .xword 0xDEADBEEFDEADBEEF
27475 .xword 0xDEADBEEFDEADBEEF
27476 .xword 0xDEADBEEFDEADBEEF
27477 .xword 0xDEADBEEFDEADBEEF
27478 .xword 0xDEADBEEFDEADBEEF
27479 .xword 0xDEADBEEFDEADBEEF
27480 .xword 0xDEADBEEFDEADBEEF
27481 .xword 0xDEADBEEFDEADBEEF
27482 .xword 0xDEADBEEFDEADBEEF
27483 .xword 0xDEADBEEFDEADBEEF
27484 .xword 0xDEADBEEFDEADBEEF
27485 .xword 0xDEADBEEFDEADBEEF
27486 .xword 0xDEADBEEFDEADBEEF
27487 .xword 0xDEADBEEFDEADBEEF
27488 .xword 0xDEADBEEFDEADBEEF
27489 .xword 0xDEADBEEFDEADBEEF
27490 .xword 0xDEADBEEFDEADBEEF
27491 .xword 0xDEADBEEFDEADBEEF
27492 .xword 0xDEADBEEFDEADBEEF
27493 .xword 0xDEADBEEFDEADBEEF
27494 .xword 0xDEADBEEFDEADBEEF
27495 .xword 0xDEADBEEFDEADBEEF
27496 .xword 0xDEADBEEFDEADBEEF
27497 .xword 0xDEADBEEFDEADBEEF
27498 .xword 0xDEADBEEFDEADBEEF
27499 .xword 0xDEADBEEFDEADBEEF
27500 .xword 0xDEADBEEFDEADBEEF
27501 .xword 0xDEADBEEFDEADBEEF
27502 .xword 0xDEADBEEFDEADBEEF
27503 .xword 0xDEADBEEFDEADBEEF
27504 .xword 0xDEADBEEFDEADBEEF
27505 .xword 0xDEADBEEFDEADBEEF
27506 .xword 0xDEADBEEFDEADBEEF
27507 .xword 0xDEADBEEFDEADBEEF
27508 .xword 0xDEADBEEFDEADBEEF
27509 .xword 0xDEADBEEFDEADBEEF
27510 .xword 0xDEADBEEFDEADBEEF
27511 .xword 0xDEADBEEFDEADBEEF
27512 .xword 0xDEADBEEFDEADBEEF
27513 .xword 0xDEADBEEFDEADBEEF
27514 .xword 0xDEADBEEFDEADBEEF
27515 .xword 0xDEADBEEFDEADBEEF
27516 .xword 0xDEADBEEFDEADBEEF
27517 .xword 0xDEADBEEFDEADBEEF
27518 .xword 0xDEADBEEFDEADBEEF
27519 .xword 0xDEADBEEFDEADBEEF
27520 .xword 0xDEADBEEFDEADBEEF
27521 .xword 0xDEADBEEFDEADBEEF
27522 .xword 0xDEADBEEFDEADBEEF
27523 .xword 0xDEADBEEFDEADBEEF
27524 .xword 0xDEADBEEFDEADBEEF
27525 .xword 0xDEADBEEFDEADBEEF
27526 .xword 0xDEADBEEFDEADBEEF
27527 .xword 0xDEADBEEFDEADBEEF
27528 .xword 0xDEADBEEFDEADBEEF
27529 .xword 0xDEADBEEFDEADBEEF
27530 .xword 0xDEADBEEFDEADBEEF
27531 .xword 0xDEADBEEFDEADBEEF
27532 .xword 0xDEADBEEFDEADBEEF
27533 .xword 0xDEADBEEFDEADBEEF
27534 .xword 0xDEADBEEFDEADBEEF
27535 .xword 0xDEADBEEFDEADBEEF
27536 .xword 0xDEADBEEFDEADBEEF
27537 .xword 0xDEADBEEFDEADBEEF
27538 .xword 0xDEADBEEFDEADBEEF
27539 .xword 0xDEADBEEFDEADBEEF
27540 .xword 0xDEADBEEFDEADBEEF
27541 .xword 0xDEADBEEFDEADBEEF
27542 .xword 0xDEADBEEFDEADBEEF
27543 .xword 0xDEADBEEFDEADBEEF
27544 .xword 0xDEADBEEFDEADBEEF
27545 .xword 0xDEADBEEFDEADBEEF
27546 .xword 0xDEADBEEFDEADBEEF
27547 .xword 0xDEADBEEFDEADBEEF
27548 .xword 0xDEADBEEFDEADBEEF
27549 .xword 0xDEADBEEFDEADBEEF
27550 .xword 0xDEADBEEFDEADBEEF
27551 .xword 0xDEADBEEFDEADBEEF
27552 .xword 0xDEADBEEFDEADBEEF
27553 .xword 0xDEADBEEFDEADBEEF
27554 .xword 0xDEADBEEFDEADBEEF
27555 .xword 0xDEADBEEFDEADBEEF
27556 .xword 0xDEADBEEFDEADBEEF
27557 .xword 0xDEADBEEFDEADBEEF
27558 .xword 0xDEADBEEFDEADBEEF
27559 .xword 0xDEADBEEFDEADBEEF
27560 .xword 0xDEADBEEFDEADBEEF
27561 .xword 0xDEADBEEFDEADBEEF
27562 .xword 0xDEADBEEFDEADBEEF
27563 .xword 0xDEADBEEFDEADBEEF
27564 .xword 0xDEADBEEFDEADBEEF
27565 .xword 0xDEADBEEFDEADBEEF
27566 .xword 0xDEADBEEFDEADBEEF
27567 .xword 0xDEADBEEFDEADBEEF
27568 .xword 0xDEADBEEFDEADBEEF
27569 .xword 0xDEADBEEFDEADBEEF
27570 .xword 0xDEADBEEFDEADBEEF
27571 .xword 0xDEADBEEFDEADBEEF
27572 .xword 0xDEADBEEFDEADBEEF
27573 .xword 0xDEADBEEFDEADBEEF
27574 .xword 0xDEADBEEFDEADBEEF
27575 .xword 0xDEADBEEFDEADBEEF
27576 .xword 0xDEADBEEFDEADBEEF
27577 .xword 0xDEADBEEFDEADBEEF
27578 .xword 0xDEADBEEFDEADBEEF
27579 .xword 0xDEADBEEFDEADBEEF
27580 .xword 0xDEADBEEFDEADBEEF
27581 .xword 0xDEADBEEFDEADBEEF
27582 .xword 0xDEADBEEFDEADBEEF
27583 .xword 0xDEADBEEFDEADBEEF
27584 .xword 0xDEADBEEFDEADBEEF
27585 .xword 0xDEADBEEFDEADBEEF
27586 .xword 0xDEADBEEFDEADBEEF
27587 .xword 0xDEADBEEFDEADBEEF
27588 .xword 0xDEADBEEFDEADBEEF
27589 .xword 0xDEADBEEFDEADBEEF
27590 .xword 0xDEADBEEFDEADBEEF
27591 .xword 0xDEADBEEFDEADBEEF
27592 .xword 0xDEADBEEFDEADBEEF
27593 .xword 0xDEADBEEFDEADBEEF
27594 .xword 0xDEADBEEFDEADBEEF
27595 .xword 0xDEADBEEFDEADBEEF
27596 .xword 0xDEADBEEFDEADBEEF
27597 .xword 0xDEADBEEFDEADBEEF
27598 .xword 0xDEADBEEFDEADBEEF
27599 .xword 0xDEADBEEFDEADBEEF
27600 .xword 0xDEADBEEFDEADBEEF
27601 .xword 0xDEADBEEFDEADBEEF
27602 .xword 0xDEADBEEFDEADBEEF
27603 .xword 0xDEADBEEFDEADBEEF
27604 .xword 0xDEADBEEFDEADBEEF
27605 .xword 0xDEADBEEFDEADBEEF
27606 .xword 0xDEADBEEFDEADBEEF
27607 .xword 0xDEADBEEFDEADBEEF
27608 .xword 0xDEADBEEFDEADBEEF
27609 .xword 0xDEADBEEFDEADBEEF
27610 .xword 0xDEADBEEFDEADBEEF
27611 .xword 0xDEADBEEFDEADBEEF
27612 .xword 0xDEADBEEFDEADBEEF
27613 .xword 0xDEADBEEFDEADBEEF
27614 .xword 0xDEADBEEFDEADBEEF
27615 .xword 0xDEADBEEFDEADBEEF
27616 .xword 0xDEADBEEFDEADBEEF
27617 .xword 0xDEADBEEFDEADBEEF
27618 .xword 0xDEADBEEFDEADBEEF
27619 .xword 0xDEADBEEFDEADBEEF
27620 .xword 0xDEADBEEFDEADBEEF
27621 .xword 0xDEADBEEFDEADBEEF
27622 .xword 0xDEADBEEFDEADBEEF
27623 .xword 0xDEADBEEFDEADBEEF
27624 .xword 0xDEADBEEFDEADBEEF
27625 .xword 0xDEADBEEFDEADBEEF
27626 .xword 0xDEADBEEFDEADBEEF
27627 .xword 0xDEADBEEFDEADBEEF
27628 .xword 0xDEADBEEFDEADBEEF
27629 .xword 0xDEADBEEFDEADBEEF
27630 .xword 0xDEADBEEFDEADBEEF
27631 .xword 0xDEADBEEFDEADBEEF
27632 .xword 0xDEADBEEFDEADBEEF
27633 .xword 0xDEADBEEFDEADBEEF
27634 .xword 0xDEADBEEFDEADBEEF
27635 .xword 0xDEADBEEFDEADBEEF
27636 .xword 0xDEADBEEFDEADBEEF
27637 .xword 0xDEADBEEFDEADBEEF
27638 .xword 0xDEADBEEFDEADBEEF
27639 .xword 0xDEADBEEFDEADBEEF
27640 .xword 0xDEADBEEFDEADBEEF
27641 .xword 0xDEADBEEFDEADBEEF
27642 .xword 0xDEADBEEFDEADBEEF
27643 .xword 0xDEADBEEFDEADBEEF
27644 .xword 0xDEADBEEFDEADBEEF
27645 .xword 0xDEADBEEFDEADBEEF
27646 .xword 0xDEADBEEFDEADBEEF
27647 .xword 0xDEADBEEFDEADBEEF
27648 .xword 0xDEADBEEFDEADBEEF
27649 .xword 0xDEADBEEFDEADBEEF
27650 .xword 0xDEADBEEFDEADBEEF
27651 .xword 0xDEADBEEFDEADBEEF
27652 .xword 0xDEADBEEFDEADBEEF
27653 .xword 0xDEADBEEFDEADBEEF
27654 .xword 0xDEADBEEFDEADBEEF
27655 .xword 0xDEADBEEFDEADBEEF
27656 .xword 0xDEADBEEFDEADBEEF
27657 .xword 0xDEADBEEFDEADBEEF
27658 .xword 0xDEADBEEFDEADBEEF
27659 .xword 0xDEADBEEFDEADBEEF
27660 .xword 0xDEADBEEFDEADBEEF
27661 .xword 0xDEADBEEFDEADBEEF
27662 .xword 0xDEADBEEFDEADBEEF
27663 .xword 0xDEADBEEFDEADBEEF
27664 .xword 0xDEADBEEFDEADBEEF
27665 .xword 0xDEADBEEFDEADBEEF
27666 .xword 0xDEADBEEFDEADBEEF
27667 .xword 0xDEADBEEFDEADBEEF
27668 .xword 0xDEADBEEFDEADBEEF
27669 .xword 0xDEADBEEFDEADBEEF
27670 .xword 0xDEADBEEFDEADBEEF
27671 .xword 0xDEADBEEFDEADBEEF
27672 .xword 0xDEADBEEFDEADBEEF
27673 .xword 0xDEADBEEFDEADBEEF
27674 .xword 0xDEADBEEFDEADBEEF
27675 .xword 0xDEADBEEFDEADBEEF
27676 .xword 0xDEADBEEFDEADBEEF
27677 .xword 0xDEADBEEFDEADBEEF
27678 .xword 0xDEADBEEFDEADBEEF
27679 .xword 0xDEADBEEFDEADBEEF
27680 .xword 0xDEADBEEFDEADBEEF
27681 .xword 0xDEADBEEFDEADBEEF
27682 .xword 0xDEADBEEFDEADBEEF
27683 .xword 0xDEADBEEFDEADBEEF
27684 .xword 0xDEADBEEFDEADBEEF
27685 .xword 0xDEADBEEFDEADBEEF
27686 .xword 0xDEADBEEFDEADBEEF
27687 .xword 0xDEADBEEFDEADBEEF
27688 .xword 0xDEADBEEFDEADBEEF
27689 .xword 0xDEADBEEFDEADBEEF
27690 .xword 0xDEADBEEFDEADBEEF
27691 .xword 0xDEADBEEFDEADBEEF
27692 .xword 0xDEADBEEFDEADBEEF
27693 .xword 0xDEADBEEFDEADBEEF
27694 .xword 0xDEADBEEFDEADBEEF
27695 .xword 0xDEADBEEFDEADBEEF
27696 .xword 0xDEADBEEFDEADBEEF
27697 .xword 0xDEADBEEFDEADBEEF
27698 .xword 0xDEADBEEFDEADBEEF
27699 .xword 0xDEADBEEFDEADBEEF
27700 .xword 0xDEADBEEFDEADBEEF
27701 .xword 0xDEADBEEFDEADBEEF
27702 .xword 0xDEADBEEFDEADBEEF
27703 .xword 0xDEADBEEFDEADBEEF
27704 .xword 0xDEADBEEFDEADBEEF
27705 .xword 0xDEADBEEFDEADBEEF
27706 .xword 0xDEADBEEFDEADBEEF
27707 .xword 0xDEADBEEFDEADBEEF
27708_t1_copy_auth_key:
27709 .xword 0x3d4691a8c9a4aacd
27710 .xword 0x18f661f07f5c3a76
27711 .xword 0xc11166dabb9f3664
27712 .xword 0x2fe9a15351655984
27713 .xword 0xcf407b4da57b664e
27714 .xword 0x1518da55b35767e8
27715 .xword 0xa16cad26dfad4e51
27716 .xword 0x63c6a89cdd12dbf4
27717 .xword 0x64811968525baae1
27718 .xword 0x29822cfd9721dd7e
27719 .xword 0x14ad1ba0b95c2964
27720 .xword 0xae5316df2703fe2a
27721 .xword 0xe472307af9b047ce
27722 .xword 0x4fb04a3beefbfffb
27723 .xword 0xef8f8e121a0a3e04
27724 .xword 0x727fefa9953d9636
27725 .xword 0xca9ea8ea12584f57
27726 .xword 0x7fb2e73c5f217467
27727 .xword 0x796349fb0202e1b3
27728 .xword 0xe9037f19c1ad7c8c
27729 .xword 0x127570f3ea41cf19
27730 .xword 0x692bb9e45fe9b7f7
27731 .xword 0x6020c5d08ddb710e
27732_t1_copy_auth_iv:
27733 .xword 0x7c29859e46b9851c
27734 .xword 0x25fd691cb8ae2148
27735 .xword 0x5847bd83e4539116
27736 .xword 0x0d1fe6d4a39731b4
27737 .xword 0x768a567021eda95f
27738 .xword 0x41098bf4ec6da4c8
27739 .xword 0x30ffa759bf6d19f6
27740 .xword 0x28276aef2623058c
27741 .xword 0x67e03cd1c0cb2f23
27742 .xword 0x34ff3bc21bdc5526
27743 .xword 0x41e05d185a50c2b0
27744 .xword 0xb778e4ae8aecefb8
27745 .xword 0x8e7bb5392d6a269c
27746 .xword 0xda07a5722a6bf3c3
27747 .xword 0x1a65bf31557e4f09
27748 .xword 0x8dee8e98d3ffab03
27749 .xword 0x7e2e5d2ab90c9f4f
27750 .xword 0x58ec4a422824de07
27751 .xword 0xcf793b27f4315e28
27752 .xword 0x28888ee51d2cce05
27753 .xword 0x1cbf666a2f83a2dd
27754 .xword 0x7adaeea95c48b08b
27755 .xword 0xfed4a8cb6100976c
27756_t1_copy_fas_result:
27757 .xword 0xDEADBEEFDEADBEEF
27758 .xword 0xDEADBEEFDEADBEEF
27759 .xword 0xDEADBEEFDEADBEEF
27760 .xword 0xDEADBEEFDEADBEEF
27761 .xword 0xDEADBEEFDEADBEEF
27762 .xword 0xDEADBEEFDEADBEEF
27763 .xword 0xDEADBEEFDEADBEEF
27764 .xword 0xDEADBEEFDEADBEEF
27765 .xword 0xDEADBEEFDEADBEEF
27766 .xword 0xDEADBEEFDEADBEEF
27767 .xword 0xDEADBEEFDEADBEEF
27768 .xword 0xDEADBEEFDEADBEEF
27769 .xword 0xDEADBEEFDEADBEEF
27770 .xword 0xDEADBEEFDEADBEEF
27771 .xword 0xDEADBEEFDEADBEEF
27772 .xword 0xDEADBEEFDEADBEEF
27773 .xword 0xDEADBEEFDEADBEEF
27774 .xword 0xDEADBEEFDEADBEEF
27775 .xword 0xDEADBEEFDEADBEEF
27776 .xword 0xDEADBEEFDEADBEEF
27777 .xword 0xDEADBEEFDEADBEEF
27778 .xword 0xDEADBEEFDEADBEEF
27779 .xword 0xDEADBEEFDEADBEEF
27780_t1_crc_key_array:
27781 .xword 0xe5e9675afb2e6120
27782 .xword 0x172fb940e71370b3
27783 .xword 0x32a309d716433f05
27784 .xword 0x190c87f7cae163c2
27785 .xword 0xf95db4338cef97c2
27786 .xword 0xcee8db39ac59cd92
27787 .xword 0xc2aa358cb17e33e7
27788 .xword 0xa314baa1c9d443b2
27789 .xword 0x399d813ced1ac44d
27790 .xword 0x403615b88e79a398
27791 .xword 0x647533af436d73bc
27792 .xword 0x632dd2a72a117270
27793 .xword 0x52338da8e4b39f4e
27794 .xword 0x041a826740f30343
27795 .xword 0x89a3c45494ddb79e
27796 .xword 0x4bb298129a97c3a4
27797 .xword 0x48aaa7b54ecd258c
27798 .xword 0x362e561cf22763cc
27799 .xword 0x9324d9350c9e2d04
27800 .xword 0x388c0b5c29377aef
27801 .xword 0xd226c3d3531521f8
27802 .xword 0x5809b97763266ba4
27803 .xword 0x1f3287487e967e78
27804 .xword 0x76966194939a22a0
27805 .xword 0x105db2e4822426ac
27806 .xword 0x209d0a8f0035e76f
27807 .xword 0x1e139f4c35f7f1d5
27808 .xword 0x2ddc3da3c51bc9cf
27809 .xword 0x8d2a7ed68997e9da
27810 .xword 0x1d4918eb5d622a81
27811 .xword 0x380565cafe75c3c4
27812 .xword 0x2c3f65a92633ae64
27813 .xword 0x3aeea02259da41e2
27814 .xword 0xa10094134c47e27c
27815 .xword 0x2ff148552d3f2fae
27816 .xword 0x4123bfcf28504cc4
27817 .xword 0xb385780e250a6ef3
27818 .xword 0xf0c0faa79c962f26
27819 .xword 0x2ba5dfa643239a95
27820 .xword 0x90e442ff660795a1
27821 .xword 0x9429621a7ca23e8e
27822 .xword 0xe619be2f7d368ff6
27823 .xword 0xb582647e556ad5f4
27824 .xword 0x4f26f56c04b5af94
27825 .xword 0xdba6e7c3a5de859c
27826 .xword 0x58ca5684853bf1da
27827 .xword 0x6facf06a48145869
27828 .xword 0xd43d33f1b746a98b
27829 .xword 0xc1c2b7321ef5ebb3
27830 .xword 0xccc4150d08c54186
27831 .xword 0x4d89bf7efb827688
27832_t1_crc_iv_array:
27833 .xword 0xcf67b3739175b7ea
27834 .xword 0x813b2154aa5512fb
27835 .xword 0x8893a60b4b4bde40
27836 .xword 0x529116d9831d6710
27837 .xword 0x218ebafa29e344b2
27838 .xword 0x6ea0c4fba5b4e8ce
27839 .xword 0xe6c9784392f5b23b
27840 .xword 0xdcd1f1bff37544cd
27841 .xword 0x7df65df94994e657
27842 .xword 0x1ca402f8d4d79677
27843 .xword 0x7162e3187c4ef152
27844 .xword 0x645aa31b79c0542c
27845 .xword 0x25875c9497098021
27846 .xword 0xdf645edd3cd62edf
27847 .xword 0xf3f0ddc4a8ebf268
27848 .xword 0xe86f5da063f5827e
27849 .xword 0x51b94f41f8e34375
27850 .xword 0xb2f10fc3b5f82aaa
27851 .xword 0xa6b66531c7435679
27852 .xword 0xc5ba86c82b8a7e8b
27853 .xword 0xae8c2db4af2e9701
27854 .xword 0x4e426035c6bc0e05
27855 .xword 0x2e5750ee42fb44bd
27856 .xword 0xa717819a5471c43c
27857 .xword 0xc5061b87edca7b4e
27858 .xword 0x22ffa71e2ebeabc3
27859 .xword 0x827c63c2ea8d32f7
27860 .xword 0x701d8c3029780f97
27861 .xword 0xc066bf4b0d7eb49e
27862 .xword 0x71789286841c7ca1
27863 .xword 0x4450664643c3b750
27864 .xword 0xee3f5aad3dfe84f2
27865 .xword 0x67a86113d8496903
27866 .xword 0x6c9f33d6663e9808
27867 .xword 0x9819d0fe52ba8019
27868 .xword 0xa2d4a08f43b96e79
27869 .xword 0xae2b227dfb705f80
27870 .xword 0x23c2913b40e0c460
27871 .xword 0xcc091bb8a7f125b6
27872 .xword 0x2f7a7ace04c576ad
27873 .xword 0x6f5229f343ee9611
27874 .xword 0x1ef9454b02488ba0
27875 .xword 0x2c8184cc8ff93d03
27876 .xword 0xd5700bd66569b8f9
27877 .xword 0xf067fa739c4bb89b
27878_t1_crc_alignment_array:
27879 .xword 10
27880 .xword 15
27881 .xword 9
27882 .xword 14
27883 .xword 0
27884 .xword 1
27885 .xword 14
27886 .xword 9
27887 .xword 4
27888 .xword 15
27889 .xword 7
27890 .xword 1
27891 .xword 7
27892 .xword 9
27893 .xword 6
27894 .xword 10
27895 .xword 4
27896 .xword 6
27897 .xword 14
27898 .xword 2
27899 .xword 9
27900 .xword 0
27901 .xword 15
27902 .xword 8
27903 .xword 0
27904 .xword 9
27905 .xword 12
27906 .xword 4
27907 .xword 7
27908 .xword 14
27909 .xword 4
27910 .xword 14
27911 .xword 5
27912 .xword 15
27913 .xword 14
27914 .xword 7
27915 .xword 4
27916 .xword 9
27917 .xword 3
27918 .xword 12
27919 .xword 4
27920 .xword 6
27921 .xword 13
27922 .xword 12
27923 .xword 14
27924 .xword 13
27925 .xword 1
27926 .xword 4
27927 .xword 15
27928 .xword 7
27929 .xword 6
27930 .xword 5
27931 .xword 2
27932 .xword 4
27933 .xword 11
27934 .xword 6
27935 .xword 15
27936 .xword 2
27937 .xword 3
27938 .xword 5
27939 .xword 7
27940 .xword 11
27941 .xword 0
27942 .xword 12
27943 .xword 8
27944 .xword 7
27945 .xword 0
27946 .xword 3
27947 .xword 8
27948 .xword 9
27949 .xword 5
27950 .xword 10
27951 .xword 9
27952 .xword 7
27953 .xword 12
27954 .xword 4
27955 .xword 15
27956 .xword 12
27957 .xword 6
27958 .xword 4
27959 .xword 3
27960 .xword 14
27961 .xword 7
27962 .xword 1
27963 .xword 5
27964 .xword 6
27965 .xword 6
27966 .xword 13
27967 .xword 0
27968 .xword 15
27969 .xword 9
27970 .xword 6
27971 .xword 13
27972 .xword 3
27973 .xword 15
27974 .xword 6
27975 .xword 2
27976 .xword 7
27977 .xword 2
27978 .xword 6
27979 .xword 9
27980 .xword 2
27981 .xword 6
27982 .xword 0
27983 .xword 15
27984_t1_crc_src:
27985 .xword 0xd2e96bec2fbfe077
27986 .xword 0xb76256337c55a792
27987 .xword 0xe16c2df7b9133a29
27988 .xword 0x1fb92359c181c92c
27989 .xword 0xfb9b91c84f2c35ed
27990 .xword 0x9752769b3bf01113
27991 .xword 0x8d14444e1535b80b
27992 .xword 0x7e600008d3534ab7
27993 .xword 0x52964d39de1a1274
27994 .xword 0x41603b4924ef4a46
27995 .xword 0x56350197412e7a7e
27996 .xword 0x901674b69109ab35
27997 .xword 0x0f5d57de4fa689c9
27998 .xword 0xe2795cfee3fae2da
27999 .xword 0xf90d77328d469ce5
28000 .xword 0x5a6242c0bfc18ebd
28001 .xword 0x1bb5f8edc52f8a85
28002 .xword 0xe4c48d125a81c8d6
28003 .xword 0x39b8b7a445f7a7b9
28004 .xword 0x29d1860ee3d0f455
28005 .xword 0x2e5dfb9b9b7ef395
28006 .xword 0x834a30603e3ec0ec
28007 .xword 0xdf2cd4ae6e47bae2
28008 .xword 0x1358ac6a63e831e5
28009 .xword 0x26e34cd6a1de7fe5
28010 .xword 0x1d99e4b4e282a463
28011 .xword 0x759363766054af05
28012 .xword 0x61b3eb659bd5f9db
28013 .xword 0x025025d004c63d0d
28014 .xword 0x8f2bcdf6359c5ab8
28015 .xword 0x578fe0017cea68ce
28016 .xword 0xb378371b1f96e9cb
28017 .xword 0x5761fb5dddc89025
28018 .xword 0xb752a044f9246552
28019 .xword 0xb474d2f931d05342
28020 .xword 0x37ee99ef9bd0f5c3
28021 .xword 0x41558b288cd90798
28022 .xword 0x80f07b5379e0cd1c
28023 .xword 0xecfe7f9aebde207f
28024 .xword 0xa324bed83fc3c6b7
28025 .xword 0xd894787753085a09
28026 .xword 0x804da4d2f3f74bcf
28027 .xword 0xf721c2207f9734d2
28028 .xword 0xe8ec353e72389035
28029 .xword 0xb956d701bfcb25e3
28030 .xword 0xda36a8fd1cb8b3e4
28031 .xword 0x597fa49e4c02b1f6
28032 .xword 0x53e4b8532d5911cf
28033 .xword 0x7f59a68473b993fe
28034 .xword 0xa2376774c116c81d
28035 .xword 0xe06704fab58ce179
28036 .xword 0x85e89ef84e943381
28037 .xword 0xc8213980587106a9
28038 .xword 0x177b811b541173e7
28039 .xword 0xc229bce4fd2a1800
28040 .xword 0x877df1b45f867b04
28041 .xword 0x7f4df8cfdb8299ae
28042 .xword 0x9d67b0c928586f8f
28043 .xword 0xddcd7c6ddf6d12b3
28044 .xword 0xc82e64e391ba5ed9
28045 .xword 0xb00b7d906ad8a61f
28046 .xword 0x25ff049f89e91e47
28047 .xword 0x535de40a869aa7f8
28048 .xword 0xb7f7d425d6f9c427
28049 .xword 0x605e27109f32dfb5
28050 .xword 0x5a3806006b3e50ad
28051 .xword 0x8b9b9070df69002d
28052 .xword 0x1cc35b2ac027ab5f
28053 .xword 0xf133cff05145e917
28054 .xword 0x9bd9ac7bb150e880
28055 .xword 0x4941c2e90089a545
28056 .xword 0xfb7284e2663cf680
28057 .xword 0x71b3242dca92cb3f
28058 .xword 0xb983dc66ce9ac3ca
28059 .xword 0xa6c73b319969bee2
28060 .xword 0xe267794afdced762
28061 .xword 0xa8ece5eda1e0c84b
28062 .xword 0x10a71ed27d26e835
28063 .xword 0x375dc0c1fe4b8b4e
28064 .xword 0x4707708756546f21
28065 .xword 0x7b9f19b540dbe70e
28066 .xword 0xc79c3d7de63d50ec
28067 .xword 0x1d186842a5b4d9ff
28068 .xword 0x54c5ddc71b363a5f
28069 .xword 0xb316b55dd3562935
28070 .xword 0x6e804798ec85b2c0
28071 .xword 0xeeec4544470f85a8
28072 .xword 0xd5f67279e18dec54
28073 .xword 0x1d811c3ac88ff1f8
28074 .xword 0xd34325453d755682
28075 .xword 0xd2ea7f71d5f12e63
28076 .xword 0x0930b75c8fa7eb7f
28077 .xword 0xcb53ce93ee92cb13
28078 .xword 0xa5b241c5c86fa487
28079 .xword 0x466779bfb94b5e32
28080 .xword 0xad4dd823dbe1aaaf
28081 .xword 0xfc9aaff4b7ea09ce
28082 .xword 0x473efc3b9141c1de
28083 .xword 0x51c220bc89d987a5
28084 .xword 0xba16623454dd4717
28085 .xword 0xe2d27d85c7457a5f
28086 .xword 0x9e1e445f166e3383
28087 .xword 0x973639ec9d2d79f4
28088 .xword 0x263bd5bd88f31f57
28089 .xword 0x544e006200a88b4d
28090 .xword 0xaa17000c3dbf9631
28091 .xword 0x36fd30e7740465bc
28092 .xword 0x9f15d6ce86b47e05
28093 .xword 0xc3d6e91f2c4f1bb5
28094 .xword 0x676c0c0a134322b9
28095 .xword 0x7752c314b7523e63
28096 .xword 0x98e77f3eecb86048
28097 .xword 0x42d523731a9c6d7f
28098 .xword 0x41d1bc88ff41d83e
28099 .xword 0x214f361a74a974a4
28100 .xword 0x94e51c1025f5dde6
28101 .xword 0x1c36529f6f59d20b
28102 .xword 0x49c0c958fad55faf
28103 .xword 0x93c04b5a8faec275
28104 .xword 0x4944d73d90a6a833
28105 .xword 0x9e0823c6445344cc
28106 .xword 0x4b9de89868e465cf
28107 .xword 0xf68587542f54b27a
28108 .xword 0x68d7e9d301ddad38
28109 .xword 0x08610eecee16bd76
28110 .xword 0x07170e1a00c2f404
28111 .xword 0xfa0ccbcb95de4fda
28112 .xword 0xd350fd8d34f1494b
28113 .xword 0x4d1152a38e181b3a
28114 .xword 0x1078e74abc93332c
28115 .xword 0xf3d60c3f3a6c373b
28116 .xword 0xf77da7113cb18e2d
28117 .xword 0x2d0ee6a1f95dacee
28118 .xword 0x59da5bfe8f7871b5
28119 .xword 0x1546cafa20db8b64
28120 .xword 0x201ecab813506293
28121 .xword 0xd566ff69bd4d2f4c
28122 .xword 0xacfd436af4210859
28123 .xword 0x00881455d7996866
28124 .xword 0x200ec9b4d9bce05c
28125 .xword 0xe4f64b75ae901e77
28126 .xword 0x93ad06fce2e03bc7
28127 .xword 0x04e2ce66fc6900bf
28128 .xword 0x37975b32231ab4ec
28129 .xword 0x7128fba3f9876a89
28130 .xword 0x839992d8582ee96c
28131 .xword 0x6207933b90f9b765
28132 .xword 0x5eee2f892d9af5a7
28133 .xword 0x0cdf9449e2f581a9
28134 .xword 0x96b6a29442dd4b91
28135 .xword 0x3cdc1d4e432821c0
28136 .xword 0x0d519e9cf25217bd
28137 .xword 0x2a0f12e7415f1342
28138 .xword 0xaad894fc8a37a95d
28139 .xword 0x3d9dffd8d7e1d54f
28140 .xword 0x1357f98cc22f8202
28141 .xword 0xd97b1f8fba85a7c2
28142 .xword 0xc2501ea4ad1144ca
28143 .xword 0x2ec540f3d1335e92
28144 .xword 0x62422084de15173d
28145 .xword 0xa2e32bd9bde4f006
28146 .xword 0x6c5b93f481bd2f22
28147 .xword 0x3e9fdc5738c4c5a1
28148 .xword 0xb1b1979901365280
28149 .xword 0x2cb5781165c98156
28150 .xword 0x6aa6e81397d5a046
28151 .xword 0x9a43ab2a4bd7eb71
28152 .xword 0xf1e02a20b1ce87fd
28153 .xword 0x47bd9aadbd3a859c
28154 .xword 0x3e2d900e7502eae6
28155 .xword 0x3290eefa6d8c579c
28156 .xword 0x3f82deefe7f6ae4a
28157 .xword 0xd94d9de37ea43d66
28158 .xword 0xef104f866a493ed6
28159 .xword 0x8c22f27853941a94
28160 .xword 0x22e12232dbc25c18
28161 .xword 0x505092484172a8fe
28162 .xword 0x21d1f63c8275439d
28163 .xword 0x09aaf5a6a32306aa
28164 .xword 0x58f807505ffcdd11
28165 .xword 0x9dee28b7963b52c0
28166 .xword 0x3b8299c367d17324
28167 .xword 0x0d2af4bbc3cf05f5
28168 .xword 0xd6f6b3f2377b430f
28169 .xword 0x3de58671a87c4a12
28170 .xword 0xb59e001f6bc2879f
28171 .xword 0xc15e019fab6d84e3
28172 .xword 0x8f2e6ba040dd9095
28173 .xword 0x4e7e24c9a141d3b0
28174 .xword 0x6551404f68fc7716
28175 .xword 0xaace919f0dd682c6
28176 .xword 0x1144e66a9a2e8e90
28177 .xword 0x48110e72fe2da092
28178 .xword 0xe8da3c39df82d602
28179 .xword 0xf21efa7e757c452d
28180 .xword 0x24681495febf72de
28181 .xword 0x459c55112ee44c66
28182 .xword 0x316326a48399c01b
28183 .xword 0x6ac08e4105f1018c
28184 .xword 0x974090c969af494b
28185 .xword 0x79cfcd822d60d10b
28186 .xword 0xb73436ad73f49303
28187 .xword 0x3655bb2e26825e70
28188 .xword 0x2d69ebb17bf41690
28189 .xword 0x7e115391e07d6248
28190 .xword 0xc67869eb409cc09d
28191 .xword 0x2a4c6655ff830238
28192 .xword 0xbba37eab45ddb640
28193 .xword 0x2c29b3f796b23cf2
28194 .xword 0x7b19912e511896e2
28195 .xword 0x4f54f6b857c1a04c
28196 .xword 0x7b340065afe36333
28197 .xword 0x139a1e6adb837ef8
28198 .xword 0x05ba6208eed41252
28199 .xword 0x01ff07952679b963
28200 .xword 0x8b48a6597f0798b5
28201 .xword 0xc9f6bc9e1d596aea
28202 .xword 0x9e12f8056f294c4d
28203 .xword 0x8679066191596d14
28204 .xword 0x40e11669ac9c3ea2
28205 .xword 0x2069ccabaadeeff6
28206 .xword 0xea68a1ef0f17e16a
28207 .xword 0x8652555c00f78017
28208 .xword 0x074b5ef5209625f2
28209 .xword 0xf5b1281f0f772999
28210 .xword 0x0e7d7f4b9ca9102e
28211 .xword 0xe24ae3fad99bbe84
28212 .xword 0xd4a870138eaad8dc
28213 .xword 0x1e8febda3d8679c8
28214 .xword 0x6dc28fd1f25264b7
28215 .xword 0x953e69817ddf4a4f
28216 .xword 0x35131bcb33175e0f
28217 .xword 0xdd86f14bdae53f99
28218 .xword 0xbd0dcb25a013bd56
28219 .xword 0xa2aa88b558898eaa
28220 .xword 0x32848a0e7924a029
28221 .xword 0x6400d561db271aab
28222 .xword 0xb50e5c2195318e59
28223 .xword 0xf21cb1ed2b75a947
28224 .xword 0xef91c2f7667155b8
28225 .xword 0x7b50a09b9786ad7e
28226 .xword 0xda01fa7efda25f29
28227 .xword 0x346896855b3272db
28228 .xword 0xde4b9847f7af6101
28229 .xword 0xf2272d8ce40cb3d5
28230 .xword 0x5b5404888c84f375
28231 .xword 0xe34e8c213e5768be
28232 .xword 0xab6bfd47d1f27b60
28233 .xword 0xa3c5e8d23b407f42
28234 .xword 0x46f117a865777907
28235 .xword 0x8488d70867bec24e
28236 .xword 0x4e07e6bda53bf787
28237 .xword 0xf59ef1c478af92af
28238 .xword 0xa7eef5579bdf0bb6
28239 .xword 0x6b608d08161afe06
28240 .xword 0x4b76513f04f7a878
28241 .xword 0x345e2c989f67d735
28242 .xword 0x2c7bdcab78916bee
28243 .xword 0xf2e0be7a348efad7
28244 .xword 0x5f38400dd0e41edc
28245 .xword 0xf8fe0e6176d43c12
28246 .xword 0x87b217320bb6edc1
28247 .xword 0xf238d1937129c83c
28248 .xword 0xd7324f158ee7f736
28249 .xword 0x56f43d33adc47cc9
28250 .xword 0x7f73d1308b0d1937
28251 .xword 0xe8ffa4716df0c6b8
28252 .xword 0x219b45afae0a14c9
28253 .xword 0x8143e95d508f989f
28254 .xword 0x3df68888f8088c8b
28255 .xword 0x3bdc002ad627249e
28256 .xword 0xd04810a69cc8e567
28257 .xword 0x77d31a57ed62f17b
28258 .xword 0x14430d1542c05528
28259 .xword 0x34b71eaf970470fb
28260_t1_crc_dest:
28261 .xword 0xDEADBEEFDEADBEEF
28262 .xword 0xDEADBEEFDEADBEEF
28263 .xword 0xDEADBEEFDEADBEEF
28264 .xword 0xDEADBEEFDEADBEEF
28265 .xword 0xDEADBEEFDEADBEEF
28266 .xword 0xDEADBEEFDEADBEEF
28267 .xword 0xDEADBEEFDEADBEEF
28268 .xword 0xDEADBEEFDEADBEEF
28269 .xword 0xDEADBEEFDEADBEEF
28270 .xword 0xDEADBEEFDEADBEEF
28271 .xword 0xDEADBEEFDEADBEEF
28272 .xword 0xDEADBEEFDEADBEEF
28273 .xword 0xDEADBEEFDEADBEEF
28274 .xword 0xDEADBEEFDEADBEEF
28275 .xword 0xDEADBEEFDEADBEEF
28276 .xword 0xDEADBEEFDEADBEEF
28277 .xword 0xDEADBEEFDEADBEEF
28278 .xword 0xDEADBEEFDEADBEEF
28279 .xword 0xDEADBEEFDEADBEEF
28280 .xword 0xDEADBEEFDEADBEEF
28281 .xword 0xDEADBEEFDEADBEEF
28282 .xword 0xDEADBEEFDEADBEEF
28283 .xword 0xDEADBEEFDEADBEEF
28284 .xword 0xDEADBEEFDEADBEEF
28285 .xword 0xDEADBEEFDEADBEEF
28286 .xword 0xDEADBEEFDEADBEEF
28287 .xword 0xDEADBEEFDEADBEEF
28288 .xword 0xDEADBEEFDEADBEEF
28289 .xword 0xDEADBEEFDEADBEEF
28290 .xword 0xDEADBEEFDEADBEEF
28291 .xword 0xDEADBEEFDEADBEEF
28292 .xword 0xDEADBEEFDEADBEEF
28293 .xword 0xDEADBEEFDEADBEEF
28294 .xword 0xDEADBEEFDEADBEEF
28295 .xword 0xDEADBEEFDEADBEEF
28296 .xword 0xDEADBEEFDEADBEEF
28297 .xword 0xDEADBEEFDEADBEEF
28298 .xword 0xDEADBEEFDEADBEEF
28299 .xword 0xDEADBEEFDEADBEEF
28300 .xword 0xDEADBEEFDEADBEEF
28301 .xword 0xDEADBEEFDEADBEEF
28302 .xword 0xDEADBEEFDEADBEEF
28303 .xword 0xDEADBEEFDEADBEEF
28304 .xword 0xDEADBEEFDEADBEEF
28305 .xword 0xDEADBEEFDEADBEEF
28306 .xword 0xDEADBEEFDEADBEEF
28307 .xword 0xDEADBEEFDEADBEEF
28308 .xword 0xDEADBEEFDEADBEEF
28309 .xword 0xDEADBEEFDEADBEEF
28310 .xword 0xDEADBEEFDEADBEEF
28311 .xword 0xDEADBEEFDEADBEEF
28312 .xword 0xDEADBEEFDEADBEEF
28313 .xword 0xDEADBEEFDEADBEEF
28314 .xword 0xDEADBEEFDEADBEEF
28315 .xword 0xDEADBEEFDEADBEEF
28316 .xword 0xDEADBEEFDEADBEEF
28317 .xword 0xDEADBEEFDEADBEEF
28318 .xword 0xDEADBEEFDEADBEEF
28319 .xword 0xDEADBEEFDEADBEEF
28320 .xword 0xDEADBEEFDEADBEEF
28321 .xword 0xDEADBEEFDEADBEEF
28322 .xword 0xDEADBEEFDEADBEEF
28323 .xword 0xDEADBEEFDEADBEEF
28324 .xword 0xDEADBEEFDEADBEEF
28325 .xword 0xDEADBEEFDEADBEEF
28326 .xword 0xDEADBEEFDEADBEEF
28327 .xword 0xDEADBEEFDEADBEEF
28328 .xword 0xDEADBEEFDEADBEEF
28329 .xword 0xDEADBEEFDEADBEEF
28330 .xword 0xDEADBEEFDEADBEEF
28331 .xword 0xDEADBEEFDEADBEEF
28332 .xword 0xDEADBEEFDEADBEEF
28333 .xword 0xDEADBEEFDEADBEEF
28334 .xword 0xDEADBEEFDEADBEEF
28335 .xword 0xDEADBEEFDEADBEEF
28336 .xword 0xDEADBEEFDEADBEEF
28337 .xword 0xDEADBEEFDEADBEEF
28338 .xword 0xDEADBEEFDEADBEEF
28339 .xword 0xDEADBEEFDEADBEEF
28340 .xword 0xDEADBEEFDEADBEEF
28341 .xword 0xDEADBEEFDEADBEEF
28342 .xword 0xDEADBEEFDEADBEEF
28343 .xword 0xDEADBEEFDEADBEEF
28344 .xword 0xDEADBEEFDEADBEEF
28345 .xword 0xDEADBEEFDEADBEEF
28346 .xword 0xDEADBEEFDEADBEEF
28347 .xword 0xDEADBEEFDEADBEEF
28348 .xword 0xDEADBEEFDEADBEEF
28349 .xword 0xDEADBEEFDEADBEEF
28350 .xword 0xDEADBEEFDEADBEEF
28351 .xword 0xDEADBEEFDEADBEEF
28352 .xword 0xDEADBEEFDEADBEEF
28353 .xword 0xDEADBEEFDEADBEEF
28354 .xword 0xDEADBEEFDEADBEEF
28355 .xword 0xDEADBEEFDEADBEEF
28356 .xword 0xDEADBEEFDEADBEEF
28357 .xword 0xDEADBEEFDEADBEEF
28358 .xword 0xDEADBEEFDEADBEEF
28359 .xword 0xDEADBEEFDEADBEEF
28360 .xword 0xDEADBEEFDEADBEEF
28361 .xword 0xDEADBEEFDEADBEEF
28362 .xword 0xDEADBEEFDEADBEEF
28363 .xword 0xDEADBEEFDEADBEEF
28364 .xword 0xDEADBEEFDEADBEEF
28365 .xword 0xDEADBEEFDEADBEEF
28366 .xword 0xDEADBEEFDEADBEEF
28367 .xword 0xDEADBEEFDEADBEEF
28368 .xword 0xDEADBEEFDEADBEEF
28369 .xword 0xDEADBEEFDEADBEEF
28370 .xword 0xDEADBEEFDEADBEEF
28371 .xword 0xDEADBEEFDEADBEEF
28372 .xword 0xDEADBEEFDEADBEEF
28373 .xword 0xDEADBEEFDEADBEEF
28374 .xword 0xDEADBEEFDEADBEEF
28375 .xword 0xDEADBEEFDEADBEEF
28376 .xword 0xDEADBEEFDEADBEEF
28377 .xword 0xDEADBEEFDEADBEEF
28378 .xword 0xDEADBEEFDEADBEEF
28379 .xword 0xDEADBEEFDEADBEEF
28380 .xword 0xDEADBEEFDEADBEEF
28381 .xword 0xDEADBEEFDEADBEEF
28382 .xword 0xDEADBEEFDEADBEEF
28383 .xword 0xDEADBEEFDEADBEEF
28384 .xword 0xDEADBEEFDEADBEEF
28385 .xword 0xDEADBEEFDEADBEEF
28386 .xword 0xDEADBEEFDEADBEEF
28387 .xword 0xDEADBEEFDEADBEEF
28388 .xword 0xDEADBEEFDEADBEEF
28389 .xword 0xDEADBEEFDEADBEEF
28390 .xword 0xDEADBEEFDEADBEEF
28391 .xword 0xDEADBEEFDEADBEEF
28392 .xword 0xDEADBEEFDEADBEEF
28393 .xword 0xDEADBEEFDEADBEEF
28394 .xword 0xDEADBEEFDEADBEEF
28395 .xword 0xDEADBEEFDEADBEEF
28396 .xword 0xDEADBEEFDEADBEEF
28397 .xword 0xDEADBEEFDEADBEEF
28398 .xword 0xDEADBEEFDEADBEEF
28399 .xword 0xDEADBEEFDEADBEEF
28400 .xword 0xDEADBEEFDEADBEEF
28401 .xword 0xDEADBEEFDEADBEEF
28402 .xword 0xDEADBEEFDEADBEEF
28403 .xword 0xDEADBEEFDEADBEEF
28404 .xword 0xDEADBEEFDEADBEEF
28405 .xword 0xDEADBEEFDEADBEEF
28406 .xword 0xDEADBEEFDEADBEEF
28407 .xword 0xDEADBEEFDEADBEEF
28408 .xword 0xDEADBEEFDEADBEEF
28409 .xword 0xDEADBEEFDEADBEEF
28410 .xword 0xDEADBEEFDEADBEEF
28411 .xword 0xDEADBEEFDEADBEEF
28412 .xword 0xDEADBEEFDEADBEEF
28413 .xword 0xDEADBEEFDEADBEEF
28414 .xword 0xDEADBEEFDEADBEEF
28415 .xword 0xDEADBEEFDEADBEEF
28416 .xword 0xDEADBEEFDEADBEEF
28417 .xword 0xDEADBEEFDEADBEEF
28418 .xword 0xDEADBEEFDEADBEEF
28419 .xword 0xDEADBEEFDEADBEEF
28420 .xword 0xDEADBEEFDEADBEEF
28421 .xword 0xDEADBEEFDEADBEEF
28422 .xword 0xDEADBEEFDEADBEEF
28423 .xword 0xDEADBEEFDEADBEEF
28424 .xword 0xDEADBEEFDEADBEEF
28425 .xword 0xDEADBEEFDEADBEEF
28426 .xword 0xDEADBEEFDEADBEEF
28427 .xword 0xDEADBEEFDEADBEEF
28428 .xword 0xDEADBEEFDEADBEEF
28429 .xword 0xDEADBEEFDEADBEEF
28430 .xword 0xDEADBEEFDEADBEEF
28431 .xword 0xDEADBEEFDEADBEEF
28432 .xword 0xDEADBEEFDEADBEEF
28433 .xword 0xDEADBEEFDEADBEEF
28434 .xword 0xDEADBEEFDEADBEEF
28435 .xword 0xDEADBEEFDEADBEEF
28436 .xword 0xDEADBEEFDEADBEEF
28437 .xword 0xDEADBEEFDEADBEEF
28438 .xword 0xDEADBEEFDEADBEEF
28439 .xword 0xDEADBEEFDEADBEEF
28440 .xword 0xDEADBEEFDEADBEEF
28441 .xword 0xDEADBEEFDEADBEEF
28442 .xword 0xDEADBEEFDEADBEEF
28443 .xword 0xDEADBEEFDEADBEEF
28444 .xword 0xDEADBEEFDEADBEEF
28445 .xword 0xDEADBEEFDEADBEEF
28446 .xword 0xDEADBEEFDEADBEEF
28447 .xword 0xDEADBEEFDEADBEEF
28448 .xword 0xDEADBEEFDEADBEEF
28449 .xword 0xDEADBEEFDEADBEEF
28450 .xword 0xDEADBEEFDEADBEEF
28451 .xword 0xDEADBEEFDEADBEEF
28452 .xword 0xDEADBEEFDEADBEEF
28453 .xword 0xDEADBEEFDEADBEEF
28454 .xword 0xDEADBEEFDEADBEEF
28455 .xword 0xDEADBEEFDEADBEEF
28456 .xword 0xDEADBEEFDEADBEEF
28457 .xword 0xDEADBEEFDEADBEEF
28458 .xword 0xDEADBEEFDEADBEEF
28459 .xword 0xDEADBEEFDEADBEEF
28460 .xword 0xDEADBEEFDEADBEEF
28461 .xword 0xDEADBEEFDEADBEEF
28462 .xword 0xDEADBEEFDEADBEEF
28463 .xword 0xDEADBEEFDEADBEEF
28464 .xword 0xDEADBEEFDEADBEEF
28465 .xword 0xDEADBEEFDEADBEEF
28466 .xword 0xDEADBEEFDEADBEEF
28467 .xword 0xDEADBEEFDEADBEEF
28468 .xword 0xDEADBEEFDEADBEEF
28469 .xword 0xDEADBEEFDEADBEEF
28470 .xword 0xDEADBEEFDEADBEEF
28471 .xword 0xDEADBEEFDEADBEEF
28472 .xword 0xDEADBEEFDEADBEEF
28473 .xword 0xDEADBEEFDEADBEEF
28474 .xword 0xDEADBEEFDEADBEEF
28475 .xword 0xDEADBEEFDEADBEEF
28476 .xword 0xDEADBEEFDEADBEEF
28477 .xword 0xDEADBEEFDEADBEEF
28478 .xword 0xDEADBEEFDEADBEEF
28479 .xword 0xDEADBEEFDEADBEEF
28480 .xword 0xDEADBEEFDEADBEEF
28481 .xword 0xDEADBEEFDEADBEEF
28482 .xword 0xDEADBEEFDEADBEEF
28483 .xword 0xDEADBEEFDEADBEEF
28484 .xword 0xDEADBEEFDEADBEEF
28485 .xword 0xDEADBEEFDEADBEEF
28486 .xword 0xDEADBEEFDEADBEEF
28487 .xword 0xDEADBEEFDEADBEEF
28488 .xword 0xDEADBEEFDEADBEEF
28489 .xword 0xDEADBEEFDEADBEEF
28490 .xword 0xDEADBEEFDEADBEEF
28491 .xword 0xDEADBEEFDEADBEEF
28492 .xword 0xDEADBEEFDEADBEEF
28493 .xword 0xDEADBEEFDEADBEEF
28494 .xword 0xDEADBEEFDEADBEEF
28495 .xword 0xDEADBEEFDEADBEEF
28496 .xword 0xDEADBEEFDEADBEEF
28497 .xword 0xDEADBEEFDEADBEEF
28498 .xword 0xDEADBEEFDEADBEEF
28499 .xword 0xDEADBEEFDEADBEEF
28500 .xword 0xDEADBEEFDEADBEEF
28501 .xword 0xDEADBEEFDEADBEEF
28502 .xword 0xDEADBEEFDEADBEEF
28503 .xword 0xDEADBEEFDEADBEEF
28504 .xword 0xDEADBEEFDEADBEEF
28505 .xword 0xDEADBEEFDEADBEEF
28506 .xword 0xDEADBEEFDEADBEEF
28507 .xword 0xDEADBEEFDEADBEEF
28508 .xword 0xDEADBEEFDEADBEEF
28509 .xword 0xDEADBEEFDEADBEEF
28510 .xword 0xDEADBEEFDEADBEEF
28511 .xword 0xDEADBEEFDEADBEEF
28512 .xword 0xDEADBEEFDEADBEEF
28513 .xword 0xDEADBEEFDEADBEEF
28514 .xword 0xDEADBEEFDEADBEEF
28515 .xword 0xDEADBEEFDEADBEEF
28516 .xword 0xDEADBEEFDEADBEEF
28517 .xword 0xDEADBEEFDEADBEEF
28518 .xword 0xDEADBEEFDEADBEEF
28519 .xword 0xDEADBEEFDEADBEEF
28520 .xword 0xDEADBEEFDEADBEEF
28521 .xword 0xDEADBEEFDEADBEEF
28522 .xword 0xDEADBEEFDEADBEEF
28523 .xword 0xDEADBEEFDEADBEEF
28524 .xword 0xDEADBEEFDEADBEEF
28525 .xword 0xDEADBEEFDEADBEEF
28526 .xword 0xDEADBEEFDEADBEEF
28527 .xword 0xDEADBEEFDEADBEEF
28528 .xword 0xDEADBEEFDEADBEEF
28529 .xword 0xDEADBEEFDEADBEEF
28530 .xword 0xDEADBEEFDEADBEEF
28531 .xword 0xDEADBEEFDEADBEEF
28532 .xword 0xDEADBEEFDEADBEEF
28533 .xword 0xDEADBEEFDEADBEEF
28534 .xword 0xDEADBEEFDEADBEEF
28535 .xword 0xDEADBEEFDEADBEEF
28536_t1_crc_auth_key:
28537 .xword 0xdbc428b3af87d29b
28538 .xword 0x63b16d9c501bb081
28539 .xword 0x3159d4c27346ec58
28540 .xword 0xbc2806e0d90671c2
28541 .xword 0xb78933c8a3ecfd4b
28542 .xword 0x5775a2ce960227c5
28543 .xword 0xf4608c9409856577
28544 .xword 0x4dbe1ba2fcd10f94
28545 .xword 0x4d0331766cb3caf5
28546 .xword 0xce26760ec21ebfce
28547 .xword 0x9d3e835597034bc4
28548 .xword 0x6f71410e764f92fe
28549 .xword 0x647194c461297fba
28550 .xword 0x6ccd31fef2566310
28551 .xword 0x2980eff617232bbf
28552 .xword 0x877018a1108684df
28553 .xword 0xea6287253e77d94c
28554 .xword 0x26d7c7b094d7f29d
28555 .xword 0xd9fe28014bd79ee6
28556 .xword 0xc2b95e50f2c32d66
28557 .xword 0x73a01bffd8e6b8af
28558 .xword 0x224578fd10ff0aac
28559 .xword 0xa8da31b6fa1b1a69
28560_t1_crc_auth_iv:
28561 .xword 0x64cfa41f79275cf4
28562 .xword 0xa582c57ccb345145
28563 .xword 0xf6e362673929e734
28564 .xword 0x3a0bd7c8e981a638
28565 .xword 0xb939d3d5bafd9a41
28566 .xword 0x84baf4fa5abd8319
28567 .xword 0xc6698d608523b49d
28568 .xword 0xc7b3fa886ede02f5
28569 .xword 0x27e80fa2f5f7efa8
28570 .xword 0xa0fe0eac6d0e7af7
28571 .xword 0x72bb0480749a866e
28572 .xword 0x8b377dda0321058d
28573 .xword 0xadffc42141a71a06
28574 .xword 0xf318b19adec0bb64
28575 .xword 0x32081c9332add02e
28576 .xword 0x1e9ee0d412c006c7
28577 .xword 0x20df4ffabc54c09f
28578 .xword 0x04010af04d813bf5
28579 .xword 0x865008d87f5cd0a2
28580 .xword 0x6793d2d10706a14f
28581 .xword 0x58e9e37ffd64a22f
28582 .xword 0x843fdc9f209ca709
28583 .xword 0x086cc9214a3d1911
28584_t1_crc_fas_result:
28585 .xword 0xDEADBEEFDEADBEEF
28586 .xword 0xDEADBEEFDEADBEEF
28587 .xword 0xDEADBEEFDEADBEEF
28588 .xword 0xDEADBEEFDEADBEEF
28589 .xword 0xDEADBEEFDEADBEEF
28590 .xword 0xDEADBEEFDEADBEEF
28591 .xword 0xDEADBEEFDEADBEEF
28592 .xword 0xDEADBEEFDEADBEEF
28593 .xword 0xDEADBEEFDEADBEEF
28594 .xword 0xDEADBEEFDEADBEEF
28595 .xword 0xDEADBEEFDEADBEEF
28596 .xword 0xDEADBEEFDEADBEEF
28597 .xword 0xDEADBEEFDEADBEEF
28598 .xword 0xDEADBEEFDEADBEEF
28599 .xword 0xDEADBEEFDEADBEEF
28600 .xword 0xDEADBEEFDEADBEEF
28601 .xword 0xDEADBEEFDEADBEEF
28602 .xword 0xDEADBEEFDEADBEEF
28603 .xword 0xDEADBEEFDEADBEEF
28604 .xword 0xDEADBEEFDEADBEEF
28605 .xword 0xDEADBEEFDEADBEEF
28606 .xword 0xDEADBEEFDEADBEEF
28607 .xword 0xDEADBEEFDEADBEEF
28608_t1_hash_key_array:
28609 .xword 0x046d5b3870860257
28610 .xword 0xee6889f69ed00d65
28611 .xword 0xedcb4faa29203849
28612 .xword 0x34fbcd02058bb3ad
28613 .xword 0x4cd6522bdb3fc775
28614 .xword 0x723b07298bc8c3db
28615 .xword 0x50195d0b01186ada
28616 .xword 0x23225aa0dd46a91a
28617 .xword 0x6755a3a6f01f4caf
28618 .xword 0x2cfbe5a9a45e0d14
28619 .xword 0x8dfdf8145c6095c6
28620 .xword 0x31a0a33db275c08a
28621 .xword 0x4cd2c4ad18a634fa
28622 .xword 0x63dad314e1918cc8
28623 .xword 0x86d994a87ecf7791
28624 .xword 0x29ef1a82e617d7bb
28625 .xword 0xade29f19203a8309
28626 .xword 0x78ba6bc19317e0bc
28627 .xword 0x4e18b2806fc4c321
28628 .xword 0x2bf94d132bd0261b
28629 .xword 0x07f92bffb7875e75
28630 .xword 0x3bee935f7fb982fa
28631 .xword 0x67eb2452bbebf764
28632 .xword 0x9e7c0eeca2c92de9
28633 .xword 0x989baf0e462d28a2
28634 .xword 0xdcc9e8e58613894c
28635 .xword 0xdfed673e01e35353
28636 .xword 0x8a46a69b844804e6
28637 .xword 0x0ee53a5eec760e46
28638 .xword 0x8213a32c1969b369
28639 .xword 0x8a7f583995fef42c
28640 .xword 0xdeefd401b045fc8e
28641 .xword 0xa9badc947af92d6b
28642 .xword 0xea0ec06da7d87e10
28643 .xword 0x0a2c6d52bb84aefc
28644 .xword 0xdb5fad18a03bf656
28645 .xword 0x0eb880abe56b8fcf
28646 .xword 0x340d65a6db539ae9
28647 .xword 0x919f5d238be645fc
28648 .xword 0xd3245f4a3f6afeb3
28649 .xword 0xe88ee5cf6abbb97d
28650 .xword 0xbb7d8960f5b61f0c
28651 .xword 0x5cbea9db8ee8d8e2
28652 .xword 0x0166e3ad510a583f
28653 .xword 0x6dc8e342ce2cf151
28654 .xword 0x7af01ead7c1a968c
28655 .xword 0x33d6b3318c692a03
28656 .xword 0x5bd5066bc530697c
28657 .xword 0x241273f180b876c2
28658 .xword 0x6230dddb1d47f547
28659 .xword 0x96c4930117195bce
28660_t1_hash_iv_array:
28661 .xword 0xb538e6cfb26a10bd
28662 .xword 0x6e2a6435dcec0add
28663 .xword 0x1cd80d008889acec
28664 .xword 0x469f9321bef6e32e
28665 .xword 0xf262b40fa1cbc996
28666 .xword 0xc5566a17cf2bdf10
28667 .xword 0x7ddb457e78165a33
28668 .xword 0xf0147ae1c501220e
28669 .xword 0xaa18bff454315478
28670 .xword 0x776452f001b50792
28671 .xword 0xd02bdda72234ce19
28672 .xword 0xa8d293bd1fcafa6b
28673 .xword 0x276e330b7b87306d
28674 .xword 0x559434e94da54257
28675 .xword 0x6d634bcf291d644d
28676 .xword 0x52ea11d0723e89a5
28677 .xword 0x086934b813afa6e4
28678 .xword 0x4c9145728dcb469a
28679 .xword 0x5aedf77b55529feb
28680 .xword 0x8f8ab85f5ba6c691
28681 .xword 0xe16be6698c61e4de
28682 .xword 0xb0836e90231fc04b
28683 .xword 0xf3f47a97f33efe7f
28684 .xword 0x05a246976a5a5f6f
28685 .xword 0x95087e65a5e13eae
28686 .xword 0x176590329c175b84
28687 .xword 0xc368c35b5a9e45be
28688 .xword 0x2dc949bee89f2397
28689 .xword 0x5da30e6bd6578006
28690 .xword 0x28a926a872be9654
28691 .xword 0xfd20eed8f8aa4499
28692 .xword 0x80c190544bb34e2f
28693 .xword 0x8ed61e9873b29879
28694 .xword 0xf2c6a1275285f350
28695 .xword 0xea92a2dfec85604d
28696 .xword 0x9f274487d3304697
28697 .xword 0x5334747a37bf0849
28698 .xword 0x329cd142f083634c
28699 .xword 0xa4e317356d464ebd
28700 .xword 0xa2b1a8b1264136c3
28701 .xword 0xe2f99b22fa018231
28702 .xword 0xfaa7243a3cae6873
28703 .xword 0x1860288d92a3ca3a
28704 .xword 0x32576a1ec5ae243b
28705 .xword 0x72d1bc3d265f18a1
28706_t1_hash_alignment_array:
28707 .xword 10
28708 .xword 9
28709 .xword 11
28710 .xword 14
28711 .xword 1
28712 .xword 12
28713 .xword 7
28714 .xword 12
28715 .xword 9
28716 .xword 14
28717 .xword 1
28718 .xword 3
28719 .xword 3
28720 .xword 2
28721 .xword 11
28722 .xword 12
28723 .xword 2
28724 .xword 9
28725 .xword 6
28726 .xword 6
28727 .xword 2
28728 .xword 6
28729 .xword 14
28730 .xword 0
28731 .xword 9
28732 .xword 5
28733 .xword 7
28734 .xword 10
28735 .xword 5
28736 .xword 15
28737 .xword 15
28738 .xword 15
28739 .xword 10
28740 .xword 0
28741 .xword 8
28742 .xword 14
28743 .xword 11
28744 .xword 15
28745 .xword 4
28746 .xword 6
28747 .xword 0
28748 .xword 12
28749 .xword 14
28750 .xword 8
28751 .xword 0
28752 .xword 11
28753 .xword 10
28754 .xword 4
28755 .xword 7
28756 .xword 13
28757 .xword 2
28758 .xword 5
28759 .xword 3
28760 .xword 15
28761 .xword 12
28762 .xword 11
28763 .xword 5
28764 .xword 11
28765 .xword 10
28766 .xword 15
28767 .xword 8
28768 .xword 0
28769 .xword 6
28770 .xword 4
28771 .xword 3
28772 .xword 11
28773 .xword 6
28774 .xword 3
28775 .xword 1
28776 .xword 12
28777 .xword 1
28778 .xword 11
28779 .xword 4
28780 .xword 13
28781 .xword 2
28782 .xword 4
28783 .xword 1
28784 .xword 1
28785 .xword 1
28786 .xword 7
28787 .xword 11
28788 .xword 7
28789 .xword 1
28790 .xword 10
28791 .xword 11
28792 .xword 3
28793 .xword 4
28794 .xword 1
28795 .xword 4
28796 .xword 6
28797 .xword 0
28798 .xword 13
28799 .xword 5
28800 .xword 11
28801 .xword 7
28802 .xword 0
28803 .xword 3
28804 .xword 12
28805 .xword 4
28806 .xword 3
28807 .xword 4
28808 .xword 2
28809 .xword 1
28810 .xword 11
28811 .xword 11
28812_t1_hash_src:
28813 .xword 0xb58de3ec9acea9df
28814 .xword 0x145494e5cb6cd1e4
28815 .xword 0xc9f5ad1e5c903fb0
28816 .xword 0x4b6763195c3bb5cd
28817 .xword 0xb1fd3142e8e0dfe1
28818 .xword 0x4f4565cae823d7e3
28819 .xword 0xcde60534a9d8c0a9
28820 .xword 0xfb52e92017c3618b
28821 .xword 0xd543fc9e7189e944
28822 .xword 0x4c477186ac35f227
28823 .xword 0x0b9a712c82901d36
28824 .xword 0xbe69ca1b1840af1c
28825 .xword 0xcc9d505ed2b70cad
28826 .xword 0xa87450d946284c16
28827 .xword 0x10075d0dea301131
28828 .xword 0xf1f7e037f25bc371
28829 .xword 0x26f542214f09b64b
28830 .xword 0xa3e00babc3f9280d
28831 .xword 0x93d711fe25da5495
28832 .xword 0xc16f4b6cff9394fd
28833 .xword 0x45274143a66cd45c
28834 .xword 0xadaeb7106e4aad70
28835 .xword 0xedfaa2cc2865e5d6
28836 .xword 0x1f407105e442b5ac
28837 .xword 0x698744ac82153807
28838 .xword 0x57b2c61394f21b68
28839 .xword 0x88f3608debd3146b
28840 .xword 0xc9fa3ad720fdcf52
28841 .xword 0x3c761310847c11e2
28842 .xword 0x04c9a00fc0ca2ae4
28843 .xword 0x955b1827c9b2e848
28844 .xword 0x0992054b279043ba
28845 .xword 0xc173e679b24bcdc1
28846 .xword 0x6927e8689b45d590
28847 .xword 0x243d3fe2f914739d
28848 .xword 0x02b33927e3e4e630
28849 .xword 0xc30e69599d3f3ae6
28850 .xword 0x4331819fc575c012
28851 .xword 0xa4fb77fcb8a712d6
28852 .xword 0x5e6bbe66f55e51ab
28853 .xword 0xece21dea215ee865
28854 .xword 0x724bf0b5b97602bc
28855 .xword 0x9f915fd626262c70
28856 .xword 0x1a70f0461d4a78bc
28857 .xword 0x578a3c2ff6e2b4b6
28858 .xword 0xbf029ca92801d4f2
28859 .xword 0x2b908cafae46518d
28860 .xword 0x4e69be764d773231
28861 .xword 0x8634785cc5208645
28862 .xword 0x2f1969c2ec7a2429
28863 .xword 0x3b94ae89ce5e175d
28864 .xword 0x20694b83477bb81f
28865 .xword 0xee9ba3477706a2d3
28866 .xword 0x7b8eecc224dff542
28867 .xword 0x3115b283eb4c7a4e
28868 .xword 0x3fe64c4a48d8f965
28869 .xword 0x952dc599c672663e
28870 .xword 0xbf17071fa9b358c0
28871 .xword 0x4f6f63459225f9f5
28872 .xword 0xfc292f78e6b56efe
28873 .xword 0x5c357fd8b2788a0f
28874 .xword 0x4c01e2a7df96dc69
28875 .xword 0xcb6de5abf8898b3e
28876 .xword 0x753fe0e70c25a6d8
28877 .xword 0x9c6faa7090579d37
28878 .xword 0x5b840e571d788067
28879 .xword 0x6a2e3cc7b7a8b24b
28880 .xword 0xa72c12580d3f41e4
28881 .xword 0x6da9dd341b1fb81d
28882 .xword 0x9bd4136c1e9c5bef
28883 .xword 0x54fe56f5126d55ad
28884 .xword 0xe49cf68936deeba8
28885 .xword 0xa880c59379b5292b
28886 .xword 0xcb890e5dd6b452cf
28887 .xword 0xfedce83833d9d7bc
28888 .xword 0x8f21c852a4816d46
28889 .xword 0x4151244ec9ae8ebb
28890 .xword 0x87770510f88d707d
28891 .xword 0x94de0c8b66d95f95
28892 .xword 0xbc2461c3f38aa410
28893 .xword 0xfbec1385ddb00f8f
28894 .xword 0x57e7b906cf19cf2c
28895 .xword 0x0114f24e29180c39
28896 .xword 0x9b6852ef04a4fae8
28897 .xword 0xfe47601b8095fc16
28898 .xword 0xe405a536dc5211fa
28899 .xword 0x8b672d8a0058accc
28900 .xword 0xbd25f2aa6eeb36c1
28901 .xword 0xbf75115fadba7242
28902 .xword 0xdb9766d004c5dca3
28903 .xword 0x3d7e02fd9517156a
28904 .xword 0xc5ee720d76ee8698
28905 .xword 0x9f6aad85fe105d05
28906 .xword 0xb01710b5834d3ec2
28907 .xword 0x0e62554e91f7cb9c
28908 .xword 0xeee01d8068a72f3f
28909 .xword 0x35efff0b5c935f00
28910 .xword 0x26bbb6cf585cc215
28911 .xword 0x4a09a38e3e5f7ed4
28912 .xword 0xb5e7fdc36f2c270d
28913 .xword 0xae2dc564591a3815
28914 .xword 0x18feccbf57384cce
28915 .xword 0xbc48e5e9bb6d39bb
28916 .xword 0xc5cc374bb2508386
28917 .xword 0xa065771e9c3f3f91
28918 .xword 0xc62d4530689767c0
28919 .xword 0x397a6223f765dc4b
28920 .xword 0xc68b6795e3cd209e
28921 .xword 0x80a3f9bd75992f0c
28922 .xword 0x3e8be5008b256863
28923 .xword 0x54990a8d684d1602
28924 .xword 0x42847e6f5fa2484f
28925 .xword 0x2903398c6d0c79da
28926 .xword 0xc3dacafab64f91f1
28927 .xword 0x332e1e238ce16c6c
28928 .xword 0x992418776703f0fd
28929 .xword 0x5d4bf794cf2e469f
28930 .xword 0xf8ef97f39bd0b331
28931 .xword 0x21fad1be008ddec3
28932 .xword 0xfc0869403c976226
28933 .xword 0x44e8834a7222f457
28934 .xword 0x3dcc5125979a5ac0
28935 .xword 0x269157fd1ccf53ee
28936 .xword 0xc5c22599303d72dd
28937 .xword 0x4a3150d0898a50af
28938 .xword 0x5d90cf6cd1168c06
28939 .xword 0x379700eddeda0e17
28940 .xword 0xe67aea12e2602528
28941 .xword 0xcf7f6e57dfa94cab
28942 .xword 0x964d6bdc097332ec
28943 .xword 0xb55b9b2cfd3e200b
28944 .xword 0xc575b8875506f70f
28945 .xword 0xe21ee6d7db9ef29c
28946 .xword 0x4ee8a3fbc12bdb11
28947 .xword 0x3bfbfc1923e4a040
28948 .xword 0x6f7494a9a52b8c76
28949 .xword 0x207d533495014a1b
28950 .xword 0xa3291d7949af60ca
28951 .xword 0x9401031fc464c2e2
28952 .xword 0xb95eb10a7ad97361
28953 .xword 0xb42f226f941b4767
28954 .xword 0xc9f7505b1f72c405
28955 .xword 0x82e3ddd53372c803
28956 .xword 0xd2c0740eac4d6585
28957 .xword 0x22ae93fffa70a7b6
28958 .xword 0x29d99cca7602704e
28959 .xword 0x780b07ab3806dfa8
28960 .xword 0x41b1824f070cca60
28961 .xword 0x43ccb5d6e746c3a4
28962 .xword 0x04b8a30a67ead8c4
28963 .xword 0xb75d6e8ccdc2fa2c
28964 .xword 0xd487dab2a6c22d8e
28965 .xword 0xb7236f73336b52a8
28966 .xword 0x46a334bf3beabe52
28967 .xword 0xc38dee45b6bf27c1
28968 .xword 0x377b75f465751b99
28969 .xword 0xa1c05bd34ff26544
28970 .xword 0x531f64d9302b1d90
28971 .xword 0x622cfa243821caf6
28972 .xword 0x5418852f49f7dde7
28973 .xword 0xebc9a1cbe04089dc
28974 .xword 0xd404bc5795d7eb47
28975 .xword 0x359e80425210df63
28976 .xword 0x2ca0fef79cc8bd3a
28977 .xword 0x3d0368761273a264
28978 .xword 0x7e80e1cd2fcee695
28979 .xword 0x5f15741cf16ad6a7
28980 .xword 0xae0f8e80be1af53f
28981 .xword 0x62897d72c6498951
28982 .xword 0xad8652dc1cf273e2
28983 .xword 0x536828f2ba0e1b60
28984 .xword 0x21f463c38caf9193
28985 .xword 0x04ee594d7df2a1fd
28986 .xword 0x2c90c190f4c05cf9
28987 .xword 0xfa3b074b4f1523ba
28988 .xword 0x29762d2793ff7d91
28989 .xword 0x5041bd6df0faf718
28990 .xword 0xf773d9dcca5bf0dd
28991 .xword 0x15114d537e56adda
28992 .xword 0xb2e6d46492cf0e6f
28993 .xword 0x5e64160c12461dc1
28994 .xword 0xc3e0539602f36df6
28995 .xword 0x7126b2989a13f756
28996 .xword 0xb0086aa27db220a1
28997 .xword 0xf4898fb57b3dd2f7
28998 .xword 0xa7ecef533ded70ed
28999 .xword 0x0fff67cb041420ca
29000 .xword 0x62a53c589c87a323
29001 .xword 0xf6206d0aa234be0f
29002 .xword 0xfe8a08960a1a7ed7
29003 .xword 0xdcff4b9b8b0303b6
29004 .xword 0xb94d6e5e4aa9cc46
29005 .xword 0xd5c013122c84d8ea
29006 .xword 0x0059a2900ad1fdfc
29007 .xword 0x73a999c3f98b8c3b
29008 .xword 0x1085ea36bab722a3
29009 .xword 0x3153f281c4273b79
29010 .xword 0x3d404a3819c9a4b3
29011 .xword 0x350dcd4a548ccd0d
29012 .xword 0x3056855651a0abc8
29013 .xword 0x598f6aad9232dad0
29014 .xword 0x6d2c7f39a05a30c4
29015 .xword 0x9c987aad688025dd
29016 .xword 0xba9d2fa1b1fd5580
29017 .xword 0xf3e257b950f25622
29018 .xword 0x16f0801dc760ff90
29019 .xword 0xbaac2bfcf3f0c3d3
29020 .xword 0xf96da83ee2da93a8
29021 .xword 0x51b85a36d8984c02
29022 .xword 0xcaf0c5b898712cf7
29023 .xword 0x929bbd552c1e221f
29024 .xword 0x19fe62e2a275e529
29025 .xword 0xe8f2a6820334a80b
29026 .xword 0xa5f320a12ac6a14b
29027 .xword 0x04df92633daa19c4
29028 .xword 0xf4f4de174eb0b72b
29029 .xword 0x92a6f5dbf700c9b9
29030 .xword 0x828d9226b5ca6cca
29031 .xword 0x4b44ac477d1c2165
29032 .xword 0x1c4e5c03497bd2ee
29033 .xword 0x2736d9ae723fc43f
29034 .xword 0xb7310bcc0c5a206c
29035 .xword 0x8fa67f97d3fb7bc9
29036 .xword 0x4df27eec0cd53975
29037 .xword 0xffc2570194178de9
29038 .xword 0xcb70635b3f2bf56b
29039 .xword 0xe0dd875ad0d698dd
29040 .xword 0xf7bd881dd94086c4
29041 .xword 0xd40e4452aff2e76e
29042 .xword 0xce1fe0de1ed89dd0
29043 .xword 0x93d688bc85eef24e
29044 .xword 0x6d54a786d6d7b14c
29045 .xword 0xd882ec592a491f54
29046 .xword 0xabdd314722a2af7d
29047 .xword 0x472747b814bd6f7e
29048 .xword 0x75a84806be95be4a
29049 .xword 0x98c4478a5644c42a
29050 .xword 0x40d233a41764c1c7
29051 .xword 0x99e55cc5294c4569
29052 .xword 0x6fc8641ce49758fb
29053 .xword 0x6fb2397d655367a0
29054 .xword 0xc14454d7f051ef85
29055 .xword 0x22ceb0a267dc13a6
29056 .xword 0x90f281a1fb204e3a
29057 .xword 0x05ebaa6449f8c3ad
29058 .xword 0xdc21cab18276afbc
29059 .xword 0x8f2540dcf8805295
29060 .xword 0x9b304a437a5ebda6
29061 .xword 0x583e015ecca8f304
29062 .xword 0xaed1444d6d94f170
29063 .xword 0xa8aa54e94e8e398b
29064 .xword 0x912eee1b7b71eee9
29065 .xword 0x3b68c0fbae0b8083
29066 .xword 0x111d15f523959585
29067 .xword 0xe0eab27be0798720
29068 .xword 0x3c6a39394212a6c6
29069 .xword 0xa170de830fd873d2
29070 .xword 0x7f8ec5bb6cbb7aa5
29071 .xword 0x3fc4fcc63eea408c
29072 .xword 0x398db50efba735ca
29073 .xword 0x56c717c99729936c
29074 .xword 0x55ba7ccb152634a9
29075 .xword 0xdb18e74ecb8dbece
29076 .xword 0x271259e6952f4250
29077 .xword 0x75edc8a8cc7da4a5
29078 .xword 0xadfd12317317e88f
29079 .xword 0x87b9666fa6d5fa1a
29080 .xword 0xfa28ea461884103d
29081 .xword 0xc32361425eef564e
29082 .xword 0x8899c9a8506015aa
29083 .xword 0xaaea076dbc11fd6f
29084 .xword 0x0fa9894c319ad6b0
29085 .xword 0x70e68258d293ea5e
29086 .xword 0xa041a50d88f3d1fb
29087 .xword 0xc6cd3b443f096198
29088_t1_hash_dest:
29089 .xword 0xDEADBEEFDEADBEEF
29090 .xword 0xDEADBEEFDEADBEEF
29091 .xword 0xDEADBEEFDEADBEEF
29092 .xword 0xDEADBEEFDEADBEEF
29093 .xword 0xDEADBEEFDEADBEEF
29094 .xword 0xDEADBEEFDEADBEEF
29095 .xword 0xDEADBEEFDEADBEEF
29096 .xword 0xDEADBEEFDEADBEEF
29097 .xword 0xDEADBEEFDEADBEEF
29098 .xword 0xDEADBEEFDEADBEEF
29099 .xword 0xDEADBEEFDEADBEEF
29100 .xword 0xDEADBEEFDEADBEEF
29101 .xword 0xDEADBEEFDEADBEEF
29102 .xword 0xDEADBEEFDEADBEEF
29103 .xword 0xDEADBEEFDEADBEEF
29104 .xword 0xDEADBEEFDEADBEEF
29105 .xword 0xDEADBEEFDEADBEEF
29106 .xword 0xDEADBEEFDEADBEEF
29107 .xword 0xDEADBEEFDEADBEEF
29108 .xword 0xDEADBEEFDEADBEEF
29109 .xword 0xDEADBEEFDEADBEEF
29110 .xword 0xDEADBEEFDEADBEEF
29111 .xword 0xDEADBEEFDEADBEEF
29112 .xword 0xDEADBEEFDEADBEEF
29113 .xword 0xDEADBEEFDEADBEEF
29114 .xword 0xDEADBEEFDEADBEEF
29115 .xword 0xDEADBEEFDEADBEEF
29116 .xword 0xDEADBEEFDEADBEEF
29117 .xword 0xDEADBEEFDEADBEEF
29118 .xword 0xDEADBEEFDEADBEEF
29119 .xword 0xDEADBEEFDEADBEEF
29120 .xword 0xDEADBEEFDEADBEEF
29121 .xword 0xDEADBEEFDEADBEEF
29122 .xword 0xDEADBEEFDEADBEEF
29123 .xword 0xDEADBEEFDEADBEEF
29124 .xword 0xDEADBEEFDEADBEEF
29125 .xword 0xDEADBEEFDEADBEEF
29126 .xword 0xDEADBEEFDEADBEEF
29127 .xword 0xDEADBEEFDEADBEEF
29128 .xword 0xDEADBEEFDEADBEEF
29129 .xword 0xDEADBEEFDEADBEEF
29130 .xword 0xDEADBEEFDEADBEEF
29131 .xword 0xDEADBEEFDEADBEEF
29132 .xword 0xDEADBEEFDEADBEEF
29133 .xword 0xDEADBEEFDEADBEEF
29134 .xword 0xDEADBEEFDEADBEEF
29135 .xword 0xDEADBEEFDEADBEEF
29136 .xword 0xDEADBEEFDEADBEEF
29137 .xword 0xDEADBEEFDEADBEEF
29138 .xword 0xDEADBEEFDEADBEEF
29139 .xword 0xDEADBEEFDEADBEEF
29140 .xword 0xDEADBEEFDEADBEEF
29141 .xword 0xDEADBEEFDEADBEEF
29142 .xword 0xDEADBEEFDEADBEEF
29143 .xword 0xDEADBEEFDEADBEEF
29144 .xword 0xDEADBEEFDEADBEEF
29145 .xword 0xDEADBEEFDEADBEEF
29146 .xword 0xDEADBEEFDEADBEEF
29147 .xword 0xDEADBEEFDEADBEEF
29148 .xword 0xDEADBEEFDEADBEEF
29149 .xword 0xDEADBEEFDEADBEEF
29150 .xword 0xDEADBEEFDEADBEEF
29151 .xword 0xDEADBEEFDEADBEEF
29152 .xword 0xDEADBEEFDEADBEEF
29153 .xword 0xDEADBEEFDEADBEEF
29154 .xword 0xDEADBEEFDEADBEEF
29155 .xword 0xDEADBEEFDEADBEEF
29156 .xword 0xDEADBEEFDEADBEEF
29157 .xword 0xDEADBEEFDEADBEEF
29158 .xword 0xDEADBEEFDEADBEEF
29159 .xword 0xDEADBEEFDEADBEEF
29160 .xword 0xDEADBEEFDEADBEEF
29161 .xword 0xDEADBEEFDEADBEEF
29162 .xword 0xDEADBEEFDEADBEEF
29163 .xword 0xDEADBEEFDEADBEEF
29164 .xword 0xDEADBEEFDEADBEEF
29165 .xword 0xDEADBEEFDEADBEEF
29166 .xword 0xDEADBEEFDEADBEEF
29167 .xword 0xDEADBEEFDEADBEEF
29168 .xword 0xDEADBEEFDEADBEEF
29169 .xword 0xDEADBEEFDEADBEEF
29170 .xword 0xDEADBEEFDEADBEEF
29171 .xword 0xDEADBEEFDEADBEEF
29172 .xword 0xDEADBEEFDEADBEEF
29173 .xword 0xDEADBEEFDEADBEEF
29174 .xword 0xDEADBEEFDEADBEEF
29175 .xword 0xDEADBEEFDEADBEEF
29176 .xword 0xDEADBEEFDEADBEEF
29177 .xword 0xDEADBEEFDEADBEEF
29178 .xword 0xDEADBEEFDEADBEEF
29179 .xword 0xDEADBEEFDEADBEEF
29180 .xword 0xDEADBEEFDEADBEEF
29181 .xword 0xDEADBEEFDEADBEEF
29182 .xword 0xDEADBEEFDEADBEEF
29183 .xword 0xDEADBEEFDEADBEEF
29184 .xword 0xDEADBEEFDEADBEEF
29185 .xword 0xDEADBEEFDEADBEEF
29186 .xword 0xDEADBEEFDEADBEEF
29187 .xword 0xDEADBEEFDEADBEEF
29188 .xword 0xDEADBEEFDEADBEEF
29189 .xword 0xDEADBEEFDEADBEEF
29190 .xword 0xDEADBEEFDEADBEEF
29191 .xword 0xDEADBEEFDEADBEEF
29192 .xword 0xDEADBEEFDEADBEEF
29193 .xword 0xDEADBEEFDEADBEEF
29194 .xword 0xDEADBEEFDEADBEEF
29195 .xword 0xDEADBEEFDEADBEEF
29196 .xword 0xDEADBEEFDEADBEEF
29197 .xword 0xDEADBEEFDEADBEEF
29198 .xword 0xDEADBEEFDEADBEEF
29199 .xword 0xDEADBEEFDEADBEEF
29200 .xword 0xDEADBEEFDEADBEEF
29201 .xword 0xDEADBEEFDEADBEEF
29202 .xword 0xDEADBEEFDEADBEEF
29203 .xword 0xDEADBEEFDEADBEEF
29204 .xword 0xDEADBEEFDEADBEEF
29205 .xword 0xDEADBEEFDEADBEEF
29206 .xword 0xDEADBEEFDEADBEEF
29207 .xword 0xDEADBEEFDEADBEEF
29208 .xword 0xDEADBEEFDEADBEEF
29209 .xword 0xDEADBEEFDEADBEEF
29210 .xword 0xDEADBEEFDEADBEEF
29211 .xword 0xDEADBEEFDEADBEEF
29212 .xword 0xDEADBEEFDEADBEEF
29213 .xword 0xDEADBEEFDEADBEEF
29214 .xword 0xDEADBEEFDEADBEEF
29215 .xword 0xDEADBEEFDEADBEEF
29216 .xword 0xDEADBEEFDEADBEEF
29217 .xword 0xDEADBEEFDEADBEEF
29218 .xword 0xDEADBEEFDEADBEEF
29219 .xword 0xDEADBEEFDEADBEEF
29220 .xword 0xDEADBEEFDEADBEEF
29221 .xword 0xDEADBEEFDEADBEEF
29222 .xword 0xDEADBEEFDEADBEEF
29223 .xword 0xDEADBEEFDEADBEEF
29224 .xword 0xDEADBEEFDEADBEEF
29225 .xword 0xDEADBEEFDEADBEEF
29226 .xword 0xDEADBEEFDEADBEEF
29227 .xword 0xDEADBEEFDEADBEEF
29228 .xword 0xDEADBEEFDEADBEEF
29229 .xword 0xDEADBEEFDEADBEEF
29230 .xword 0xDEADBEEFDEADBEEF
29231 .xword 0xDEADBEEFDEADBEEF
29232 .xword 0xDEADBEEFDEADBEEF
29233 .xword 0xDEADBEEFDEADBEEF
29234 .xword 0xDEADBEEFDEADBEEF
29235 .xword 0xDEADBEEFDEADBEEF
29236 .xword 0xDEADBEEFDEADBEEF
29237 .xword 0xDEADBEEFDEADBEEF
29238 .xword 0xDEADBEEFDEADBEEF
29239 .xword 0xDEADBEEFDEADBEEF
29240 .xword 0xDEADBEEFDEADBEEF
29241 .xword 0xDEADBEEFDEADBEEF
29242 .xword 0xDEADBEEFDEADBEEF
29243 .xword 0xDEADBEEFDEADBEEF
29244 .xword 0xDEADBEEFDEADBEEF
29245 .xword 0xDEADBEEFDEADBEEF
29246 .xword 0xDEADBEEFDEADBEEF
29247 .xword 0xDEADBEEFDEADBEEF
29248 .xword 0xDEADBEEFDEADBEEF
29249 .xword 0xDEADBEEFDEADBEEF
29250 .xword 0xDEADBEEFDEADBEEF
29251 .xword 0xDEADBEEFDEADBEEF
29252 .xword 0xDEADBEEFDEADBEEF
29253 .xword 0xDEADBEEFDEADBEEF
29254 .xword 0xDEADBEEFDEADBEEF
29255 .xword 0xDEADBEEFDEADBEEF
29256 .xword 0xDEADBEEFDEADBEEF
29257 .xword 0xDEADBEEFDEADBEEF
29258 .xword 0xDEADBEEFDEADBEEF
29259 .xword 0xDEADBEEFDEADBEEF
29260 .xword 0xDEADBEEFDEADBEEF
29261 .xword 0xDEADBEEFDEADBEEF
29262 .xword 0xDEADBEEFDEADBEEF
29263 .xword 0xDEADBEEFDEADBEEF
29264 .xword 0xDEADBEEFDEADBEEF
29265 .xword 0xDEADBEEFDEADBEEF
29266 .xword 0xDEADBEEFDEADBEEF
29267 .xword 0xDEADBEEFDEADBEEF
29268 .xword 0xDEADBEEFDEADBEEF
29269 .xword 0xDEADBEEFDEADBEEF
29270 .xword 0xDEADBEEFDEADBEEF
29271 .xword 0xDEADBEEFDEADBEEF
29272 .xword 0xDEADBEEFDEADBEEF
29273 .xword 0xDEADBEEFDEADBEEF
29274 .xword 0xDEADBEEFDEADBEEF
29275 .xword 0xDEADBEEFDEADBEEF
29276 .xword 0xDEADBEEFDEADBEEF
29277 .xword 0xDEADBEEFDEADBEEF
29278 .xword 0xDEADBEEFDEADBEEF
29279 .xword 0xDEADBEEFDEADBEEF
29280 .xword 0xDEADBEEFDEADBEEF
29281 .xword 0xDEADBEEFDEADBEEF
29282 .xword 0xDEADBEEFDEADBEEF
29283 .xword 0xDEADBEEFDEADBEEF
29284 .xword 0xDEADBEEFDEADBEEF
29285 .xword 0xDEADBEEFDEADBEEF
29286 .xword 0xDEADBEEFDEADBEEF
29287 .xword 0xDEADBEEFDEADBEEF
29288 .xword 0xDEADBEEFDEADBEEF
29289 .xword 0xDEADBEEFDEADBEEF
29290 .xword 0xDEADBEEFDEADBEEF
29291 .xword 0xDEADBEEFDEADBEEF
29292 .xword 0xDEADBEEFDEADBEEF
29293 .xword 0xDEADBEEFDEADBEEF
29294 .xword 0xDEADBEEFDEADBEEF
29295 .xword 0xDEADBEEFDEADBEEF
29296 .xword 0xDEADBEEFDEADBEEF
29297 .xword 0xDEADBEEFDEADBEEF
29298 .xword 0xDEADBEEFDEADBEEF
29299 .xword 0xDEADBEEFDEADBEEF
29300 .xword 0xDEADBEEFDEADBEEF
29301 .xword 0xDEADBEEFDEADBEEF
29302 .xword 0xDEADBEEFDEADBEEF
29303 .xword 0xDEADBEEFDEADBEEF
29304 .xword 0xDEADBEEFDEADBEEF
29305 .xword 0xDEADBEEFDEADBEEF
29306 .xword 0xDEADBEEFDEADBEEF
29307 .xword 0xDEADBEEFDEADBEEF
29308 .xword 0xDEADBEEFDEADBEEF
29309 .xword 0xDEADBEEFDEADBEEF
29310 .xword 0xDEADBEEFDEADBEEF
29311 .xword 0xDEADBEEFDEADBEEF
29312 .xword 0xDEADBEEFDEADBEEF
29313 .xword 0xDEADBEEFDEADBEEF
29314 .xword 0xDEADBEEFDEADBEEF
29315 .xword 0xDEADBEEFDEADBEEF
29316 .xword 0xDEADBEEFDEADBEEF
29317 .xword 0xDEADBEEFDEADBEEF
29318 .xword 0xDEADBEEFDEADBEEF
29319 .xword 0xDEADBEEFDEADBEEF
29320 .xword 0xDEADBEEFDEADBEEF
29321 .xword 0xDEADBEEFDEADBEEF
29322 .xword 0xDEADBEEFDEADBEEF
29323 .xword 0xDEADBEEFDEADBEEF
29324 .xword 0xDEADBEEFDEADBEEF
29325 .xword 0xDEADBEEFDEADBEEF
29326 .xword 0xDEADBEEFDEADBEEF
29327 .xword 0xDEADBEEFDEADBEEF
29328 .xword 0xDEADBEEFDEADBEEF
29329 .xword 0xDEADBEEFDEADBEEF
29330 .xword 0xDEADBEEFDEADBEEF
29331 .xword 0xDEADBEEFDEADBEEF
29332 .xword 0xDEADBEEFDEADBEEF
29333 .xword 0xDEADBEEFDEADBEEF
29334 .xword 0xDEADBEEFDEADBEEF
29335 .xword 0xDEADBEEFDEADBEEF
29336 .xword 0xDEADBEEFDEADBEEF
29337 .xword 0xDEADBEEFDEADBEEF
29338 .xword 0xDEADBEEFDEADBEEF
29339 .xword 0xDEADBEEFDEADBEEF
29340 .xword 0xDEADBEEFDEADBEEF
29341 .xword 0xDEADBEEFDEADBEEF
29342 .xword 0xDEADBEEFDEADBEEF
29343 .xword 0xDEADBEEFDEADBEEF
29344 .xword 0xDEADBEEFDEADBEEF
29345 .xword 0xDEADBEEFDEADBEEF
29346 .xword 0xDEADBEEFDEADBEEF
29347 .xword 0xDEADBEEFDEADBEEF
29348 .xword 0xDEADBEEFDEADBEEF
29349 .xword 0xDEADBEEFDEADBEEF
29350 .xword 0xDEADBEEFDEADBEEF
29351 .xword 0xDEADBEEFDEADBEEF
29352 .xword 0xDEADBEEFDEADBEEF
29353 .xword 0xDEADBEEFDEADBEEF
29354 .xword 0xDEADBEEFDEADBEEF
29355 .xword 0xDEADBEEFDEADBEEF
29356 .xword 0xDEADBEEFDEADBEEF
29357 .xword 0xDEADBEEFDEADBEEF
29358 .xword 0xDEADBEEFDEADBEEF
29359 .xword 0xDEADBEEFDEADBEEF
29360 .xword 0xDEADBEEFDEADBEEF
29361 .xword 0xDEADBEEFDEADBEEF
29362 .xword 0xDEADBEEFDEADBEEF
29363 .xword 0xDEADBEEFDEADBEEF
29364_t1_hash_auth_key:
29365 .xword 0xd7c723c4c258ee0a
29366 .xword 0x4c6306a9562b79d3
29367 .xword 0x4891e19b824132e6
29368 .xword 0xfa743132fe6feceb
29369 .xword 0xe27f17af0a904136
29370 .xword 0x0f618be50637ea66
29371 .xword 0xdb6293a121b4d62f
29372 .xword 0x426e5291ef234f76
29373 .xword 0xaac33a1d733a6619
29374 .xword 0xead92afa423d2c82
29375 .xword 0x47ff7cf2c3d02025
29376 .xword 0x1f3aa50721f7593f
29377 .xword 0x826e9dd6199621ec
29378 .xword 0x821959b8be50d384
29379 .xword 0x4f087ecd69e23503
29380 .xword 0xdcecca234507c836
29381 .xword 0xd06f6875382ad4f4
29382 .xword 0x212c3eeb4aeec6c5
29383 .xword 0x58127d4b8eeea753
29384 .xword 0x47f1987a6ed4f615
29385 .xword 0x324ff3171fa9354b
29386 .xword 0xace6435628fbf0a0
29387 .xword 0x40e96c402dfaaf82
29388_t1_hash_auth_iv:
29389 .xword 0x6f36306400b673e4
29390 .xword 0xbbcfe4ab59a3eeb7
29391 .xword 0x672f8027791bc413
29392 .xword 0xd604b1213d5dc51e
29393 .xword 0xeeb738f58165278b
29394 .xword 0x00d66faabeed273f
29395 .xword 0x2cb7bfe4989f9374
29396 .xword 0x091927e8a63fdfed
29397 .xword 0xfb092596912ddda6
29398 .xword 0x713ab754589df71c
29399 .xword 0x031236eb02140935
29400 .xword 0x42b30eb0c047276b
29401 .xword 0x889c4e8f68ed51ae
29402 .xword 0xd8dbb4d0507eb5c0
29403 .xword 0x0bac7a9f6d7bc918
29404 .xword 0x3d0bf9a760bd7b4d
29405 .xword 0xa9b2f11fade51aeb
29406 .xword 0x30fd0ddeff69e302
29407 .xword 0x1cdff87640455f6f
29408 .xword 0x76a943ee439d99cc
29409 .xword 0x7973630c94dd4206
29410 .xword 0x0f95c04da85bfd5c
29411 .xword 0x334ae80de338ae3f
29412_t1_hash_fas_result:
29413 .xword 0xDEADBEEFDEADBEEF
29414 .xword 0xDEADBEEFDEADBEEF
29415 .xword 0xDEADBEEFDEADBEEF
29416 .xword 0xDEADBEEFDEADBEEF
29417 .xword 0xDEADBEEFDEADBEEF
29418 .xword 0xDEADBEEFDEADBEEF
29419 .xword 0xDEADBEEFDEADBEEF
29420 .xword 0xDEADBEEFDEADBEEF
29421 .xword 0xDEADBEEFDEADBEEF
29422 .xword 0xDEADBEEFDEADBEEF
29423 .xword 0xDEADBEEFDEADBEEF
29424 .xword 0xDEADBEEFDEADBEEF
29425 .xword 0xDEADBEEFDEADBEEF
29426 .xword 0xDEADBEEFDEADBEEF
29427 .xword 0xDEADBEEFDEADBEEF
29428 .xword 0xDEADBEEFDEADBEEF
29429 .xword 0xDEADBEEFDEADBEEF
29430 .xword 0xDEADBEEFDEADBEEF
29431 .xword 0xDEADBEEFDEADBEEF
29432 .xword 0xDEADBEEFDEADBEEF
29433 .xword 0xDEADBEEFDEADBEEF
29434 .xword 0xDEADBEEFDEADBEEF
29435 .xword 0xDEADBEEFDEADBEEF
29436_t1_hmac_key_array:
29437 .xword 0x0fbb4a7ef3ff003a
29438 .xword 0xd1efaa64267be695
29439 .xword 0xb57017b3d76a78c1
29440 .xword 0xd8a299acca0bcecd
29441 .xword 0x74ea39c436de4459
29442 .xword 0x80cadd6a4fd9cc78
29443 .xword 0x1df0210831249fc9
29444 .xword 0xaf5a34311f910aa1
29445 .xword 0xa914147fce3cacf5
29446 .xword 0xce34e6d40dcb4eba
29447 .xword 0xdc6a0ab165f34a87
29448 .xword 0x4aa44aab3e8f3ffd
29449 .xword 0x86ca2cd851b40664
29450 .xword 0x7a25052f6e3ce7ca
29451 .xword 0x173eb97d56194f35
29452 .xword 0x49f7693b1ea49263
29453 .xword 0xdb2bb3722d1b3ab6
29454 .xword 0xe3063f07c6423a6d
29455 .xword 0xeb5d3d6b2538ec80
29456 .xword 0xc1c12c7ecb2f0666
29457 .xword 0xa7645cfefd67d47f
29458 .xword 0x7a2995392ef940ee
29459 .xword 0xa5bca0ed7c601e26
29460 .xword 0xa621ab105e5aa857
29461 .xword 0xff078f63d445c4de
29462 .xword 0x56e837a5b2d0b8a8
29463 .xword 0xb981179d9c3a8f8b
29464 .xword 0xb689af61f9a4fdcf
29465 .xword 0x464102b2301595a4
29466 .xword 0xc7fafd4297736368
29467 .xword 0x7a8e97bd0136a462
29468 .xword 0x9f714f9097f74353
29469 .xword 0x99ab737bbe330a77
29470 .xword 0x42f4e3591fb96c8a
29471 .xword 0x17dbbe3cf33878c7
29472 .xword 0x49a46cb1fcd06794
29473 .xword 0x4f2b35dedd31f865
29474 .xword 0xe3757dcd51b490d7
29475 .xword 0x8f0183c1049fd996
29476 .xword 0xd256bb2134c4d1c2
29477 .xword 0x95b545e8fd0b95dc
29478 .xword 0x49fc0ac31cea675d
29479 .xword 0xa615be6edbd56c2b
29480 .xword 0x4605facd57e05705
29481 .xword 0x7c94095a2dd3e1e9
29482 .xword 0xeac5e9703d4bda85
29483 .xword 0x9180a89a64cfc987
29484 .xword 0xd9d7cbedd475ad50
29485 .xword 0xc4fb5e4fb2223720
29486 .xword 0xd210305eeb0a3801
29487 .xword 0x45e76edc18a30c46
29488_t1_hmac_iv_array:
29489 .xword 0xa45e3cf59a9fb853
29490 .xword 0x1aee8d7441404d20
29491 .xword 0x6e9c0050475a4585
29492 .xword 0xfcaf73a1f4a7be3f
29493 .xword 0xdf7d212b09434db7
29494 .xword 0xa2c9ed148396160d
29495 .xword 0x6f825e037c3aa89a
29496 .xword 0xdeb91f3f8feca14c
29497 .xword 0xa9e4c02af0f0524b
29498 .xword 0x57f856711d7a7a41
29499 .xword 0x0a658bc226b2e2bc
29500 .xword 0xfeb12ba071ad5915
29501 .xword 0x1f9a22455f9b1250
29502 .xword 0xae8aed3dbc0c9bd0
29503 .xword 0x853f023db5440979
29504 .xword 0x2631dd74e4958882
29505 .xword 0x1cde8dd9a91b27e4
29506 .xword 0x811ea500ff9b4257
29507 .xword 0x76bf651df729291d
29508 .xword 0x8d8c64549d74ac97
29509 .xword 0xd47a4535a80466b3
29510 .xword 0x9d9ba14fa02414df
29511 .xword 0x22b62ebb14cea9bc
29512 .xword 0xa7f83c352828ae6e
29513 .xword 0x5bed3e82e1704989
29514 .xword 0x61742ef00af461d2
29515 .xword 0xc33f12fff4ea3708
29516 .xword 0x3835956004727f16
29517 .xword 0xb619e8eca6940ea7
29518 .xword 0x1584e32bd05fd9f0
29519 .xword 0x4a3ff524a678d6d7
29520 .xword 0xd723f4860e8e367d
29521 .xword 0x4b9b53d28c9c6789
29522 .xword 0x3e47848260044a33
29523 .xword 0x4a61ef8e380d0bb1
29524 .xword 0xb431492733b63bc0
29525 .xword 0xd9beb956a5e38aa9
29526 .xword 0x70f0e395330077e5
29527 .xword 0xd6cc5e018935f32f
29528 .xword 0xff908468f4c85552
29529 .xword 0xcf9757e9dfcdbfa1
29530 .xword 0x02914670a6c4aa2a
29531 .xword 0xad46e3b21c85019a
29532 .xword 0xe8b2cfedbfd2d0e9
29533 .xword 0x82e7f54f1141ce2b
29534_t1_hmac_alignment_array:
29535 .xword 4
29536 .xword 14
29537 .xword 4
29538 .xword 15
29539 .xword 15
29540 .xword 0
29541 .xword 8
29542 .xword 6
29543 .xword 1
29544 .xword 10
29545 .xword 2
29546 .xword 2
29547 .xword 1
29548 .xword 10
29549 .xword 6
29550 .xword 6
29551 .xword 14
29552 .xword 8
29553 .xword 1
29554 .xword 4
29555 .xword 14
29556 .xword 1
29557 .xword 10
29558 .xword 1
29559 .xword 10
29560 .xword 6
29561 .xword 10
29562 .xword 11
29563 .xword 6
29564 .xword 0
29565 .xword 3
29566 .xword 13
29567 .xword 5
29568 .xword 13
29569 .xword 14
29570 .xword 1
29571 .xword 9
29572 .xword 3
29573 .xword 7
29574 .xword 15
29575 .xword 15
29576 .xword 10
29577 .xword 14
29578 .xword 4
29579 .xword 12
29580 .xword 1
29581 .xword 8
29582 .xword 14
29583 .xword 2
29584 .xword 3
29585 .xword 7
29586 .xword 4
29587 .xword 3
29588 .xword 0
29589 .xword 5
29590 .xword 10
29591 .xword 5
29592 .xword 0
29593 .xword 12
29594 .xword 5
29595 .xword 13
29596 .xword 3
29597 .xword 12
29598 .xword 12
29599 .xword 0
29600 .xword 14
29601 .xword 10
29602 .xword 1
29603 .xword 8
29604 .xword 8
29605 .xword 6
29606 .xword 13
29607 .xword 3
29608 .xword 9
29609 .xword 5
29610 .xword 13
29611 .xword 0
29612 .xword 3
29613 .xword 11
29614 .xword 14
29615 .xword 4
29616 .xword 2
29617 .xword 2
29618 .xword 12
29619 .xword 9
29620 .xword 2
29621 .xword 14
29622 .xword 6
29623 .xword 14
29624 .xword 1
29625 .xword 0
29626 .xword 4
29627 .xword 0
29628 .xword 5
29629 .xword 11
29630 .xword 0
29631 .xword 2
29632 .xword 13
29633 .xword 7
29634 .xword 12
29635 .xword 7
29636 .xword 2
29637 .xword 2
29638 .xword 8
29639 .xword 8
29640_t1_hmac_src:
29641 .xword 0xff3d495c8a2ad817
29642 .xword 0xce80ffd1d41d3b0b
29643 .xword 0xf7da643149a01b25
29644 .xword 0xc9778d32a6d156c9
29645 .xword 0x43d5171516dc549d
29646 .xword 0xf19f113274be15da
29647 .xword 0xb6e00ef5043fd4a3
29648 .xword 0x063091a8e1380a9f
29649 .xword 0x2324b8bfe871531e
29650 .xword 0x3f73f8f67b815d57
29651 .xword 0xbd1f9970f4ef5a0b
29652 .xword 0x4774ebdbea681917
29653 .xword 0x5e79fe6714a12b4c
29654 .xword 0x66369439059c330f
29655 .xword 0x8f8b5d2eac9fa3e4
29656 .xword 0xc27d5604aceba887
29657 .xword 0xc35e5bff89e51a71
29658 .xword 0xa590a00b2b459830
29659 .xword 0xf43b7ed7ba647050
29660 .xword 0xa0ec8e5b0ed807b9
29661 .xword 0x2712df4c311b59a9
29662 .xword 0xe58fb0a9478190d8
29663 .xword 0x6d46643d55cfbcc9
29664 .xword 0x08357209dba04ed4
29665 .xword 0x8cc92cc38bbeba58
29666 .xword 0xdc1bb6b85af446dd
29667 .xword 0x5b87ed1490fc2968
29668 .xword 0x1d846a5481604721
29669 .xword 0x6b138d9702aafabd
29670 .xword 0xa83305543432d0c6
29671 .xword 0x8d4850042973e1d7
29672 .xword 0x7a02b6aab02d869e
29673 .xword 0x5e7836238261b950
29674 .xword 0xd6fa0f69f37c23c2
29675 .xword 0x6129a9d9f4ef3362
29676 .xword 0xa97bd23e859a6c41
29677 .xword 0x059bf1488b4b64c8
29678 .xword 0x96f30ccf753ee1e7
29679 .xword 0x9e6663b4e503071a
29680 .xword 0xfb273c36e14025f5
29681 .xword 0xbd4c5a6e3f783d47
29682 .xword 0xdd7104a0394d566b
29683 .xword 0xd91f1393dcb63954
29684 .xword 0x2b81c32cf53a7789
29685 .xword 0xa4f3a61c11d94982
29686 .xword 0x1ba899ba9b5636c5
29687 .xword 0xb0bcd5463611eb54
29688 .xword 0x0a84b0e15c2599cc
29689 .xword 0xe49cd6ad94b84668
29690 .xword 0x9e4bc54e471c1322
29691 .xword 0xae51159c06aeb040
29692 .xword 0xa8da2f8d4de33b39
29693 .xword 0x3111f6289987ffb9
29694 .xword 0xa0e31007de3fdde7
29695 .xword 0x2c986ee954f8f384
29696 .xword 0x48e738a85213d10f
29697 .xword 0x0701e36ec855d92d
29698 .xword 0x63439695a5f17bd9
29699 .xword 0x732de84f5244b1b3
29700 .xword 0x1ca9b26fd3ac9f27
29701 .xword 0xfc768d0eca5efdea
29702 .xword 0x363f727f9fb99211
29703 .xword 0x3013c4c74a9b7263
29704 .xword 0xf30f15d7ca006cfa
29705 .xword 0x0af8e5fff91d407c
29706 .xword 0x92a006e5904b864d
29707 .xword 0xb48612cf420e915a
29708 .xword 0x773d27ce0c62b385
29709 .xword 0x7ea5272a425cf4a1
29710 .xword 0x9905116e922de28a
29711 .xword 0x327640163e1f6822
29712 .xword 0x5dbb058dfc848462
29713 .xword 0xf8c94b7207a8e5c4
29714 .xword 0xa87c617854c192e9
29715 .xword 0x4fa38687d4d6e30c
29716 .xword 0x53c5a35eb2d6381f
29717 .xword 0x8dbb0c661cb9fd0b
29718 .xword 0xed49ba7cd0e0fbcc
29719 .xword 0x4ae859fb18d938cc
29720 .xword 0xd73dddb6101d032c
29721 .xword 0x63328601e66bdeca
29722 .xword 0x2ca58be2d58390af
29723 .xword 0x2d0785e325bd48f3
29724 .xword 0x7025d251824df948
29725 .xword 0x4eaa8d4a062b87c9
29726 .xword 0xcb66b71a1f3b300a
29727 .xword 0x363f6912c27ffa99
29728 .xword 0xd9f23585cdb16a15
29729 .xword 0xcd2390834f84ee09
29730 .xword 0xd1c1fa15c2e6c5e4
29731 .xword 0x4baad54b96a1577c
29732 .xword 0xbc06d86c4e0bfa66
29733 .xword 0x0678d6ba310bc23c
29734 .xword 0x33ebafaa75ec0f17
29735 .xword 0x71ea1a0d2a473181
29736 .xword 0x8592f34551c8537b
29737 .xword 0x4e2dd572bac0342d
29738 .xword 0x53c129db54810424
29739 .xword 0xaba572fbc88d0893
29740 .xword 0xaa4acaf85ffe8ad2
29741 .xword 0x41118753c4549813
29742 .xword 0x7810320acb3f8527
29743 .xword 0xe626ae9989a8887e
29744 .xword 0x6e69fca80def4e3b
29745 .xword 0x23a62fe4b7f76483
29746 .xword 0xa2a5a4baad25a789
29747 .xword 0x766f784a2fc369bd
29748 .xword 0xc4d0353fc5db007e
29749 .xword 0xd77336c3e7e6f5b6
29750 .xword 0x1d81d64071f92a62
29751 .xword 0x70bf2b8d96a0f521
29752 .xword 0x51918e4eb99d764b
29753 .xword 0x5a9e81a4e5f9d723
29754 .xword 0xe98c491fff1ae4d3
29755 .xword 0xe71abc086127cea8
29756 .xword 0x9d060fb502d646cd
29757 .xword 0xbb4c6ef3aa74cd8f
29758 .xword 0x5f17bf32577d2ce3
29759 .xword 0xd60a32087e6f4a75
29760 .xword 0x3f2008a9c6302d82
29761 .xword 0x998b82e14d0501bd
29762 .xword 0xd328d4d4a13ff246
29763 .xword 0x68fe968d9fd4b674
29764 .xword 0x3fcf446c379b3736
29765 .xword 0x9f2e6ca296425f15
29766 .xword 0x6eb77c9c38f73c71
29767 .xword 0x6dc3bb3a65d28f54
29768 .xword 0xb5ee4cc46ab532df
29769 .xword 0xcc5718b754b2c351
29770 .xword 0x11899cb2f9d1849d
29771 .xword 0xfd91c73733e7631d
29772 .xword 0x4ba553690b80a3ef
29773 .xword 0x730709caae06d613
29774 .xword 0x8a1d861fb8881faf
29775 .xword 0x2c5b45b774d36e8d
29776 .xword 0x2d88397c96543afb
29777 .xword 0x49fe02b0e97d74df
29778 .xword 0x855c1aae64513c55
29779 .xword 0xd8e3b23cd2fa352f
29780 .xword 0xcc839265cc116c48
29781 .xword 0x5eb2538592c37e2a
29782 .xword 0x1909eab43ba31f3b
29783 .xword 0x7c3b518acee90f2e
29784 .xword 0x83610156309c3d9f
29785 .xword 0x672b13ad86195e9e
29786 .xword 0xbec9ce62e298f741
29787 .xword 0xdfeecff5176dd4df
29788 .xword 0x21f35313abc3d8fb
29789 .xword 0x93c4ca061e8352bb
29790 .xword 0x10a4a81b7596f9b7
29791 .xword 0x117285e2b45534af
29792 .xword 0xa6e37620c79ecced
29793 .xword 0x66a35b6eb00cefbf
29794 .xword 0xb7dbaa759733f9c6
29795 .xword 0xf56a0a394bcd3cbf
29796 .xword 0x5cf62f938b44f64d
29797 .xword 0xdc69834bd96a26e7
29798 .xword 0x097708a1db6eef18
29799 .xword 0xac34b03cc776585c
29800 .xword 0x0d6860a722de101d
29801 .xword 0xfa936679b7f08521
29802 .xword 0x786d0c46bf89a75a
29803 .xword 0xd392c5f29e743046
29804 .xword 0x0664ff7f8a3f000c
29805 .xword 0x4566bc501c7591b5
29806 .xword 0xa66d8814f967197a
29807 .xword 0xeb43f83534013e93
29808 .xword 0x757dd88bc8bfcc5a
29809 .xword 0x868b3f7517f5373e
29810 .xword 0x7e55ddbd3e1d15cf
29811 .xword 0xb4699997a2db6b6f
29812 .xword 0x9c11af8ac11d2cbd
29813 .xword 0x0499170facba85c1
29814 .xword 0x259cb52417580402
29815 .xword 0xd663a676583ea6e8
29816 .xword 0x6ea5dba02a172ef6
29817 .xword 0x6f89d539f6f5bd54
29818 .xword 0x5bb2e8b6e45b3ed2
29819 .xword 0xd69eaf3a216a5308
29820 .xword 0x228aec34404be454
29821 .xword 0xeeb35c2520eacbe3
29822 .xword 0xd0e5dcadd5b8a430
29823 .xword 0x4e804f65247475f3
29824 .xword 0xa98459fc801a2f67
29825 .xword 0x99af3c187d162c12
29826 .xword 0xcd2f0bfecc36992c
29827 .xword 0xd3dc8fc262003629
29828 .xword 0xa973448b2b3262fe
29829 .xword 0xc05b7229f3b25b3a
29830 .xword 0x231c8ce647e5f482
29831 .xword 0xfa6ce585b839d969
29832 .xword 0xac050b17e25b9c72
29833 .xword 0x83a01aea564fde34
29834 .xword 0xadb8b97b52c901b0
29835 .xword 0x785f4faea7c5cd29
29836 .xword 0xd37518d3ad8684b6
29837 .xword 0x31e11d086934ce5c
29838 .xword 0x6143fe05bb6b0fa2
29839 .xword 0x6b043df2b3034adb
29840 .xword 0x28c7a95b3c60b471
29841 .xword 0x17e90d8be909127a
29842 .xword 0x1c9a0aac1c3d7e4c
29843 .xword 0x477aef1ff2373d29
29844 .xword 0x110953530b3ce76e
29845 .xword 0x411bfc44ce7907c9
29846 .xword 0xb9567eecf61abbc0
29847 .xword 0x17f8c281c41c3e7c
29848 .xword 0x118ecc04656a477a
29849 .xword 0xbb16b606fc3657e2
29850 .xword 0x6b1a7804c4458594
29851 .xword 0xf810385b3556f8f7
29852 .xword 0x9da42fb0266d33d1
29853 .xword 0xfedcc46b2084ba46
29854 .xword 0xfa36d7bfa78da454
29855 .xword 0x88f37532dd7fa172
29856 .xword 0xaea179ccddc2397d
29857 .xword 0xcfa19d73f689162e
29858 .xword 0x6216e54dc11277fe
29859 .xword 0x65950f20aef8095e
29860 .xword 0x901690721e58ce2f
29861 .xword 0x75d539161c5e8a03
29862 .xword 0xe65ef744fa7a19b1
29863 .xword 0x217fd8b3c314cddd
29864 .xword 0x64a4b2f5abe99389
29865 .xword 0x4573d843d2c6bf7b
29866 .xword 0x13a3e443a8aac58a
29867 .xword 0xb2550a0b22dafe58
29868 .xword 0x57abcb27daac215a
29869 .xword 0x037830556cf81543
29870 .xword 0x51d744e3051e5bc6
29871 .xword 0x746b5e42b48fab8d
29872 .xword 0x4214204ecacae3f9
29873 .xword 0xf2edb8d48ec48dd0
29874 .xword 0x85931dde181514bb
29875 .xword 0x4dc0dcd30123f9f0
29876 .xword 0x836a778c7b35fd71
29877 .xword 0x2942fa46af5f9497
29878 .xword 0x8b5ab40bec664a50
29879 .xword 0x7217be5b4fba82f3
29880 .xword 0xf0b91beeee8ec5c0
29881 .xword 0x4dc8ec77c2a5d44a
29882 .xword 0x8d59bbf259568676
29883 .xword 0xa9777feb5bdc6856
29884 .xword 0x7fb1aeca3da7e386
29885 .xword 0x291b4a4148d21b1a
29886 .xword 0x4f005fdc68575704
29887 .xword 0x6e0306bdfe707252
29888 .xword 0xd0966f4d910c2804
29889 .xword 0x82b5460773ce7416
29890 .xword 0x0ae0a37016b2b42c
29891 .xword 0x3bf385679903e7f4
29892 .xword 0xa5eeece24d2956bf
29893 .xword 0x7ba190b2fb3ac8a3
29894 .xword 0x02ebde3fb22e5294
29895 .xword 0xc2f179cf48493672
29896 .xword 0x88cdd51e188d232b
29897 .xword 0x9ce784c366098e62
29898 .xword 0xab17a3a97425405d
29899 .xword 0x51eb68a1372c398c
29900 .xword 0x8dee5d33012bc28a
29901 .xword 0x98538daf10198938
29902 .xword 0x4804805d4efca07a
29903 .xword 0x973054b84817ecf0
29904 .xword 0xa7e3e3cfb5564864
29905 .xword 0xbeaef1e1c1f0f8cc
29906 .xword 0x3fbb9fce7b7c18c7
29907 .xword 0x14ec3d046416fc7f
29908 .xword 0xf896be27ba9a8294
29909 .xword 0xe6583d86066dbbe3
29910 .xword 0xec50a929e2f5b44f
29911 .xword 0x443954f45bfcb7f5
29912 .xword 0x2c4f42309a251445
29913 .xword 0xccfc74f3ab5cc1e3
29914 .xword 0xa2b3ed3b30479a89
29915 .xword 0xae8859159150da3b
29916_t1_hmac_dest:
29917 .xword 0xDEADBEEFDEADBEEF
29918 .xword 0xDEADBEEFDEADBEEF
29919 .xword 0xDEADBEEFDEADBEEF
29920 .xword 0xDEADBEEFDEADBEEF
29921 .xword 0xDEADBEEFDEADBEEF
29922 .xword 0xDEADBEEFDEADBEEF
29923 .xword 0xDEADBEEFDEADBEEF
29924 .xword 0xDEADBEEFDEADBEEF
29925 .xword 0xDEADBEEFDEADBEEF
29926 .xword 0xDEADBEEFDEADBEEF
29927 .xword 0xDEADBEEFDEADBEEF
29928 .xword 0xDEADBEEFDEADBEEF
29929 .xword 0xDEADBEEFDEADBEEF
29930 .xword 0xDEADBEEFDEADBEEF
29931 .xword 0xDEADBEEFDEADBEEF
29932 .xword 0xDEADBEEFDEADBEEF
29933 .xword 0xDEADBEEFDEADBEEF
29934 .xword 0xDEADBEEFDEADBEEF
29935 .xword 0xDEADBEEFDEADBEEF
29936 .xword 0xDEADBEEFDEADBEEF
29937 .xword 0xDEADBEEFDEADBEEF
29938 .xword 0xDEADBEEFDEADBEEF
29939 .xword 0xDEADBEEFDEADBEEF
29940 .xword 0xDEADBEEFDEADBEEF
29941 .xword 0xDEADBEEFDEADBEEF
29942 .xword 0xDEADBEEFDEADBEEF
29943 .xword 0xDEADBEEFDEADBEEF
29944 .xword 0xDEADBEEFDEADBEEF
29945 .xword 0xDEADBEEFDEADBEEF
29946 .xword 0xDEADBEEFDEADBEEF
29947 .xword 0xDEADBEEFDEADBEEF
29948 .xword 0xDEADBEEFDEADBEEF
29949 .xword 0xDEADBEEFDEADBEEF
29950 .xword 0xDEADBEEFDEADBEEF
29951 .xword 0xDEADBEEFDEADBEEF
29952 .xword 0xDEADBEEFDEADBEEF
29953 .xword 0xDEADBEEFDEADBEEF
29954 .xword 0xDEADBEEFDEADBEEF
29955 .xword 0xDEADBEEFDEADBEEF
29956 .xword 0xDEADBEEFDEADBEEF
29957 .xword 0xDEADBEEFDEADBEEF
29958 .xword 0xDEADBEEFDEADBEEF
29959 .xword 0xDEADBEEFDEADBEEF
29960 .xword 0xDEADBEEFDEADBEEF
29961 .xword 0xDEADBEEFDEADBEEF
29962 .xword 0xDEADBEEFDEADBEEF
29963 .xword 0xDEADBEEFDEADBEEF
29964 .xword 0xDEADBEEFDEADBEEF
29965 .xword 0xDEADBEEFDEADBEEF
29966 .xword 0xDEADBEEFDEADBEEF
29967 .xword 0xDEADBEEFDEADBEEF
29968 .xword 0xDEADBEEFDEADBEEF
29969 .xword 0xDEADBEEFDEADBEEF
29970 .xword 0xDEADBEEFDEADBEEF
29971 .xword 0xDEADBEEFDEADBEEF
29972 .xword 0xDEADBEEFDEADBEEF
29973 .xword 0xDEADBEEFDEADBEEF
29974 .xword 0xDEADBEEFDEADBEEF
29975 .xword 0xDEADBEEFDEADBEEF
29976 .xword 0xDEADBEEFDEADBEEF
29977 .xword 0xDEADBEEFDEADBEEF
29978 .xword 0xDEADBEEFDEADBEEF
29979 .xword 0xDEADBEEFDEADBEEF
29980 .xword 0xDEADBEEFDEADBEEF
29981 .xword 0xDEADBEEFDEADBEEF
29982 .xword 0xDEADBEEFDEADBEEF
29983 .xword 0xDEADBEEFDEADBEEF
29984 .xword 0xDEADBEEFDEADBEEF
29985 .xword 0xDEADBEEFDEADBEEF
29986 .xword 0xDEADBEEFDEADBEEF
29987 .xword 0xDEADBEEFDEADBEEF
29988 .xword 0xDEADBEEFDEADBEEF
29989 .xword 0xDEADBEEFDEADBEEF
29990 .xword 0xDEADBEEFDEADBEEF
29991 .xword 0xDEADBEEFDEADBEEF
29992 .xword 0xDEADBEEFDEADBEEF
29993 .xword 0xDEADBEEFDEADBEEF
29994 .xword 0xDEADBEEFDEADBEEF
29995 .xword 0xDEADBEEFDEADBEEF
29996 .xword 0xDEADBEEFDEADBEEF
29997 .xword 0xDEADBEEFDEADBEEF
29998 .xword 0xDEADBEEFDEADBEEF
29999 .xword 0xDEADBEEFDEADBEEF
30000 .xword 0xDEADBEEFDEADBEEF
30001 .xword 0xDEADBEEFDEADBEEF
30002 .xword 0xDEADBEEFDEADBEEF
30003 .xword 0xDEADBEEFDEADBEEF
30004 .xword 0xDEADBEEFDEADBEEF
30005 .xword 0xDEADBEEFDEADBEEF
30006 .xword 0xDEADBEEFDEADBEEF
30007 .xword 0xDEADBEEFDEADBEEF
30008 .xword 0xDEADBEEFDEADBEEF
30009 .xword 0xDEADBEEFDEADBEEF
30010 .xword 0xDEADBEEFDEADBEEF
30011 .xword 0xDEADBEEFDEADBEEF
30012 .xword 0xDEADBEEFDEADBEEF
30013 .xword 0xDEADBEEFDEADBEEF
30014 .xword 0xDEADBEEFDEADBEEF
30015 .xword 0xDEADBEEFDEADBEEF
30016 .xword 0xDEADBEEFDEADBEEF
30017 .xword 0xDEADBEEFDEADBEEF
30018 .xword 0xDEADBEEFDEADBEEF
30019 .xword 0xDEADBEEFDEADBEEF
30020 .xword 0xDEADBEEFDEADBEEF
30021 .xword 0xDEADBEEFDEADBEEF
30022 .xword 0xDEADBEEFDEADBEEF
30023 .xword 0xDEADBEEFDEADBEEF
30024 .xword 0xDEADBEEFDEADBEEF
30025 .xword 0xDEADBEEFDEADBEEF
30026 .xword 0xDEADBEEFDEADBEEF
30027 .xword 0xDEADBEEFDEADBEEF
30028 .xword 0xDEADBEEFDEADBEEF
30029 .xword 0xDEADBEEFDEADBEEF
30030 .xword 0xDEADBEEFDEADBEEF
30031 .xword 0xDEADBEEFDEADBEEF
30032 .xword 0xDEADBEEFDEADBEEF
30033 .xword 0xDEADBEEFDEADBEEF
30034 .xword 0xDEADBEEFDEADBEEF
30035 .xword 0xDEADBEEFDEADBEEF
30036 .xword 0xDEADBEEFDEADBEEF
30037 .xword 0xDEADBEEFDEADBEEF
30038 .xword 0xDEADBEEFDEADBEEF
30039 .xword 0xDEADBEEFDEADBEEF
30040 .xword 0xDEADBEEFDEADBEEF
30041 .xword 0xDEADBEEFDEADBEEF
30042 .xword 0xDEADBEEFDEADBEEF
30043 .xword 0xDEADBEEFDEADBEEF
30044 .xword 0xDEADBEEFDEADBEEF
30045 .xword 0xDEADBEEFDEADBEEF
30046 .xword 0xDEADBEEFDEADBEEF
30047 .xword 0xDEADBEEFDEADBEEF
30048 .xword 0xDEADBEEFDEADBEEF
30049 .xword 0xDEADBEEFDEADBEEF
30050 .xword 0xDEADBEEFDEADBEEF
30051 .xword 0xDEADBEEFDEADBEEF
30052 .xword 0xDEADBEEFDEADBEEF
30053 .xword 0xDEADBEEFDEADBEEF
30054 .xword 0xDEADBEEFDEADBEEF
30055 .xword 0xDEADBEEFDEADBEEF
30056 .xword 0xDEADBEEFDEADBEEF
30057 .xword 0xDEADBEEFDEADBEEF
30058 .xword 0xDEADBEEFDEADBEEF
30059 .xword 0xDEADBEEFDEADBEEF
30060 .xword 0xDEADBEEFDEADBEEF
30061 .xword 0xDEADBEEFDEADBEEF
30062 .xword 0xDEADBEEFDEADBEEF
30063 .xword 0xDEADBEEFDEADBEEF
30064 .xword 0xDEADBEEFDEADBEEF
30065 .xword 0xDEADBEEFDEADBEEF
30066 .xword 0xDEADBEEFDEADBEEF
30067 .xword 0xDEADBEEFDEADBEEF
30068 .xword 0xDEADBEEFDEADBEEF
30069 .xword 0xDEADBEEFDEADBEEF
30070 .xword 0xDEADBEEFDEADBEEF
30071 .xword 0xDEADBEEFDEADBEEF
30072 .xword 0xDEADBEEFDEADBEEF
30073 .xword 0xDEADBEEFDEADBEEF
30074 .xword 0xDEADBEEFDEADBEEF
30075 .xword 0xDEADBEEFDEADBEEF
30076 .xword 0xDEADBEEFDEADBEEF
30077 .xword 0xDEADBEEFDEADBEEF
30078 .xword 0xDEADBEEFDEADBEEF
30079 .xword 0xDEADBEEFDEADBEEF
30080 .xword 0xDEADBEEFDEADBEEF
30081 .xword 0xDEADBEEFDEADBEEF
30082 .xword 0xDEADBEEFDEADBEEF
30083 .xword 0xDEADBEEFDEADBEEF
30084 .xword 0xDEADBEEFDEADBEEF
30085 .xword 0xDEADBEEFDEADBEEF
30086 .xword 0xDEADBEEFDEADBEEF
30087 .xword 0xDEADBEEFDEADBEEF
30088 .xword 0xDEADBEEFDEADBEEF
30089 .xword 0xDEADBEEFDEADBEEF
30090 .xword 0xDEADBEEFDEADBEEF
30091 .xword 0xDEADBEEFDEADBEEF
30092 .xword 0xDEADBEEFDEADBEEF
30093 .xword 0xDEADBEEFDEADBEEF
30094 .xword 0xDEADBEEFDEADBEEF
30095 .xword 0xDEADBEEFDEADBEEF
30096 .xword 0xDEADBEEFDEADBEEF
30097 .xword 0xDEADBEEFDEADBEEF
30098 .xword 0xDEADBEEFDEADBEEF
30099 .xword 0xDEADBEEFDEADBEEF
30100 .xword 0xDEADBEEFDEADBEEF
30101 .xword 0xDEADBEEFDEADBEEF
30102 .xword 0xDEADBEEFDEADBEEF
30103 .xword 0xDEADBEEFDEADBEEF
30104 .xword 0xDEADBEEFDEADBEEF
30105 .xword 0xDEADBEEFDEADBEEF
30106 .xword 0xDEADBEEFDEADBEEF
30107 .xword 0xDEADBEEFDEADBEEF
30108 .xword 0xDEADBEEFDEADBEEF
30109 .xword 0xDEADBEEFDEADBEEF
30110 .xword 0xDEADBEEFDEADBEEF
30111 .xword 0xDEADBEEFDEADBEEF
30112 .xword 0xDEADBEEFDEADBEEF
30113 .xword 0xDEADBEEFDEADBEEF
30114 .xword 0xDEADBEEFDEADBEEF
30115 .xword 0xDEADBEEFDEADBEEF
30116 .xword 0xDEADBEEFDEADBEEF
30117 .xword 0xDEADBEEFDEADBEEF
30118 .xword 0xDEADBEEFDEADBEEF
30119 .xword 0xDEADBEEFDEADBEEF
30120 .xword 0xDEADBEEFDEADBEEF
30121 .xword 0xDEADBEEFDEADBEEF
30122 .xword 0xDEADBEEFDEADBEEF
30123 .xword 0xDEADBEEFDEADBEEF
30124 .xword 0xDEADBEEFDEADBEEF
30125 .xword 0xDEADBEEFDEADBEEF
30126 .xword 0xDEADBEEFDEADBEEF
30127 .xword 0xDEADBEEFDEADBEEF
30128 .xword 0xDEADBEEFDEADBEEF
30129 .xword 0xDEADBEEFDEADBEEF
30130 .xword 0xDEADBEEFDEADBEEF
30131 .xword 0xDEADBEEFDEADBEEF
30132 .xword 0xDEADBEEFDEADBEEF
30133 .xword 0xDEADBEEFDEADBEEF
30134 .xword 0xDEADBEEFDEADBEEF
30135 .xword 0xDEADBEEFDEADBEEF
30136 .xword 0xDEADBEEFDEADBEEF
30137 .xword 0xDEADBEEFDEADBEEF
30138 .xword 0xDEADBEEFDEADBEEF
30139 .xword 0xDEADBEEFDEADBEEF
30140 .xword 0xDEADBEEFDEADBEEF
30141 .xword 0xDEADBEEFDEADBEEF
30142 .xword 0xDEADBEEFDEADBEEF
30143 .xword 0xDEADBEEFDEADBEEF
30144 .xword 0xDEADBEEFDEADBEEF
30145 .xword 0xDEADBEEFDEADBEEF
30146 .xword 0xDEADBEEFDEADBEEF
30147 .xword 0xDEADBEEFDEADBEEF
30148 .xword 0xDEADBEEFDEADBEEF
30149 .xword 0xDEADBEEFDEADBEEF
30150 .xword 0xDEADBEEFDEADBEEF
30151 .xword 0xDEADBEEFDEADBEEF
30152 .xword 0xDEADBEEFDEADBEEF
30153 .xword 0xDEADBEEFDEADBEEF
30154 .xword 0xDEADBEEFDEADBEEF
30155 .xword 0xDEADBEEFDEADBEEF
30156 .xword 0xDEADBEEFDEADBEEF
30157 .xword 0xDEADBEEFDEADBEEF
30158 .xword 0xDEADBEEFDEADBEEF
30159 .xword 0xDEADBEEFDEADBEEF
30160 .xword 0xDEADBEEFDEADBEEF
30161 .xword 0xDEADBEEFDEADBEEF
30162 .xword 0xDEADBEEFDEADBEEF
30163 .xword 0xDEADBEEFDEADBEEF
30164 .xword 0xDEADBEEFDEADBEEF
30165 .xword 0xDEADBEEFDEADBEEF
30166 .xword 0xDEADBEEFDEADBEEF
30167 .xword 0xDEADBEEFDEADBEEF
30168 .xword 0xDEADBEEFDEADBEEF
30169 .xword 0xDEADBEEFDEADBEEF
30170 .xword 0xDEADBEEFDEADBEEF
30171 .xword 0xDEADBEEFDEADBEEF
30172 .xword 0xDEADBEEFDEADBEEF
30173 .xword 0xDEADBEEFDEADBEEF
30174 .xword 0xDEADBEEFDEADBEEF
30175 .xword 0xDEADBEEFDEADBEEF
30176 .xword 0xDEADBEEFDEADBEEF
30177 .xword 0xDEADBEEFDEADBEEF
30178 .xword 0xDEADBEEFDEADBEEF
30179 .xword 0xDEADBEEFDEADBEEF
30180 .xword 0xDEADBEEFDEADBEEF
30181 .xword 0xDEADBEEFDEADBEEF
30182 .xword 0xDEADBEEFDEADBEEF
30183 .xword 0xDEADBEEFDEADBEEF
30184 .xword 0xDEADBEEFDEADBEEF
30185 .xword 0xDEADBEEFDEADBEEF
30186 .xword 0xDEADBEEFDEADBEEF
30187 .xword 0xDEADBEEFDEADBEEF
30188 .xword 0xDEADBEEFDEADBEEF
30189 .xword 0xDEADBEEFDEADBEEF
30190 .xword 0xDEADBEEFDEADBEEF
30191 .xword 0xDEADBEEFDEADBEEF
30192_t1_hmac_auth_key:
30193 .xword 0x8943117e6d6d16cb
30194 .xword 0xf9cdbc9919c91cda
30195 .xword 0xf89c516dae57aa6a
30196 .xword 0xc489ca14da208d8b
30197 .xword 0x1704cd0154c01b86
30198 .xword 0x50d45bf5a73c2923
30199 .xword 0x8de1e7db58843326
30200 .xword 0xecdb7311f73cd741
30201 .xword 0xf8f142c9cfadc613
30202 .xword 0x6d41a7eda6ac5d57
30203 .xword 0x2bad71ddd06384fa
30204 .xword 0x47db92e0dc9b5fea
30205 .xword 0x70ac187d43e32bd6
30206 .xword 0x0d9ac3e01768a155
30207 .xword 0x3c8501b1bfaac2f1
30208 .xword 0xd51bb3ecefc75e84
30209 .xword 0x27b2bfcc23594b26
30210 .xword 0xc5f33c58f52907d6
30211 .xword 0x00a17839376b3a85
30212 .xword 0x0d34c52e608fb7e7
30213 .xword 0x428e09e6e06abe99
30214 .xword 0x5e969c45f79d5e6d
30215 .xword 0xbba406287d3e21a8
30216_t1_hmac_auth_iv:
30217 .xword 0x32f6a88605f82274
30218 .xword 0x6d6f2fdaafba9bc8
30219 .xword 0xee2b9fb694c724c0
30220 .xword 0xf6c2176fcafffd5d
30221 .xword 0x4b7f3db9abe9b78d
30222 .xword 0x51484067b4aa3ca6
30223 .xword 0x75b71b1ec2666a73
30224 .xword 0xcd5fa054471a9c8d
30225 .xword 0x8cf714cb7537d2ca
30226 .xword 0x281929d2d326ec93
30227 .xword 0x1485be43ab2556f9
30228 .xword 0x7585796264490984
30229 .xword 0x3647716a95a28467
30230 .xword 0x135811cadf014f8d
30231 .xword 0x5d7b51218a857b99
30232 .xword 0x26ee8e92ba80e034
30233 .xword 0x9dda360d2c8e0e66
30234 .xword 0x8f74d07368522c0e
30235 .xword 0x062267c564a8f525
30236 .xword 0xc430ea682d8e757f
30237 .xword 0x939c32427d253ac2
30238 .xword 0x4ce58f890972403d
30239 .xword 0xc09e2e2e68b99383
30240_t1_hmac_fas_result:
30241 .xword 0xDEADBEEFDEADBEEF
30242 .xword 0xDEADBEEFDEADBEEF
30243 .xword 0xDEADBEEFDEADBEEF
30244 .xword 0xDEADBEEFDEADBEEF
30245 .xword 0xDEADBEEFDEADBEEF
30246 .xword 0xDEADBEEFDEADBEEF
30247 .xword 0xDEADBEEFDEADBEEF
30248 .xword 0xDEADBEEFDEADBEEF
30249 .xword 0xDEADBEEFDEADBEEF
30250 .xword 0xDEADBEEFDEADBEEF
30251 .xword 0xDEADBEEFDEADBEEF
30252 .xword 0xDEADBEEFDEADBEEF
30253 .xword 0xDEADBEEFDEADBEEF
30254 .xword 0xDEADBEEFDEADBEEF
30255 .xword 0xDEADBEEFDEADBEEF
30256 .xword 0xDEADBEEFDEADBEEF
30257 .xword 0xDEADBEEFDEADBEEF
30258 .xword 0xDEADBEEFDEADBEEF
30259 .xword 0xDEADBEEFDEADBEEF
30260 .xword 0xDEADBEEFDEADBEEF
30261 .xword 0xDEADBEEFDEADBEEF
30262 .xword 0xDEADBEEFDEADBEEF
30263 .xword 0xDEADBEEFDEADBEEF
30264_t1_rc4_key_array:
30265 .xword 0xe0757dc6925959bb
30266 .xword 0x83739e227089b321
30267 .xword 0xe264deab48416a79
30268 .xword 0xb31fa662e41ec80c
30269 .xword 0x440b711cd62df9ae
30270 .xword 0x98d534f6cdb5d7be
30271 .xword 0x12e59b821f23a824
30272 .xword 0xfd1aa81ca8241d41
30273 .xword 0x3313bf9f3dde3bde
30274 .xword 0x5e3cee26b5e53c98
30275 .xword 0x14f6895deef53ab6
30276 .xword 0xf69396468b71c950
30277 .xword 0x804820293074c80a
30278 .xword 0xaff289e2d8e01a6c
30279 .xword 0x0aa09d9862c887b9
30280 .xword 0x5f7a19d7c1bbfe41
30281 .xword 0x361e7b76e2eb9db6
30282 .xword 0x99d8c3388aa49e5c
30283 .xword 0x677898e150fa91bc
30284 .xword 0x3a35dc747bfc2bb7
30285 .xword 0xde11681aa9fa6038
30286 .xword 0x1f54c27a0177f2ab
30287 .xword 0x4cf3e9391bae0bf0
30288 .xword 0x5a6efeeadf5caee5
30289 .xword 0x60c576236c34646f
30290 .xword 0xacb21be75a36be80
30291 .xword 0x38a91709d871ae24
30292 .xword 0x4844a5a1c376e40f
30293 .xword 0x15724feda7945143
30294 .xword 0x8784b18d05889b9b
30295 .xword 0xb78881e737a3bcc6
30296 .xword 0xde4c03fe45813236
30297 .xword 0xc8d758b0c1aa1287
30298 .xword 0x4a38d5462872814d
30299 .xword 0x4ac9c5c14457b574
30300 .xword 0xa72e3223a7ab9654
30301 .xword 0x9f149162cc5fffed
30302 .xword 0x30ffd3a541bb93f6
30303 .xword 0xaf073575af39b59e
30304 .xword 0xe23095e72ae2ab5c
30305 .xword 0xb397a7cbb52074d2
30306 .xword 0x2a76e4770ffe3994
30307 .xword 0xd24c40f30f4e5d1c
30308 .xword 0xc0ef44e31fda9656
30309 .xword 0xeacfeb890a383803
30310 .xword 0xf0ce2dc6dfe054cf
30311 .xword 0x8b5390b844d878a9
30312 .xword 0xe8d641871bb4146c
30313 .xword 0xbeb9f0ad44df6f7e
30314 .xword 0xb9460bf160c1bbc0
30315 .xword 0xbe9d38a5eec92a76
30316_t1_rc4_iv_array:
30317 .xword 0x3db78fb34cd6a32c
30318 .xword 0x7e55da236a81dd0d
30319 .xword 0x6794e7e61f29d6bc
30320 .xword 0x1f4a758e7aa81aff
30321 .xword 0xe2c265a9cd9e9a9d
30322 .xword 0xe264bf162d5f9fd1
30323 .xword 0x79afa3f94d62a58c
30324 .xword 0x0952a1254c44c1ae
30325 .xword 0xbe67ee8d412c5f59
30326 .xword 0x208bf083443cf69b
30327 .xword 0x0ae98c8eeb561cd5
30328 .xword 0xb96e8db18d185e0c
30329 .xword 0xe4c0487d59413ffd
30330 .xword 0xfcd5dd41a8cc7d70
30331 .xword 0x2ac9d2944f46a0a2
30332 .xword 0xc54277f7424ae3c9
30333 .xword 0xddf38ea1dc7182f7
30334 .xword 0x7b39cd75db0da14f
30335 .xword 0x9548f2a92c5534e8
30336 .xword 0x41c5599be247ec29
30337 .xword 0xc1616575ef41d87e
30338 .xword 0xc52f32075f6f4839
30339 .xword 0xa890c5f263b1d50d
30340 .xword 0xffa290592bae70d0
30341 .xword 0xcd1a29c9960ecaa7
30342 .xword 0x91cfd723e80028fc
30343 .xword 0xa063d0213ff02b83
30344 .xword 0x79fd8d6448eabe88
30345 .xword 0x7fe242e0a16d3738
30346 .xword 0x7596ce08bec07e24
30347 .xword 0x885300abd343714a
30348 .xword 0x41b068e29fda04cb
30349 .xword 0xf7059188354beafa
30350 .xword 0xed781602ae17a1b0
30351 .xword 0x8d37702849e96ff3
30352 .xword 0x7a68ef2c27a2b374
30353 .xword 0x0b64a400ae761ce3
30354 .xword 0x0e200bfef48a826a
30355 .xword 0xacd53bfa5cfae149
30356 .xword 0x0a4ea708db5da090
30357 .xword 0x74da7cf20541c2a4
30358 .xword 0x970fd26ceb0af0e3
30359 .xword 0x43f4d775aece752d
30360 .xword 0x25ddabe5474afcfd
30361 .xword 0xfc8bf2942d1aaa5a
30362_t1_rc4_alignment_array:
30363 .xword 5
30364 .xword 0
30365 .xword 8
30366 .xword 4
30367 .xword 10
30368 .xword 11
30369 .xword 0
30370 .xword 6
30371 .xword 4
30372 .xword 13
30373 .xword 8
30374 .xword 3
30375 .xword 4
30376 .xword 7
30377 .xword 8
30378 .xword 11
30379 .xword 0
30380 .xword 1
30381 .xword 5
30382 .xword 6
30383 .xword 5
30384 .xword 11
30385 .xword 11
30386 .xword 13
30387 .xword 7
30388 .xword 10
30389 .xword 9
30390 .xword 15
30391 .xword 6
30392 .xword 5
30393 .xword 1
30394 .xword 14
30395 .xword 15
30396 .xword 5
30397 .xword 11
30398 .xword 0
30399 .xword 15
30400 .xword 0
30401 .xword 2
30402 .xword 12
30403 .xword 1
30404 .xword 1
30405 .xword 7
30406 .xword 3
30407 .xword 3
30408 .xword 7
30409 .xword 10
30410 .xword 14
30411 .xword 13
30412 .xword 10
30413 .xword 15
30414 .xword 14
30415 .xword 8
30416 .xword 6
30417 .xword 11
30418 .xword 6
30419 .xword 12
30420 .xword 1
30421 .xword 3
30422 .xword 10
30423 .xword 13
30424 .xword 10
30425 .xword 10
30426 .xword 14
30427 .xword 8
30428 .xword 9
30429 .xword 7
30430 .xword 14
30431 .xword 4
30432 .xword 9
30433 .xword 13
30434 .xword 15
30435 .xword 9
30436 .xword 6
30437 .xword 14
30438 .xword 7
30439 .xword 12
30440 .xword 0
30441 .xword 7
30442 .xword 13
30443 .xword 3
30444 .xword 7
30445 .xword 6
30446 .xword 8
30447 .xword 5
30448 .xword 10
30449 .xword 13
30450 .xword 0
30451 .xword 12
30452 .xword 1
30453 .xword 14
30454 .xword 13
30455 .xword 8
30456 .xword 0
30457 .xword 10
30458 .xword 9
30459 .xword 8
30460 .xword 11
30461 .xword 13
30462 .xword 1
30463 .xword 4
30464 .xword 12
30465 .xword 7
30466 .xword 0
30467 .xword 14
30468_t1_rc4_src:
30469 .xword 0x7e465b9c8b2d4ca5
30470 .xword 0x8565a25e9af28cb8
30471 .xword 0x70a628d3c6302626
30472 .xword 0x0e91e6f89d982a33
30473 .xword 0xac70493c374f8466
30474 .xword 0x8f6229c56445bf76
30475 .xword 0x79cd60e09e21b72f
30476 .xword 0x85b9a39e996debb8
30477 .xword 0xcde28dea83adbbd8
30478 .xword 0xaa146709c8c071b1
30479 .xword 0x45f5fd7715ff7cf3
30480 .xword 0xa00c09dcc077d9c9
30481 .xword 0x6ff2c8aeb4cbd1ac
30482 .xword 0x2397b18ae67bf32e
30483 .xword 0x0c05c2563dcb313f
30484 .xword 0xd6c5e520e3f6ee86
30485 .xword 0x7fbda2176ede8251
30486 .xword 0xa78fabeaccd4288a
30487 .xword 0x3bbaf47c7538dc33
30488 .xword 0x188122c366d5b1ba
30489 .xword 0x196f746ebbfc4738
30490 .xword 0x7f25df708229b9b1
30491 .xword 0x79071403b4e3fc9f
30492 .xword 0x24de2a55374e69d2
30493 .xword 0x337dd28048403abb
30494 .xword 0x797360784b4f68e4
30495 .xword 0xfa42be46beca2228
30496 .xword 0x3e66518b4184fecb
30497 .xword 0x4ad2cd866691b9a8
30498 .xword 0xf2a859d3213a2a55
30499 .xword 0xffb5d1dad18963eb
30500 .xword 0x0fb58ef0b4ee523d
30501 .xword 0x1e3f40eccdb8b013
30502 .xword 0xf1f3cb421f2ce29e
30503 .xword 0xda90fd9203b21b44
30504 .xword 0x4ed57a60c8a1fb95
30505 .xword 0xb47902d507857f0d
30506 .xword 0x5086780a7b524505
30507 .xword 0x9e1b2ddfe6bfcebf
30508 .xword 0xba07901ba4e33eae
30509 .xword 0x00fe73146c637f29
30510 .xword 0x63cc966cbabbf65c
30511 .xword 0xb7dd09c5100f5271
30512 .xword 0x97122172b9d77a73
30513 .xword 0xed5226d2a75526d5
30514 .xword 0xe25be6d21b889581
30515 .xword 0x89e540a94e7e7587
30516 .xword 0x406cb17bcd2cb60a
30517 .xword 0x187d88a19ca78365
30518 .xword 0xff665648a5bca304
30519 .xword 0xa405024c23e6fdc6
30520 .xword 0x01ad6d1781d5bdca
30521 .xword 0x45111b6f0bb0d47d
30522 .xword 0xe9364c32de37d4c4
30523 .xword 0x86e8ed54208e794f
30524 .xword 0x87d1e46d2d373f88
30525 .xword 0x2f3725e435d45eab
30526 .xword 0xb7b3696caf1a22a8
30527 .xword 0x31a0309ac9cc428b
30528 .xword 0xaece45c7b69d671b
30529 .xword 0x16cb8803ca046d21
30530 .xword 0xd3ce4a12f05d1c1d
30531 .xword 0xae8f15f77f619d0f
30532 .xword 0xdfd88e01b6002459
30533 .xword 0x14eed571b7e4ac24
30534 .xword 0xd5c42d606c2fed3a
30535 .xword 0x82967eafb8263d4d
30536 .xword 0x801b9d549fe61b05
30537 .xword 0x104b5be43737b3ea
30538 .xword 0xcca6b331b06c480b
30539 .xword 0x30f55774f1242b69
30540 .xword 0xf2fff97977e4dec0
30541 .xword 0xcbab9430e9358bb3
30542 .xword 0xcef8c3cff40cd40c
30543 .xword 0x5faa0f76d2f62ec6
30544 .xword 0xa3d5a8b23973f908
30545 .xword 0xbd83dab159e80e2a
30546 .xword 0x874a8949f5acaf27
30547 .xword 0x150ea5a839993063
30548 .xword 0x072d5da3bd5964ae
30549 .xword 0x433f5476f307a3d0
30550 .xword 0xdb705fe99ce22643
30551 .xword 0x3ba778e799f08bc3
30552 .xword 0xe46f5a0e2ed293f9
30553 .xword 0x35b7ddfa434fdce9
30554 .xword 0x26108d583244ef7f
30555 .xword 0x98b25a5971bcde46
30556 .xword 0x435519440ada6891
30557 .xword 0x33e7b1e86633cb3f
30558 .xword 0xbd24a232ddad462f
30559 .xword 0x46bc2cc892737994
30560 .xword 0x5c773b6a81cc6552
30561 .xword 0xdee8608be87c5d67
30562 .xword 0x789f43576e425d3b
30563 .xword 0x5cfa40305a2ba6f6
30564 .xword 0x68709052a4f76d34
30565 .xword 0x4c95e50f7787cb3a
30566 .xword 0x990f8bdbf39d821f
30567 .xword 0xe79d2cbbbdc5e6a4
30568 .xword 0x9b4f209fdae1b457
30569 .xword 0x97800d52bde06482
30570 .xword 0x3390c4ab77ac84b1
30571 .xword 0x1a6a7e469dec3829
30572 .xword 0x7f9231522d1a7888
30573 .xword 0x9eeed95ed243a0f1
30574 .xword 0x7e9b286efc003fc4
30575 .xword 0x75d4ce89ea6d424e
30576 .xword 0x06deefa6f685d9c1
30577 .xword 0x0d35441b34847f23
30578 .xword 0x6a50f7f7c93b88cb
30579 .xword 0x16a2faa10d5d6bd3
30580 .xword 0xbd320c81cbed3feb
30581 .xword 0x688fd69057c451b6
30582 .xword 0xf8246a6cde86460f
30583 .xword 0x8d69a4cf0c52af84
30584 .xword 0x06ce18446267ca33
30585 .xword 0x9b836b23c0034f29
30586 .xword 0x804db0ac23222597
30587 .xword 0xfab59863230788f9
30588 .xword 0xe38b5243a451bfe1
30589 .xword 0xca201d583728f7a1
30590 .xword 0xbc2075247485e6df
30591 .xword 0x09cb3831b14f9316
30592 .xword 0x5337e083fc6145b6
30593 .xword 0x4655bcd9910fd40f
30594 .xword 0x78cece755100d98c
30595 .xword 0x339254237ebea340
30596 .xword 0x9e8043fd835b308c
30597 .xword 0xb16f868693749a89
30598 .xword 0x086e4bc4e15cb6be
30599 .xword 0x5767d18e7edca8da
30600 .xword 0x62e9ce5c24f189df
30601 .xword 0xa0cd5390155d7d13
30602 .xword 0x3c6cedb6db1dc14f
30603 .xword 0x2be814685824a98b
30604 .xword 0xbc75d477ae7efb02
30605 .xword 0xa453c0f4787945b4
30606 .xword 0x05d9e2ff6af27ab2
30607 .xword 0x69dd5ee93d2ca227
30608 .xword 0xfe418d2539395aec
30609 .xword 0x71674012ba1303ed
30610 .xword 0xd1099912b4b0b4ed
30611 .xword 0xac711d21511c00c7
30612 .xword 0xd92707d8e9c83f91
30613 .xword 0x46d8f8edc0cd245f
30614 .xword 0xd36c2f444ab5d166
30615 .xword 0xc021e59616631923
30616 .xword 0x944b207739dcd063
30617 .xword 0xa5d400dc36dae96a
30618 .xword 0xdbceddc43c110a22
30619 .xword 0x36a37c2acac380c7
30620 .xword 0x3562ebd16483ae62
30621 .xword 0xd4cbc6b9fe47075a
30622 .xword 0xe00e4b789d467325
30623 .xword 0xf7342c37fa6d848b
30624 .xword 0x2865ee71bd749919
30625 .xword 0xe37485f43c30ec19
30626 .xword 0xb3a7605831e23f41
30627 .xword 0x07bd6a1d2117d5ff
30628 .xword 0x9aaac239d7e88299
30629 .xword 0x606a4ff4af621de1
30630 .xword 0xa1f823ca8bf31ffe
30631 .xword 0xad7217f1498ed5cb
30632 .xword 0xc59c230eec59e7d5
30633 .xword 0xb9526f9130d6e271
30634 .xword 0x79d5b5dc5117ffcb
30635 .xword 0x78e305cbdcf3bfe4
30636 .xword 0x3513b286787dc203
30637 .xword 0x16d9fe34f57967f4
30638 .xword 0x4e65186201a94807
30639 .xword 0xa03c47f5067e820c
30640 .xword 0x152e8b5c36819628
30641 .xword 0x4cafd07e0e58817b
30642 .xword 0xd9cff37545230359
30643 .xword 0x10f148433fceb763
30644 .xword 0x59e5f4861addfffb
30645 .xword 0x82cda3a4c1786cd2
30646 .xword 0x2fa501bea985b1d9
30647 .xword 0x8254749b7f35400f
30648 .xword 0xb959929d4bfcaae6
30649 .xword 0x8994917c60d7c33e
30650 .xword 0x13cdef010bc266db
30651 .xword 0x3d42f8927d556791
30652 .xword 0x527eb56c63fbc3ec
30653 .xword 0x86c77d60e5a4ea8a
30654 .xword 0x8547dd1f407aee13
30655 .xword 0x66c928aece02f3a2
30656 .xword 0x086c10c791d37575
30657 .xword 0xee4f9838820660e9
30658 .xword 0x40fccacd6fe20e4f
30659 .xword 0xb5ae9c9b982ba1ae
30660 .xword 0x97e102efddcb06f6
30661 .xword 0xd9428ebfba1a6375
30662 .xword 0x2b55a2c8f6eb10ba
30663 .xword 0xa478ca2909000be4
30664 .xword 0x7087715403956811
30665 .xword 0x9ba263ced8c5245b
30666 .xword 0x2c8c8bf9ceae22b3
30667 .xword 0x2967bc57b875024c
30668 .xword 0x48ac7d9ff4558203
30669 .xword 0xa60dc41eafc6fe13
30670 .xword 0x089fef0b66d0c3aa
30671 .xword 0x032aba548ce30819
30672 .xword 0xcf4831c06be735d7
30673 .xword 0x040477d2a765b622
30674 .xword 0x839bb95d1fa7dc6a
30675 .xword 0x2062de7990ea98a3
30676 .xword 0x5ae7624802bea443
30677 .xword 0x0e17ecc6dac1ed9a
30678 .xword 0x0bc7a17be531d498
30679 .xword 0xf4d334aac77bc7dd
30680 .xword 0x8dad801c49321db3
30681 .xword 0x8559f36621cf5fe0
30682 .xword 0x4fa999178d366996
30683 .xword 0x267d6560dc2c7317
30684 .xword 0xcdd5d9e694d09a45
30685 .xword 0x5fb39bdcb14543c1
30686 .xword 0x5e773a954253514f
30687 .xword 0xdcdf2b383ae14f01
30688 .xword 0x45eb403a06abf5a2
30689 .xword 0xbaf834541f99eb08
30690 .xword 0xd099ebfc0fec51ed
30691 .xword 0x22826f34694e0107
30692 .xword 0x86c3a85930f0d661
30693 .xword 0x51f1109af3afbe44
30694 .xword 0xfd1c7a7e5397076d
30695 .xword 0xf5e547b906e36bb6
30696 .xword 0xb40dbacfd705ac66
30697 .xword 0x91c2799814539cce
30698 .xword 0x7824ea20528736c8
30699 .xword 0x6a5ad4dcdb48caba
30700 .xword 0x2d5baf520ad70aa5
30701 .xword 0x167afa1e9ba7e873
30702 .xword 0x661d6f2cb4d793a1
30703 .xword 0x241b63b876dc362e
30704 .xword 0x7860f6ae20754879
30705 .xword 0xd503d27fcb39501e
30706 .xword 0xac25f68fce46d169
30707 .xword 0xc4721d30e2f4334a
30708 .xword 0x1afda47fb7b8640c
30709 .xword 0x03a0071b804c6d5e
30710 .xword 0x113b3d6387327a82
30711 .xword 0xa998c76a25af172c
30712 .xword 0x42a5b06213944f89
30713 .xword 0x7798c13ade3e8113
30714 .xword 0x45b620a81c08d8c1
30715 .xword 0xce9d0fbcdfacf5f1
30716 .xword 0xc00d45c002335aa9
30717 .xword 0x74e5018c734cf762
30718 .xword 0x55b8d7860c8eee5e
30719 .xword 0x2a1d05aef622f8d1
30720 .xword 0x3f788858c58e265d
30721 .xword 0xa2d0b3b89f1d3b65
30722 .xword 0xb0ae53ab0ea79472
30723 .xword 0x2e01b6070aa114df
30724 .xword 0x38cd51837b063624
30725 .xword 0xea2522a48f9a480a
30726 .xword 0x546e0cc5bfe3c211
30727 .xword 0x46437e0b0cc17e19
30728 .xword 0x405e481a1e70777b
30729 .xword 0xa46095f37d4762c6
30730 .xword 0xa55683f56f459bbf
30731 .xword 0xe8dc9ba323fc7cd6
30732 .xword 0x10e9de19fc3f0cfa
30733 .xword 0x24811527b40a70f0
30734 .xword 0x2a3b97479b2e3fce
30735 .xword 0xece9b8e2bfd2e12e
30736 .xword 0x81a299b2b46d7980
30737 .xword 0xbb3a24ed07cfe0e5
30738 .xword 0x6a1a724a6401257a
30739 .xword 0xc66a39dcb330167c
30740 .xword 0x1c24ea181ae39d54
30741 .xword 0x57a5c7648a156e24
30742 .xword 0x5f8a04eb1f3f34db
30743 .xword 0xcbef4608d77c487b
30744_t1_rc4_dest:
30745 .xword 0xDEADBEEFDEADBEEF
30746 .xword 0xDEADBEEFDEADBEEF
30747 .xword 0xDEADBEEFDEADBEEF
30748 .xword 0xDEADBEEFDEADBEEF
30749 .xword 0xDEADBEEFDEADBEEF
30750 .xword 0xDEADBEEFDEADBEEF
30751 .xword 0xDEADBEEFDEADBEEF
30752 .xword 0xDEADBEEFDEADBEEF
30753 .xword 0xDEADBEEFDEADBEEF
30754 .xword 0xDEADBEEFDEADBEEF
30755 .xword 0xDEADBEEFDEADBEEF
30756 .xword 0xDEADBEEFDEADBEEF
30757 .xword 0xDEADBEEFDEADBEEF
30758 .xword 0xDEADBEEFDEADBEEF
30759 .xword 0xDEADBEEFDEADBEEF
30760 .xword 0xDEADBEEFDEADBEEF
30761 .xword 0xDEADBEEFDEADBEEF
30762 .xword 0xDEADBEEFDEADBEEF
30763 .xword 0xDEADBEEFDEADBEEF
30764 .xword 0xDEADBEEFDEADBEEF
30765 .xword 0xDEADBEEFDEADBEEF
30766 .xword 0xDEADBEEFDEADBEEF
30767 .xword 0xDEADBEEFDEADBEEF
30768 .xword 0xDEADBEEFDEADBEEF
30769 .xword 0xDEADBEEFDEADBEEF
30770 .xword 0xDEADBEEFDEADBEEF
30771 .xword 0xDEADBEEFDEADBEEF
30772 .xword 0xDEADBEEFDEADBEEF
30773 .xword 0xDEADBEEFDEADBEEF
30774 .xword 0xDEADBEEFDEADBEEF
30775 .xword 0xDEADBEEFDEADBEEF
30776 .xword 0xDEADBEEFDEADBEEF
30777 .xword 0xDEADBEEFDEADBEEF
30778 .xword 0xDEADBEEFDEADBEEF
30779 .xword 0xDEADBEEFDEADBEEF
30780 .xword 0xDEADBEEFDEADBEEF
30781 .xword 0xDEADBEEFDEADBEEF
30782 .xword 0xDEADBEEFDEADBEEF
30783 .xword 0xDEADBEEFDEADBEEF
30784 .xword 0xDEADBEEFDEADBEEF
30785 .xword 0xDEADBEEFDEADBEEF
30786 .xword 0xDEADBEEFDEADBEEF
30787 .xword 0xDEADBEEFDEADBEEF
30788 .xword 0xDEADBEEFDEADBEEF
30789 .xword 0xDEADBEEFDEADBEEF
30790 .xword 0xDEADBEEFDEADBEEF
30791 .xword 0xDEADBEEFDEADBEEF
30792 .xword 0xDEADBEEFDEADBEEF
30793 .xword 0xDEADBEEFDEADBEEF
30794 .xword 0xDEADBEEFDEADBEEF
30795 .xword 0xDEADBEEFDEADBEEF
30796 .xword 0xDEADBEEFDEADBEEF
30797 .xword 0xDEADBEEFDEADBEEF
30798 .xword 0xDEADBEEFDEADBEEF
30799 .xword 0xDEADBEEFDEADBEEF
30800 .xword 0xDEADBEEFDEADBEEF
30801 .xword 0xDEADBEEFDEADBEEF
30802 .xword 0xDEADBEEFDEADBEEF
30803 .xword 0xDEADBEEFDEADBEEF
30804 .xword 0xDEADBEEFDEADBEEF
30805 .xword 0xDEADBEEFDEADBEEF
30806 .xword 0xDEADBEEFDEADBEEF
30807 .xword 0xDEADBEEFDEADBEEF
30808 .xword 0xDEADBEEFDEADBEEF
30809 .xword 0xDEADBEEFDEADBEEF
30810 .xword 0xDEADBEEFDEADBEEF
30811 .xword 0xDEADBEEFDEADBEEF
30812 .xword 0xDEADBEEFDEADBEEF
30813 .xword 0xDEADBEEFDEADBEEF
30814 .xword 0xDEADBEEFDEADBEEF
30815 .xword 0xDEADBEEFDEADBEEF
30816 .xword 0xDEADBEEFDEADBEEF
30817 .xword 0xDEADBEEFDEADBEEF
30818 .xword 0xDEADBEEFDEADBEEF
30819 .xword 0xDEADBEEFDEADBEEF
30820 .xword 0xDEADBEEFDEADBEEF
30821 .xword 0xDEADBEEFDEADBEEF
30822 .xword 0xDEADBEEFDEADBEEF
30823 .xword 0xDEADBEEFDEADBEEF
30824 .xword 0xDEADBEEFDEADBEEF
30825 .xword 0xDEADBEEFDEADBEEF
30826 .xword 0xDEADBEEFDEADBEEF
30827 .xword 0xDEADBEEFDEADBEEF
30828 .xword 0xDEADBEEFDEADBEEF
30829 .xword 0xDEADBEEFDEADBEEF
30830 .xword 0xDEADBEEFDEADBEEF
30831 .xword 0xDEADBEEFDEADBEEF
30832 .xword 0xDEADBEEFDEADBEEF
30833 .xword 0xDEADBEEFDEADBEEF
30834 .xword 0xDEADBEEFDEADBEEF
30835 .xword 0xDEADBEEFDEADBEEF
30836 .xword 0xDEADBEEFDEADBEEF
30837 .xword 0xDEADBEEFDEADBEEF
30838 .xword 0xDEADBEEFDEADBEEF
30839 .xword 0xDEADBEEFDEADBEEF
30840 .xword 0xDEADBEEFDEADBEEF
30841 .xword 0xDEADBEEFDEADBEEF
30842 .xword 0xDEADBEEFDEADBEEF
30843 .xword 0xDEADBEEFDEADBEEF
30844 .xword 0xDEADBEEFDEADBEEF
30845 .xword 0xDEADBEEFDEADBEEF
30846 .xword 0xDEADBEEFDEADBEEF
30847 .xword 0xDEADBEEFDEADBEEF
30848 .xword 0xDEADBEEFDEADBEEF
30849 .xword 0xDEADBEEFDEADBEEF
30850 .xword 0xDEADBEEFDEADBEEF
30851 .xword 0xDEADBEEFDEADBEEF
30852 .xword 0xDEADBEEFDEADBEEF
30853 .xword 0xDEADBEEFDEADBEEF
30854 .xword 0xDEADBEEFDEADBEEF
30855 .xword 0xDEADBEEFDEADBEEF
30856 .xword 0xDEADBEEFDEADBEEF
30857 .xword 0xDEADBEEFDEADBEEF
30858 .xword 0xDEADBEEFDEADBEEF
30859 .xword 0xDEADBEEFDEADBEEF
30860 .xword 0xDEADBEEFDEADBEEF
30861 .xword 0xDEADBEEFDEADBEEF
30862 .xword 0xDEADBEEFDEADBEEF
30863 .xword 0xDEADBEEFDEADBEEF
30864 .xword 0xDEADBEEFDEADBEEF
30865 .xword 0xDEADBEEFDEADBEEF
30866 .xword 0xDEADBEEFDEADBEEF
30867 .xword 0xDEADBEEFDEADBEEF
30868 .xword 0xDEADBEEFDEADBEEF
30869 .xword 0xDEADBEEFDEADBEEF
30870 .xword 0xDEADBEEFDEADBEEF
30871 .xword 0xDEADBEEFDEADBEEF
30872 .xword 0xDEADBEEFDEADBEEF
30873 .xword 0xDEADBEEFDEADBEEF
30874 .xword 0xDEADBEEFDEADBEEF
30875 .xword 0xDEADBEEFDEADBEEF
30876 .xword 0xDEADBEEFDEADBEEF
30877 .xword 0xDEADBEEFDEADBEEF
30878 .xword 0xDEADBEEFDEADBEEF
30879 .xword 0xDEADBEEFDEADBEEF
30880 .xword 0xDEADBEEFDEADBEEF
30881 .xword 0xDEADBEEFDEADBEEF
30882 .xword 0xDEADBEEFDEADBEEF
30883 .xword 0xDEADBEEFDEADBEEF
30884 .xword 0xDEADBEEFDEADBEEF
30885 .xword 0xDEADBEEFDEADBEEF
30886 .xword 0xDEADBEEFDEADBEEF
30887 .xword 0xDEADBEEFDEADBEEF
30888 .xword 0xDEADBEEFDEADBEEF
30889 .xword 0xDEADBEEFDEADBEEF
30890 .xword 0xDEADBEEFDEADBEEF
30891 .xword 0xDEADBEEFDEADBEEF
30892 .xword 0xDEADBEEFDEADBEEF
30893 .xword 0xDEADBEEFDEADBEEF
30894 .xword 0xDEADBEEFDEADBEEF
30895 .xword 0xDEADBEEFDEADBEEF
30896 .xword 0xDEADBEEFDEADBEEF
30897 .xword 0xDEADBEEFDEADBEEF
30898 .xword 0xDEADBEEFDEADBEEF
30899 .xword 0xDEADBEEFDEADBEEF
30900 .xword 0xDEADBEEFDEADBEEF
30901 .xword 0xDEADBEEFDEADBEEF
30902 .xword 0xDEADBEEFDEADBEEF
30903 .xword 0xDEADBEEFDEADBEEF
30904 .xword 0xDEADBEEFDEADBEEF
30905 .xword 0xDEADBEEFDEADBEEF
30906 .xword 0xDEADBEEFDEADBEEF
30907 .xword 0xDEADBEEFDEADBEEF
30908 .xword 0xDEADBEEFDEADBEEF
30909 .xword 0xDEADBEEFDEADBEEF
30910 .xword 0xDEADBEEFDEADBEEF
30911 .xword 0xDEADBEEFDEADBEEF
30912 .xword 0xDEADBEEFDEADBEEF
30913 .xword 0xDEADBEEFDEADBEEF
30914 .xword 0xDEADBEEFDEADBEEF
30915 .xword 0xDEADBEEFDEADBEEF
30916 .xword 0xDEADBEEFDEADBEEF
30917 .xword 0xDEADBEEFDEADBEEF
30918 .xword 0xDEADBEEFDEADBEEF
30919 .xword 0xDEADBEEFDEADBEEF
30920 .xword 0xDEADBEEFDEADBEEF
30921 .xword 0xDEADBEEFDEADBEEF
30922 .xword 0xDEADBEEFDEADBEEF
30923 .xword 0xDEADBEEFDEADBEEF
30924 .xword 0xDEADBEEFDEADBEEF
30925 .xword 0xDEADBEEFDEADBEEF
30926 .xword 0xDEADBEEFDEADBEEF
30927 .xword 0xDEADBEEFDEADBEEF
30928 .xword 0xDEADBEEFDEADBEEF
30929 .xword 0xDEADBEEFDEADBEEF
30930 .xword 0xDEADBEEFDEADBEEF
30931 .xword 0xDEADBEEFDEADBEEF
30932 .xword 0xDEADBEEFDEADBEEF
30933 .xword 0xDEADBEEFDEADBEEF
30934 .xword 0xDEADBEEFDEADBEEF
30935 .xword 0xDEADBEEFDEADBEEF
30936 .xword 0xDEADBEEFDEADBEEF
30937 .xword 0xDEADBEEFDEADBEEF
30938 .xword 0xDEADBEEFDEADBEEF
30939 .xword 0xDEADBEEFDEADBEEF
30940 .xword 0xDEADBEEFDEADBEEF
30941 .xword 0xDEADBEEFDEADBEEF
30942 .xword 0xDEADBEEFDEADBEEF
30943 .xword 0xDEADBEEFDEADBEEF
30944 .xword 0xDEADBEEFDEADBEEF
30945 .xword 0xDEADBEEFDEADBEEF
30946 .xword 0xDEADBEEFDEADBEEF
30947 .xword 0xDEADBEEFDEADBEEF
30948 .xword 0xDEADBEEFDEADBEEF
30949 .xword 0xDEADBEEFDEADBEEF
30950 .xword 0xDEADBEEFDEADBEEF
30951 .xword 0xDEADBEEFDEADBEEF
30952 .xword 0xDEADBEEFDEADBEEF
30953 .xword 0xDEADBEEFDEADBEEF
30954 .xword 0xDEADBEEFDEADBEEF
30955 .xword 0xDEADBEEFDEADBEEF
30956 .xword 0xDEADBEEFDEADBEEF
30957 .xword 0xDEADBEEFDEADBEEF
30958 .xword 0xDEADBEEFDEADBEEF
30959 .xword 0xDEADBEEFDEADBEEF
30960 .xword 0xDEADBEEFDEADBEEF
30961 .xword 0xDEADBEEFDEADBEEF
30962 .xword 0xDEADBEEFDEADBEEF
30963 .xword 0xDEADBEEFDEADBEEF
30964 .xword 0xDEADBEEFDEADBEEF
30965 .xword 0xDEADBEEFDEADBEEF
30966 .xword 0xDEADBEEFDEADBEEF
30967 .xword 0xDEADBEEFDEADBEEF
30968 .xword 0xDEADBEEFDEADBEEF
30969 .xword 0xDEADBEEFDEADBEEF
30970 .xword 0xDEADBEEFDEADBEEF
30971 .xword 0xDEADBEEFDEADBEEF
30972 .xword 0xDEADBEEFDEADBEEF
30973 .xword 0xDEADBEEFDEADBEEF
30974 .xword 0xDEADBEEFDEADBEEF
30975 .xword 0xDEADBEEFDEADBEEF
30976 .xword 0xDEADBEEFDEADBEEF
30977 .xword 0xDEADBEEFDEADBEEF
30978 .xword 0xDEADBEEFDEADBEEF
30979 .xword 0xDEADBEEFDEADBEEF
30980 .xword 0xDEADBEEFDEADBEEF
30981 .xword 0xDEADBEEFDEADBEEF
30982 .xword 0xDEADBEEFDEADBEEF
30983 .xword 0xDEADBEEFDEADBEEF
30984 .xword 0xDEADBEEFDEADBEEF
30985 .xword 0xDEADBEEFDEADBEEF
30986 .xword 0xDEADBEEFDEADBEEF
30987 .xword 0xDEADBEEFDEADBEEF
30988 .xword 0xDEADBEEFDEADBEEF
30989 .xword 0xDEADBEEFDEADBEEF
30990 .xword 0xDEADBEEFDEADBEEF
30991 .xword 0xDEADBEEFDEADBEEF
30992 .xword 0xDEADBEEFDEADBEEF
30993 .xword 0xDEADBEEFDEADBEEF
30994 .xword 0xDEADBEEFDEADBEEF
30995 .xword 0xDEADBEEFDEADBEEF
30996 .xword 0xDEADBEEFDEADBEEF
30997 .xword 0xDEADBEEFDEADBEEF
30998 .xword 0xDEADBEEFDEADBEEF
30999 .xword 0xDEADBEEFDEADBEEF
31000 .xword 0xDEADBEEFDEADBEEF
31001 .xword 0xDEADBEEFDEADBEEF
31002 .xword 0xDEADBEEFDEADBEEF
31003 .xword 0xDEADBEEFDEADBEEF
31004 .xword 0xDEADBEEFDEADBEEF
31005 .xword 0xDEADBEEFDEADBEEF
31006 .xword 0xDEADBEEFDEADBEEF
31007 .xword 0xDEADBEEFDEADBEEF
31008 .xword 0xDEADBEEFDEADBEEF
31009 .xword 0xDEADBEEFDEADBEEF
31010 .xword 0xDEADBEEFDEADBEEF
31011 .xword 0xDEADBEEFDEADBEEF
31012 .xword 0xDEADBEEFDEADBEEF
31013 .xword 0xDEADBEEFDEADBEEF
31014 .xword 0xDEADBEEFDEADBEEF
31015 .xword 0xDEADBEEFDEADBEEF
31016 .xword 0xDEADBEEFDEADBEEF
31017 .xword 0xDEADBEEFDEADBEEF
31018 .xword 0xDEADBEEFDEADBEEF
31019 .xword 0xDEADBEEFDEADBEEF
31020_t1_rc4_auth_key:
31021 .xword 0x468658807aaae159
31022 .xword 0xfcae1c1a1309e127
31023 .xword 0x0d653a06659c1397
31024 .xword 0x15796def3fa0d65e
31025 .xword 0xf4311ffeef1ec604
31026 .xword 0xdf3dd8025ee87258
31027 .xword 0xdac2f874f743a947
31028 .xword 0x18d05e19d5081c6c
31029 .xword 0x0425de06e228858b
31030 .xword 0xe93bf94c6c9ee471
31031 .xword 0x13ceb101196dee92
31032 .xword 0x92ac6cea869ceeec
31033 .xword 0xd72f36819748345f
31034 .xword 0xb8fad2650be32f3f
31035 .xword 0x7a9b2800d831ece6
31036 .xword 0xbc9b7025229d0418
31037 .xword 0xa3953fbb975b4a02
31038 .xword 0x531c91ca1fe39fba
31039 .xword 0x293043e010a197bb
31040 .xword 0xac80f6845251861d
31041 .xword 0x749220b6052f42e8
31042 .xword 0x2a5403e21ef71f0c
31043 .xword 0xd0238fbcbf229e7c
31044_t1_rc4_auth_iv:
31045 .xword 0xc2e5c569fcb8102a
31046 .xword 0xd11ea4ca47beb549
31047 .xword 0x9f1a1f9c391b3aed
31048 .xword 0x0dd1ee20ebdb29c3
31049 .xword 0xae5b84a699caf6dd
31050 .xword 0x81f63b9e85f9e56b
31051 .xword 0xe7445fa6b6c55f64
31052 .xword 0x3e57ce8073f167a9
31053 .xword 0x3fbd422d6e02cee4
31054 .xword 0x96712072ad41b4a1
31055 .xword 0x43ae524552bd419a
31056 .xword 0xdef8444e68d9299f
31057 .xword 0xc6644129ea124d36
31058 .xword 0xe986e72b6dd2f63f
31059 .xword 0x3aa09e0839620029
31060 .xword 0xd2e8326992c1284f
31061 .xword 0xc5fd93a216a029a9
31062 .xword 0xdbc29522c200bd7e
31063 .xword 0x855e559b674e3db2
31064 .xword 0x04b35329813bd1ab
31065 .xword 0x03b55ea140304d7b
31066 .xword 0x0664887db975c76c
31067 .xword 0x7c9dba58ad6f5860
31068_t1_rc4_fas_result:
31069 .xword 0xDEADBEEFDEADBEEF
31070 .xword 0xDEADBEEFDEADBEEF
31071 .xword 0xDEADBEEFDEADBEEF
31072 .xword 0xDEADBEEFDEADBEEF
31073 .xword 0xDEADBEEFDEADBEEF
31074 .xword 0xDEADBEEFDEADBEEF
31075 .xword 0xDEADBEEFDEADBEEF
31076 .xword 0xDEADBEEFDEADBEEF
31077 .xword 0xDEADBEEFDEADBEEF
31078 .xword 0xDEADBEEFDEADBEEF
31079 .xword 0xDEADBEEFDEADBEEF
31080 .xword 0xDEADBEEFDEADBEEF
31081 .xword 0xDEADBEEFDEADBEEF
31082 .xword 0xDEADBEEFDEADBEEF
31083 .xword 0xDEADBEEFDEADBEEF
31084 .xword 0xDEADBEEFDEADBEEF
31085 .xword 0xDEADBEEFDEADBEEF
31086 .xword 0xDEADBEEFDEADBEEF
31087 .xword 0xDEADBEEFDEADBEEF
31088 .xword 0xDEADBEEFDEADBEEF
31089 .xword 0xDEADBEEFDEADBEEF
31090 .xword 0xDEADBEEFDEADBEEF
31091 .xword 0xDEADBEEFDEADBEEF
31092_t1_sslkey_key_array:
31093 .xword 0x653d4292c0a3a499
31094 .xword 0x6c8c7e3837d8c64c
31095 .xword 0x63c88302e81a0660
31096 .xword 0x2fc737943cd4e655
31097 .xword 0x7fc091e2b7c6d499
31098 .xword 0xa70d20863a0ebec9
31099 .xword 0x233c1c82c7036ce1
31100 .xword 0x12e35def2d326cb1
31101 .xword 0x93aa334ea02575f7
31102 .xword 0x1c2a6ad67e508169
31103 .xword 0x67ca7089f13dc95e
31104 .xword 0xd3acb621ea68e688
31105 .xword 0xbf60d5d7236d7671
31106 .xword 0x9ecac8657fdbbbc5
31107 .xword 0xba8e17a01d693711
31108 .xword 0xe4d20e898715bbaf
31109 .xword 0xd6e1eae227bc921a
31110 .xword 0xaaab7c75e3dcaf58
31111 .xword 0x1e9039a95ef8ba97
31112 .xword 0x3ebfff7f07e03464
31113 .xword 0x203f5f8756944931
31114 .xword 0x2d92faff3ed9c25d
31115 .xword 0x8f50cf087a360ec3
31116 .xword 0xf70eccc96ae9d64b
31117 .xword 0x623ec03befa73953
31118 .xword 0x3212eccba0b079ea
31119 .xword 0x3e88c3d6b0e66125
31120 .xword 0x3186ae3487a11402
31121 .xword 0x80f2dac18fbc23e8
31122 .xword 0x945da7ba469e2037
31123 .xword 0x1cb977a5f8722b9f
31124 .xword 0x97f186663dc6d593
31125 .xword 0xa89691f0dcb7b4c0
31126 .xword 0xb67f76b404d31ff1
31127 .xword 0xf5cfaaba56022271
31128 .xword 0x5408378e5cc61a10
31129 .xword 0xcb026d44efae8136
31130 .xword 0x229cc572d99931bf
31131 .xword 0xf2810a8008b7a540
31132 .xword 0x145f76e4771b9a7c
31133 .xword 0x22c4020b3e59b48b
31134 .xword 0x658c0f208857a684
31135 .xword 0x204486f7c9daa149
31136 .xword 0x5141f814bb15de6e
31137 .xword 0x7b54b1e378a076f5
31138 .xword 0x15d08b965df8f787
31139 .xword 0x8edf6f1292dec2b5
31140 .xword 0xd3c69bef00543003
31141 .xword 0xee9276364879c00c
31142 .xword 0xa8896636fa0f91ae
31143 .xword 0xae599694d6ce0a62
31144_t1_sslkey_iv_array:
31145 .xword 0x822f5e18e9286a20
31146 .xword 0xbe620a6b9aa75eca
31147 .xword 0xa6c023917ed773b7
31148 .xword 0x38b741852efb5729
31149 .xword 0xab524ee3b04215e2
31150 .xword 0x33048282b3a526cb
31151 .xword 0x45a611867e40a2f8
31152 .xword 0x6cab72fb73f9707b
31153 .xword 0x427fef35ef309fc6
31154 .xword 0x2b6b098ee8870c62
31155 .xword 0x85ec591e822132ea
31156 .xword 0xc1140212993f4909
31157 .xword 0x98bda9150eb1ff4b
31158 .xword 0x0b0d90b6848ecf62
31159 .xword 0xbbe2862b5c2e6750
31160 .xword 0x6d855b2f54eb7800
31161 .xword 0x547c4362ff6818f0
31162 .xword 0x5ce00112f09de72d
31163 .xword 0x44b66507cdd92093
31164 .xword 0xd40311f72be9c993
31165 .xword 0x38cc1b6374572bf2
31166 .xword 0x973a5f2419727075
31167 .xword 0x71c129961c5111ab
31168 .xword 0x531c35368de0ea40
31169 .xword 0xb2fc67b0c2e8a1fc
31170 .xword 0x6f201d2bbd38b8ca
31171 .xword 0x71cba853e573f048
31172 .xword 0xee46fe35145c52d2
31173 .xword 0x70b85a3e653da4ba
31174 .xword 0x373922269319b256
31175 .xword 0xcdb5eef575e6df1c
31176 .xword 0xc2e6e4e215aa0d44
31177 .xword 0xa78f0ae23451b996
31178 .xword 0xa5f6380f50428ea9
31179 .xword 0x0aebeb668853ee42
31180 .xword 0xb37843c4570c6a86
31181 .xword 0x004bce712ad4d04d
31182 .xword 0x547a033b52ed6fdd
31183 .xword 0x78a792834ecddc13
31184 .xword 0x1751eb88a8aa5b8f
31185 .xword 0xbfe926ad8bea3861
31186 .xword 0xb42aceb75d64d5b0
31187 .xword 0xe127f5ea19b5c820
31188 .xword 0xb5a93e7cd7000495
31189 .xword 0x8ef2b33543266de1
31190_t1_sslkey_alignment_array:
31191 .xword 0
31192 .xword 0
31193 .xword 0
31194 .xword 0
31195 .xword 0
31196 .xword 0
31197 .xword 0
31198 .xword 0
31199 .xword 0
31200 .xword 0
31201 .xword 0
31202 .xword 0
31203 .xword 0
31204 .xword 0
31205 .xword 0
31206 .xword 0
31207 .xword 0
31208 .xword 0
31209 .xword 0
31210 .xword 0
31211 .xword 0
31212 .xword 0
31213 .xword 0
31214 .xword 0
31215 .xword 0
31216 .xword 0
31217 .xword 0
31218 .xword 0
31219 .xword 0
31220 .xword 0
31221 .xword 0
31222 .xword 0
31223 .xword 0
31224 .xword 0
31225 .xword 0
31226 .xword 0
31227 .xword 0
31228 .xword 0
31229 .xword 0
31230 .xword 0
31231 .xword 0
31232 .xword 0
31233 .xword 0
31234 .xword 0
31235 .xword 0
31236 .xword 0
31237 .xword 0
31238 .xword 0
31239 .xword 0
31240 .xword 0
31241 .xword 0
31242 .xword 0
31243 .xword 0
31244 .xword 0
31245 .xword 0
31246 .xword 0
31247 .xword 0
31248 .xword 0
31249 .xword 0
31250 .xword 0
31251 .xword 0
31252 .xword 0
31253 .xword 0
31254 .xword 0
31255 .xword 0
31256 .xword 0
31257 .xword 0
31258 .xword 0
31259 .xword 0
31260 .xword 0
31261 .xword 0
31262 .xword 0
31263 .xword 0
31264 .xword 0
31265 .xword 0
31266 .xword 0
31267 .xword 0
31268 .xword 0
31269 .xword 0
31270 .xword 0
31271 .xword 0
31272 .xword 0
31273 .xword 0
31274 .xword 0
31275 .xword 0
31276 .xword 0
31277 .xword 0
31278 .xword 0
31279 .xword 0
31280 .xword 0
31281 .xword 0
31282 .xword 0
31283 .xword 0
31284 .xword 0
31285 .xword 0
31286 .xword 0
31287 .xword 0
31288 .xword 0
31289 .xword 0
31290 .xword 0
31291 .xword 0
31292 .xword 0
31293 .xword 0
31294 .xword 0
31295 .xword 0
31296_t1_sslkey_src:
31297 .xword 0x0703debef66f7fd0
31298 .xword 0xd184224b91c927ff
31299 .xword 0x58d7890d3bcbc6bd
31300 .xword 0xf76dcb6a1b382008
31301 .xword 0x9c5dc149d7b3f567
31302 .xword 0x9eb35164cd06b341
31303 .xword 0xf3278764846784d4
31304 .xword 0xdfb204879560317b
31305 .xword 0x2bf62b9e103a0aff
31306 .xword 0x54451d54d77eb7a9
31307 .xword 0x6697f6228346ba99
31308 .xword 0xf2492987a99fe90a
31309 .xword 0x6683638f484a877b
31310 .xword 0x4efb55d13bcc15a8
31311 .xword 0x7cc2a5e6bb48ad61
31312 .xword 0xf5d8f8c0162ff009
31313 .xword 0x4a4294c0e3f4493d
31314 .xword 0x1233b7d224a84a74
31315 .xword 0xa9e1dd4f864ebc3c
31316 .xword 0x2f7be140eb21297d
31317 .xword 0xab1dede78d6f736e
31318 .xword 0xa3c9ca57af0aaffd
31319 .xword 0x9e3734ba94bd626e
31320 .xword 0x71082f240eea6a95
31321 .xword 0x50cb53ec2982e21f
31322 .xword 0xcbb083996549db88
31323 .xword 0xf76ab7a3e45c9c9f
31324 .xword 0x1b7366eb15d0cc42
31325 .xword 0x3bd6919bee97cae5
31326 .xword 0xa712ded2e0b1a5d9
31327 .xword 0x60707bbcbe7a2df5
31328 .xword 0x3c9adde3f6376212
31329 .xword 0xd972e7517c5c15a7
31330 .xword 0xe4d3ebd5e1f030a4
31331 .xword 0xa6b5dcc560982aae
31332 .xword 0x7b578c2013a5a6de
31333 .xword 0xaa64cad34a3b3c08
31334 .xword 0xc5eae99f368fbae6
31335 .xword 0xa763c10ff70d5317
31336 .xword 0x688887b0c776504f
31337 .xword 0x596f2be6cc8daf09
31338 .xword 0xfc03eec787d5289b
31339 .xword 0x5f3d3e96ab2ab5e1
31340 .xword 0x174d5fe546d6c09d
31341 .xword 0x3b197faacbb08da1
31342 .xword 0x6db408842ec7b10e
31343 .xword 0x055cb41614373aaf
31344 .xword 0x1c0e6cdf66d5526b
31345 .xword 0x19f673c3e6ab85cd
31346 .xword 0x992436311b86a788
31347 .xword 0xca37051e16b872c8
31348 .xword 0xf603f06c9c92f17a
31349 .xword 0x8a2e585cc736075e
31350 .xword 0x07af05000b73b6d3
31351 .xword 0xc25a3d14ab29d056
31352 .xword 0xf50bfe7d73460cc8
31353 .xword 0x103cfe497df3775b
31354 .xword 0xdac3790031728e31
31355 .xword 0x668135a161bf100f
31356 .xword 0x71b0c1db85f9a5bd
31357 .xword 0x9dc8e616a6fb22c3
31358 .xword 0xb340dfed9d2e0a12
31359 .xword 0x0adf6b265af4601c
31360 .xword 0x89feeda420ae5406
31361 .xword 0xf4507b272faa2a50
31362 .xword 0xe759771be16a2691
31363 .xword 0x7518947359dd8eed
31364 .xword 0x87495098b319a086
31365 .xword 0x3a1d4c99bd9a4da7
31366 .xword 0x765ad36d6ab2c797
31367 .xword 0x8168aa9784bff389
31368 .xword 0xd4fe65d570c6281e
31369 .xword 0xdc9fef0ca4d13acc
31370 .xword 0x21ed4e68b809b03e
31371 .xword 0x8f2e2c5e9218fe4b
31372 .xword 0x79019c0a4ea0386f
31373 .xword 0xa9c38c1fb00a7483
31374 .xword 0xeded2f93f547c83a
31375 .xword 0xafd97f7616abf3e7
31376 .xword 0x7f22fe2d94f76a49
31377 .xword 0xb3e1398676cf7436
31378 .xword 0xf5b8e3b8000a43da
31379 .xword 0x44faa350494c534a
31380 .xword 0xc57bf9dcedd16c13
31381 .xword 0x682e99f37be51420
31382 .xword 0xc3c1aecb41e8f5fc
31383 .xword 0x20297b5343a6c4f3
31384 .xword 0xa44a6a6758ec58d9
31385 .xword 0x53d9610c9f2666d2
31386 .xword 0xd404ad917287cdf8
31387 .xword 0x7fd132dd0e9876c6
31388 .xword 0x154a6245ed1afe33
31389 .xword 0x66848cbb007196a7
31390 .xword 0xc10bc7c2a8c66acb
31391 .xword 0xc4976bc0a1df670e
31392 .xword 0x5fe97d2c73ce2b66
31393 .xword 0x6f07565725314c11
31394 .xword 0xa4ba9ea39146a589
31395 .xword 0x2913debdd32b05eb
31396 .xword 0x2c2670b5b5747158
31397 .xword 0x8c51cfb613314dfd
31398 .xword 0x403b50c434b59d6c
31399 .xword 0x48c06494eaf37f72
31400 .xword 0xee681c9d5e004c04
31401 .xword 0x2f9861190203fb6b
31402 .xword 0xa6f38209e546e713
31403 .xword 0x232d31df962c88cd
31404 .xword 0x500861edeb36bea2
31405 .xword 0x1e50ac96ed111ace
31406 .xword 0xfaa7650a93d5b7c7
31407 .xword 0xae9b54bffdeb30a5
31408 .xword 0xedd64c1f9631315b
31409 .xword 0x38e6e6a78245595f
31410 .xword 0x65b2723d32b8f46b
31411 .xword 0xbe5493bba9259c57
31412 .xword 0xff2672eb7f602167
31413 .xword 0xf6dc8529cac0edcb
31414 .xword 0x9e3266479af1c1ce
31415 .xword 0x7d2946b10d9d10e0
31416 .xword 0xb1fa93e87bb154bf
31417 .xword 0xf4c551034018ac81
31418 .xword 0x9fe8cf7bcfeb4fa7
31419 .xword 0x2d1a7f6531b2250b
31420 .xword 0x109725720b36fa12
31421 .xword 0x52e8ea4133a6b436
31422 .xword 0xfe9f6017038b64c6
31423 .xword 0x4954cdd6c5294d8d
31424 .xword 0xacedfd9904972a98
31425 .xword 0x5678e6fe83adf371
31426 .xword 0x26436ac1efdeefbf
31427 .xword 0x46f800bf6049989c
31428 .xword 0xd98b59b5550f30cf
31429 .xword 0x969e46caabc74190
31430 .xword 0x564429c96fdfe189
31431 .xword 0x926d355c008bf3e7
31432 .xword 0xaf9b551dbfae766c
31433 .xword 0x3f437c39603957b1
31434 .xword 0xd9809713737c69a4
31435 .xword 0xa1103d6b4c40a98e
31436 .xword 0xac994037f550df96
31437 .xword 0xd1bae15e0a1825f5
31438 .xword 0x0306b78bd8659747
31439 .xword 0xfd9b38b44fb87e1f
31440 .xword 0xcba73e11efc67d5a
31441 .xword 0xe948c025c09d900e
31442 .xword 0xa3786bc7ee49d4b7
31443 .xword 0x5d1a82586ebdccfb
31444 .xword 0x92a8ab1c64848ddc
31445 .xword 0xbe1ea118dba16886
31446 .xword 0x29e943ead35090ed
31447 .xword 0xca6597eb946f47fd
31448 .xword 0x38665fcad5937a49
31449 .xword 0x6b8e2fe50c865dd8
31450 .xword 0x4877f4376b826726
31451 .xword 0x03bbd2fc44ff5300
31452 .xword 0x0525bea8d6b54d52
31453 .xword 0x7637806fd4415b24
31454 .xword 0xc375ca705cd7179c
31455 .xword 0x3144eb80d7191256
31456 .xword 0xca21ad2d811a1b2c
31457 .xword 0xe33a0ad77989582c
31458 .xword 0x51544f05849211fd
31459 .xword 0xce67ac2b0fcedc53
31460 .xword 0x7328629d74fb9992
31461 .xword 0xc2b7f547ef072c3b
31462 .xword 0x85364695c146f03e
31463 .xword 0x0a12dc6f590da2b8
31464 .xword 0xac525b51f2d06e73
31465 .xword 0x2176c63ebf17112c
31466 .xword 0xe7c47fbfc33e3314
31467 .xword 0x4710e9bfb226fb4f
31468 .xword 0x0936675d648975a7
31469 .xword 0xe83501f83df2e702
31470 .xword 0x1c16176cac5c595b
31471 .xword 0xd3f836b5d66a3150
31472 .xword 0xcde85d852a418dfb
31473 .xword 0x07d40fa41e922d7f
31474 .xword 0x36f9445b4f8ef788
31475 .xword 0xea5085476f548ee0
31476 .xword 0xa663fc40f148d46d
31477 .xword 0xf5a8becd32a7d306
31478 .xword 0x931cff7e72784f86
31479 .xword 0x6af02e0ea8522dc5
31480 .xword 0xea63e90da08dc73d
31481 .xword 0xe82808d47147db13
31482 .xword 0x640fd9e73beca96d
31483 .xword 0x9b25018a21fca9a4
31484 .xword 0x35bbd5d3a63cf2d4
31485 .xword 0x525f92d26e8b2296
31486 .xword 0x23b651a2d542c32b
31487 .xword 0x20a511dc50d333f4
31488 .xword 0x876649951328dffd
31489 .xword 0x2bc7ef8ba0e2965b
31490 .xword 0xebab85ea988ac34f
31491 .xword 0xf914f51c91872f1e
31492 .xword 0xebc18a6242d50d42
31493 .xword 0xaab7d736803610ca
31494 .xword 0x443d9ef90495f8c0
31495 .xword 0xea3f5d0a99bc6f10
31496 .xword 0x3fcd7319ecb0ee3d
31497 .xword 0x3c8b53ce607625c2
31498 .xword 0xae7815237508f2b1
31499 .xword 0xfbcd33a67a168cfd
31500 .xword 0x038422d110f90143
31501 .xword 0x4d986c8157f7e4ae
31502 .xword 0xba272ca7e0727066
31503 .xword 0xd5430d7dd4c34dff
31504 .xword 0x37918bb5fc87b9cc
31505 .xword 0x9e38dd0a2582dd53
31506 .xword 0x3a8de1120fde8aa6
31507 .xword 0x6d12e82f10bca0b9
31508 .xword 0xdad49898d8a2597e
31509 .xword 0x0a30adb619236d6a
31510 .xword 0xd057016e3e995eb3
31511 .xword 0x506df86a860f40f9
31512 .xword 0x6bb0daffc39a69de
31513 .xword 0xe334d1cfab2ff8c1
31514 .xword 0x96a00d044dc7d4f0
31515 .xword 0x55b925bba4135059
31516 .xword 0x3d370459f1a74a81
31517 .xword 0xdf00a51d4cbc899c
31518 .xword 0xb66e6e9aea91867a
31519 .xword 0x6bca15f6ec673f83
31520 .xword 0x3cc4d4c42b018f28
31521 .xword 0x597300d297d732fb
31522 .xword 0x7851ce02758eb9a8
31523 .xword 0xf08b59d3c6fd6a22
31524 .xword 0xd626be3ebc3bfae6
31525 .xword 0x4bbcc94c172036fb
31526 .xword 0xc16df70b85df135b
31527 .xword 0x0b36465b83bb7146
31528 .xword 0xc794c1041e48db2f
31529 .xword 0xd06713d2b702aab9
31530 .xword 0x7737c88990c321de
31531 .xword 0x4b27079dd0551c9c
31532 .xword 0x2e8519d031ebedaa
31533 .xword 0x3972e0b2c6c430c8
31534 .xword 0x755a6b7cba39a02e
31535 .xword 0x4d23f51d699a1acf
31536 .xword 0x90809fcabf808038
31537 .xword 0x2d80e08bd3fe1981
31538 .xword 0x051f240d43c954c9
31539 .xword 0x8ae67a4f27749afd
31540 .xword 0x0ce0786d634414d3
31541 .xword 0x85f9a9549b9f4808
31542 .xword 0x47576da59615f779
31543 .xword 0x098f57cfed3001de
31544 .xword 0x78d626f90b867160
31545 .xword 0x2241f332d8b7834a
31546 .xword 0xd0f59b4644bd6437
31547 .xword 0x650d3adca0e7210f
31548 .xword 0xd7054777d696d3ae
31549 .xword 0xdd793363c60f44ec
31550 .xword 0x9722113c3c9823c3
31551 .xword 0x59b57409b4018d2c
31552 .xword 0x07d2eced6ad4afd9
31553 .xword 0x020138dc86bd12dc
31554 .xword 0xa79487409c53d3c7
31555 .xword 0x155760b79317aa79
31556 .xword 0x37319c7d20b5d363
31557 .xword 0xcb30ea07f3ea8d3c
31558 .xword 0x21a4543879fc1863
31559 .xword 0x7a6f670e4a023782
31560 .xword 0x8f0bd4d5a3c66990
31561 .xword 0xe12e49e58b136a63
31562 .xword 0xd24aba9fc3c821aa
31563 .xword 0x12196a3fd7a7ba63
31564 .xword 0x210bd49de2cadb1f
31565 .xword 0xf39faf331d32d1df
31566 .xword 0xb38bab63e59c8cb1
31567 .xword 0x5b5afbf0ece4712c
31568 .xword 0xc5012be162272bbd
31569 .xword 0x56888c71831d58f7
31570 .xword 0x78caf872224b3b90
31571 .xword 0x089376dfab3b5b91
31572_t1_sslkey_dest:
31573 .xword 0xDEADBEEFDEADBEEF
31574 .xword 0xDEADBEEFDEADBEEF
31575 .xword 0xDEADBEEFDEADBEEF
31576 .xword 0xDEADBEEFDEADBEEF
31577 .xword 0xDEADBEEFDEADBEEF
31578 .xword 0xDEADBEEFDEADBEEF
31579 .xword 0xDEADBEEFDEADBEEF
31580 .xword 0xDEADBEEFDEADBEEF
31581 .xword 0xDEADBEEFDEADBEEF
31582 .xword 0xDEADBEEFDEADBEEF
31583 .xword 0xDEADBEEFDEADBEEF
31584 .xword 0xDEADBEEFDEADBEEF
31585 .xword 0xDEADBEEFDEADBEEF
31586 .xword 0xDEADBEEFDEADBEEF
31587 .xword 0xDEADBEEFDEADBEEF
31588 .xword 0xDEADBEEFDEADBEEF
31589 .xword 0xDEADBEEFDEADBEEF
31590 .xword 0xDEADBEEFDEADBEEF
31591 .xword 0xDEADBEEFDEADBEEF
31592 .xword 0xDEADBEEFDEADBEEF
31593 .xword 0xDEADBEEFDEADBEEF
31594 .xword 0xDEADBEEFDEADBEEF
31595 .xword 0xDEADBEEFDEADBEEF
31596 .xword 0xDEADBEEFDEADBEEF
31597 .xword 0xDEADBEEFDEADBEEF
31598 .xword 0xDEADBEEFDEADBEEF
31599 .xword 0xDEADBEEFDEADBEEF
31600 .xword 0xDEADBEEFDEADBEEF
31601 .xword 0xDEADBEEFDEADBEEF
31602 .xword 0xDEADBEEFDEADBEEF
31603 .xword 0xDEADBEEFDEADBEEF
31604 .xword 0xDEADBEEFDEADBEEF
31605 .xword 0xDEADBEEFDEADBEEF
31606 .xword 0xDEADBEEFDEADBEEF
31607 .xword 0xDEADBEEFDEADBEEF
31608 .xword 0xDEADBEEFDEADBEEF
31609 .xword 0xDEADBEEFDEADBEEF
31610 .xword 0xDEADBEEFDEADBEEF
31611 .xword 0xDEADBEEFDEADBEEF
31612 .xword 0xDEADBEEFDEADBEEF
31613 .xword 0xDEADBEEFDEADBEEF
31614 .xword 0xDEADBEEFDEADBEEF
31615 .xword 0xDEADBEEFDEADBEEF
31616 .xword 0xDEADBEEFDEADBEEF
31617 .xword 0xDEADBEEFDEADBEEF
31618 .xword 0xDEADBEEFDEADBEEF
31619 .xword 0xDEADBEEFDEADBEEF
31620 .xword 0xDEADBEEFDEADBEEF
31621 .xword 0xDEADBEEFDEADBEEF
31622 .xword 0xDEADBEEFDEADBEEF
31623 .xword 0xDEADBEEFDEADBEEF
31624 .xword 0xDEADBEEFDEADBEEF
31625 .xword 0xDEADBEEFDEADBEEF
31626 .xword 0xDEADBEEFDEADBEEF
31627 .xword 0xDEADBEEFDEADBEEF
31628 .xword 0xDEADBEEFDEADBEEF
31629 .xword 0xDEADBEEFDEADBEEF
31630 .xword 0xDEADBEEFDEADBEEF
31631 .xword 0xDEADBEEFDEADBEEF
31632 .xword 0xDEADBEEFDEADBEEF
31633 .xword 0xDEADBEEFDEADBEEF
31634 .xword 0xDEADBEEFDEADBEEF
31635 .xword 0xDEADBEEFDEADBEEF
31636 .xword 0xDEADBEEFDEADBEEF
31637 .xword 0xDEADBEEFDEADBEEF
31638 .xword 0xDEADBEEFDEADBEEF
31639 .xword 0xDEADBEEFDEADBEEF
31640 .xword 0xDEADBEEFDEADBEEF
31641 .xword 0xDEADBEEFDEADBEEF
31642 .xword 0xDEADBEEFDEADBEEF
31643 .xword 0xDEADBEEFDEADBEEF
31644 .xword 0xDEADBEEFDEADBEEF
31645 .xword 0xDEADBEEFDEADBEEF
31646 .xword 0xDEADBEEFDEADBEEF
31647 .xword 0xDEADBEEFDEADBEEF
31648 .xword 0xDEADBEEFDEADBEEF
31649 .xword 0xDEADBEEFDEADBEEF
31650 .xword 0xDEADBEEFDEADBEEF
31651 .xword 0xDEADBEEFDEADBEEF
31652 .xword 0xDEADBEEFDEADBEEF
31653 .xword 0xDEADBEEFDEADBEEF
31654 .xword 0xDEADBEEFDEADBEEF
31655 .xword 0xDEADBEEFDEADBEEF
31656 .xword 0xDEADBEEFDEADBEEF
31657 .xword 0xDEADBEEFDEADBEEF
31658 .xword 0xDEADBEEFDEADBEEF
31659 .xword 0xDEADBEEFDEADBEEF
31660 .xword 0xDEADBEEFDEADBEEF
31661 .xword 0xDEADBEEFDEADBEEF
31662 .xword 0xDEADBEEFDEADBEEF
31663 .xword 0xDEADBEEFDEADBEEF
31664 .xword 0xDEADBEEFDEADBEEF
31665 .xword 0xDEADBEEFDEADBEEF
31666 .xword 0xDEADBEEFDEADBEEF
31667 .xword 0xDEADBEEFDEADBEEF
31668 .xword 0xDEADBEEFDEADBEEF
31669 .xword 0xDEADBEEFDEADBEEF
31670 .xword 0xDEADBEEFDEADBEEF
31671 .xword 0xDEADBEEFDEADBEEF
31672 .xword 0xDEADBEEFDEADBEEF
31673 .xword 0xDEADBEEFDEADBEEF
31674 .xword 0xDEADBEEFDEADBEEF
31675 .xword 0xDEADBEEFDEADBEEF
31676 .xword 0xDEADBEEFDEADBEEF
31677 .xword 0xDEADBEEFDEADBEEF
31678 .xword 0xDEADBEEFDEADBEEF
31679 .xword 0xDEADBEEFDEADBEEF
31680 .xword 0xDEADBEEFDEADBEEF
31681 .xword 0xDEADBEEFDEADBEEF
31682 .xword 0xDEADBEEFDEADBEEF
31683 .xword 0xDEADBEEFDEADBEEF
31684 .xword 0xDEADBEEFDEADBEEF
31685 .xword 0xDEADBEEFDEADBEEF
31686 .xword 0xDEADBEEFDEADBEEF
31687 .xword 0xDEADBEEFDEADBEEF
31688 .xword 0xDEADBEEFDEADBEEF
31689 .xword 0xDEADBEEFDEADBEEF
31690 .xword 0xDEADBEEFDEADBEEF
31691 .xword 0xDEADBEEFDEADBEEF
31692 .xword 0xDEADBEEFDEADBEEF
31693 .xword 0xDEADBEEFDEADBEEF
31694 .xword 0xDEADBEEFDEADBEEF
31695 .xword 0xDEADBEEFDEADBEEF
31696 .xword 0xDEADBEEFDEADBEEF
31697 .xword 0xDEADBEEFDEADBEEF
31698 .xword 0xDEADBEEFDEADBEEF
31699 .xword 0xDEADBEEFDEADBEEF
31700 .xword 0xDEADBEEFDEADBEEF
31701 .xword 0xDEADBEEFDEADBEEF
31702 .xword 0xDEADBEEFDEADBEEF
31703 .xword 0xDEADBEEFDEADBEEF
31704 .xword 0xDEADBEEFDEADBEEF
31705 .xword 0xDEADBEEFDEADBEEF
31706 .xword 0xDEADBEEFDEADBEEF
31707 .xword 0xDEADBEEFDEADBEEF
31708 .xword 0xDEADBEEFDEADBEEF
31709 .xword 0xDEADBEEFDEADBEEF
31710 .xword 0xDEADBEEFDEADBEEF
31711 .xword 0xDEADBEEFDEADBEEF
31712 .xword 0xDEADBEEFDEADBEEF
31713 .xword 0xDEADBEEFDEADBEEF
31714 .xword 0xDEADBEEFDEADBEEF
31715 .xword 0xDEADBEEFDEADBEEF
31716 .xword 0xDEADBEEFDEADBEEF
31717 .xword 0xDEADBEEFDEADBEEF
31718 .xword 0xDEADBEEFDEADBEEF
31719 .xword 0xDEADBEEFDEADBEEF
31720 .xword 0xDEADBEEFDEADBEEF
31721 .xword 0xDEADBEEFDEADBEEF
31722 .xword 0xDEADBEEFDEADBEEF
31723 .xword 0xDEADBEEFDEADBEEF
31724 .xword 0xDEADBEEFDEADBEEF
31725 .xword 0xDEADBEEFDEADBEEF
31726 .xword 0xDEADBEEFDEADBEEF
31727 .xword 0xDEADBEEFDEADBEEF
31728 .xword 0xDEADBEEFDEADBEEF
31729 .xword 0xDEADBEEFDEADBEEF
31730 .xword 0xDEADBEEFDEADBEEF
31731 .xword 0xDEADBEEFDEADBEEF
31732 .xword 0xDEADBEEFDEADBEEF
31733 .xword 0xDEADBEEFDEADBEEF
31734 .xword 0xDEADBEEFDEADBEEF
31735 .xword 0xDEADBEEFDEADBEEF
31736 .xword 0xDEADBEEFDEADBEEF
31737 .xword 0xDEADBEEFDEADBEEF
31738 .xword 0xDEADBEEFDEADBEEF
31739 .xword 0xDEADBEEFDEADBEEF
31740 .xword 0xDEADBEEFDEADBEEF
31741 .xword 0xDEADBEEFDEADBEEF
31742 .xword 0xDEADBEEFDEADBEEF
31743 .xword 0xDEADBEEFDEADBEEF
31744 .xword 0xDEADBEEFDEADBEEF
31745 .xword 0xDEADBEEFDEADBEEF
31746 .xword 0xDEADBEEFDEADBEEF
31747 .xword 0xDEADBEEFDEADBEEF
31748 .xword 0xDEADBEEFDEADBEEF
31749 .xword 0xDEADBEEFDEADBEEF
31750 .xword 0xDEADBEEFDEADBEEF
31751 .xword 0xDEADBEEFDEADBEEF
31752 .xword 0xDEADBEEFDEADBEEF
31753 .xword 0xDEADBEEFDEADBEEF
31754 .xword 0xDEADBEEFDEADBEEF
31755 .xword 0xDEADBEEFDEADBEEF
31756 .xword 0xDEADBEEFDEADBEEF
31757 .xword 0xDEADBEEFDEADBEEF
31758 .xword 0xDEADBEEFDEADBEEF
31759 .xword 0xDEADBEEFDEADBEEF
31760 .xword 0xDEADBEEFDEADBEEF
31761 .xword 0xDEADBEEFDEADBEEF
31762 .xword 0xDEADBEEFDEADBEEF
31763 .xword 0xDEADBEEFDEADBEEF
31764 .xword 0xDEADBEEFDEADBEEF
31765 .xword 0xDEADBEEFDEADBEEF
31766 .xword 0xDEADBEEFDEADBEEF
31767 .xword 0xDEADBEEFDEADBEEF
31768 .xword 0xDEADBEEFDEADBEEF
31769 .xword 0xDEADBEEFDEADBEEF
31770 .xword 0xDEADBEEFDEADBEEF
31771 .xword 0xDEADBEEFDEADBEEF
31772 .xword 0xDEADBEEFDEADBEEF
31773 .xword 0xDEADBEEFDEADBEEF
31774 .xword 0xDEADBEEFDEADBEEF
31775 .xword 0xDEADBEEFDEADBEEF
31776 .xword 0xDEADBEEFDEADBEEF
31777 .xword 0xDEADBEEFDEADBEEF
31778 .xword 0xDEADBEEFDEADBEEF
31779 .xword 0xDEADBEEFDEADBEEF
31780 .xword 0xDEADBEEFDEADBEEF
31781 .xword 0xDEADBEEFDEADBEEF
31782 .xword 0xDEADBEEFDEADBEEF
31783 .xword 0xDEADBEEFDEADBEEF
31784 .xword 0xDEADBEEFDEADBEEF
31785 .xword 0xDEADBEEFDEADBEEF
31786 .xword 0xDEADBEEFDEADBEEF
31787 .xword 0xDEADBEEFDEADBEEF
31788 .xword 0xDEADBEEFDEADBEEF
31789 .xword 0xDEADBEEFDEADBEEF
31790 .xword 0xDEADBEEFDEADBEEF
31791 .xword 0xDEADBEEFDEADBEEF
31792 .xword 0xDEADBEEFDEADBEEF
31793 .xword 0xDEADBEEFDEADBEEF
31794 .xword 0xDEADBEEFDEADBEEF
31795 .xword 0xDEADBEEFDEADBEEF
31796 .xword 0xDEADBEEFDEADBEEF
31797 .xword 0xDEADBEEFDEADBEEF
31798 .xword 0xDEADBEEFDEADBEEF
31799 .xword 0xDEADBEEFDEADBEEF
31800 .xword 0xDEADBEEFDEADBEEF
31801 .xword 0xDEADBEEFDEADBEEF
31802 .xword 0xDEADBEEFDEADBEEF
31803 .xword 0xDEADBEEFDEADBEEF
31804 .xword 0xDEADBEEFDEADBEEF
31805 .xword 0xDEADBEEFDEADBEEF
31806 .xword 0xDEADBEEFDEADBEEF
31807 .xword 0xDEADBEEFDEADBEEF
31808 .xword 0xDEADBEEFDEADBEEF
31809 .xword 0xDEADBEEFDEADBEEF
31810 .xword 0xDEADBEEFDEADBEEF
31811 .xword 0xDEADBEEFDEADBEEF
31812 .xword 0xDEADBEEFDEADBEEF
31813 .xword 0xDEADBEEFDEADBEEF
31814 .xword 0xDEADBEEFDEADBEEF
31815 .xword 0xDEADBEEFDEADBEEF
31816 .xword 0xDEADBEEFDEADBEEF
31817 .xword 0xDEADBEEFDEADBEEF
31818 .xword 0xDEADBEEFDEADBEEF
31819 .xword 0xDEADBEEFDEADBEEF
31820 .xword 0xDEADBEEFDEADBEEF
31821 .xword 0xDEADBEEFDEADBEEF
31822 .xword 0xDEADBEEFDEADBEEF
31823 .xword 0xDEADBEEFDEADBEEF
31824 .xword 0xDEADBEEFDEADBEEF
31825 .xword 0xDEADBEEFDEADBEEF
31826 .xword 0xDEADBEEFDEADBEEF
31827 .xword 0xDEADBEEFDEADBEEF
31828 .xword 0xDEADBEEFDEADBEEF
31829 .xword 0xDEADBEEFDEADBEEF
31830 .xword 0xDEADBEEFDEADBEEF
31831 .xword 0xDEADBEEFDEADBEEF
31832 .xword 0xDEADBEEFDEADBEEF
31833 .xword 0xDEADBEEFDEADBEEF
31834 .xword 0xDEADBEEFDEADBEEF
31835 .xword 0xDEADBEEFDEADBEEF
31836 .xword 0xDEADBEEFDEADBEEF
31837 .xword 0xDEADBEEFDEADBEEF
31838 .xword 0xDEADBEEFDEADBEEF
31839 .xword 0xDEADBEEFDEADBEEF
31840 .xword 0xDEADBEEFDEADBEEF
31841 .xword 0xDEADBEEFDEADBEEF
31842 .xword 0xDEADBEEFDEADBEEF
31843 .xword 0xDEADBEEFDEADBEEF
31844 .xword 0xDEADBEEFDEADBEEF
31845 .xword 0xDEADBEEFDEADBEEF
31846 .xword 0xDEADBEEFDEADBEEF
31847 .xword 0xDEADBEEFDEADBEEF
31848_t1_sslkey_auth_key:
31849 .xword 0x0089d65aed96d20d
31850 .xword 0xb80b32fd8263f26d
31851 .xword 0xf2bfd101441c8b95
31852 .xword 0xc517b6a75019a293
31853 .xword 0xb4431bba813a7a7b
31854 .xword 0x6aab1e051b54284b
31855 .xword 0x02ce5f9e9da815c1
31856 .xword 0x6b4bcb72658dc674
31857 .xword 0x5023038f7d800638
31858 .xword 0x42a23dd2f875c53c
31859 .xword 0x159d0e43d763a5cd
31860 .xword 0xf0df878eaf8273c9
31861 .xword 0x7e4e8a7f80a551c4
31862 .xword 0xdcf7a99d50ed22a8
31863 .xword 0x69afcbdb0e38d076
31864 .xword 0xc2ad151dbb583f40
31865 .xword 0x9d3bac05c50c3718
31866 .xword 0x59f3555af0537c37
31867 .xword 0x577ac11c8fcaa944
31868 .xword 0x1534129d1fbc518e
31869 .xword 0xbb2c929b4acc5160
31870 .xword 0x8b74296a5846ff35
31871 .xword 0x11e9f101c9511700
31872_t1_sslkey_auth_iv:
31873 .xword 0xdd335166cdde7e7a
31874 .xword 0x5dbe5834422d2741
31875 .xword 0xae3c2e55cd3ad312
31876 .xword 0xb9019676d6fa835d
31877 .xword 0x070354e888fbe850
31878 .xword 0x50e64a12918af648
31879 .xword 0x8422109c571675d6
31880 .xword 0x23e00e380a6785b9
31881 .xword 0x7e296bbbccba0780
31882 .xword 0xb86628af46bbd2b0
31883 .xword 0x4b9c5d46f7fed97e
31884 .xword 0x3b36260ae4b32702
31885 .xword 0x9ccddcdd0d7e2236
31886 .xword 0x79c0b36279e62059
31887 .xword 0x1d887667c63f1bac
31888 .xword 0xc660c0997372bcd3
31889 .xword 0x7a78cc5d2a7ffdb2
31890 .xword 0x3e750efbeec9e554
31891 .xword 0x229900d46849e4f4
31892 .xword 0x43d0049fb0805f1f
31893 .xword 0xf1667d567bc48b66
31894 .xword 0xb431bea5b8957a3c
31895 .xword 0x1349721318a7c0e5
31896_t1_sslkey_fas_result:
31897 .xword 0xDEADBEEFDEADBEEF
31898 .xword 0xDEADBEEFDEADBEEF
31899 .xword 0xDEADBEEFDEADBEEF
31900 .xword 0xDEADBEEFDEADBEEF
31901 .xword 0xDEADBEEFDEADBEEF
31902 .xword 0xDEADBEEFDEADBEEF
31903 .xword 0xDEADBEEFDEADBEEF
31904 .xword 0xDEADBEEFDEADBEEF
31905 .xword 0xDEADBEEFDEADBEEF
31906 .xword 0xDEADBEEFDEADBEEF
31907 .xword 0xDEADBEEFDEADBEEF
31908 .xword 0xDEADBEEFDEADBEEF
31909 .xword 0xDEADBEEFDEADBEEF
31910 .xword 0xDEADBEEFDEADBEEF
31911 .xword 0xDEADBEEFDEADBEEF
31912 .xword 0xDEADBEEFDEADBEEF
31913 .xword 0xDEADBEEFDEADBEEF
31914 .xword 0xDEADBEEFDEADBEEF
31915 .xword 0xDEADBEEFDEADBEEF
31916 .xword 0xDEADBEEFDEADBEEF
31917 .xword 0xDEADBEEFDEADBEEF
31918 .xword 0xDEADBEEFDEADBEEF
31919 .xword 0xDEADBEEFDEADBEEF
31920_t1_aes_toc:
31921 .xword _t1_aes_cwd_array
31922 .xword _t1_aes_src
31923 .xword _t1_aes_auth_key
31924 .xword _t1_aes_auth_iv
31925 .xword _t1_aes_fas_result
31926 .xword _t1_aes_key_array
31927 .xword _t1_aes_iv_array
31928 .xword _t1_aes_dest
31929 .xword _t1_aes_alignment_array
31930_t1_des_toc:
31931 .xword _t1_des_cwd_array
31932 .xword _t1_des_src
31933 .xword _t1_des_auth_key
31934 .xword _t1_des_auth_iv
31935 .xword _t1_des_fas_result
31936 .xword _t1_des_key_array
31937 .xword _t1_des_iv_array
31938 .xword _t1_des_dest
31939 .xword _t1_des_alignment_array
31940_t1_copy_toc:
31941 .xword _t1_copy_cwd_array
31942 .xword _t1_copy_src
31943 .xword _t1_copy_auth_key
31944 .xword _t1_copy_auth_iv
31945 .xword _t1_copy_fas_result
31946 .xword _t1_copy_key_array
31947 .xword _t1_copy_iv_array
31948 .xword _t1_copy_dest
31949 .xword _t1_copy_alignment_array
31950_t1_crc_toc:
31951 .xword _t1_crc_cwd_array
31952 .xword _t1_crc_src
31953 .xword _t1_crc_auth_key
31954 .xword _t1_crc_auth_iv
31955 .xword _t1_crc_fas_result
31956 .xword _t1_crc_key_array
31957 .xword _t1_crc_iv_array
31958 .xword _t1_crc_dest
31959 .xword _t1_crc_alignment_array
31960_t1_hash_toc:
31961 .xword _t1_hash_cwd_array
31962 .xword _t1_hash_src
31963 .xword _t1_hash_auth_key
31964 .xword _t1_hash_auth_iv
31965 .xword _t1_hash_fas_result
31966 .xword _t1_hash_key_array
31967 .xword _t1_hash_iv_array
31968 .xword _t1_hash_dest
31969 .xword _t1_hash_alignment_array
31970_t1_hmac_toc:
31971 .xword _t1_hmac_cwd_array
31972 .xword _t1_hmac_src
31973 .xword _t1_hmac_auth_key
31974 .xword _t1_hmac_auth_iv
31975 .xword _t1_hmac_fas_result
31976 .xword _t1_hmac_key_array
31977 .xword _t1_hmac_iv_array
31978 .xword _t1_hmac_dest
31979 .xword _t1_hmac_alignment_array
31980_t1_rc4_toc:
31981 .xword _t1_rc4_cwd_array
31982 .xword _t1_rc4_src
31983 .xword _t1_rc4_auth_key
31984 .xword _t1_rc4_auth_iv
31985 .xword _t1_rc4_fas_result
31986 .xword _t1_rc4_key_array
31987 .xword _t1_rc4_iv_array
31988 .xword _t1_rc4_dest
31989 .xword _t1_rc4_alignment_array
31990_t1_sslkey_toc:
31991 .xword _t1_sslkey_cwd_array
31992 .xword _t1_sslkey_src
31993 .xword _t1_sslkey_auth_key
31994 .xword _t1_sslkey_auth_iv
31995 .xword _t1_sslkey_fas_result
31996 .xword _t1_sslkey_key_array
31997 .xword _t1_sslkey_iv_array
31998 .xword _t1_sslkey_dest
31999 .xword _t1_sslkey_alignment_array
32000_t1_table_of_context1:
32001 .xword _t1_aes_toc
32002 .xword _t1_des_toc
32003 .xword _t1_copy_toc
32004 .xword _t1_crc_toc
32005 .xword _t1_hash_toc
32006 .xword _t1_hmac_toc
32007 .xword _t1_rc4_toc
32008 .xword _t1_sslkey_toc
32009
32010!# CWQ data area, set aside 512 CW's worth
32011!# 512*8*8 = 32KB
32012.align 32*1024
32013_t1_cwq_base1:
32014 .xword 0xAAAAAAAAAAAAAAA
32015 .xword 0xAAAAAAAAAAAAAAA
32016 .xword 0xAAAAAAAAAAAAAAA
32017 .xword 0xAAAAAAAAAAAAAAA
32018 .xword 0xAAAAAAAAAAAAAAA
32019 .xword 0xAAAAAAAAAAAAAAA
32020 .xword 0xAAAAAAAAAAAAAAA
32021 .xword 0xAAAAAAAAAAAAAAA
32022.align 32*1024
32023_t1_cwq_last1:
32024
32025SECTION ._t1_T_CWQ_DATA2 DATA_VA=287309824
32026attr_data {
32027 Name = ._t1_T_CWQ_DATA2
32028 hypervisor
32029}
32030 .data
32031_t1_user_data_start2:
32032_t1_scratch_area2:
32033
32034.align 16
32035_t1_spu_op_array2:
32036 .xword 4
32037 .xword 4
32038 .xword 3
32039 .xword 2
32040 .xword 1
32041 .xword 3
32042 .xword 7
32043 .xword 0
32044 .xword 1
32045 .xword 0
32046 .xword 7
32047 .xword 7
32048 .xword 5
32049 .xword 5
32050 .xword 2
32051_t1_aes_cwd_array2:
32052 .xword 0xc06100601000003f
32053 .xword 0x406100401100001f
32054 .xword 0x406100401300000f
32055 .xword 0x406000601300001f
32056 .xword 0x406000401800002f
32057 .xword 0xc06100801700001f
32058 .xword 0x406100a01800002f
32059 .xword 0xc0e000c01300000f
32060 .xword 0xc0e100e01800002f
32061 .xword 0x40e000a01400000f
32062 .xword 0x406100601800001f
32063 .xword 0x40e100201300002f
32064 .xword 0x406100e01900001f
32065 .xword 0x406000801300003f
32066 .xword 0xc06000801800002f
32067_t1_des_cwd_array2:
32068 .xword 0xc0e100400800001f
32069 .xword 0xc06000800c000007
32070 .xword 0xc06100400800000f
32071 .xword 0xc06100400d000007
32072 .xword 0x406000000800001f
32073 .xword 0x406100e00800000f
32074 .xword 0x40e000000c000007
32075 .xword 0xc0e000800a00001f
32076 .xword 0x4060002008000007
32077 .xword 0xc0e000a00900001f
32078 .xword 0xc06100600e000017
32079 .xword 0x406100a00d00001f
32080 .xword 0x406000c00d00000f
32081 .xword 0xc06100800c00001f
32082 .xword 0x40e000200800000f
32083_t1_copy_cwd_array2:
32084 .xword 0x206000c000000006
32085 .xword 0x206100c00000000e
32086 .xword 0x206000e000000001
32087 .xword 0x206000c00000000c
32088 .xword 0x206000000000000d
32089 .xword 0xa06000600000000a
32090 .xword 0xa06000e000000004
32091 .xword 0x206100600000000a
32092 .xword 0xa060002000000003
32093 .xword 0xa060006000000008
32094 .xword 0xa06000a000000003
32095 .xword 0x2061000000000009
32096 .xword 0x206100400000000a
32097 .xword 0xa06100600000000a
32098 .xword 0x206100c00000000d
32099_t1_crc_cwd_array2:
32100 .xword 0xc16303440000000e
32101 .xword 0xc16201e800000004
32102 .xword 0xc16003a40000000d
32103 .xword 0x416201e800000004
32104 .xword 0xc16103e400000003
32105 .xword 0xc160018800000008
32106 .xword 0x4160038400000003
32107 .xword 0x416301080000000e
32108 .xword 0xc16303640000000d
32109 .xword 0x416101880000000c
32110 .xword 0x416103440000000f
32111 .xword 0xc16301680000000e
32112 .xword 0x4162030400000003
32113 .xword 0xc160014800000003
32114_t1_hash_cwd_array2:
32115 .xword 0x416105010000002f
32116 .xword 0xc1610da10000003f
32117 .xword 0x416210c30000003b
32118 .xword 0xc1600a4200000033
32119 .xword 0xc16114830000000c
32120 .xword 0xc16307a100000016
32121 .xword 0xc1601fa300000009
32122 .xword 0x416010e20000001f
32123 .xword 0xc16203a200000030
32124 .xword 0x41621d0300000028
32125 .xword 0x416302420000001e
32126 .xword 0x416007610000002b
32127 .xword 0x41610c8100000018
32128 .xword 0x4160072100000025
32129 .xword 0x4162070100000035
32130_t1_hmac_cwd_array2:
32131 .xword 0xc1611347001f000a
32132 .xword 0xc1610649000f0012
32133 .xword 0x41600e060013001f
32134 .xword 0x416102c600130004
32135 .xword 0x41600365000f003c
32136 .xword 0x41611887001f0038
32137 .xword 0xc162090a00130005
32138 .xword 0x41610545000f002e
32139 .xword 0x41601da7001f0006
32140 .xword 0xc1600c49000f0015
32141 .xword 0xc163036600130037
32142 .xword 0x416009e5000f002a
32143 .xword 0x416206a5000f0006
32144 .xword 0x41600205000f002a
32145 .xword 0xc1610f4a0013003d
32146_t1_rc4_cwd_array2:
32147 .xword 0x40e100a00400000c
32148 .xword 0xc0e1002004000007
32149 .xword 0x40e1002000000006
32150 .xword 0xc0e000400400000b
32151 .xword 0xc0e1000000000009
32152 .xword 0x40e100e000000003
32153 .xword 0x40e000a000000004
32154 .xword 0x40e1000000000004
32155 .xword 0xc0e000a000000005
32156 .xword 0xc0e000c004000001
32157 .xword 0xc0e000a000000008
32158 .xword 0x40e000c004000006
32159 .xword 0x40e0002000000006
32160 .xword 0xc0e000200000000d
32161 .xword 0xc0e000e000000005
32162_t1_sslkey_cwd_array2:
32163 .xword 0x906030a000000000, 0
32164 .xword 0x10603a8000000000, 0
32165 .xword 0x9060170000000000, 0
32166 .xword 0x906004c000000000, 0
32167 .xword 0x1060324000000000, 0
32168 .xword 0x10601f6000000000, 0
32169 .xword 0x906022a000000000, 0
32170 .xword 0x106017c000000000, 0
32171 .xword 0x906040c000000000, 0
32172 .xword 0x9060350000000000, 0
32173 .xword 0x90603c4000000000, 0
32174 .xword 0x906022e000000000, 0
32175 .xword 0x90603ec000000000, 0
32176 .xword 0x9060040000000000, 0
32177 .xword 0x1060380000000000, 0
32178_t1_aes_key_array:
32179 .xword 0x30c566a695a9f640
32180 .xword 0x4c4d78b5d1adbbeb
32181 .xword 0xbecb64f52a7e66df
32182 .xword 0xb41c73643f9b20fa
32183 .xword 0x8367637b814fe187
32184 .xword 0xec5312fe76777141
32185 .xword 0x84c4b577c020f199
32186 .xword 0xdc58857f2bcc76cb
32187 .xword 0xafd478518df22993
32188 .xword 0x926a98683144cae1
32189 .xword 0x5c31fa20512b14d2
32190 .xword 0x888ce1ca8ab7bbd2
32191 .xword 0x96820e30bef7afda
32192 .xword 0x0602a9561c1efaa6
32193 .xword 0xab2e65c1968e96c6
32194 .xword 0x96bfd7d60dca68c3
32195 .xword 0x36903e03f2ca5a5a
32196 .xword 0x13b4ebf4c0a73268
32197 .xword 0xe980042486c9ab75
32198 .xword 0x3097bdc2908deb6a
32199 .xword 0x265c74c208177fc2
32200 .xword 0x0898d66fcc7897cd
32201 .xword 0x033ea782dd5edda4
32202 .xword 0xb15d16f850ea9c53
32203 .xword 0xc67e5dae863a92a1
32204 .xword 0x19ed8407a088b175
32205 .xword 0xf9fb0449081a1f90
32206 .xword 0x94452cb11249dc9b
32207 .xword 0x505ebf6abf73082c
32208 .xword 0x7512aa9b6fac5ec5
32209 .xword 0xce39c998692fe50b
32210 .xword 0x7dbefd4ba5f2a151
32211 .xword 0xe6faf64111f74ad8
32212 .xword 0x4d11ed16a2b9d72c
32213 .xword 0xcdac29c5dea484ac
32214 .xword 0x7d39df58efc1c3a8
32215 .xword 0xe1fe96edb0e0c460
32216 .xword 0x65e520e76dc24a71
32217 .xword 0x4aba02fb13cef825
32218 .xword 0x236f277d3b0331cf
32219 .xword 0x16298f68ad937291
32220 .xword 0x525363ff458d42e7
32221 .xword 0x025cfd526aa1ded6
32222 .xword 0x0e4abe964af4472e
32223 .xword 0x7a132878094ebc9a
32224 .xword 0x7ed6c4ae8b0e5278
32225 .xword 0xf0693b277b3497f2
32226 .xword 0x8b48efda14a204fa
32227 .xword 0x4c32da98d03e943d
32228 .xword 0x79ca04896ce14c0a
32229 .xword 0x72f201ea58fc3202
32230_t1_aes_iv_array:
32231 .xword 0xc60725875d203866
32232 .xword 0x2f9de831e9af3f53
32233 .xword 0xcc1fb6abc688edbe
32234 .xword 0x3a10cd653416c0a2
32235 .xword 0x6e099f300d0d2fed
32236 .xword 0x1c138557d3b3ab43
32237 .xword 0x7858770036b74561
32238 .xword 0x97492e9f1392c287
32239 .xword 0xbe847a0023bdb425
32240 .xword 0x3a47537e8e18d8b6
32241 .xword 0x4eef5fe2c69993f0
32242 .xword 0xcb9ec1d0af3500fb
32243 .xword 0x27f48a22d6bbc3e2
32244 .xword 0xaf8723bf7be99bce
32245 .xword 0xd764ed8e60bd051d
32246 .xword 0xe253300f37723381
32247 .xword 0xdac2f3966233db16
32248 .xword 0x1cab8e98702e87c0
32249 .xword 0xf816930141927fef
32250 .xword 0x92aabaecdbb7cab2
32251 .xword 0x22a651c1d54061de
32252 .xword 0xdc958e8c365d0259
32253 .xword 0xa97f9b53a7630584
32254 .xword 0x358531e785a6bb84
32255 .xword 0xecb0f32652b86aa2
32256 .xword 0x843310e534b2a5a1
32257 .xword 0x73e933a130e98350
32258 .xword 0x6abfff71c659310d
32259 .xword 0x0dcc648e65b161ec
32260 .xword 0xceb8fcb6afd7af2e
32261 .xword 0xf175c08eb6cacc76
32262 .xword 0xd3f1a445505866a3
32263 .xword 0xb862330b26981191
32264 .xword 0x5bf67b83e6fcc2cd
32265 .xword 0x4ffa3d96a3a34c10
32266 .xword 0x55ed53ec2f4043f8
32267 .xword 0x5e99db318a8cc6ad
32268 .xword 0x2c7ef1aaa558959a
32269 .xword 0xc4c1aa05daf37636
32270 .xword 0x8c6b1a62e6524531
32271 .xword 0x53b6d4fc0601abe9
32272 .xword 0xe2cfe84355323b7f
32273 .xword 0xab5ce094f46629b5
32274 .xword 0x0b19e3225c5a29fd
32275 .xword 0xda4fa535341a90c8
32276_t1_aes_alignment_array:
32277 .xword 3
32278 .xword 4
32279 .xword 9
32280 .xword 5
32281 .xword 10
32282 .xword 5
32283 .xword 11
32284 .xword 12
32285 .xword 11
32286 .xword 5
32287 .xword 4
32288 .xword 3
32289 .xword 5
32290 .xword 9
32291 .xword 0
32292 .xword 9
32293 .xword 1
32294 .xword 11
32295 .xword 3
32296 .xword 5
32297 .xword 1
32298 .xword 11
32299 .xword 3
32300 .xword 5
32301 .xword 3
32302 .xword 7
32303 .xword 12
32304 .xword 3
32305 .xword 3
32306 .xword 13
32307 .xword 10
32308 .xword 5
32309 .xword 11
32310 .xword 11
32311 .xword 2
32312 .xword 14
32313 .xword 10
32314 .xword 14
32315 .xword 2
32316 .xword 7
32317 .xword 11
32318 .xword 1
32319 .xword 12
32320 .xword 1
32321 .xword 13
32322 .xword 12
32323 .xword 9
32324 .xword 15
32325 .xword 4
32326 .xword 7
32327 .xword 11
32328 .xword 10
32329 .xword 15
32330 .xword 2
32331 .xword 13
32332 .xword 8
32333 .xword 15
32334 .xword 13
32335 .xword 7
32336 .xword 7
32337 .xword 0
32338 .xword 10
32339 .xword 5
32340 .xword 9
32341 .xword 8
32342 .xword 3
32343 .xword 13
32344 .xword 8
32345 .xword 3
32346 .xword 9
32347 .xword 2
32348 .xword 1
32349 .xword 6
32350 .xword 6
32351 .xword 3
32352 .xword 2
32353 .xword 15
32354 .xword 10
32355 .xword 10
32356 .xword 4
32357 .xword 13
32358 .xword 3
32359 .xword 8
32360 .xword 5
32361 .xword 10
32362 .xword 8
32363 .xword 1
32364 .xword 6
32365 .xword 2
32366 .xword 5
32367 .xword 2
32368 .xword 15
32369 .xword 1
32370 .xword 0
32371 .xword 2
32372 .xword 11
32373 .xword 7
32374 .xword 13
32375 .xword 5
32376 .xword 0
32377 .xword 7
32378 .xword 3
32379 .xword 5
32380 .xword 0
32381 .xword 7
32382_t1_aes_src:
32383 .xword 0x51c3925b08295ec1
32384 .xword 0xe7fcb255f3ec70a2
32385 .xword 0x5615da49ff34893b
32386 .xword 0x21b997759b3324e6
32387 .xword 0xeed1f4cf622ec20a
32388 .xword 0x849be40bc725b4f0
32389 .xword 0xea9768bb2991910e
32390 .xword 0x0e0463f716a9798c
32391 .xword 0x83c01b2a22b6e680
32392 .xword 0xca2974b448459791
32393 .xword 0x4d1a2fcce97f567c
32394 .xword 0x9671d86f4bde6bfd
32395 .xword 0xd768fea8b341f07d
32396 .xword 0x83c3b9a781182fd4
32397 .xword 0xabc6be323723fe8e
32398 .xword 0x516783b446f7511e
32399 .xword 0x67f469eb852d4bbc
32400 .xword 0xaf6178b366a1464a
32401 .xword 0x22e99ca8b09149a1
32402 .xword 0xf75d90b94507e59a
32403 .xword 0xffad0d3f9ef58d09
32404 .xword 0x1384ae09b5b775e5
32405 .xword 0x7e42f1bc439c72a4
32406 .xword 0x407bcda22f05fe59
32407 .xword 0xa82bd314ac35366b
32408 .xword 0x19372edcc78f9940
32409 .xword 0x78093f435cee2868
32410 .xword 0x4515b3ab505c8fe2
32411 .xword 0xc885595f74a10630
32412 .xword 0x2fa0137e6c71e646
32413 .xword 0x5c77a2e03da9ee0c
32414 .xword 0x94628654bbe84505
32415 .xword 0x6223b346a1da0e9e
32416 .xword 0x5191aa04f1a0dd16
32417 .xword 0x055520094dd3c078
32418 .xword 0x2648f161f3fdda23
32419 .xword 0xa59b335d1a8b525c
32420 .xword 0x4dabd4cdb3c1f13a
32421 .xword 0x94e1d37c74dec31d
32422 .xword 0xe9b679f4a11b256f
32423 .xword 0x4b32bf77eede3765
32424 .xword 0xa4fdfc557ef74629
32425 .xword 0x3ced7f76f0f36c03
32426 .xword 0x4150503c32d00da0
32427 .xword 0x14e05eeec92359a7
32428 .xword 0xbfdf58166289f96e
32429 .xword 0xaeec9aebf159e2f1
32430 .xword 0x535c7862e5db31db
32431 .xword 0x8e06176ad087dcaa
32432 .xword 0x33c89d84ded8429e
32433 .xword 0x8787ebd6a3a0f563
32434 .xword 0x2a6d315e1304cd76
32435 .xword 0x831b98cd668d1ea0
32436 .xword 0x1f35689759a1e6ca
32437 .xword 0xa3512b94d0869bc2
32438 .xword 0x6eaff14bf364d472
32439 .xword 0x4cdb5fa1ae011a9d
32440 .xword 0x8c3414be66d6bbca
32441 .xword 0xbe5bc4e6c64a25f3
32442 .xword 0xed82aff8dc235e1c
32443 .xword 0x5add4b6042b1f1cd
32444 .xword 0x664124ea047e9032
32445 .xword 0x3120684771057168
32446 .xword 0xe6c4377fbbf94d98
32447 .xword 0xeef1f4098f1e020d
32448 .xword 0x946ab9964441dc47
32449 .xword 0xb9ee201fcfa63664
32450 .xword 0xa796a533de75e906
32451 .xword 0xca1ab5b0e016efd6
32452 .xword 0x3182221de4351249
32453 .xword 0x8c93311f2dc192e9
32454 .xword 0xe74ce737e8d5fcb4
32455 .xword 0xdbcf59506a12e556
32456 .xword 0xa570913b3b52a622
32457 .xword 0x9bb4d32bad3ed36f
32458 .xword 0xcb79651cf0f05397
32459 .xword 0x68c7623ebea7b9ce
32460 .xword 0x31a710fce44cd272
32461 .xword 0x61f80948f611d863
32462 .xword 0x4c96372fd05d978b
32463 .xword 0xeff3e6bae57a2053
32464 .xword 0xfe072f5283bca513
32465 .xword 0xff92f2a9ba2d80c2
32466 .xword 0x10a55c8723425d74
32467 .xword 0x3e46d3a0f72f400c
32468 .xword 0x4457882253d96e0c
32469 .xword 0x64d93a4d52771e16
32470 .xword 0x48601a177cc7a70f
32471 .xword 0x59ed7ba97e422f54
32472 .xword 0x059af7075046d2f2
32473 .xword 0xed292bd5b7d9eeaa
32474 .xword 0x149eb03fe1dafebc
32475 .xword 0x387e1d70a9d7b546
32476 .xword 0xce64670fe059747f
32477 .xword 0xa7c5285df9a9dcc7
32478 .xword 0x0d20233055793f8d
32479 .xword 0x2d9136348c45ad56
32480 .xword 0xedd05996fac0fc25
32481 .xword 0x1f73f67f72dbb2bd
32482 .xword 0x9774b9fc117a9806
32483 .xword 0xa6659dc2b63c70e7
32484 .xword 0x95fc68c2775c5c1a
32485 .xword 0xe7dd3fcfc9a8a7f3
32486 .xword 0xe7a6c9579111eaf1
32487 .xword 0x473813dbaee25881
32488 .xword 0xd774277f5c0e8a59
32489 .xword 0xbe2ea5fa35bbdb06
32490 .xword 0x015211424af496cc
32491 .xword 0x5b078836384918be
32492 .xword 0x2be04594f956b496
32493 .xword 0x75c5513cbcf72741
32494 .xword 0x0d0908156706de00
32495 .xword 0xad559787b3ed5cba
32496 .xword 0xad879687a0f55972
32497 .xword 0xa4b7bfc711c0ecce
32498 .xword 0x40ac83a0e10b5596
32499 .xword 0xd984c4f4d6f57eba
32500 .xword 0xfd1642229d975850
32501 .xword 0x898b6fd6233b9ab3
32502 .xword 0x9efdd914b706614c
32503 .xword 0x23bad841e57aef08
32504 .xword 0x63acb6034a58e7c3
32505 .xword 0xfc2ad5309c16c09c
32506 .xword 0xfd361ebfb2dd9b90
32507 .xword 0x9abd6f047e8f91b6
32508 .xword 0x792b37fbbcf0dff6
32509 .xword 0xc91bdd9dfc6a7a49
32510 .xword 0x256fffb27d80aad8
32511 .xword 0x9cc9ab6fe74ca8f3
32512 .xword 0x0bf23cc2b3ea1c46
32513 .xword 0x9f62367c49e9a0ca
32514 .xword 0xf5232fb93b490096
32515 .xword 0xb5fca3b514dff67d
32516 .xword 0x8153d1751e6a34c1
32517 .xword 0x54b2942bb02e3b17
32518 .xword 0xf59ddacb766e76b4
32519 .xword 0x463d1e0bc5f4ffec
32520 .xword 0x04d374c8cf91f18d
32521 .xword 0x1224ad0be34a28c4
32522 .xword 0x7ebb719ae869654b
32523 .xword 0xb5fae69291c7857b
32524 .xword 0x31079bc5006edbdf
32525 .xword 0xa5293b978261e439
32526 .xword 0x6fcc3a4f23552c71
32527 .xword 0x0c5c84c0e83e3ea4
32528 .xword 0x9b77c5f576530681
32529 .xword 0x9b651d0cba4ce8ce
32530 .xword 0x90e92954bc703cad
32531 .xword 0x13d3f0e1373163fa
32532 .xword 0x319087bcbe9685e9
32533 .xword 0xac00500ebb1e125d
32534 .xword 0x5137fa99226ac622
32535 .xword 0xb57f5077df917265
32536 .xword 0x5c017c2e56be004b
32537 .xword 0x560f6f51767ff9d4
32538 .xword 0x888ad07a75b07c59
32539 .xword 0xd880b36deaed699c
32540 .xword 0xa004ae1951728287
32541 .xword 0x53c1bb5b95e20f04
32542 .xword 0x2dccbf840d7b9c17
32543 .xword 0xe53c65537c5d0818
32544 .xword 0x80d17bf79f931f5a
32545 .xword 0x3c955e9bcbac95fa
32546 .xword 0xe97dae7532cf87b8
32547 .xword 0xe17d7b1c2c48968a
32548 .xword 0xfc6643d5a53c035d
32549 .xword 0xcf48704bef79f101
32550 .xword 0x8f48d20a3f60b8a8
32551 .xword 0x6ced697ccf51ebe0
32552 .xword 0x871e5677575f7820
32553 .xword 0x072bc0314d1653f7
32554 .xword 0x39b6230ba1cd52d8
32555 .xword 0x6a93ab84a20091db
32556 .xword 0xcc399a7780df6e1a
32557 .xword 0x0818e7b7aed6ff9c
32558 .xword 0x35260214c8b65312
32559 .xword 0x8550dfa92995b75a
32560 .xword 0xb74de39cd3f1df76
32561 .xword 0xa4a47a8157d716ff
32562 .xword 0x968aa06493070287
32563 .xword 0x3d5b4331c565fd10
32564 .xword 0xb7aa43d52d4608df
32565 .xword 0x5fe7ddb7863cb350
32566 .xword 0x0c8dff9a5657b909
32567 .xword 0x101d924b0f183931
32568 .xword 0x4c6a7a7a8d2ba1d3
32569 .xword 0xd4ada95218cfeb42
32570 .xword 0x1b8b57709217df70
32571 .xword 0x100532037ad7a386
32572 .xword 0x64c9f69435f46eff
32573 .xword 0x5a01bc9574a7ed87
32574 .xword 0x5854df51aae7f57f
32575 .xword 0xae8147f331a8a7f1
32576 .xword 0x5366962438bbde9c
32577 .xword 0x9d320a621917c17b
32578 .xword 0xda9f8faba51e79d8
32579 .xword 0x2bfa28f8f0ebc7d7
32580 .xword 0xa513954ab1718d56
32581 .xword 0xf231243146b2ce12
32582 .xword 0x1216c87d8da08aa9
32583 .xword 0x67bec3b18b190597
32584 .xword 0x4c03abc0ee75ea18
32585 .xword 0x734191c354946cee
32586 .xword 0xa2f8cff0d0d4023a
32587 .xword 0xe0af7aae4f2100fa
32588 .xword 0xcd4519b21b6a7162
32589 .xword 0xbb7eb339808f1863
32590 .xword 0x29b3aa3b75e2f838
32591 .xword 0x196a2bb49e9daed7
32592 .xword 0x9405d17f06c3b0a5
32593 .xword 0xa2768c33794c8254
32594 .xword 0x7c37fdb76f2b77bb
32595 .xword 0x4eee6563098c554d
32596 .xword 0x72a8a5560383d32d
32597 .xword 0x257759379fac5164
32598 .xword 0x86f91c3568a45fd3
32599 .xword 0xdf37c891d2c7aa7b
32600 .xword 0xc15449514bd9f529
32601 .xword 0x04838e19834641a1
32602 .xword 0x2f60537913d2e054
32603 .xword 0x6185fbdc94555e8d
32604 .xword 0x87a959b6c6afaffa
32605 .xword 0x13246ab76c75831e
32606 .xword 0x726472f051cef9a8
32607 .xword 0x09471195d55aefd9
32608 .xword 0xe0a4451451e828f3
32609 .xword 0x8b6fedc391636c81
32610 .xword 0x443d311aa863268a
32611 .xword 0x266b61088555ced2
32612 .xword 0x8fc7ba51838049ec
32613 .xword 0x7e662f7a5f315f79
32614 .xword 0xb0a10698bd1e82a0
32615 .xword 0x6a2b4bd7ae4d64cd
32616 .xword 0x94e0ba3bb0c50a06
32617 .xword 0x33d498a9a1593ad5
32618 .xword 0x8952ada761900f69
32619 .xword 0xd89c3ded83cd0f57
32620 .xword 0x4ddd81e47cac16b9
32621 .xword 0x61011662a345e1b6
32622 .xword 0x1944e5659e0fecf6
32623 .xword 0x391db8abd897b611
32624 .xword 0x68911d095883b6ed
32625 .xword 0x14b6b23d9908df54
32626 .xword 0xd29b45b86e2f4777
32627 .xword 0x316696db5b4ca9e9
32628 .xword 0x8a6a0441fedb2f26
32629 .xword 0x708b3b051650208f
32630 .xword 0xd5d2a10ba6f79573
32631 .xword 0xe30e6638a6d77606
32632 .xword 0xb59c7fc9048eed3d
32633 .xword 0xd16a65acfa866b87
32634 .xword 0x5d4ce6af0083e063
32635 .xword 0x9568a11b66e68d62
32636 .xword 0x3b23b1ed6af56eea
32637 .xword 0x7828fb938df78807
32638 .xword 0xe1419300154810d9
32639 .xword 0xde63da1da4f6650a
32640 .xword 0xd7ad91b876caf8a6
32641 .xword 0x4b297b59de76f840
32642 .xword 0xc11d6089e42eb177
32643 .xword 0xa1992499a43f3ff3
32644 .xword 0x572c92ca7bcc7989
32645 .xword 0xfcd9083f8c3ee178
32646 .xword 0x70f79b5d23f541de
32647 .xword 0xba5dbb23d7766a54
32648 .xword 0x966a2ae76cfed0dd
32649 .xword 0xc912f09af7d72c8a
32650 .xword 0x45f6ea781884a37f
32651 .xword 0x1c47f133246e849f
32652 .xword 0x483de36b50a1327a
32653 .xword 0xde9f2e4da9b8e206
32654 .xword 0x622c756e481f012e
32655 .xword 0x3f0341012acdb3b5
32656 .xword 0x42a9f78243e11d24
32657 .xword 0x15c8994ae6e9588d
32658_t1_aes_dest:
32659 .xword 0xDEADBEEFDEADBEEF
32660 .xword 0xDEADBEEFDEADBEEF
32661 .xword 0xDEADBEEFDEADBEEF
32662 .xword 0xDEADBEEFDEADBEEF
32663 .xword 0xDEADBEEFDEADBEEF
32664 .xword 0xDEADBEEFDEADBEEF
32665 .xword 0xDEADBEEFDEADBEEF
32666 .xword 0xDEADBEEFDEADBEEF
32667 .xword 0xDEADBEEFDEADBEEF
32668 .xword 0xDEADBEEFDEADBEEF
32669 .xword 0xDEADBEEFDEADBEEF
32670 .xword 0xDEADBEEFDEADBEEF
32671 .xword 0xDEADBEEFDEADBEEF
32672 .xword 0xDEADBEEFDEADBEEF
32673 .xword 0xDEADBEEFDEADBEEF
32674 .xword 0xDEADBEEFDEADBEEF
32675 .xword 0xDEADBEEFDEADBEEF
32676 .xword 0xDEADBEEFDEADBEEF
32677 .xword 0xDEADBEEFDEADBEEF
32678 .xword 0xDEADBEEFDEADBEEF
32679 .xword 0xDEADBEEFDEADBEEF
32680 .xword 0xDEADBEEFDEADBEEF
32681 .xword 0xDEADBEEFDEADBEEF
32682 .xword 0xDEADBEEFDEADBEEF
32683 .xword 0xDEADBEEFDEADBEEF
32684 .xword 0xDEADBEEFDEADBEEF
32685 .xword 0xDEADBEEFDEADBEEF
32686 .xword 0xDEADBEEFDEADBEEF
32687 .xword 0xDEADBEEFDEADBEEF
32688 .xword 0xDEADBEEFDEADBEEF
32689 .xword 0xDEADBEEFDEADBEEF
32690 .xword 0xDEADBEEFDEADBEEF
32691 .xword 0xDEADBEEFDEADBEEF
32692 .xword 0xDEADBEEFDEADBEEF
32693 .xword 0xDEADBEEFDEADBEEF
32694 .xword 0xDEADBEEFDEADBEEF
32695 .xword 0xDEADBEEFDEADBEEF
32696 .xword 0xDEADBEEFDEADBEEF
32697 .xword 0xDEADBEEFDEADBEEF
32698 .xword 0xDEADBEEFDEADBEEF
32699 .xword 0xDEADBEEFDEADBEEF
32700 .xword 0xDEADBEEFDEADBEEF
32701 .xword 0xDEADBEEFDEADBEEF
32702 .xword 0xDEADBEEFDEADBEEF
32703 .xword 0xDEADBEEFDEADBEEF
32704 .xword 0xDEADBEEFDEADBEEF
32705 .xword 0xDEADBEEFDEADBEEF
32706 .xword 0xDEADBEEFDEADBEEF
32707 .xword 0xDEADBEEFDEADBEEF
32708 .xword 0xDEADBEEFDEADBEEF
32709 .xword 0xDEADBEEFDEADBEEF
32710 .xword 0xDEADBEEFDEADBEEF
32711 .xword 0xDEADBEEFDEADBEEF
32712 .xword 0xDEADBEEFDEADBEEF
32713 .xword 0xDEADBEEFDEADBEEF
32714 .xword 0xDEADBEEFDEADBEEF
32715 .xword 0xDEADBEEFDEADBEEF
32716 .xword 0xDEADBEEFDEADBEEF
32717 .xword 0xDEADBEEFDEADBEEF
32718 .xword 0xDEADBEEFDEADBEEF
32719 .xword 0xDEADBEEFDEADBEEF
32720 .xword 0xDEADBEEFDEADBEEF
32721 .xword 0xDEADBEEFDEADBEEF
32722 .xword 0xDEADBEEFDEADBEEF
32723 .xword 0xDEADBEEFDEADBEEF
32724 .xword 0xDEADBEEFDEADBEEF
32725 .xword 0xDEADBEEFDEADBEEF
32726 .xword 0xDEADBEEFDEADBEEF
32727 .xword 0xDEADBEEFDEADBEEF
32728 .xword 0xDEADBEEFDEADBEEF
32729 .xword 0xDEADBEEFDEADBEEF
32730 .xword 0xDEADBEEFDEADBEEF
32731 .xword 0xDEADBEEFDEADBEEF
32732 .xword 0xDEADBEEFDEADBEEF
32733 .xword 0xDEADBEEFDEADBEEF
32734 .xword 0xDEADBEEFDEADBEEF
32735 .xword 0xDEADBEEFDEADBEEF
32736 .xword 0xDEADBEEFDEADBEEF
32737 .xword 0xDEADBEEFDEADBEEF
32738 .xword 0xDEADBEEFDEADBEEF
32739 .xword 0xDEADBEEFDEADBEEF
32740 .xword 0xDEADBEEFDEADBEEF
32741 .xword 0xDEADBEEFDEADBEEF
32742 .xword 0xDEADBEEFDEADBEEF
32743 .xword 0xDEADBEEFDEADBEEF
32744 .xword 0xDEADBEEFDEADBEEF
32745 .xword 0xDEADBEEFDEADBEEF
32746 .xword 0xDEADBEEFDEADBEEF
32747 .xword 0xDEADBEEFDEADBEEF
32748 .xword 0xDEADBEEFDEADBEEF
32749 .xword 0xDEADBEEFDEADBEEF
32750 .xword 0xDEADBEEFDEADBEEF
32751 .xword 0xDEADBEEFDEADBEEF
32752 .xword 0xDEADBEEFDEADBEEF
32753 .xword 0xDEADBEEFDEADBEEF
32754 .xword 0xDEADBEEFDEADBEEF
32755 .xword 0xDEADBEEFDEADBEEF
32756 .xword 0xDEADBEEFDEADBEEF
32757 .xword 0xDEADBEEFDEADBEEF
32758 .xword 0xDEADBEEFDEADBEEF
32759 .xword 0xDEADBEEFDEADBEEF
32760 .xword 0xDEADBEEFDEADBEEF
32761 .xword 0xDEADBEEFDEADBEEF
32762 .xword 0xDEADBEEFDEADBEEF
32763 .xword 0xDEADBEEFDEADBEEF
32764 .xword 0xDEADBEEFDEADBEEF
32765 .xword 0xDEADBEEFDEADBEEF
32766 .xword 0xDEADBEEFDEADBEEF
32767 .xword 0xDEADBEEFDEADBEEF
32768 .xword 0xDEADBEEFDEADBEEF
32769 .xword 0xDEADBEEFDEADBEEF
32770 .xword 0xDEADBEEFDEADBEEF
32771 .xword 0xDEADBEEFDEADBEEF
32772 .xword 0xDEADBEEFDEADBEEF
32773 .xword 0xDEADBEEFDEADBEEF
32774 .xword 0xDEADBEEFDEADBEEF
32775 .xword 0xDEADBEEFDEADBEEF
32776 .xword 0xDEADBEEFDEADBEEF
32777 .xword 0xDEADBEEFDEADBEEF
32778 .xword 0xDEADBEEFDEADBEEF
32779 .xword 0xDEADBEEFDEADBEEF
32780 .xword 0xDEADBEEFDEADBEEF
32781 .xword 0xDEADBEEFDEADBEEF
32782 .xword 0xDEADBEEFDEADBEEF
32783 .xword 0xDEADBEEFDEADBEEF
32784 .xword 0xDEADBEEFDEADBEEF
32785 .xword 0xDEADBEEFDEADBEEF
32786 .xword 0xDEADBEEFDEADBEEF
32787 .xword 0xDEADBEEFDEADBEEF
32788 .xword 0xDEADBEEFDEADBEEF
32789 .xword 0xDEADBEEFDEADBEEF
32790 .xword 0xDEADBEEFDEADBEEF
32791 .xword 0xDEADBEEFDEADBEEF
32792 .xword 0xDEADBEEFDEADBEEF
32793 .xword 0xDEADBEEFDEADBEEF
32794 .xword 0xDEADBEEFDEADBEEF
32795 .xword 0xDEADBEEFDEADBEEF
32796 .xword 0xDEADBEEFDEADBEEF
32797 .xword 0xDEADBEEFDEADBEEF
32798 .xword 0xDEADBEEFDEADBEEF
32799 .xword 0xDEADBEEFDEADBEEF
32800 .xword 0xDEADBEEFDEADBEEF
32801 .xword 0xDEADBEEFDEADBEEF
32802 .xword 0xDEADBEEFDEADBEEF
32803 .xword 0xDEADBEEFDEADBEEF
32804 .xword 0xDEADBEEFDEADBEEF
32805 .xword 0xDEADBEEFDEADBEEF
32806 .xword 0xDEADBEEFDEADBEEF
32807 .xword 0xDEADBEEFDEADBEEF
32808 .xword 0xDEADBEEFDEADBEEF
32809 .xword 0xDEADBEEFDEADBEEF
32810 .xword 0xDEADBEEFDEADBEEF
32811 .xword 0xDEADBEEFDEADBEEF
32812 .xword 0xDEADBEEFDEADBEEF
32813 .xword 0xDEADBEEFDEADBEEF
32814 .xword 0xDEADBEEFDEADBEEF
32815 .xword 0xDEADBEEFDEADBEEF
32816 .xword 0xDEADBEEFDEADBEEF
32817 .xword 0xDEADBEEFDEADBEEF
32818 .xword 0xDEADBEEFDEADBEEF
32819 .xword 0xDEADBEEFDEADBEEF
32820 .xword 0xDEADBEEFDEADBEEF
32821 .xword 0xDEADBEEFDEADBEEF
32822 .xword 0xDEADBEEFDEADBEEF
32823 .xword 0xDEADBEEFDEADBEEF
32824 .xword 0xDEADBEEFDEADBEEF
32825 .xword 0xDEADBEEFDEADBEEF
32826 .xword 0xDEADBEEFDEADBEEF
32827 .xword 0xDEADBEEFDEADBEEF
32828 .xword 0xDEADBEEFDEADBEEF
32829 .xword 0xDEADBEEFDEADBEEF
32830 .xword 0xDEADBEEFDEADBEEF
32831 .xword 0xDEADBEEFDEADBEEF
32832 .xword 0xDEADBEEFDEADBEEF
32833 .xword 0xDEADBEEFDEADBEEF
32834 .xword 0xDEADBEEFDEADBEEF
32835 .xword 0xDEADBEEFDEADBEEF
32836 .xword 0xDEADBEEFDEADBEEF
32837 .xword 0xDEADBEEFDEADBEEF
32838 .xword 0xDEADBEEFDEADBEEF
32839 .xword 0xDEADBEEFDEADBEEF
32840 .xword 0xDEADBEEFDEADBEEF
32841 .xword 0xDEADBEEFDEADBEEF
32842 .xword 0xDEADBEEFDEADBEEF
32843 .xword 0xDEADBEEFDEADBEEF
32844 .xword 0xDEADBEEFDEADBEEF
32845 .xword 0xDEADBEEFDEADBEEF
32846 .xword 0xDEADBEEFDEADBEEF
32847 .xword 0xDEADBEEFDEADBEEF
32848 .xword 0xDEADBEEFDEADBEEF
32849 .xword 0xDEADBEEFDEADBEEF
32850 .xword 0xDEADBEEFDEADBEEF
32851 .xword 0xDEADBEEFDEADBEEF
32852 .xword 0xDEADBEEFDEADBEEF
32853 .xword 0xDEADBEEFDEADBEEF
32854 .xword 0xDEADBEEFDEADBEEF
32855 .xword 0xDEADBEEFDEADBEEF
32856 .xword 0xDEADBEEFDEADBEEF
32857 .xword 0xDEADBEEFDEADBEEF
32858 .xword 0xDEADBEEFDEADBEEF
32859 .xword 0xDEADBEEFDEADBEEF
32860 .xword 0xDEADBEEFDEADBEEF
32861 .xword 0xDEADBEEFDEADBEEF
32862 .xword 0xDEADBEEFDEADBEEF
32863 .xword 0xDEADBEEFDEADBEEF
32864 .xword 0xDEADBEEFDEADBEEF
32865 .xword 0xDEADBEEFDEADBEEF
32866 .xword 0xDEADBEEFDEADBEEF
32867 .xword 0xDEADBEEFDEADBEEF
32868 .xword 0xDEADBEEFDEADBEEF
32869 .xword 0xDEADBEEFDEADBEEF
32870 .xword 0xDEADBEEFDEADBEEF
32871 .xword 0xDEADBEEFDEADBEEF
32872 .xword 0xDEADBEEFDEADBEEF
32873 .xword 0xDEADBEEFDEADBEEF
32874 .xword 0xDEADBEEFDEADBEEF
32875 .xword 0xDEADBEEFDEADBEEF
32876 .xword 0xDEADBEEFDEADBEEF
32877 .xword 0xDEADBEEFDEADBEEF
32878 .xword 0xDEADBEEFDEADBEEF
32879 .xword 0xDEADBEEFDEADBEEF
32880 .xword 0xDEADBEEFDEADBEEF
32881 .xword 0xDEADBEEFDEADBEEF
32882 .xword 0xDEADBEEFDEADBEEF
32883 .xword 0xDEADBEEFDEADBEEF
32884 .xword 0xDEADBEEFDEADBEEF
32885 .xword 0xDEADBEEFDEADBEEF
32886 .xword 0xDEADBEEFDEADBEEF
32887 .xword 0xDEADBEEFDEADBEEF
32888 .xword 0xDEADBEEFDEADBEEF
32889 .xword 0xDEADBEEFDEADBEEF
32890 .xword 0xDEADBEEFDEADBEEF
32891 .xword 0xDEADBEEFDEADBEEF
32892 .xword 0xDEADBEEFDEADBEEF
32893 .xword 0xDEADBEEFDEADBEEF
32894 .xword 0xDEADBEEFDEADBEEF
32895 .xword 0xDEADBEEFDEADBEEF
32896 .xword 0xDEADBEEFDEADBEEF
32897 .xword 0xDEADBEEFDEADBEEF
32898 .xword 0xDEADBEEFDEADBEEF
32899 .xword 0xDEADBEEFDEADBEEF
32900 .xword 0xDEADBEEFDEADBEEF
32901 .xword 0xDEADBEEFDEADBEEF
32902 .xword 0xDEADBEEFDEADBEEF
32903 .xword 0xDEADBEEFDEADBEEF
32904 .xword 0xDEADBEEFDEADBEEF
32905 .xword 0xDEADBEEFDEADBEEF
32906 .xword 0xDEADBEEFDEADBEEF
32907 .xword 0xDEADBEEFDEADBEEF
32908 .xword 0xDEADBEEFDEADBEEF
32909 .xword 0xDEADBEEFDEADBEEF
32910 .xword 0xDEADBEEFDEADBEEF
32911 .xword 0xDEADBEEFDEADBEEF
32912 .xword 0xDEADBEEFDEADBEEF
32913 .xword 0xDEADBEEFDEADBEEF
32914 .xword 0xDEADBEEFDEADBEEF
32915 .xword 0xDEADBEEFDEADBEEF
32916 .xword 0xDEADBEEFDEADBEEF
32917 .xword 0xDEADBEEFDEADBEEF
32918 .xword 0xDEADBEEFDEADBEEF
32919 .xword 0xDEADBEEFDEADBEEF
32920 .xword 0xDEADBEEFDEADBEEF
32921 .xword 0xDEADBEEFDEADBEEF
32922 .xword 0xDEADBEEFDEADBEEF
32923 .xword 0xDEADBEEFDEADBEEF
32924 .xword 0xDEADBEEFDEADBEEF
32925 .xword 0xDEADBEEFDEADBEEF
32926 .xword 0xDEADBEEFDEADBEEF
32927 .xword 0xDEADBEEFDEADBEEF
32928 .xword 0xDEADBEEFDEADBEEF
32929 .xword 0xDEADBEEFDEADBEEF
32930 .xword 0xDEADBEEFDEADBEEF
32931 .xword 0xDEADBEEFDEADBEEF
32932 .xword 0xDEADBEEFDEADBEEF
32933 .xword 0xDEADBEEFDEADBEEF
32934_t1_aes_auth_key:
32935 .xword 0xf7c47fbdb21f87c2
32936 .xword 0x96ce3dcbccf49c2b
32937 .xword 0xc2f3f0d2b366459a
32938 .xword 0x2272f9db6a46ecd1
32939 .xword 0xcf31338aa4e491f6
32940 .xword 0x9fee3fa08785f48f
32941 .xword 0x3d8bc468db349133
32942 .xword 0xb63db49615f3571c
32943 .xword 0xf3e0a6ad511067de
32944 .xword 0x72a8b2603461cb05
32945 .xword 0xee58523ad211f58e
32946 .xword 0xa0151f3133cd234a
32947 .xword 0xeb10e27b73a4919c
32948 .xword 0xb13dc3eeaacf1aee
32949 .xword 0x02df39cd1e01ff9c
32950 .xword 0xc588a08932271d48
32951 .xword 0x49ac14433c69a94c
32952 .xword 0x373ca96f1c8a044f
32953 .xword 0x08ccb158d10f1146
32954 .xword 0xef97df10a172fc06
32955 .xword 0x325e39a88c570051
32956 .xword 0x29cd588937ac2627
32957 .xword 0x4bc322599d6da1f2
32958_t1_aes_auth_iv:
32959 .xword 0xe433c0e875b28d6d
32960 .xword 0x3eebb184b078fd51
32961 .xword 0x09d0aeeb48dbc06e
32962 .xword 0x53516360bf4f2c3b
32963 .xword 0x64a6a9e6a06406f1
32964 .xword 0x83b1add7f9ddba4f
32965 .xword 0x2593c5491583fc96
32966 .xword 0x1262dcd78dd22239
32967 .xword 0x02316ab5ab0f6a8c
32968 .xword 0xaf05dc0a88bcbda0
32969 .xword 0x70def0943f398a1e
32970 .xword 0x0bc58029232f0b52
32971 .xword 0x368911add7e9820d
32972 .xword 0xd6901dfa2b465b08
32973 .xword 0xfb3044b4a4161494
32974 .xword 0xc7daf70034ffa71f
32975 .xword 0xa22012db56ee32b9
32976 .xword 0xe2498d9f9c1c5ccd
32977 .xword 0xc3821282f66c7188
32978 .xword 0xa8e2df54905515b5
32979 .xword 0x9efc479780ff564c
32980 .xword 0xf0345351de52229f
32981 .xword 0xc3b207b6834af16b
32982_t1_aes_fas_result:
32983 .xword 0xDEADBEEFDEADBEEF
32984 .xword 0xDEADBEEFDEADBEEF
32985 .xword 0xDEADBEEFDEADBEEF
32986 .xword 0xDEADBEEFDEADBEEF
32987 .xword 0xDEADBEEFDEADBEEF
32988 .xword 0xDEADBEEFDEADBEEF
32989 .xword 0xDEADBEEFDEADBEEF
32990 .xword 0xDEADBEEFDEADBEEF
32991 .xword 0xDEADBEEFDEADBEEF
32992 .xword 0xDEADBEEFDEADBEEF
32993 .xword 0xDEADBEEFDEADBEEF
32994 .xword 0xDEADBEEFDEADBEEF
32995 .xword 0xDEADBEEFDEADBEEF
32996 .xword 0xDEADBEEFDEADBEEF
32997 .xword 0xDEADBEEFDEADBEEF
32998 .xword 0xDEADBEEFDEADBEEF
32999 .xword 0xDEADBEEFDEADBEEF
33000 .xword 0xDEADBEEFDEADBEEF
33001 .xword 0xDEADBEEFDEADBEEF
33002 .xword 0xDEADBEEFDEADBEEF
33003 .xword 0xDEADBEEFDEADBEEF
33004 .xword 0xDEADBEEFDEADBEEF
33005 .xword 0xDEADBEEFDEADBEEF
33006_t1_des_key_array:
33007 .xword 0x4ce8cacd44f2120d
33008 .xword 0x7c68b69743603426
33009 .xword 0x37dd72d337b86dc3
33010 .xword 0x13794a6751849fa0
33011 .xword 0xf4ca03f6a64550da
33012 .xword 0x9839521f97cad5fe
33013 .xword 0xa02c3a072ae59b05
33014 .xword 0x603927377d06d01c
33015 .xword 0xe9fc41c2df81de03
33016 .xword 0xe960a6ae175942ff
33017 .xword 0xb7578a0de9ba9102
33018 .xword 0xdb0698bcf102f753
33019 .xword 0x3bfaa30f10430bc0
33020 .xword 0x8c8fba979b149f29
33021 .xword 0x9f6d4c32c5b6a220
33022 .xword 0x9a26e5ac593c1f3e
33023 .xword 0xef8469c53641fe2f
33024 .xword 0xe0de0f18bf3d0e85
33025 .xword 0xa15af7578dc339f3
33026 .xword 0x837603381544d2a7
33027 .xword 0x5017b28aff7c4d8f
33028 .xword 0x4d454ca064a1897b
33029 .xword 0x30ef207b89145b5e
33030 .xword 0xd482dbac296b9efc
33031 .xword 0x12d62eda36886a5e
33032 .xword 0xf44f2db91ebd1aaa
33033 .xword 0x6db206e4541f0e32
33034 .xword 0x6059e45c0020f2bc
33035 .xword 0xc5c2f50745d5b250
33036 .xword 0x0b565cbb73efc44c
33037 .xword 0x68840a36d65cd12d
33038 .xword 0xec0b9e81f6746531
33039 .xword 0xc34764112a827616
33040 .xword 0xbf458fff47b64bd6
33041 .xword 0x26672187b796b797
33042 .xword 0xbfd595495b841ce5
33043 .xword 0xbb431841c4dece3f
33044 .xword 0xd3825c4d0636fbb2
33045 .xword 0x6c3cf6803fb65eac
33046 .xword 0x7f913b797147f03c
33047 .xword 0x7c6078a952e92206
33048 .xword 0x8e12ced838e84c13
33049 .xword 0x4fee161d2d877d82
33050 .xword 0xf8f8ce30edd0bde3
33051 .xword 0xc5e343804a133ffa
33052 .xword 0x6c5902e9b45ded76
33053 .xword 0xbcf1e3c78da5c3b5
33054 .xword 0x12f5ed973c052e5a
33055 .xword 0x403984d449bf57cc
33056 .xword 0x5707231ad419f18f
33057 .xword 0x5855455d02241d9c
33058_t1_des_iv_array:
33059 .xword 0x7d09cb0b7fad5b3a
33060 .xword 0x392a4c23c427f437
33061 .xword 0x5a597638162373f6
33062 .xword 0x67731a625b032dc4
33063 .xword 0x6c4c285167f247b4
33064 .xword 0xe21fd0543e5628d9
33065 .xword 0xb994d91d73d1cb35
33066 .xword 0xf2490d3f74e41142
33067 .xword 0xa0f5f9a60dc3ef33
33068 .xword 0xb2a176fa0a3220d8
33069 .xword 0x38c40c2aa48b0cfe
33070 .xword 0x6ab50d345f1efe73
33071 .xword 0x408426ae5fb9a8e9
33072 .xword 0x4802519572c522cb
33073 .xword 0x7255bf2ec44792d5
33074 .xword 0x2704dabb244978c7
33075 .xword 0xb51913fabbb776e4
33076 .xword 0x46a5dbce8de767ac
33077 .xword 0x0fb5784060df2580
33078 .xword 0x423f4d42e2aab641
33079 .xword 0x5a188f672be99990
33080 .xword 0x0744f57d9c720e4c
33081 .xword 0x14a12cea04bfd3f6
33082 .xword 0x8f51432c7f9b6ddf
33083 .xword 0xbe48993b65ac8d23
33084 .xword 0x23685aaa34f7391b
33085 .xword 0x8b6d02bba3881b0d
33086 .xword 0xe69f12a0946bdf4e
33087 .xword 0x8f896c67f50ab6e8
33088 .xword 0x804b48c9d94035d2
33089 .xword 0x6bbc44fc831e4bf8
33090 .xword 0x3a9fb294e4e029d0
33091 .xword 0x37e06613665c98b7
33092 .xword 0x00c6ac9ed5555520
33093 .xword 0x52c751c78280c8c1
33094 .xword 0x64ff63ba94c36259
33095 .xword 0x6a05e33317dd383e
33096 .xword 0xaef758a6c65ae708
33097 .xword 0x6cb31bac09753e33
33098 .xword 0xb785846ba3def80c
33099 .xword 0xd8e8fa52254fae58
33100 .xword 0x825084f731a8d638
33101 .xword 0x856f597834610bf4
33102 .xword 0x691df72d1d8cf7ba
33103 .xword 0x4eb389ab665f3aad
33104_t1_des_alignment_array:
33105 .xword 12
33106 .xword 3
33107 .xword 5
33108 .xword 15
33109 .xword 0
33110 .xword 3
33111 .xword 6
33112 .xword 12
33113 .xword 4
33114 .xword 4
33115 .xword 12
33116 .xword 7
33117 .xword 8
33118 .xword 4
33119 .xword 2
33120 .xword 4
33121 .xword 14
33122 .xword 4
33123 .xword 7
33124 .xword 9
33125 .xword 6
33126 .xword 9
33127 .xword 13
33128 .xword 12
33129 .xword 10
33130 .xword 7
33131 .xword 1
33132 .xword 4
33133 .xword 7
33134 .xword 7
33135 .xword 8
33136 .xword 2
33137 .xword 9
33138 .xword 1
33139 .xword 6
33140 .xword 13
33141 .xword 13
33142 .xword 9
33143 .xword 14
33144 .xword 3
33145 .xword 6
33146 .xword 7
33147 .xword 15
33148 .xword 13
33149 .xword 7
33150 .xword 8
33151 .xword 14
33152 .xword 11
33153 .xword 3
33154 .xword 13
33155 .xword 14
33156 .xword 4
33157 .xword 10
33158 .xword 0
33159 .xword 8
33160 .xword 1
33161 .xword 14
33162 .xword 11
33163 .xword 8
33164 .xword 3
33165 .xword 3
33166 .xword 14
33167 .xword 9
33168 .xword 12
33169 .xword 14
33170 .xword 7
33171 .xword 6
33172 .xword 2
33173 .xword 7
33174 .xword 15
33175 .xword 14
33176 .xword 5
33177 .xword 8
33178 .xword 8
33179 .xword 6
33180 .xword 7
33181 .xword 11
33182 .xword 10
33183 .xword 13
33184 .xword 1
33185 .xword 14
33186 .xword 11
33187 .xword 15
33188 .xword 1
33189 .xword 7
33190 .xword 2
33191 .xword 9
33192 .xword 14
33193 .xword 14
33194 .xword 12
33195 .xword 4
33196 .xword 11
33197 .xword 14
33198 .xword 13
33199 .xword 3
33200 .xword 7
33201 .xword 13
33202 .xword 13
33203 .xword 5
33204 .xword 9
33205 .xword 4
33206 .xword 7
33207 .xword 4
33208 .xword 9
33209 .xword 7
33210_t1_des_src:
33211 .xword 0x44a0deb28cdcc653
33212 .xword 0x038f8be712880651
33213 .xword 0x43a5b165d22b6a77
33214 .xword 0xdad300619948d8d5
33215 .xword 0xe85e354da4331ffd
33216 .xword 0x6f1d9f55f1e9cb70
33217 .xword 0xa8116ccb3039703b
33218 .xword 0x7120e0544feb2401
33219 .xword 0x1e913347b91a7eeb
33220 .xword 0xc2fa812f85fd89c0
33221 .xword 0x335a262f9f8903f8
33222 .xword 0x7512f3dd8eff0094
33223 .xword 0xd794b37eb7a597a6
33224 .xword 0x24a0af3edc77a8aa
33225 .xword 0x747312051c817cfc
33226 .xword 0x25850bfd35a2c028
33227 .xword 0x1fdcf7aa07d9a3e1
33228 .xword 0x795f8d736dcfe16f
33229 .xword 0xa7da729dc3e4e51d
33230 .xword 0x32bc678e87bb07b1
33231 .xword 0xb9add5a770d78a0e
33232 .xword 0x40ddec29d8b3277d
33233 .xword 0x26b6cf3d9e14b12a
33234 .xword 0xbe4cd2e8a293f348
33235 .xword 0xff3146edd0dc46ad
33236 .xword 0x335c88fcb1571a83
33237 .xword 0x316fce19563dca9d
33238 .xword 0x98e8024ff647262c
33239 .xword 0x0b511ef7599b911c
33240 .xword 0xe40d7fd17f1880a9
33241 .xword 0x9fb912d4f1adfa86
33242 .xword 0x6b7ff95414375df4
33243 .xword 0x0fc02c32021ea5c9
33244 .xword 0x05bc46d9e3386979
33245 .xword 0x41799259499b819b
33246 .xword 0x32e791e50c8a5bcf
33247 .xword 0x9ef433819d7601a4
33248 .xword 0x56f834dac339b53d
33249 .xword 0x0c9f61b23b0d117b
33250 .xword 0xe2ff4062c4069723
33251 .xword 0x7f4afaa67a3bf2a9
33252 .xword 0x54a450487fbe1cb7
33253 .xword 0x8cd82749f50b9900
33254 .xword 0x16e6b732f57de482
33255 .xword 0x5d85e1e4e423d573
33256 .xword 0x00a4b74ad90b549b
33257 .xword 0xe65a56ce316f86f8
33258 .xword 0xf5fd62076df31db7
33259 .xword 0x2c859339e0a0979f
33260 .xword 0x35d139e53de55ae9
33261 .xword 0x969db15337b7443d
33262 .xword 0x1d97af637a8878b1
33263 .xword 0x6893d574018a33fe
33264 .xword 0xbd13ffaacdfa1457
33265 .xword 0x5ce054480a36519c
33266 .xword 0x09e947a74f67ac9e
33267 .xword 0x7f98e9730d82e2d4
33268 .xword 0x65578458003f3c80
33269 .xword 0x97f93a84706a2fc2
33270 .xword 0x1f163342b217dfa8
33271 .xword 0x6f2d98a6cb99d8a6
33272 .xword 0xe2ec91ab14e65b80
33273 .xword 0x48ae20b12b4a67b1
33274 .xword 0x10d69779ccc4ad09
33275 .xword 0x2986d71399f35182
33276 .xword 0x8b52a427d17f6083
33277 .xword 0x4844e15d17fbf987
33278 .xword 0x6821813f5f0d33e1
33279 .xword 0x34dc20f1ed6f3e60
33280 .xword 0x382b8354f75e3ef9
33281 .xword 0xb42c2d4b02c28f59
33282 .xword 0xd6b941c213182ff6
33283 .xword 0x3d825d35e1ab3d91
33284 .xword 0xfa5826115e2f7ff2
33285 .xword 0xfd42efc21572c617
33286 .xword 0x6fa9589e8b50330f
33287 .xword 0x3687fa094ff4011e
33288 .xword 0xc06d5f30653c0520
33289 .xword 0x32ee7db9bed97bd9
33290 .xword 0x610a2ada9fcc48ed
33291 .xword 0x0e6cf583dfcd32d8
33292 .xword 0xff16d1e3cd20f577
33293 .xword 0x4cf2c3460fb22aff
33294 .xword 0x78848c0426f34a38
33295 .xword 0x3c3e4d4c7c7b3631
33296 .xword 0xb7f8fc3927ef1c63
33297 .xword 0xb125c38726deca55
33298 .xword 0x201ef2d3e25c1acc
33299 .xword 0x78caa5341ace4b35
33300 .xword 0x457fa8496d559783
33301 .xword 0x2018562c00e5aec3
33302 .xword 0xc757113a552fb679
33303 .xword 0x0159dab9de32cfaf
33304 .xword 0xf32da7817ddea795
33305 .xword 0x3a3a1b4f7b6ff8bf
33306 .xword 0x46b0ba72eadfa101
33307 .xword 0x0530810c93ef5faa
33308 .xword 0x9e8ece93ed82e185
33309 .xword 0x5aa7a9a680c46939
33310 .xword 0x9f57a4749ac47f9c
33311 .xword 0x02b891e7a6ec5f1f
33312 .xword 0xb7a18089870c55b0
33313 .xword 0xfd780f8ee96c9048
33314 .xword 0xb8dfd550c9cbf086
33315 .xword 0x6d191d2f1be5801a
33316 .xword 0xa2cb0f0f88e77591
33317 .xword 0x1c8d205520626cbb
33318 .xword 0x61551e71f118298c
33319 .xword 0x969850f75999931a
33320 .xword 0xf9967c097b37d298
33321 .xword 0x798e8c431f712c0d
33322 .xword 0xa338f4d31730f681
33323 .xword 0x0e20f43a3586c8f4
33324 .xword 0x88ec984c9b6e5bdf
33325 .xword 0xa3f1679b866bb545
33326 .xword 0x5ac23d3bc3bba1ea
33327 .xword 0x3facf9f366859714
33328 .xword 0xdbf783fbce1585e8
33329 .xword 0xac7f8609ceec3f4e
33330 .xword 0xe24e42ffc64168ff
33331 .xword 0x2e19743fe1528588
33332 .xword 0x265a7539dd7c3a17
33333 .xword 0x66614b4bc3ef004a
33334 .xword 0x57bb030263668e22
33335 .xword 0x22699609cdd4f5e6
33336 .xword 0x3ccab0b3ec5657d8
33337 .xword 0xdeab9c7e6428590e
33338 .xword 0xdf853a385db453b4
33339 .xword 0x7768986e5d075448
33340 .xword 0x5f149ff39ae38c29
33341 .xword 0x56fae01088cca51a
33342 .xword 0x37fabb72b8344176
33343 .xword 0xf4e9e3aa1b56a2d1
33344 .xword 0x910a8f2226b165a8
33345 .xword 0x8d057e70c1d81243
33346 .xword 0xafac37722752bff2
33347 .xword 0x760de46bc12fcf46
33348 .xword 0xd3726e4fa5ee2c4d
33349 .xword 0x722d434f5f206eef
33350 .xword 0x49cc9591212bc06d
33351 .xword 0x5f54de68bbf8d50c
33352 .xword 0xfb5be9b7e0e2bed6
33353 .xword 0x50494889989682e3
33354 .xword 0x41830ca1d54a6306
33355 .xword 0xe73eb0b0a166c055
33356 .xword 0x948f446eae1ad4a6
33357 .xword 0xda4a6a6018fa653b
33358 .xword 0x0018a1cabe30979d
33359 .xword 0xf1a07def16969be5
33360 .xword 0xe3981037d5551e1e
33361 .xword 0x9db91909117535ee
33362 .xword 0x538e452d13114411
33363 .xword 0xf10a7485d19e0b27
33364 .xword 0x58114cb100424939
33365 .xword 0xdc7c2347d83427c0
33366 .xword 0x9646a2a512d152da
33367 .xword 0x15922d1a2bb23705
33368 .xword 0x81f087029c14b165
33369 .xword 0xb5fa5c32f4ea7481
33370 .xword 0x1dbb749ed113478b
33371 .xword 0x04e2f5ce0664d1a6
33372 .xword 0xc848aaf0bf5ccf8c
33373 .xword 0xf0b4b3bf54d09eda
33374 .xword 0x87bdd840e81413ed
33375 .xword 0x34028e229544b83e
33376 .xword 0x5dbdd5761ad82766
33377 .xword 0x551c3c0ab2390c25
33378 .xword 0xe6833b66e1504dc1
33379 .xword 0xf26577ffce39aa32
33380 .xword 0xc4e3253ad04847ad
33381 .xword 0x2a66568b20860698
33382 .xword 0xe20dcf198eaa08f9
33383 .xword 0x24937a210aa095e0
33384 .xword 0x744a390c98bc8894
33385 .xword 0x6e0db0f3a5cc200b
33386 .xword 0xd6f7d4685d57b0c2
33387 .xword 0xd421b69952007dd4
33388 .xword 0xcb3e976757389872
33389 .xword 0x0a0c0f6cfbc854c5
33390 .xword 0xe9b07a55046c2e42
33391 .xword 0x147005a5ee37a31e
33392 .xword 0x01188f2a91ca64ef
33393 .xword 0x0447be3ae0b6cdae
33394 .xword 0xf151fb6114e7047d
33395 .xword 0xc9ee5a156ec68ebd
33396 .xword 0xa4eb5bbfbf007af5
33397 .xword 0x87d04959431b4dc4
33398 .xword 0x8804d3918cb773e0
33399 .xword 0x26f04a182b33f7c7
33400 .xword 0x3fe9898b7d1d185d
33401 .xword 0xd8b1a1906feea6ae
33402 .xword 0xbd7f887bb6488a26
33403 .xword 0xa551236a7c78f3ba
33404 .xword 0x6a90fd3272fa0e3d
33405 .xword 0xc5555ef387fb9bd9
33406 .xword 0x2db176828df28d23
33407 .xword 0xf0cb9f0e66c7869f
33408 .xword 0xe105e9763e1ac964
33409 .xword 0x5e24afeeca4f597e
33410 .xword 0x1455eb4e6e851502
33411 .xword 0xc65e87c7807da8ee
33412 .xword 0xc56fb1785586cdb0
33413 .xword 0x0d0200402dde5611
33414 .xword 0x86d34ce0a7514592
33415 .xword 0xf0ab3dc0401f9ae6
33416 .xword 0xca3a0cbd14367cf0
33417 .xword 0x19f05220dec26d2a
33418 .xword 0xa619eb6c1ce658a1
33419 .xword 0xd2c8fb8187f5ec82
33420 .xword 0xf1914dd9d4a52c17
33421 .xword 0x1aed43f945e943c2
33422 .xword 0x76a5d50c7fdfd2e0
33423 .xword 0xc1924851aa2e599d
33424 .xword 0x8af549c7edc25f97
33425 .xword 0x1a33ecf1bce59643
33426 .xword 0x1da5dd3a50d0e876
33427 .xword 0xe4cce3d75f082b91
33428 .xword 0x2d535425c1622c1b
33429 .xword 0x9e1e15cca3e54e53
33430 .xword 0xeae595b859c6d5bf
33431 .xword 0xb9cf2a98b7968422
33432 .xword 0x62ee65804089078b
33433 .xword 0xb695864ed7759b2f
33434 .xword 0x63c443090ff74b53
33435 .xword 0x4d0779caca58d115
33436 .xword 0xe80de946326405a0
33437 .xword 0x898e00228c395b46
33438 .xword 0xeb8e099085d5a4f9
33439 .xword 0xff01e75d872b01b3
33440 .xword 0x3e106f0c5adacee7
33441 .xword 0x477742876bbb8c73
33442 .xword 0xaf1be95e94faf44a
33443 .xword 0x5db8374a11c68347
33444 .xword 0x014a38d9ab1ee88e
33445 .xword 0x5315233e83082613
33446 .xword 0x099fb136c4fbaf40
33447 .xword 0x454d5e51894e1e17
33448 .xword 0x6ea3cd84a74e375a
33449 .xword 0x601769dd04c9f091
33450 .xword 0xd32e7856da28dddb
33451 .xword 0xb6a2b3e09e873548
33452 .xword 0x4f3157075831e11e
33453 .xword 0xef798fcbe86c4fac
33454 .xword 0x533981243e81ca9d
33455 .xword 0x3ab94d67cd688136
33456 .xword 0xcf90de62b4315dab
33457 .xword 0x4c3efe6aac7d6bd9
33458 .xword 0xa74959107102f6b2
33459 .xword 0x2a56420e88965fd3
33460 .xword 0x8befd9ec5b77b356
33461 .xword 0xdda1fcb1dbaedaa1
33462 .xword 0x9cfe3906bf90cac8
33463 .xword 0x30f7af94864794e7
33464 .xword 0xfa011610ee34fb4e
33465 .xword 0x63b5bf4b9a339d61
33466 .xword 0x85e248cd9f27926c
33467 .xword 0x5dbf40aea6c2b6be
33468 .xword 0x7e1c91d2a858367d
33469 .xword 0xd1cb1119d2617cd3
33470 .xword 0xcb70f1182d5c69b8
33471 .xword 0xef210743ba7c3b1d
33472 .xword 0xe06d16fa74086412
33473 .xword 0xcd1bf6c52124b8db
33474 .xword 0x6768323dcb862d54
33475 .xword 0xbfe81a6215fdb5ac
33476 .xword 0x5e765a490cd4f408
33477 .xword 0xf467eda069ae518e
33478 .xword 0xed7dd974d062f02b
33479 .xword 0x7419c1cbd899bbbe
33480 .xword 0x2b373b5255c47480
33481 .xword 0xc903135f5f878416
33482 .xword 0x78bef36c6ee20a40
33483 .xword 0x9dd37e25db8bbcb2
33484 .xword 0xc8086b8ee5b238d5
33485 .xword 0xff8343386ea18bfe
33486_t1_des_dest:
33487 .xword 0xDEADBEEFDEADBEEF
33488 .xword 0xDEADBEEFDEADBEEF
33489 .xword 0xDEADBEEFDEADBEEF
33490 .xword 0xDEADBEEFDEADBEEF
33491 .xword 0xDEADBEEFDEADBEEF
33492 .xword 0xDEADBEEFDEADBEEF
33493 .xword 0xDEADBEEFDEADBEEF
33494 .xword 0xDEADBEEFDEADBEEF
33495 .xword 0xDEADBEEFDEADBEEF
33496 .xword 0xDEADBEEFDEADBEEF
33497 .xword 0xDEADBEEFDEADBEEF
33498 .xword 0xDEADBEEFDEADBEEF
33499 .xword 0xDEADBEEFDEADBEEF
33500 .xword 0xDEADBEEFDEADBEEF
33501 .xword 0xDEADBEEFDEADBEEF
33502 .xword 0xDEADBEEFDEADBEEF
33503 .xword 0xDEADBEEFDEADBEEF
33504 .xword 0xDEADBEEFDEADBEEF
33505 .xword 0xDEADBEEFDEADBEEF
33506 .xword 0xDEADBEEFDEADBEEF
33507 .xword 0xDEADBEEFDEADBEEF
33508 .xword 0xDEADBEEFDEADBEEF
33509 .xword 0xDEADBEEFDEADBEEF
33510 .xword 0xDEADBEEFDEADBEEF
33511 .xword 0xDEADBEEFDEADBEEF
33512 .xword 0xDEADBEEFDEADBEEF
33513 .xword 0xDEADBEEFDEADBEEF
33514 .xword 0xDEADBEEFDEADBEEF
33515 .xword 0xDEADBEEFDEADBEEF
33516 .xword 0xDEADBEEFDEADBEEF
33517 .xword 0xDEADBEEFDEADBEEF
33518 .xword 0xDEADBEEFDEADBEEF
33519 .xword 0xDEADBEEFDEADBEEF
33520 .xword 0xDEADBEEFDEADBEEF
33521 .xword 0xDEADBEEFDEADBEEF
33522 .xword 0xDEADBEEFDEADBEEF
33523 .xword 0xDEADBEEFDEADBEEF
33524 .xword 0xDEADBEEFDEADBEEF
33525 .xword 0xDEADBEEFDEADBEEF
33526 .xword 0xDEADBEEFDEADBEEF
33527 .xword 0xDEADBEEFDEADBEEF
33528 .xword 0xDEADBEEFDEADBEEF
33529 .xword 0xDEADBEEFDEADBEEF
33530 .xword 0xDEADBEEFDEADBEEF
33531 .xword 0xDEADBEEFDEADBEEF
33532 .xword 0xDEADBEEFDEADBEEF
33533 .xword 0xDEADBEEFDEADBEEF
33534 .xword 0xDEADBEEFDEADBEEF
33535 .xword 0xDEADBEEFDEADBEEF
33536 .xword 0xDEADBEEFDEADBEEF
33537 .xword 0xDEADBEEFDEADBEEF
33538 .xword 0xDEADBEEFDEADBEEF
33539 .xword 0xDEADBEEFDEADBEEF
33540 .xword 0xDEADBEEFDEADBEEF
33541 .xword 0xDEADBEEFDEADBEEF
33542 .xword 0xDEADBEEFDEADBEEF
33543 .xword 0xDEADBEEFDEADBEEF
33544 .xword 0xDEADBEEFDEADBEEF
33545 .xword 0xDEADBEEFDEADBEEF
33546 .xword 0xDEADBEEFDEADBEEF
33547 .xword 0xDEADBEEFDEADBEEF
33548 .xword 0xDEADBEEFDEADBEEF
33549 .xword 0xDEADBEEFDEADBEEF
33550 .xword 0xDEADBEEFDEADBEEF
33551 .xword 0xDEADBEEFDEADBEEF
33552 .xword 0xDEADBEEFDEADBEEF
33553 .xword 0xDEADBEEFDEADBEEF
33554 .xword 0xDEADBEEFDEADBEEF
33555 .xword 0xDEADBEEFDEADBEEF
33556 .xword 0xDEADBEEFDEADBEEF
33557 .xword 0xDEADBEEFDEADBEEF
33558 .xword 0xDEADBEEFDEADBEEF
33559 .xword 0xDEADBEEFDEADBEEF
33560 .xword 0xDEADBEEFDEADBEEF
33561 .xword 0xDEADBEEFDEADBEEF
33562 .xword 0xDEADBEEFDEADBEEF
33563 .xword 0xDEADBEEFDEADBEEF
33564 .xword 0xDEADBEEFDEADBEEF
33565 .xword 0xDEADBEEFDEADBEEF
33566 .xword 0xDEADBEEFDEADBEEF
33567 .xword 0xDEADBEEFDEADBEEF
33568 .xword 0xDEADBEEFDEADBEEF
33569 .xword 0xDEADBEEFDEADBEEF
33570 .xword 0xDEADBEEFDEADBEEF
33571 .xword 0xDEADBEEFDEADBEEF
33572 .xword 0xDEADBEEFDEADBEEF
33573 .xword 0xDEADBEEFDEADBEEF
33574 .xword 0xDEADBEEFDEADBEEF
33575 .xword 0xDEADBEEFDEADBEEF
33576 .xword 0xDEADBEEFDEADBEEF
33577 .xword 0xDEADBEEFDEADBEEF
33578 .xword 0xDEADBEEFDEADBEEF
33579 .xword 0xDEADBEEFDEADBEEF
33580 .xword 0xDEADBEEFDEADBEEF
33581 .xword 0xDEADBEEFDEADBEEF
33582 .xword 0xDEADBEEFDEADBEEF
33583 .xword 0xDEADBEEFDEADBEEF
33584 .xword 0xDEADBEEFDEADBEEF
33585 .xword 0xDEADBEEFDEADBEEF
33586 .xword 0xDEADBEEFDEADBEEF
33587 .xword 0xDEADBEEFDEADBEEF
33588 .xword 0xDEADBEEFDEADBEEF
33589 .xword 0xDEADBEEFDEADBEEF
33590 .xword 0xDEADBEEFDEADBEEF
33591 .xword 0xDEADBEEFDEADBEEF
33592 .xword 0xDEADBEEFDEADBEEF
33593 .xword 0xDEADBEEFDEADBEEF
33594 .xword 0xDEADBEEFDEADBEEF
33595 .xword 0xDEADBEEFDEADBEEF
33596 .xword 0xDEADBEEFDEADBEEF
33597 .xword 0xDEADBEEFDEADBEEF
33598 .xword 0xDEADBEEFDEADBEEF
33599 .xword 0xDEADBEEFDEADBEEF
33600 .xword 0xDEADBEEFDEADBEEF
33601 .xword 0xDEADBEEFDEADBEEF
33602 .xword 0xDEADBEEFDEADBEEF
33603 .xword 0xDEADBEEFDEADBEEF
33604 .xword 0xDEADBEEFDEADBEEF
33605 .xword 0xDEADBEEFDEADBEEF
33606 .xword 0xDEADBEEFDEADBEEF
33607 .xword 0xDEADBEEFDEADBEEF
33608 .xword 0xDEADBEEFDEADBEEF
33609 .xword 0xDEADBEEFDEADBEEF
33610 .xword 0xDEADBEEFDEADBEEF
33611 .xword 0xDEADBEEFDEADBEEF
33612 .xword 0xDEADBEEFDEADBEEF
33613 .xword 0xDEADBEEFDEADBEEF
33614 .xword 0xDEADBEEFDEADBEEF
33615 .xword 0xDEADBEEFDEADBEEF
33616 .xword 0xDEADBEEFDEADBEEF
33617 .xword 0xDEADBEEFDEADBEEF
33618 .xword 0xDEADBEEFDEADBEEF
33619 .xword 0xDEADBEEFDEADBEEF
33620 .xword 0xDEADBEEFDEADBEEF
33621 .xword 0xDEADBEEFDEADBEEF
33622 .xword 0xDEADBEEFDEADBEEF
33623 .xword 0xDEADBEEFDEADBEEF
33624 .xword 0xDEADBEEFDEADBEEF
33625 .xword 0xDEADBEEFDEADBEEF
33626 .xword 0xDEADBEEFDEADBEEF
33627 .xword 0xDEADBEEFDEADBEEF
33628 .xword 0xDEADBEEFDEADBEEF
33629 .xword 0xDEADBEEFDEADBEEF
33630 .xword 0xDEADBEEFDEADBEEF
33631 .xword 0xDEADBEEFDEADBEEF
33632 .xword 0xDEADBEEFDEADBEEF
33633 .xword 0xDEADBEEFDEADBEEF
33634 .xword 0xDEADBEEFDEADBEEF
33635 .xword 0xDEADBEEFDEADBEEF
33636 .xword 0xDEADBEEFDEADBEEF
33637 .xword 0xDEADBEEFDEADBEEF
33638 .xword 0xDEADBEEFDEADBEEF
33639 .xword 0xDEADBEEFDEADBEEF
33640 .xword 0xDEADBEEFDEADBEEF
33641 .xword 0xDEADBEEFDEADBEEF
33642 .xword 0xDEADBEEFDEADBEEF
33643 .xword 0xDEADBEEFDEADBEEF
33644 .xword 0xDEADBEEFDEADBEEF
33645 .xword 0xDEADBEEFDEADBEEF
33646 .xword 0xDEADBEEFDEADBEEF
33647 .xword 0xDEADBEEFDEADBEEF
33648 .xword 0xDEADBEEFDEADBEEF
33649 .xword 0xDEADBEEFDEADBEEF
33650 .xword 0xDEADBEEFDEADBEEF
33651 .xword 0xDEADBEEFDEADBEEF
33652 .xword 0xDEADBEEFDEADBEEF
33653 .xword 0xDEADBEEFDEADBEEF
33654 .xword 0xDEADBEEFDEADBEEF
33655 .xword 0xDEADBEEFDEADBEEF
33656 .xword 0xDEADBEEFDEADBEEF
33657 .xword 0xDEADBEEFDEADBEEF
33658 .xword 0xDEADBEEFDEADBEEF
33659 .xword 0xDEADBEEFDEADBEEF
33660 .xword 0xDEADBEEFDEADBEEF
33661 .xword 0xDEADBEEFDEADBEEF
33662 .xword 0xDEADBEEFDEADBEEF
33663 .xword 0xDEADBEEFDEADBEEF
33664 .xword 0xDEADBEEFDEADBEEF
33665 .xword 0xDEADBEEFDEADBEEF
33666 .xword 0xDEADBEEFDEADBEEF
33667 .xword 0xDEADBEEFDEADBEEF
33668 .xword 0xDEADBEEFDEADBEEF
33669 .xword 0xDEADBEEFDEADBEEF
33670 .xword 0xDEADBEEFDEADBEEF
33671 .xword 0xDEADBEEFDEADBEEF
33672 .xword 0xDEADBEEFDEADBEEF
33673 .xword 0xDEADBEEFDEADBEEF
33674 .xword 0xDEADBEEFDEADBEEF
33675 .xword 0xDEADBEEFDEADBEEF
33676 .xword 0xDEADBEEFDEADBEEF
33677 .xword 0xDEADBEEFDEADBEEF
33678 .xword 0xDEADBEEFDEADBEEF
33679 .xword 0xDEADBEEFDEADBEEF
33680 .xword 0xDEADBEEFDEADBEEF
33681 .xword 0xDEADBEEFDEADBEEF
33682 .xword 0xDEADBEEFDEADBEEF
33683 .xword 0xDEADBEEFDEADBEEF
33684 .xword 0xDEADBEEFDEADBEEF
33685 .xword 0xDEADBEEFDEADBEEF
33686 .xword 0xDEADBEEFDEADBEEF
33687 .xword 0xDEADBEEFDEADBEEF
33688 .xword 0xDEADBEEFDEADBEEF
33689 .xword 0xDEADBEEFDEADBEEF
33690 .xword 0xDEADBEEFDEADBEEF
33691 .xword 0xDEADBEEFDEADBEEF
33692 .xword 0xDEADBEEFDEADBEEF
33693 .xword 0xDEADBEEFDEADBEEF
33694 .xword 0xDEADBEEFDEADBEEF
33695 .xword 0xDEADBEEFDEADBEEF
33696 .xword 0xDEADBEEFDEADBEEF
33697 .xword 0xDEADBEEFDEADBEEF
33698 .xword 0xDEADBEEFDEADBEEF
33699 .xword 0xDEADBEEFDEADBEEF
33700 .xword 0xDEADBEEFDEADBEEF
33701 .xword 0xDEADBEEFDEADBEEF
33702 .xword 0xDEADBEEFDEADBEEF
33703 .xword 0xDEADBEEFDEADBEEF
33704 .xword 0xDEADBEEFDEADBEEF
33705 .xword 0xDEADBEEFDEADBEEF
33706 .xword 0xDEADBEEFDEADBEEF
33707 .xword 0xDEADBEEFDEADBEEF
33708 .xword 0xDEADBEEFDEADBEEF
33709 .xword 0xDEADBEEFDEADBEEF
33710 .xword 0xDEADBEEFDEADBEEF
33711 .xword 0xDEADBEEFDEADBEEF
33712 .xword 0xDEADBEEFDEADBEEF
33713 .xword 0xDEADBEEFDEADBEEF
33714 .xword 0xDEADBEEFDEADBEEF
33715 .xword 0xDEADBEEFDEADBEEF
33716 .xword 0xDEADBEEFDEADBEEF
33717 .xword 0xDEADBEEFDEADBEEF
33718 .xword 0xDEADBEEFDEADBEEF
33719 .xword 0xDEADBEEFDEADBEEF
33720 .xword 0xDEADBEEFDEADBEEF
33721 .xword 0xDEADBEEFDEADBEEF
33722 .xword 0xDEADBEEFDEADBEEF
33723 .xword 0xDEADBEEFDEADBEEF
33724 .xword 0xDEADBEEFDEADBEEF
33725 .xword 0xDEADBEEFDEADBEEF
33726 .xword 0xDEADBEEFDEADBEEF
33727 .xword 0xDEADBEEFDEADBEEF
33728 .xword 0xDEADBEEFDEADBEEF
33729 .xword 0xDEADBEEFDEADBEEF
33730 .xword 0xDEADBEEFDEADBEEF
33731 .xword 0xDEADBEEFDEADBEEF
33732 .xword 0xDEADBEEFDEADBEEF
33733 .xword 0xDEADBEEFDEADBEEF
33734 .xword 0xDEADBEEFDEADBEEF
33735 .xword 0xDEADBEEFDEADBEEF
33736 .xword 0xDEADBEEFDEADBEEF
33737 .xword 0xDEADBEEFDEADBEEF
33738 .xword 0xDEADBEEFDEADBEEF
33739 .xword 0xDEADBEEFDEADBEEF
33740 .xword 0xDEADBEEFDEADBEEF
33741 .xword 0xDEADBEEFDEADBEEF
33742 .xword 0xDEADBEEFDEADBEEF
33743 .xword 0xDEADBEEFDEADBEEF
33744 .xword 0xDEADBEEFDEADBEEF
33745 .xword 0xDEADBEEFDEADBEEF
33746 .xword 0xDEADBEEFDEADBEEF
33747 .xword 0xDEADBEEFDEADBEEF
33748 .xword 0xDEADBEEFDEADBEEF
33749 .xword 0xDEADBEEFDEADBEEF
33750 .xword 0xDEADBEEFDEADBEEF
33751 .xword 0xDEADBEEFDEADBEEF
33752 .xword 0xDEADBEEFDEADBEEF
33753 .xword 0xDEADBEEFDEADBEEF
33754 .xword 0xDEADBEEFDEADBEEF
33755 .xword 0xDEADBEEFDEADBEEF
33756 .xword 0xDEADBEEFDEADBEEF
33757 .xword 0xDEADBEEFDEADBEEF
33758 .xword 0xDEADBEEFDEADBEEF
33759 .xword 0xDEADBEEFDEADBEEF
33760 .xword 0xDEADBEEFDEADBEEF
33761 .xword 0xDEADBEEFDEADBEEF
33762_t1_des_auth_key:
33763 .xword 0x48438622c05bbcb6
33764 .xword 0x841647a970bf455a
33765 .xword 0x647f5c905322f3a8
33766 .xword 0xc635735f4fdcb24d
33767 .xword 0xbb4cce4409519a31
33768 .xword 0x0f2e5717f6f9867e
33769 .xword 0x6cafeaaad0b29725
33770 .xword 0x3d9b4499bdf69bc2
33771 .xword 0x4ebdc55383e030be
33772 .xword 0x97f02c7b2dd08100
33773 .xword 0xad600591a87c4924
33774 .xword 0xd5c4f43e4c1ad056
33775 .xword 0x9d6e98434bfc2ed0
33776 .xword 0xb198b525212b12c5
33777 .xword 0x64a8e65bd6ecafbf
33778 .xword 0xd4eafc09ff97e8cd
33779 .xword 0xb3081042ae4f3b89
33780 .xword 0xe7712d9106d24b1a
33781 .xword 0x7021ee879ead04e9
33782 .xword 0xc9424d8b5516763b
33783 .xword 0xbcbcc90cfee04b31
33784 .xword 0x328d376e339711bd
33785 .xword 0xc6eb00b1607a6081
33786_t1_des_auth_iv:
33787 .xword 0xa8c6ba77b35596c3
33788 .xword 0x8e84f616ac7715de
33789 .xword 0xf8ab897812a26db9
33790 .xword 0x74c6a5b091b4c3a8
33791 .xword 0x4aa0559b6ac3f333
33792 .xword 0xaef2db229c9d2894
33793 .xword 0x52c528698c36c472
33794 .xword 0x9b175fef64a1cde5
33795 .xword 0x4ec3e1a97c83864d
33796 .xword 0x0604406ad2b5f339
33797 .xword 0x9991b300da2000a9
33798 .xword 0x074b102b168b648d
33799 .xword 0x555fb70632b22c77
33800 .xword 0xfa937ca0c65abb72
33801 .xword 0x756b2fe66aa7243f
33802 .xword 0x9395f9b8627e54df
33803 .xword 0xb497335e2d3bae20
33804 .xword 0xa5436d2d275c63b5
33805 .xword 0x9d6eaa0cf38dfe83
33806 .xword 0x7b053ab9395ac1bd
33807 .xword 0x44faa5219c2ff983
33808 .xword 0xb8a736bbbf8913cf
33809 .xword 0x343e98e98aa823c8
33810_t1_des_fas_result:
33811 .xword 0xDEADBEEFDEADBEEF
33812 .xword 0xDEADBEEFDEADBEEF
33813 .xword 0xDEADBEEFDEADBEEF
33814 .xword 0xDEADBEEFDEADBEEF
33815 .xword 0xDEADBEEFDEADBEEF
33816 .xword 0xDEADBEEFDEADBEEF
33817 .xword 0xDEADBEEFDEADBEEF
33818 .xword 0xDEADBEEFDEADBEEF
33819 .xword 0xDEADBEEFDEADBEEF
33820 .xword 0xDEADBEEFDEADBEEF
33821 .xword 0xDEADBEEFDEADBEEF
33822 .xword 0xDEADBEEFDEADBEEF
33823 .xword 0xDEADBEEFDEADBEEF
33824 .xword 0xDEADBEEFDEADBEEF
33825 .xword 0xDEADBEEFDEADBEEF
33826 .xword 0xDEADBEEFDEADBEEF
33827 .xword 0xDEADBEEFDEADBEEF
33828 .xword 0xDEADBEEFDEADBEEF
33829 .xword 0xDEADBEEFDEADBEEF
33830 .xword 0xDEADBEEFDEADBEEF
33831 .xword 0xDEADBEEFDEADBEEF
33832 .xword 0xDEADBEEFDEADBEEF
33833 .xword 0xDEADBEEFDEADBEEF
33834_t1_copy_key_array:
33835 .xword 0xd5153a601d644fed
33836 .xword 0x5e125da4ec8e7957
33837 .xword 0xb4764e6dfe1f13cc
33838 .xword 0x954c47f6051e3138
33839 .xword 0x02db92028bc86b31
33840 .xword 0x72ffebcf813280e0
33841 .xword 0x0a6ce789c53fd539
33842 .xword 0xe8e671e87126f316
33843 .xword 0x7b888885c2ccb803
33844 .xword 0x08fff883dfa3d286
33845 .xword 0x9ec710e0ea434163
33846 .xword 0xe9e317b6b5d38b83
33847 .xword 0x0bc039bd5c7326a1
33848 .xword 0x326465d062b785e7
33849 .xword 0xc9b266af07e88742
33850 .xword 0x9ae827b5cf890a39
33851 .xword 0x32f7a4a577b1ec6a
33852 .xword 0x9172bf1adcde5001
33853 .xword 0x62d24c7c842ab49a
33854 .xword 0xbf681942b9facaff
33855 .xword 0x38009b76a57bc03c
33856 .xword 0x9357536a70d3acbf
33857 .xword 0x21b54b8421893ab7
33858 .xword 0x45ad023572f6755b
33859 .xword 0x0e61f83bf2e310b8
33860 .xword 0x0954c4f10612afe5
33861 .xword 0x2b94d6cee2aec25d
33862 .xword 0x89a67c0914a41392
33863 .xword 0x1e1a7cc860cf117e
33864 .xword 0x4da43595d83faf8a
33865 .xword 0xdefc507c24ee73c4
33866 .xword 0x69b7361eae3e8c5c
33867 .xword 0x010a05fbdf4ba4b4
33868 .xword 0x6d20ea30b3be1845
33869 .xword 0xdf77d7f0e9f3872a
33870 .xword 0xcd8f02ca5b36d4d5
33871 .xword 0x75d58e506e5ad0f3
33872 .xword 0x60bfadc0fcef73a6
33873 .xword 0x805d857b56bff9bb
33874 .xword 0xdb02265d2b316240
33875 .xword 0xb048d6b455f782c3
33876 .xword 0x9e29bd7c739c4bea
33877 .xword 0x755a4687b555c90c
33878 .xword 0x3ac787c3758e7a46
33879 .xword 0x55c6dc45294660c1
33880 .xword 0x7314a3f074612a67
33881 .xword 0xe53fc172298a8b5c
33882 .xword 0x078d0e92136be4e9
33883 .xword 0x456776f6bcdb418d
33884 .xword 0x2fba81aea1e1d9f8
33885 .xword 0xf9d8260b0e597b11
33886_t1_copy_iv_array:
33887 .xword 0xfed9c0d0629efa7a
33888 .xword 0x89223e3ff226cda6
33889 .xword 0x77213f4b0c26e6da
33890 .xword 0x1a75929dd3bf6c7e
33891 .xword 0x45a1d9a7769fe7da
33892 .xword 0xba7a680dc15b56db
33893 .xword 0xd5b902b836acde66
33894 .xword 0xfa16505f74117671
33895 .xword 0xa64ed548ac11ee23
33896 .xword 0x09265850d0a83baf
33897 .xword 0x5163d589ba601f55
33898 .xword 0xed4cbf85bcb4ea61
33899 .xword 0x7ea89d6859d05a29
33900 .xword 0xcf0879330553b0e2
33901 .xword 0xba86c3e8f80266dc
33902 .xword 0x8fed1fba96634578
33903 .xword 0xf7e51eaff0accb7e
33904 .xword 0xc1b18c6b9d3a016a
33905 .xword 0x72b083c3d64c82fd
33906 .xword 0xea3954074b238de3
33907 .xword 0x08d81e999a7600a1
33908 .xword 0x2e4856ea9cd41308
33909 .xword 0xf2e7d97932a3613c
33910 .xword 0xb76ba8d19feff21f
33911 .xword 0x61d3875329e8cbf6
33912 .xword 0x83aeaf52358025e8
33913 .xword 0x1359b80116412626
33914 .xword 0x4f2e015a044911bf
33915 .xword 0x98be9c4bb1d6bf73
33916 .xword 0x4cc2863bbd1fa800
33917 .xword 0xe7abb8dca43f1f30
33918 .xword 0xefae683b79497931
33919 .xword 0x73ae75a38b257bd9
33920 .xword 0xaf962ded669c57a6
33921 .xword 0x4f3f1a17aee39854
33922 .xword 0x75f43c56cc67a9c5
33923 .xword 0xbfe35137eb523356
33924 .xword 0x00b19cf0c0e7f45e
33925 .xword 0x9a8bccdb1f662446
33926 .xword 0xd51b145daa65f540
33927 .xword 0x8d9312c6821e5684
33928 .xword 0xe08a7d53a6608994
33929 .xword 0x19c6bdc3e805621c
33930 .xword 0x01600b4d7e94ae01
33931 .xword 0xa9f080cb15ec65c3
33932_t1_copy_alignment_array:
33933 .xword 1
33934 .xword 7
33935 .xword 3
33936 .xword 8
33937 .xword 2
33938 .xword 2
33939 .xword 12
33940 .xword 2
33941 .xword 7
33942 .xword 15
33943 .xword 3
33944 .xword 12
33945 .xword 0
33946 .xword 15
33947 .xword 6
33948 .xword 10
33949 .xword 10
33950 .xword 9
33951 .xword 12
33952 .xword 13
33953 .xword 7
33954 .xword 7
33955 .xword 9
33956 .xword 10
33957 .xword 9
33958 .xword 11
33959 .xword 3
33960 .xword 0
33961 .xword 9
33962 .xword 0
33963 .xword 6
33964 .xword 3
33965 .xword 12
33966 .xword 6
33967 .xword 11
33968 .xword 2
33969 .xword 9
33970 .xword 11
33971 .xword 11
33972 .xword 1
33973 .xword 7
33974 .xword 15
33975 .xword 15
33976 .xword 5
33977 .xword 11
33978 .xword 5
33979 .xword 10
33980 .xword 8
33981 .xword 11
33982 .xword 15
33983 .xword 5
33984 .xword 10
33985 .xword 3
33986 .xword 10
33987 .xword 10
33988 .xword 2
33989 .xword 15
33990 .xword 6
33991 .xword 7
33992 .xword 11
33993 .xword 6
33994 .xword 0
33995 .xword 0
33996 .xword 5
33997 .xword 8
33998 .xword 1
33999 .xword 6
34000 .xword 0
34001 .xword 7
34002 .xword 3
34003 .xword 2
34004 .xword 9
34005 .xword 4
34006 .xword 7
34007 .xword 2
34008 .xword 10
34009 .xword 7
34010 .xword 10
34011 .xword 14
34012 .xword 2
34013 .xword 0
34014 .xword 8
34015 .xword 8
34016 .xword 2
34017 .xword 7
34018 .xword 8
34019 .xword 13
34020 .xword 3
34021 .xword 7
34022 .xword 0
34023 .xword 4
34024 .xword 4
34025 .xword 10
34026 .xword 0
34027 .xword 6
34028 .xword 5
34029 .xword 1
34030 .xword 15
34031 .xword 1
34032 .xword 5
34033 .xword 5
34034 .xword 5
34035 .xword 10
34036 .xword 7
34037 .xword 3
34038_t1_copy_src:
34039 .xword 0x92f1d988c32306bf
34040 .xword 0x573ac2d04c2edbf4
34041 .xword 0xfffb7dd081fdcdf6
34042 .xword 0x895e00dbdf473ff9
34043 .xword 0x4eb595f18bf3d04a
34044 .xword 0xef4473188cafc644
34045 .xword 0xfed2bd95c285062c
34046 .xword 0x5c45e9cb42827845
34047 .xword 0x97813bcf36adeb4e
34048 .xword 0xbf11eed34dbcbca1
34049 .xword 0xfb0f37e0886674c3
34050 .xword 0xe071cb6e24921133
34051 .xword 0xd12ecd19f2ade15f
34052 .xword 0xeddbfeeedfad1449
34053 .xword 0xc9a9c4a8bb730f0d
34054 .xword 0x336b6e0fcb7d41f1
34055 .xword 0xe63875f38f17a862
34056 .xword 0x27519028bb0152e7
34057 .xword 0xc1f2f468c47ef477
34058 .xword 0x321c4eb080840a42
34059 .xword 0x9ecdffdf9dba4185
34060 .xword 0x1dc739274af1890b
34061 .xword 0xb4baa76379ad301a
34062 .xword 0x289c5453153cc9bc
34063 .xword 0x6d8c2aa92747b321
34064 .xword 0x585e28a198ea8408
34065 .xword 0x3439af0a31115661
34066 .xword 0x218c1bfcf20358e9
34067 .xword 0x15d95807d1700e0a
34068 .xword 0xe5e93be7c9793a9c
34069 .xword 0xd1d65a32e58ceadf
34070 .xword 0x5347b514e342448b
34071 .xword 0xab82f2981b3c5278
34072 .xword 0x1fcee8249f6f4e82
34073 .xword 0xd6d42a77d46a288b
34074 .xword 0xcd69ccb8fff467ea
34075 .xword 0xe6ef37116e367594
34076 .xword 0xdd1e73c69b7e0bbd
34077 .xword 0xc2acea6022e35be4
34078 .xword 0xdbb24ba2716cd984
34079 .xword 0xde230f0ad57a2026
34080 .xword 0xbd59447c4cfeb76d
34081 .xword 0xcd30e4f771c90ad9
34082 .xword 0xb5d6d3f05e58e0aa
34083 .xword 0xf0c8ada398d3a9b3
34084 .xword 0x8c304bcb4edb2da1
34085 .xword 0x5baa3ede3412ef62
34086 .xword 0x9b3f6b42c1062475
34087 .xword 0x4538a5fc9aeee609
34088 .xword 0x4608b0ac2d3dd740
34089 .xword 0x4fff5cd99779abe1
34090 .xword 0x80bfcb480faa0158
34091 .xword 0xa2c3c95fa5cfd5c6
34092 .xword 0x0fc262afe2490584
34093 .xword 0x8bf843a1b3698a41
34094 .xword 0xd7c111ba25b61a16
34095 .xword 0x8fc87c96887b0c0d
34096 .xword 0x16ad21e834473dae
34097 .xword 0x1211e9d87498c4f4
34098 .xword 0x5d612a350c42339d
34099 .xword 0x244995a36761c1d6
34100 .xword 0x4b9141cd3c06fb14
34101 .xword 0xb2dd558aafad9f5f
34102 .xword 0x960664600d2535ad
34103 .xword 0xc96f0b1992be53aa
34104 .xword 0x4d21fc1115c9613a
34105 .xword 0xa2c3dba050e60819
34106 .xword 0x7e4e7f299b83b3cf
34107 .xword 0x4c5bd20209a7b5ed
34108 .xword 0x081dd0fb29d14be9
34109 .xword 0x8cb2b8572e044891
34110 .xword 0xe35bffbef9b4449b
34111 .xword 0x777209a725c0049c
34112 .xword 0x9818bc9d939937a4
34113 .xword 0xceb7d8407be4ab97
34114 .xword 0x50e548d6b067d497
34115 .xword 0xe3e18af58e7a861d
34116 .xword 0x6c240fe56c7eb09d
34117 .xword 0xa82ffbb892c954e3
34118 .xword 0x6392b6292c248954
34119 .xword 0x773e1fcf1ea93f5f
34120 .xword 0x32399dc0f57fd5cb
34121 .xword 0x671a83543d579418
34122 .xword 0xb3e8aba40b5b063e
34123 .xword 0xf9d9dafcbe9dee14
34124 .xword 0x545b07c9bd5e9b27
34125 .xword 0xd446c8fca559cb48
34126 .xword 0x664de0bd8a422442
34127 .xword 0x9616e383e467bf97
34128 .xword 0x20ae2b5e3c05f89b
34129 .xword 0x9f5d4d6a4b3e73bd
34130 .xword 0x8b953e97b07ed3dd
34131 .xword 0xa022becbb1b4deb5
34132 .xword 0x100f342ecb737e3e
34133 .xword 0xff5351ee9c068ea9
34134 .xword 0x0a9ad344c2a8d584
34135 .xword 0x2548c8f0b8f704f1
34136 .xword 0xf9be09e9df8c0b11
34137 .xword 0x749b3e9be22161b2
34138 .xword 0x93dd642e773a0d99
34139 .xword 0x5640375abb4f6f5c
34140 .xword 0x7c192b34477f4718
34141 .xword 0xf1aa093f6def2953
34142 .xword 0x03932476078f99e4
34143 .xword 0x494e2f98859747dc
34144 .xword 0x3c1a276b9e957a7b
34145 .xword 0x038f8b9482938932
34146 .xword 0xe0c264d49f13ed3d
34147 .xword 0xfdef6ce51e67cd9b
34148 .xword 0xb0bc000cc8a5d788
34149 .xword 0xeddac17b3e075d0d
34150 .xword 0x7ae2cd8463142c6f
34151 .xword 0x55111e51a698fe27
34152 .xword 0xfb6fda020cc1773b
34153 .xword 0x892bd21846480059
34154 .xword 0xbc1bcc9adc405552
34155 .xword 0x8cc1a30ed0f25228
34156 .xword 0xc0d032395e72beb9
34157 .xword 0x0299898213d30c43
34158 .xword 0xc6de00e7af3fedd9
34159 .xword 0xe10a385ea8891daa
34160 .xword 0x7e41e586ad30c2a7
34161 .xword 0x397f0a463ef44abb
34162 .xword 0xb22635a2985c6578
34163 .xword 0x9db73b06c2d76e8c
34164 .xword 0x29a66dfbce2c8576
34165 .xword 0xbd81399fca1c7db0
34166 .xword 0x15f5ba4c485c502f
34167 .xword 0x10159c9e990cdff3
34168 .xword 0x9d2cde43bff93bde
34169 .xword 0xd6a6b51b7998f4b2
34170 .xword 0xf440532aa09c09e7
34171 .xword 0x2293e6c9d05c1d03
34172 .xword 0x75cc4a8317a2a51c
34173 .xword 0x03d7e181c0b1dbfc
34174 .xword 0x8345d8a61b62d164
34175 .xword 0xfd97b971f8d5f449
34176 .xword 0x99e641a77432e37e
34177 .xword 0x2b2b229110d57567
34178 .xword 0x6f461e93309a47dc
34179 .xword 0x0eceb2919bb62f97
34180 .xword 0x3db5de7ef25f447f
34181 .xword 0x60481d5ce5853663
34182 .xword 0x861a3cd9c02d46f0
34183 .xword 0xbc4b4335f30ab00b
34184 .xword 0xafcd707c91eb51e5
34185 .xword 0x49d4861b657793df
34186 .xword 0x7cdfc294851fdcfc
34187 .xword 0x8ee9e501843a7c04
34188 .xword 0x83ee04e70cd89fbc
34189 .xword 0x491a74e29304570e
34190 .xword 0xc4195a7a8cc80990
34191 .xword 0x6d87bf24f1e4c8db
34192 .xword 0x6a8c85650d70e830
34193 .xword 0xb594a16fb7732934
34194 .xword 0x53bec2edc80d75d0
34195 .xword 0xcf3cd6706b757315
34196 .xword 0xba9ea119d833f520
34197 .xword 0x5d02ef9be76a8c32
34198 .xword 0xd7bffa7693d9cbf2
34199 .xword 0xb186d0131d6a3f8d
34200 .xword 0x981a9536465023c7
34201 .xword 0x17b14704bb217fe8
34202 .xword 0x5b713d291a168aa7
34203 .xword 0x0aa3352ee0ea1d8a
34204 .xword 0xd397188ce41eda4c
34205 .xword 0x10f7e409d9d44df5
34206 .xword 0xd852727a2db5c9c7
34207 .xword 0xd3ec08ab48039319
34208 .xword 0x3ffdc752833b7d60
34209 .xword 0x39032ea63e5933b6
34210 .xword 0x7e6c1e2eac2acd27
34211 .xword 0xa8251c99ee749a84
34212 .xword 0x025f4fd71fee4602
34213 .xword 0xc9126bfee2329b5c
34214 .xword 0x6401f6d394789db0
34215 .xword 0xc438a16ef5631a30
34216 .xword 0x437310709f8e2911
34217 .xword 0xf80e74b27953ec36
34218 .xword 0x4e3e31ec0d58727a
34219 .xword 0xd70579a7f4193083
34220 .xword 0xc9c8ab226beaf6a2
34221 .xword 0x39c48762ea8bff2a
34222 .xword 0x1ff812db14e587bf
34223 .xword 0x088eeaed4f78fa83
34224 .xword 0xf70fc00a9e9f5c12
34225 .xword 0x4d0ee8258f52c665
34226 .xword 0x2e49e744d8bd39e3
34227 .xword 0x4fa42abe7c27e0d4
34228 .xword 0x444dab61756895c5
34229 .xword 0xb79665c045d43823
34230 .xword 0x2b3a1452d9f0211d
34231 .xword 0xe6933db3a338156b
34232 .xword 0xa5fe20d402c7da38
34233 .xword 0xf68116fb7a971c2b
34234 .xword 0x5b07142f31e055e0
34235 .xword 0x3500f4ec0cbce4ea
34236 .xword 0x63d3243534f42bd7
34237 .xword 0x2ec9ad63cbf74b60
34238 .xword 0x9bf1c113ec6a9c68
34239 .xword 0xc3d786e3c79a310a
34240 .xword 0xae620f42d353eb29
34241 .xword 0x95e1a6202296fc68
34242 .xword 0x690e6c1e50dd5ce7
34243 .xword 0xe5acc6bec828d60e
34244 .xword 0x091fad30f5dd2644
34245 .xword 0x62a9e86af6ea3227
34246 .xword 0x9404e814abf3644a
34247 .xword 0xf81def0d41a71a02
34248 .xword 0xc0f53c3f433186e9
34249 .xword 0x3f5d5283948d447e
34250 .xword 0x274b527ab399f418
34251 .xword 0x67e9075daf174ba4
34252 .xword 0x781bbe4a83532792
34253 .xword 0xafb6c0b94f140c6e
34254 .xword 0x68f7a9bcf26236f4
34255 .xword 0x05f9e2363a9ea9da
34256 .xword 0xe3d2667bdb0c6397
34257 .xword 0xfd5a2fb9e098d598
34258 .xword 0x97bb7adecff5b270
34259 .xword 0x665b06a5c4d9427f
34260 .xword 0xbdd276f3300b5f03
34261 .xword 0xe4f5a25e0968a08a
34262 .xword 0x706fc4fe9b703308
34263 .xword 0x8be526a9beab6be0
34264 .xword 0x881b295fb7a13745
34265 .xword 0x631c84f563df1b88
34266 .xword 0x8ad025e717396cec
34267 .xword 0xbec9f0a5cd61ec25
34268 .xword 0x0f4f68a47bb177fc
34269 .xword 0x49b3bdfca266bffe
34270 .xword 0xb65ac5b5b52103bf
34271 .xword 0x84d77ccc1dfe4c3f
34272 .xword 0xf43d76f39ba5f8b1
34273 .xword 0x6ee92a000531e82b
34274 .xword 0xc3c780c81007ae1b
34275 .xword 0xa49d195b9eafe217
34276 .xword 0x97097639725a3d4d
34277 .xword 0x977da56888d0a6f2
34278 .xword 0x51e647ff1c6b4353
34279 .xword 0x2c090929705c608c
34280 .xword 0x74b0ebc26401fbed
34281 .xword 0xd047051975ff61ec
34282 .xword 0xe897240dcb9f68f7
34283 .xword 0xe496ab70a9e1335c
34284 .xword 0x7a4e0d0ca0d9587e
34285 .xword 0x382a1bcd93219971
34286 .xword 0x525e10dc1c52d1be
34287 .xword 0x47da331af6724088
34288 .xword 0xd2e2e6a167981e61
34289 .xword 0xa321ddc41d6eab44
34290 .xword 0xacf6704468011eba
34291 .xword 0x58c9b759141c4cc9
34292 .xword 0x1dab661a668dd337
34293 .xword 0x35a397b9fdd1c18f
34294 .xword 0xee564da320ee648a
34295 .xword 0xaaa26bf47ce5cad7
34296 .xword 0x6c17389afeb0bd44
34297 .xword 0x89d7d86b2808b5aa
34298 .xword 0xbb5354c2187500b4
34299 .xword 0x85083b05edc1da31
34300 .xword 0x14f4a5834a061679
34301 .xword 0x6535fe38dea6c691
34302 .xword 0xda2599711e7fa35e
34303 .xword 0xdf53ae8946015b59
34304 .xword 0x9f31ef8541dffc64
34305 .xword 0x54de2408eea1725a
34306 .xword 0x6ee7c09756bc960a
34307 .xword 0x92fbf49cebada801
34308 .xword 0x3ff65f7798c010b2
34309 .xword 0x0ac3222d217ffd1c
34310 .xword 0x9cdc0994952e800e
34311 .xword 0xc2fd58f10baba546
34312 .xword 0x4797406297428b7d
34313 .xword 0xf30e434e043d9dec
34314_t1_copy_dest:
34315 .xword 0xDEADBEEFDEADBEEF
34316 .xword 0xDEADBEEFDEADBEEF
34317 .xword 0xDEADBEEFDEADBEEF
34318 .xword 0xDEADBEEFDEADBEEF
34319 .xword 0xDEADBEEFDEADBEEF
34320 .xword 0xDEADBEEFDEADBEEF
34321 .xword 0xDEADBEEFDEADBEEF
34322 .xword 0xDEADBEEFDEADBEEF
34323 .xword 0xDEADBEEFDEADBEEF
34324 .xword 0xDEADBEEFDEADBEEF
34325 .xword 0xDEADBEEFDEADBEEF
34326 .xword 0xDEADBEEFDEADBEEF
34327 .xword 0xDEADBEEFDEADBEEF
34328 .xword 0xDEADBEEFDEADBEEF
34329 .xword 0xDEADBEEFDEADBEEF
34330 .xword 0xDEADBEEFDEADBEEF
34331 .xword 0xDEADBEEFDEADBEEF
34332 .xword 0xDEADBEEFDEADBEEF
34333 .xword 0xDEADBEEFDEADBEEF
34334 .xword 0xDEADBEEFDEADBEEF
34335 .xword 0xDEADBEEFDEADBEEF
34336 .xword 0xDEADBEEFDEADBEEF
34337 .xword 0xDEADBEEFDEADBEEF
34338 .xword 0xDEADBEEFDEADBEEF
34339 .xword 0xDEADBEEFDEADBEEF
34340 .xword 0xDEADBEEFDEADBEEF
34341 .xword 0xDEADBEEFDEADBEEF
34342 .xword 0xDEADBEEFDEADBEEF
34343 .xword 0xDEADBEEFDEADBEEF
34344 .xword 0xDEADBEEFDEADBEEF
34345 .xword 0xDEADBEEFDEADBEEF
34346 .xword 0xDEADBEEFDEADBEEF
34347 .xword 0xDEADBEEFDEADBEEF
34348 .xword 0xDEADBEEFDEADBEEF
34349 .xword 0xDEADBEEFDEADBEEF
34350 .xword 0xDEADBEEFDEADBEEF
34351 .xword 0xDEADBEEFDEADBEEF
34352 .xword 0xDEADBEEFDEADBEEF
34353 .xword 0xDEADBEEFDEADBEEF
34354 .xword 0xDEADBEEFDEADBEEF
34355 .xword 0xDEADBEEFDEADBEEF
34356 .xword 0xDEADBEEFDEADBEEF
34357 .xword 0xDEADBEEFDEADBEEF
34358 .xword 0xDEADBEEFDEADBEEF
34359 .xword 0xDEADBEEFDEADBEEF
34360 .xword 0xDEADBEEFDEADBEEF
34361 .xword 0xDEADBEEFDEADBEEF
34362 .xword 0xDEADBEEFDEADBEEF
34363 .xword 0xDEADBEEFDEADBEEF
34364 .xword 0xDEADBEEFDEADBEEF
34365 .xword 0xDEADBEEFDEADBEEF
34366 .xword 0xDEADBEEFDEADBEEF
34367 .xword 0xDEADBEEFDEADBEEF
34368 .xword 0xDEADBEEFDEADBEEF
34369 .xword 0xDEADBEEFDEADBEEF
34370 .xword 0xDEADBEEFDEADBEEF
34371 .xword 0xDEADBEEFDEADBEEF
34372 .xword 0xDEADBEEFDEADBEEF
34373 .xword 0xDEADBEEFDEADBEEF
34374 .xword 0xDEADBEEFDEADBEEF
34375 .xword 0xDEADBEEFDEADBEEF
34376 .xword 0xDEADBEEFDEADBEEF
34377 .xword 0xDEADBEEFDEADBEEF
34378 .xword 0xDEADBEEFDEADBEEF
34379 .xword 0xDEADBEEFDEADBEEF
34380 .xword 0xDEADBEEFDEADBEEF
34381 .xword 0xDEADBEEFDEADBEEF
34382 .xword 0xDEADBEEFDEADBEEF
34383 .xword 0xDEADBEEFDEADBEEF
34384 .xword 0xDEADBEEFDEADBEEF
34385 .xword 0xDEADBEEFDEADBEEF
34386 .xword 0xDEADBEEFDEADBEEF
34387 .xword 0xDEADBEEFDEADBEEF
34388 .xword 0xDEADBEEFDEADBEEF
34389 .xword 0xDEADBEEFDEADBEEF
34390 .xword 0xDEADBEEFDEADBEEF
34391 .xword 0xDEADBEEFDEADBEEF
34392 .xword 0xDEADBEEFDEADBEEF
34393 .xword 0xDEADBEEFDEADBEEF
34394 .xword 0xDEADBEEFDEADBEEF
34395 .xword 0xDEADBEEFDEADBEEF
34396 .xword 0xDEADBEEFDEADBEEF
34397 .xword 0xDEADBEEFDEADBEEF
34398 .xword 0xDEADBEEFDEADBEEF
34399 .xword 0xDEADBEEFDEADBEEF
34400 .xword 0xDEADBEEFDEADBEEF
34401 .xword 0xDEADBEEFDEADBEEF
34402 .xword 0xDEADBEEFDEADBEEF
34403 .xword 0xDEADBEEFDEADBEEF
34404 .xword 0xDEADBEEFDEADBEEF
34405 .xword 0xDEADBEEFDEADBEEF
34406 .xword 0xDEADBEEFDEADBEEF
34407 .xword 0xDEADBEEFDEADBEEF
34408 .xword 0xDEADBEEFDEADBEEF
34409 .xword 0xDEADBEEFDEADBEEF
34410 .xword 0xDEADBEEFDEADBEEF
34411 .xword 0xDEADBEEFDEADBEEF
34412 .xword 0xDEADBEEFDEADBEEF
34413 .xword 0xDEADBEEFDEADBEEF
34414 .xword 0xDEADBEEFDEADBEEF
34415 .xword 0xDEADBEEFDEADBEEF
34416 .xword 0xDEADBEEFDEADBEEF
34417 .xword 0xDEADBEEFDEADBEEF
34418 .xword 0xDEADBEEFDEADBEEF
34419 .xword 0xDEADBEEFDEADBEEF
34420 .xword 0xDEADBEEFDEADBEEF
34421 .xword 0xDEADBEEFDEADBEEF
34422 .xword 0xDEADBEEFDEADBEEF
34423 .xword 0xDEADBEEFDEADBEEF
34424 .xword 0xDEADBEEFDEADBEEF
34425 .xword 0xDEADBEEFDEADBEEF
34426 .xword 0xDEADBEEFDEADBEEF
34427 .xword 0xDEADBEEFDEADBEEF
34428 .xword 0xDEADBEEFDEADBEEF
34429 .xword 0xDEADBEEFDEADBEEF
34430 .xword 0xDEADBEEFDEADBEEF
34431 .xword 0xDEADBEEFDEADBEEF
34432 .xword 0xDEADBEEFDEADBEEF
34433 .xword 0xDEADBEEFDEADBEEF
34434 .xword 0xDEADBEEFDEADBEEF
34435 .xword 0xDEADBEEFDEADBEEF
34436 .xword 0xDEADBEEFDEADBEEF
34437 .xword 0xDEADBEEFDEADBEEF
34438 .xword 0xDEADBEEFDEADBEEF
34439 .xword 0xDEADBEEFDEADBEEF
34440 .xword 0xDEADBEEFDEADBEEF
34441 .xword 0xDEADBEEFDEADBEEF
34442 .xword 0xDEADBEEFDEADBEEF
34443 .xword 0xDEADBEEFDEADBEEF
34444 .xword 0xDEADBEEFDEADBEEF
34445 .xword 0xDEADBEEFDEADBEEF
34446 .xword 0xDEADBEEFDEADBEEF
34447 .xword 0xDEADBEEFDEADBEEF
34448 .xword 0xDEADBEEFDEADBEEF
34449 .xword 0xDEADBEEFDEADBEEF
34450 .xword 0xDEADBEEFDEADBEEF
34451 .xword 0xDEADBEEFDEADBEEF
34452 .xword 0xDEADBEEFDEADBEEF
34453 .xword 0xDEADBEEFDEADBEEF
34454 .xword 0xDEADBEEFDEADBEEF
34455 .xword 0xDEADBEEFDEADBEEF
34456 .xword 0xDEADBEEFDEADBEEF
34457 .xword 0xDEADBEEFDEADBEEF
34458 .xword 0xDEADBEEFDEADBEEF
34459 .xword 0xDEADBEEFDEADBEEF
34460 .xword 0xDEADBEEFDEADBEEF
34461 .xword 0xDEADBEEFDEADBEEF
34462 .xword 0xDEADBEEFDEADBEEF
34463 .xword 0xDEADBEEFDEADBEEF
34464 .xword 0xDEADBEEFDEADBEEF
34465 .xword 0xDEADBEEFDEADBEEF
34466 .xword 0xDEADBEEFDEADBEEF
34467 .xword 0xDEADBEEFDEADBEEF
34468 .xword 0xDEADBEEFDEADBEEF
34469 .xword 0xDEADBEEFDEADBEEF
34470 .xword 0xDEADBEEFDEADBEEF
34471 .xword 0xDEADBEEFDEADBEEF
34472 .xword 0xDEADBEEFDEADBEEF
34473 .xword 0xDEADBEEFDEADBEEF
34474 .xword 0xDEADBEEFDEADBEEF
34475 .xword 0xDEADBEEFDEADBEEF
34476 .xword 0xDEADBEEFDEADBEEF
34477 .xword 0xDEADBEEFDEADBEEF
34478 .xword 0xDEADBEEFDEADBEEF
34479 .xword 0xDEADBEEFDEADBEEF
34480 .xword 0xDEADBEEFDEADBEEF
34481 .xword 0xDEADBEEFDEADBEEF
34482 .xword 0xDEADBEEFDEADBEEF
34483 .xword 0xDEADBEEFDEADBEEF
34484 .xword 0xDEADBEEFDEADBEEF
34485 .xword 0xDEADBEEFDEADBEEF
34486 .xword 0xDEADBEEFDEADBEEF
34487 .xword 0xDEADBEEFDEADBEEF
34488 .xword 0xDEADBEEFDEADBEEF
34489 .xword 0xDEADBEEFDEADBEEF
34490 .xword 0xDEADBEEFDEADBEEF
34491 .xword 0xDEADBEEFDEADBEEF
34492 .xword 0xDEADBEEFDEADBEEF
34493 .xword 0xDEADBEEFDEADBEEF
34494 .xword 0xDEADBEEFDEADBEEF
34495 .xword 0xDEADBEEFDEADBEEF
34496 .xword 0xDEADBEEFDEADBEEF
34497 .xword 0xDEADBEEFDEADBEEF
34498 .xword 0xDEADBEEFDEADBEEF
34499 .xword 0xDEADBEEFDEADBEEF
34500 .xword 0xDEADBEEFDEADBEEF
34501 .xword 0xDEADBEEFDEADBEEF
34502 .xword 0xDEADBEEFDEADBEEF
34503 .xword 0xDEADBEEFDEADBEEF
34504 .xword 0xDEADBEEFDEADBEEF
34505 .xword 0xDEADBEEFDEADBEEF
34506 .xword 0xDEADBEEFDEADBEEF
34507 .xword 0xDEADBEEFDEADBEEF
34508 .xword 0xDEADBEEFDEADBEEF
34509 .xword 0xDEADBEEFDEADBEEF
34510 .xword 0xDEADBEEFDEADBEEF
34511 .xword 0xDEADBEEFDEADBEEF
34512 .xword 0xDEADBEEFDEADBEEF
34513 .xword 0xDEADBEEFDEADBEEF
34514 .xword 0xDEADBEEFDEADBEEF
34515 .xword 0xDEADBEEFDEADBEEF
34516 .xword 0xDEADBEEFDEADBEEF
34517 .xword 0xDEADBEEFDEADBEEF
34518 .xword 0xDEADBEEFDEADBEEF
34519 .xword 0xDEADBEEFDEADBEEF
34520 .xword 0xDEADBEEFDEADBEEF
34521 .xword 0xDEADBEEFDEADBEEF
34522 .xword 0xDEADBEEFDEADBEEF
34523 .xword 0xDEADBEEFDEADBEEF
34524 .xword 0xDEADBEEFDEADBEEF
34525 .xword 0xDEADBEEFDEADBEEF
34526 .xword 0xDEADBEEFDEADBEEF
34527 .xword 0xDEADBEEFDEADBEEF
34528 .xword 0xDEADBEEFDEADBEEF
34529 .xword 0xDEADBEEFDEADBEEF
34530 .xword 0xDEADBEEFDEADBEEF
34531 .xword 0xDEADBEEFDEADBEEF
34532 .xword 0xDEADBEEFDEADBEEF
34533 .xword 0xDEADBEEFDEADBEEF
34534 .xword 0xDEADBEEFDEADBEEF
34535 .xword 0xDEADBEEFDEADBEEF
34536 .xword 0xDEADBEEFDEADBEEF
34537 .xword 0xDEADBEEFDEADBEEF
34538 .xword 0xDEADBEEFDEADBEEF
34539 .xword 0xDEADBEEFDEADBEEF
34540 .xword 0xDEADBEEFDEADBEEF
34541 .xword 0xDEADBEEFDEADBEEF
34542 .xword 0xDEADBEEFDEADBEEF
34543 .xword 0xDEADBEEFDEADBEEF
34544 .xword 0xDEADBEEFDEADBEEF
34545 .xword 0xDEADBEEFDEADBEEF
34546 .xword 0xDEADBEEFDEADBEEF
34547 .xword 0xDEADBEEFDEADBEEF
34548 .xword 0xDEADBEEFDEADBEEF
34549 .xword 0xDEADBEEFDEADBEEF
34550 .xword 0xDEADBEEFDEADBEEF
34551 .xword 0xDEADBEEFDEADBEEF
34552 .xword 0xDEADBEEFDEADBEEF
34553 .xword 0xDEADBEEFDEADBEEF
34554 .xword 0xDEADBEEFDEADBEEF
34555 .xword 0xDEADBEEFDEADBEEF
34556 .xword 0xDEADBEEFDEADBEEF
34557 .xword 0xDEADBEEFDEADBEEF
34558 .xword 0xDEADBEEFDEADBEEF
34559 .xword 0xDEADBEEFDEADBEEF
34560 .xword 0xDEADBEEFDEADBEEF
34561 .xword 0xDEADBEEFDEADBEEF
34562 .xword 0xDEADBEEFDEADBEEF
34563 .xword 0xDEADBEEFDEADBEEF
34564 .xword 0xDEADBEEFDEADBEEF
34565 .xword 0xDEADBEEFDEADBEEF
34566 .xword 0xDEADBEEFDEADBEEF
34567 .xword 0xDEADBEEFDEADBEEF
34568 .xword 0xDEADBEEFDEADBEEF
34569 .xword 0xDEADBEEFDEADBEEF
34570 .xword 0xDEADBEEFDEADBEEF
34571 .xword 0xDEADBEEFDEADBEEF
34572 .xword 0xDEADBEEFDEADBEEF
34573 .xword 0xDEADBEEFDEADBEEF
34574 .xword 0xDEADBEEFDEADBEEF
34575 .xword 0xDEADBEEFDEADBEEF
34576 .xword 0xDEADBEEFDEADBEEF
34577 .xword 0xDEADBEEFDEADBEEF
34578 .xword 0xDEADBEEFDEADBEEF
34579 .xword 0xDEADBEEFDEADBEEF
34580 .xword 0xDEADBEEFDEADBEEF
34581 .xword 0xDEADBEEFDEADBEEF
34582 .xword 0xDEADBEEFDEADBEEF
34583 .xword 0xDEADBEEFDEADBEEF
34584 .xword 0xDEADBEEFDEADBEEF
34585 .xword 0xDEADBEEFDEADBEEF
34586 .xword 0xDEADBEEFDEADBEEF
34587 .xword 0xDEADBEEFDEADBEEF
34588 .xword 0xDEADBEEFDEADBEEF
34589 .xword 0xDEADBEEFDEADBEEF
34590_t1_copy_auth_key:
34591 .xword 0x3acddfdf255a5a92
34592 .xword 0x86474ef620100ea8
34593 .xword 0x8a19cdbc274a9b93
34594 .xword 0xc680a0e50f9f7ba0
34595 .xword 0xae6063b3c5118707
34596 .xword 0x0a6c4c20d8db5069
34597 .xword 0x1451c70652822f7c
34598 .xword 0xc5eee8987d8b6035
34599 .xword 0x169c439fded0f30d
34600 .xword 0x56cdd890d6c2a1a9
34601 .xword 0x654b6f7fd3fb9de6
34602 .xword 0x1bedebce2a446797
34603 .xword 0xd1294d935d719325
34604 .xword 0x4ff327eee4f5ed07
34605 .xword 0x1bfc05ba13aedcc1
34606 .xword 0x949b53223b837f4b
34607 .xword 0x3e77bf85b1884d94
34608 .xword 0x868c2ac7986b1d1d
34609 .xword 0x2a90cc812422eb0d
34610 .xword 0x85deed0f927c637d
34611 .xword 0x4428a76ee340c460
34612 .xword 0x32339d0264345795
34613 .xword 0x7cda0633980a33fa
34614_t1_copy_auth_iv:
34615 .xword 0x5f23d9212e7e9665
34616 .xword 0x0d12ccb87a29cc1b
34617 .xword 0x18eada555a2a0ed9
34618 .xword 0xf7656ccc7dfe8a43
34619 .xword 0x964e1a38ae7ed4d1
34620 .xword 0xcf1c61f2dd5708ff
34621 .xword 0x2e36a5df25ea71e1
34622 .xword 0x04014ae999a2bb00
34623 .xword 0x9bb784de4bdb0067
34624 .xword 0xbafee8ea3f962d8d
34625 .xword 0x4c3f6c013d17be33
34626 .xword 0x5f7ca66d979eb9dc
34627 .xword 0x13d9a9ccffdec644
34628 .xword 0x973b6217c641809e
34629 .xword 0xd4d04f940cd53532
34630 .xword 0x907fdeab66799e64
34631 .xword 0x364e381e0b1dea06
34632 .xword 0xe1e35044993c0dbc
34633 .xword 0x3b9597ff0a20acaf
34634 .xword 0xeb4362adb4872702
34635 .xword 0x12c2252c6bb7b2e1
34636 .xword 0x58faabb28c5ad20c
34637 .xword 0x9abd140b78c83276
34638_t1_copy_fas_result:
34639 .xword 0xDEADBEEFDEADBEEF
34640 .xword 0xDEADBEEFDEADBEEF
34641 .xword 0xDEADBEEFDEADBEEF
34642 .xword 0xDEADBEEFDEADBEEF
34643 .xword 0xDEADBEEFDEADBEEF
34644 .xword 0xDEADBEEFDEADBEEF
34645 .xword 0xDEADBEEFDEADBEEF
34646 .xword 0xDEADBEEFDEADBEEF
34647 .xword 0xDEADBEEFDEADBEEF
34648 .xword 0xDEADBEEFDEADBEEF
34649 .xword 0xDEADBEEFDEADBEEF
34650 .xword 0xDEADBEEFDEADBEEF
34651 .xword 0xDEADBEEFDEADBEEF
34652 .xword 0xDEADBEEFDEADBEEF
34653 .xword 0xDEADBEEFDEADBEEF
34654 .xword 0xDEADBEEFDEADBEEF
34655 .xword 0xDEADBEEFDEADBEEF
34656 .xword 0xDEADBEEFDEADBEEF
34657 .xword 0xDEADBEEFDEADBEEF
34658 .xword 0xDEADBEEFDEADBEEF
34659 .xword 0xDEADBEEFDEADBEEF
34660 .xword 0xDEADBEEFDEADBEEF
34661 .xword 0xDEADBEEFDEADBEEF
34662_t1_crc_key_array:
34663 .xword 0x69f9f0f32522d0bc
34664 .xword 0xe7f209290fc8e5b1
34665 .xword 0x88b5006f69e3bea6
34666 .xword 0xee09a5d55ff37cf1
34667 .xword 0x4d85fc7d49787a10
34668 .xword 0xd16011dcee2e008c
34669 .xword 0x2e6be9ab93c854ce
34670 .xword 0x03ff67bc55bcb0c7
34671 .xword 0xecd008dcb9371c58
34672 .xword 0xfd6d77ea24db167a
34673 .xword 0x848915e42970928f
34674 .xword 0x649f9adc2de55006
34675 .xword 0x0dabc7bcd584c406
34676 .xword 0xfb41cb23dfa37d16
34677 .xword 0x84d8dfcab7eaefa4
34678 .xword 0x8941d3c92ad76708
34679 .xword 0xf9656df802652636
34680 .xword 0x676da889b71d3ef5
34681 .xword 0xc796208d6d44deb8
34682 .xword 0xd6202edb6866e90b
34683 .xword 0x240c5d2316d0cdc9
34684 .xword 0xa9ffe265a4fa3a5d
34685 .xword 0x6c889e597edca7b6
34686 .xword 0x1af06c68dce83e7e
34687 .xword 0x0fb8839c06644272
34688 .xword 0xf6def1942b993e74
34689 .xword 0x2385f4d979c2c8c1
34690 .xword 0x95210f9018e3f081
34691 .xword 0x0358ff4654880981
34692 .xword 0x6c2295a3df55d0a7
34693 .xword 0x8130d435728ffa84
34694 .xword 0x79889ba3e2f2660e
34695 .xword 0x6ad5a2b2c132c0a6
34696 .xword 0x0361481762403be2
34697 .xword 0x54d7c422954a1c8c
34698 .xword 0x508bc93101b06e0c
34699 .xword 0x2a2b8ada8a402b20
34700 .xword 0x567002ec35172032
34701 .xword 0x2b5926f71b8b2ae5
34702 .xword 0x7378da16580d602b
34703 .xword 0x777098724cfee37e
34704 .xword 0xfe4de8e1a34360b5
34705 .xword 0xd0034750e22c9251
34706 .xword 0x9afae32993c820dc
34707 .xword 0x4c0e63bf6354d1cc
34708 .xword 0xaba679b6db8fc972
34709 .xword 0x135fd3a3e2820f17
34710 .xword 0xd53107e0e461725c
34711 .xword 0xd756fa396bd41e25
34712 .xword 0x3bfca98da4657f43
34713 .xword 0x923643e5678a8d0b
34714_t1_crc_iv_array:
34715 .xword 0x7afd2eff9202d930
34716 .xword 0xe272f94827b72b30
34717 .xword 0x862934f98d9e7f49
34718 .xword 0x9424eb04c33e6213
34719 .xword 0x6308711454b617ec
34720 .xword 0x5a8044cb15f1ef46
34721 .xword 0xea5053ca560408d3
34722 .xword 0xec748ba8c97b908d
34723 .xword 0xe8ec766c74b0cb16
34724 .xword 0x127e3002dffc4273
34725 .xword 0xf6c9df82a3d24e8b
34726 .xword 0x456693af53b25807
34727 .xword 0xceb99c252124e142
34728 .xword 0x06afa6692bd47c75
34729 .xword 0xbd10e20f8605ec69
34730 .xword 0x9163318911cfb91b
34731 .xword 0xbf650b159be8d8d1
34732 .xword 0xd332d11a6c234c62
34733 .xword 0x634fa79f18a296ec
34734 .xword 0x5617deb53f701339
34735 .xword 0xf3244649ef2881fc
34736 .xword 0xade8048a145c3599
34737 .xword 0x2a1d495a4562e24b
34738 .xword 0xdb37313194e2261d
34739 .xword 0x59d345d7fadde7dd
34740 .xword 0x9fd1bf8f04d44ec7
34741 .xword 0x9f6d80b315041f53
34742 .xword 0xfd03e86e8a62c73a
34743 .xword 0x0bdbeb2028d9074c
34744 .xword 0x5b8993a8200594c0
34745 .xword 0xb90b60cb941fb1f9
34746 .xword 0x0589ecdb3cfe5620
34747 .xword 0x70fb9b342c0052ff
34748 .xword 0x653500adc3b52009
34749 .xword 0xfc97d9e7c0a612df
34750 .xword 0x973e676c16d567e9
34751 .xword 0x6d0eac03df6100a5
34752 .xword 0x82ba6377af41a2b1
34753 .xword 0xcc7112c41c3f2dcf
34754 .xword 0x3d3d8830ab2fb7b2
34755 .xword 0x14fa1b81516fa76c
34756 .xword 0xf6422f2fc2c349a8
34757 .xword 0xe8c76b8de271cd01
34758 .xword 0xd3cdae88f2d824cf
34759 .xword 0x87676f3e57cd3e34
34760_t1_crc_alignment_array:
34761 .xword 12
34762 .xword 13
34763 .xword 4
34764 .xword 0
34765 .xword 11
34766 .xword 7
34767 .xword 14
34768 .xword 2
34769 .xword 7
34770 .xword 3
34771 .xword 5
34772 .xword 8
34773 .xword 11
34774 .xword 5
34775 .xword 11
34776 .xword 9
34777 .xword 9
34778 .xword 8
34779 .xword 9
34780 .xword 15
34781 .xword 5
34782 .xword 7
34783 .xword 15
34784 .xword 8
34785 .xword 9
34786 .xword 8
34787 .xword 4
34788 .xword 1
34789 .xword 2
34790 .xword 5
34791 .xword 8
34792 .xword 3
34793 .xword 3
34794 .xword 5
34795 .xword 9
34796 .xword 14
34797 .xword 8
34798 .xword 2
34799 .xword 13
34800 .xword 9
34801 .xword 5
34802 .xword 3
34803 .xword 8
34804 .xword 8
34805 .xword 6
34806 .xword 2
34807 .xword 4
34808 .xword 15
34809 .xword 3
34810 .xword 3
34811 .xword 0
34812 .xword 3
34813 .xword 9
34814 .xword 2
34815 .xword 1
34816 .xword 14
34817 .xword 1
34818 .xword 13
34819 .xword 9
34820 .xword 8
34821 .xword 8
34822 .xword 6
34823 .xword 11
34824 .xword 12
34825 .xword 11
34826 .xword 11
34827 .xword 0
34828 .xword 7
34829 .xword 8
34830 .xword 13
34831 .xword 5
34832 .xword 10
34833 .xword 11
34834 .xword 13
34835 .xword 7
34836 .xword 10
34837 .xword 15
34838 .xword 4
34839 .xword 12
34840 .xword 8
34841 .xword 9
34842 .xword 8
34843 .xword 6
34844 .xword 2
34845 .xword 4
34846 .xword 14
34847 .xword 2
34848 .xword 0
34849 .xword 2
34850 .xword 9
34851 .xword 1
34852 .xword 9
34853 .xword 8
34854 .xword 7
34855 .xword 2
34856 .xword 1
34857 .xword 9
34858 .xword 0
34859 .xword 1
34860 .xword 14
34861 .xword 3
34862 .xword 3
34863 .xword 15
34864 .xword 2
34865 .xword 8
34866_t1_crc_src:
34867 .xword 0x0deefc1dd468cf4a
34868 .xword 0xfe1aa8d16f94c938
34869 .xword 0xdb056c46842a62c1
34870 .xword 0x969ac4a011c56403
34871 .xword 0x621eb537cb46b7bb
34872 .xword 0x1e51301be740ed2d
34873 .xword 0xce05f378119cfdb3
34874 .xword 0x0c51346b80dae398
34875 .xword 0xc0498c7e73c6edb6
34876 .xword 0x1895b0ef2f46a14b
34877 .xword 0x6eb335f9ca83fdde
34878 .xword 0x1b0e81b37f67d0b1
34879 .xword 0x9dd34a281b8dc055
34880 .xword 0x32fcf7e84bba74aa
34881 .xword 0xb6b48abd13c31635
34882 .xword 0x0bfd404738c6e1dd
34883 .xword 0xbde815ac7f8fc9a0
34884 .xword 0x137cdf64a14c07f1
34885 .xword 0x548dd2ac0ad7b886
34886 .xword 0xe2ca2cfe95228035
34887 .xword 0xa9749752e706d5d1
34888 .xword 0xd2427ce2a15b3a30
34889 .xword 0xcf32f1a722ce3e6e
34890 .xword 0x943dc83fb224d7d2
34891 .xword 0x79c037b6c29085ff
34892 .xword 0xa61d8e874969e51c
34893 .xword 0xa54837cdf39c4e8e
34894 .xword 0x6b47b56533707086
34895 .xword 0xeadb0cd62489d775
34896 .xword 0xc2977e57c97e5ece
34897 .xword 0xa26d09953ac3a158
34898 .xword 0x3cd93588d9601d02
34899 .xword 0x62c7d0d3cb2b4e0e
34900 .xword 0xe454c9147518d802
34901 .xword 0xcb3b95ed69b91be5
34902 .xword 0xf1409f8121cdaa9d
34903 .xword 0xfccf0aeb1f8b7e68
34904 .xword 0x5f35063b8bb57280
34905 .xword 0x6f7b2428a35fafa4
34906 .xword 0x7633bea0a23bde7e
34907 .xword 0xa9106fcfe8a0e59e
34908 .xword 0xc1335a90aeaa15fc
34909 .xword 0x375ca8597f132ac8
34910 .xword 0x6faa026ae57b930a
34911 .xword 0xae2e5bc77b6aeeb2
34912 .xword 0xdb8f470a50453c96
34913 .xword 0x913489e0977be1d5
34914 .xword 0xb7c31b278de27182
34915 .xword 0x1b1083812a8ffd09
34916 .xword 0x7736f06a0314257c
34917 .xword 0x42f1ebd0f467762b
34918 .xword 0x66b3d76ad492542d
34919 .xword 0x93803af0063d2991
34920 .xword 0xd619a02279d95a7f
34921 .xword 0x588eac04fa61e53e
34922 .xword 0x35b9ababe4dd01b4
34923 .xword 0xf977fb3db5fdefa9
34924 .xword 0x6fb2f9398640ae6e
34925 .xword 0xd0ef812476641f24
34926 .xword 0x7ec392dd60833bc9
34927 .xword 0xcd8be51ef85df9f5
34928 .xword 0xf430e53e56615c6c
34929 .xword 0xb47803ffa6a0930a
34930 .xword 0x9e10587d31605534
34931 .xword 0xd67524aaeeb5d154
34932 .xword 0x65c4e52937078b0f
34933 .xword 0x78d819f073b94f13
34934 .xword 0x155b58ad090f6bf4
34935 .xword 0x5ac53334444d408d
34936 .xword 0x08ba25378a1ee508
34937 .xword 0x2c0d3ebb1ff8ad4b
34938 .xword 0x9c910520e4135ea3
34939 .xword 0xfeeeb4f186cd3e06
34940 .xword 0x6f4c70b6aca94e57
34941 .xword 0x43c6af172c4bbd7d
34942 .xword 0x270e24a63f90739e
34943 .xword 0x0111c773aedccc67
34944 .xword 0x568ad6859d7eb015
34945 .xword 0x81a62043ad067af7
34946 .xword 0xa2e1af2587d25448
34947 .xword 0xf6abb94f061c61f0
34948 .xword 0x41a7e13301c7daec
34949 .xword 0xca58b701fa52a8d2
34950 .xword 0x3ab42d549374c851
34951 .xword 0x1f9f193d645e4250
34952 .xword 0x84d7e2ac78ac1146
34953 .xword 0x646508c1a902b8fb
34954 .xword 0x22999f676ae8f84c
34955 .xword 0x58a7d5a9f5ca99a4
34956 .xword 0x2e8eb9dd15dc7ca4
34957 .xword 0xb4fda7057319b5b7
34958 .xword 0x14514b1e73e14cd3
34959 .xword 0x575b380b757d4240
34960 .xword 0x9488455e530f6fd6
34961 .xword 0xf41d422d2faf5499
34962 .xword 0xa307624113d92dee
34963 .xword 0x845e2547679cd660
34964 .xword 0x5c8b8973617f1f8e
34965 .xword 0x8a6b6536c156c1a2
34966 .xword 0xebe768abe90f6559
34967 .xword 0x57e7f50086d06b40
34968 .xword 0x4abc49956cbc49d9
34969 .xword 0x42bb09473d442c15
34970 .xword 0xe39af6ed0ac3b316
34971 .xword 0x8dfbdf7ce62c19a2
34972 .xword 0x8acf9dfae71c50fb
34973 .xword 0x8e82f6381f83d47d
34974 .xword 0x435934169543c694
34975 .xword 0xd3239e9c499b867c
34976 .xword 0x2ad65a4defb9b180
34977 .xword 0x52428d6b2ec8aecc
34978 .xword 0xb308320ad7128311
34979 .xword 0x76de9e448adde0eb
34980 .xword 0xd13cd9b3517cc6ae
34981 .xword 0x19036a488a7f2e96
34982 .xword 0xe425783a59c1eb42
34983 .xword 0x1abe6a0d8b367a5a
34984 .xword 0x0d75756b70b37247
34985 .xword 0x1fa67ade236941ed
34986 .xword 0x4533daf560d1634f
34987 .xword 0x595838e0d080504e
34988 .xword 0x3856f317560a41f7
34989 .xword 0xeeb591678f391622
34990 .xword 0xc64635702fdcf448
34991 .xword 0xb5a42e619dec7ff7
34992 .xword 0x7dca0ca30571a366
34993 .xword 0xd49e14f16e6661ac
34994 .xword 0x3cdf3c0a3454980f
34995 .xword 0xe4ba03e855233311
34996 .xword 0xf8affe0c36893e18
34997 .xword 0x95ea0c027324454b
34998 .xword 0xf170cdf80ccbd2e3
34999 .xword 0x0606d277b629c66e
35000 .xword 0x80c65652072b9756
35001 .xword 0x8bb7af30064d892c
35002 .xword 0x7e6d2c9248d3b6eb
35003 .xword 0x5179b421f0eeaf22
35004 .xword 0xc5be235d023feff7
35005 .xword 0xf28488fcdc2bb45b
35006 .xword 0x68dca8a710a751bf
35007 .xword 0x874ddf6f41d7236b
35008 .xword 0x7dcd82fd78c926b8
35009 .xword 0x1fb9cae7d5418136
35010 .xword 0x724af391ddfefa18
35011 .xword 0xbd4cfc5138466ab8
35012 .xword 0xc5d705cf9ae5b980
35013 .xword 0xb3a72a770badc10f
35014 .xword 0x32807c359fe59bf7
35015 .xword 0x06a99bcd18c77d25
35016 .xword 0x6efe470561858fb2
35017 .xword 0xe61692a3f1fa3132
35018 .xword 0x0370147463cd13d5
35019 .xword 0x7cc04cd58cbd4dad
35020 .xword 0x9de53e0320ef0095
35021 .xword 0x59559b23e9530abc
35022 .xword 0x3c2b60e7b3e0cdc0
35023 .xword 0xe83e8045d5dc81b2
35024 .xword 0x3158dda208b41e6b
35025 .xword 0x96179175ad53123d
35026 .xword 0x505b649c1b669097
35027 .xword 0x62bee79ed6164c5f
35028 .xword 0xfad055e281d0bf51
35029 .xword 0x623b738754512512
35030 .xword 0xd900a5d143e588c4
35031 .xword 0x6d675971822484a1
35032 .xword 0x43d9009cf137f726
35033 .xword 0xabb426fcd5d8f39d
35034 .xword 0x8bc0c0277c1d02e0
35035 .xword 0x6037f3490ca16427
35036 .xword 0x1ef884277f65ebcb
35037 .xword 0x1d1532f0583b266e
35038 .xword 0x4c3cdf224b630fdc
35039 .xword 0x2e1100203c560467
35040 .xword 0x48757ce4ffac68d5
35041 .xword 0xa6553064c8d0d20a
35042 .xword 0x9d02a3ed5447fb5e
35043 .xword 0xecb4615c8ebeadbc
35044 .xword 0xfc9c75c2a6f6405a
35045 .xword 0x88e4c3a1c6cfa823
35046 .xword 0xb53252d82e5c4b88
35047 .xword 0x66fc0b38166688a1
35048 .xword 0xaabfdec5fe49c163
35049 .xword 0x36f2fe260108e09d
35050 .xword 0x996661ff7b6a1cf9
35051 .xword 0x6d5501a8e9179c05
35052 .xword 0x562df5e46de80045
35053 .xword 0x3b6d34a59966b391
35054 .xword 0x66ea8e80a6f7c595
35055 .xword 0x708e35b2946a6053
35056 .xword 0xff90a0ddc150b04a
35057 .xword 0x035f580b813615dc
35058 .xword 0x587140d66097e7e6
35059 .xword 0x9677c37b7a0eb646
35060 .xword 0x4ebe21e37a6712f3
35061 .xword 0xa681a68e830bccc7
35062 .xword 0x8a5a268208c1a622
35063 .xword 0xc4a89e421d50a742
35064 .xword 0x87ffbb88958612eb
35065 .xword 0xeb3b1444504a18a2
35066 .xword 0x25333369bb47f1c2
35067 .xword 0xe0f87e91eb63c0a1
35068 .xword 0x12bff3a72b2e1c07
35069 .xword 0x394d624e52fcce98
35070 .xword 0xaa88fd82c35d60c0
35071 .xword 0x8375b808fe985914
35072 .xword 0x9866dbf98f281b02
35073 .xword 0x55210e17bc9b958d
35074 .xword 0xb2cfb7327c22b370
35075 .xword 0x20b7a68381735faa
35076 .xword 0x3cfce58d7c4c3a14
35077 .xword 0xefa8c2705e06cf97
35078 .xword 0xbff2f2d3aee2f47f
35079 .xword 0xd53a692bed4114c4
35080 .xword 0x101d4908d873a4be
35081 .xword 0x76ea970105b3e4c6
35082 .xword 0x610345817cc0677d
35083 .xword 0x9bdd1c8a5979e513
35084 .xword 0x9e2bbb8403b5ec12
35085 .xword 0x7668c68aa423f19a
35086 .xword 0x49f760ae95c653a3
35087 .xword 0x485a6d2de82056d6
35088 .xword 0xada196731b479ba7
35089 .xword 0x327282133d6071d9
35090 .xword 0x8a9a45175be31b03
35091 .xword 0x007775a1f33369cf
35092 .xword 0x27c6343a82bf2b19
35093 .xword 0x14f82ce29c17229e
35094 .xword 0x767eec0ea2358560
35095 .xword 0x9b17ef0607eeb1ee
35096 .xword 0x9014695165a18364
35097 .xword 0x0006eb8edf4f12cc
35098 .xword 0x1b9ceeb263092782
35099 .xword 0x2f77443ca61698b4
35100 .xword 0xf6e65e95d8b02258
35101 .xword 0xf80ad356910b997a
35102 .xword 0x4280ac4395c8a0bb
35103 .xword 0x9b3d85fe7b2cc913
35104 .xword 0xb9d9835b3f6c4f6d
35105 .xword 0x113d4ef4af9746d2
35106 .xword 0x1d1bde5640de12aa
35107 .xword 0xe21213ce0e6313cc
35108 .xword 0xb582d5a914dcf198
35109 .xword 0xd66c3375883b3083
35110 .xword 0xecaace3a74506047
35111 .xword 0x2b8eb1a98f55ebc9
35112 .xword 0x9c2197258f883fd9
35113 .xword 0xf660b28115211d46
35114 .xword 0x6065172c969a1d33
35115 .xword 0x2b4903fb8d70e1a6
35116 .xword 0x15a18db4b0324829
35117 .xword 0x9cd01c8c194546f8
35118 .xword 0x375523b0fa988bbf
35119 .xword 0x0e2c38e5622bc341
35120 .xword 0x46bfedcb95b36104
35121 .xword 0x4a9a49f31609be6b
35122 .xword 0xb749783069d4fe4a
35123 .xword 0x1776cef939514f19
35124 .xword 0x83ef80964435748b
35125 .xword 0x9be52f3f621f91de
35126 .xword 0x163c822134df18e4
35127 .xword 0x19d9e4f07e2493f4
35128 .xword 0xd60cacf87c9baea3
35129 .xword 0x6b8ade307ff031cb
35130 .xword 0xdcf347950318baa3
35131 .xword 0x53d9855471a967f3
35132 .xword 0x94b84a060c68a1d8
35133 .xword 0x40b6fceb2bd492c6
35134 .xword 0xe77e0fa8a7973637
35135 .xword 0xbf681c6eab9700a4
35136 .xword 0x96ef2562dbc47b8e
35137 .xword 0x0d019a94da151bc0
35138 .xword 0xbc62febc593efcde
35139 .xword 0x51838803ecb7e843
35140 .xword 0x68430a3455c3d7f4
35141 .xword 0x04a3f92682c463cf
35142_t1_crc_dest:
35143 .xword 0xDEADBEEFDEADBEEF
35144 .xword 0xDEADBEEFDEADBEEF
35145 .xword 0xDEADBEEFDEADBEEF
35146 .xword 0xDEADBEEFDEADBEEF
35147 .xword 0xDEADBEEFDEADBEEF
35148 .xword 0xDEADBEEFDEADBEEF
35149 .xword 0xDEADBEEFDEADBEEF
35150 .xword 0xDEADBEEFDEADBEEF
35151 .xword 0xDEADBEEFDEADBEEF
35152 .xword 0xDEADBEEFDEADBEEF
35153 .xword 0xDEADBEEFDEADBEEF
35154 .xword 0xDEADBEEFDEADBEEF
35155 .xword 0xDEADBEEFDEADBEEF
35156 .xword 0xDEADBEEFDEADBEEF
35157 .xword 0xDEADBEEFDEADBEEF
35158 .xword 0xDEADBEEFDEADBEEF
35159 .xword 0xDEADBEEFDEADBEEF
35160 .xword 0xDEADBEEFDEADBEEF
35161 .xword 0xDEADBEEFDEADBEEF
35162 .xword 0xDEADBEEFDEADBEEF
35163 .xword 0xDEADBEEFDEADBEEF
35164 .xword 0xDEADBEEFDEADBEEF
35165 .xword 0xDEADBEEFDEADBEEF
35166 .xword 0xDEADBEEFDEADBEEF
35167 .xword 0xDEADBEEFDEADBEEF
35168 .xword 0xDEADBEEFDEADBEEF
35169 .xword 0xDEADBEEFDEADBEEF
35170 .xword 0xDEADBEEFDEADBEEF
35171 .xword 0xDEADBEEFDEADBEEF
35172 .xword 0xDEADBEEFDEADBEEF
35173 .xword 0xDEADBEEFDEADBEEF
35174 .xword 0xDEADBEEFDEADBEEF
35175 .xword 0xDEADBEEFDEADBEEF
35176 .xword 0xDEADBEEFDEADBEEF
35177 .xword 0xDEADBEEFDEADBEEF
35178 .xword 0xDEADBEEFDEADBEEF
35179 .xword 0xDEADBEEFDEADBEEF
35180 .xword 0xDEADBEEFDEADBEEF
35181 .xword 0xDEADBEEFDEADBEEF
35182 .xword 0xDEADBEEFDEADBEEF
35183 .xword 0xDEADBEEFDEADBEEF
35184 .xword 0xDEADBEEFDEADBEEF
35185 .xword 0xDEADBEEFDEADBEEF
35186 .xword 0xDEADBEEFDEADBEEF
35187 .xword 0xDEADBEEFDEADBEEF
35188 .xword 0xDEADBEEFDEADBEEF
35189 .xword 0xDEADBEEFDEADBEEF
35190 .xword 0xDEADBEEFDEADBEEF
35191 .xword 0xDEADBEEFDEADBEEF
35192 .xword 0xDEADBEEFDEADBEEF
35193 .xword 0xDEADBEEFDEADBEEF
35194 .xword 0xDEADBEEFDEADBEEF
35195 .xword 0xDEADBEEFDEADBEEF
35196 .xword 0xDEADBEEFDEADBEEF
35197 .xword 0xDEADBEEFDEADBEEF
35198 .xword 0xDEADBEEFDEADBEEF
35199 .xword 0xDEADBEEFDEADBEEF
35200 .xword 0xDEADBEEFDEADBEEF
35201 .xword 0xDEADBEEFDEADBEEF
35202 .xword 0xDEADBEEFDEADBEEF
35203 .xword 0xDEADBEEFDEADBEEF
35204 .xword 0xDEADBEEFDEADBEEF
35205 .xword 0xDEADBEEFDEADBEEF
35206 .xword 0xDEADBEEFDEADBEEF
35207 .xword 0xDEADBEEFDEADBEEF
35208 .xword 0xDEADBEEFDEADBEEF
35209 .xword 0xDEADBEEFDEADBEEF
35210 .xword 0xDEADBEEFDEADBEEF
35211 .xword 0xDEADBEEFDEADBEEF
35212 .xword 0xDEADBEEFDEADBEEF
35213 .xword 0xDEADBEEFDEADBEEF
35214 .xword 0xDEADBEEFDEADBEEF
35215 .xword 0xDEADBEEFDEADBEEF
35216 .xword 0xDEADBEEFDEADBEEF
35217 .xword 0xDEADBEEFDEADBEEF
35218 .xword 0xDEADBEEFDEADBEEF
35219 .xword 0xDEADBEEFDEADBEEF
35220 .xword 0xDEADBEEFDEADBEEF
35221 .xword 0xDEADBEEFDEADBEEF
35222 .xword 0xDEADBEEFDEADBEEF
35223 .xword 0xDEADBEEFDEADBEEF
35224 .xword 0xDEADBEEFDEADBEEF
35225 .xword 0xDEADBEEFDEADBEEF
35226 .xword 0xDEADBEEFDEADBEEF
35227 .xword 0xDEADBEEFDEADBEEF
35228 .xword 0xDEADBEEFDEADBEEF
35229 .xword 0xDEADBEEFDEADBEEF
35230 .xword 0xDEADBEEFDEADBEEF
35231 .xword 0xDEADBEEFDEADBEEF
35232 .xword 0xDEADBEEFDEADBEEF
35233 .xword 0xDEADBEEFDEADBEEF
35234 .xword 0xDEADBEEFDEADBEEF
35235 .xword 0xDEADBEEFDEADBEEF
35236 .xword 0xDEADBEEFDEADBEEF
35237 .xword 0xDEADBEEFDEADBEEF
35238 .xword 0xDEADBEEFDEADBEEF
35239 .xword 0xDEADBEEFDEADBEEF
35240 .xword 0xDEADBEEFDEADBEEF
35241 .xword 0xDEADBEEFDEADBEEF
35242 .xword 0xDEADBEEFDEADBEEF
35243 .xword 0xDEADBEEFDEADBEEF
35244 .xword 0xDEADBEEFDEADBEEF
35245 .xword 0xDEADBEEFDEADBEEF
35246 .xword 0xDEADBEEFDEADBEEF
35247 .xword 0xDEADBEEFDEADBEEF
35248 .xword 0xDEADBEEFDEADBEEF
35249 .xword 0xDEADBEEFDEADBEEF
35250 .xword 0xDEADBEEFDEADBEEF
35251 .xword 0xDEADBEEFDEADBEEF
35252 .xword 0xDEADBEEFDEADBEEF
35253 .xword 0xDEADBEEFDEADBEEF
35254 .xword 0xDEADBEEFDEADBEEF
35255 .xword 0xDEADBEEFDEADBEEF
35256 .xword 0xDEADBEEFDEADBEEF
35257 .xword 0xDEADBEEFDEADBEEF
35258 .xword 0xDEADBEEFDEADBEEF
35259 .xword 0xDEADBEEFDEADBEEF
35260 .xword 0xDEADBEEFDEADBEEF
35261 .xword 0xDEADBEEFDEADBEEF
35262 .xword 0xDEADBEEFDEADBEEF
35263 .xword 0xDEADBEEFDEADBEEF
35264 .xword 0xDEADBEEFDEADBEEF
35265 .xword 0xDEADBEEFDEADBEEF
35266 .xword 0xDEADBEEFDEADBEEF
35267 .xword 0xDEADBEEFDEADBEEF
35268 .xword 0xDEADBEEFDEADBEEF
35269 .xword 0xDEADBEEFDEADBEEF
35270 .xword 0xDEADBEEFDEADBEEF
35271 .xword 0xDEADBEEFDEADBEEF
35272 .xword 0xDEADBEEFDEADBEEF
35273 .xword 0xDEADBEEFDEADBEEF
35274 .xword 0xDEADBEEFDEADBEEF
35275 .xword 0xDEADBEEFDEADBEEF
35276 .xword 0xDEADBEEFDEADBEEF
35277 .xword 0xDEADBEEFDEADBEEF
35278 .xword 0xDEADBEEFDEADBEEF
35279 .xword 0xDEADBEEFDEADBEEF
35280 .xword 0xDEADBEEFDEADBEEF
35281 .xword 0xDEADBEEFDEADBEEF
35282 .xword 0xDEADBEEFDEADBEEF
35283 .xword 0xDEADBEEFDEADBEEF
35284 .xword 0xDEADBEEFDEADBEEF
35285 .xword 0xDEADBEEFDEADBEEF
35286 .xword 0xDEADBEEFDEADBEEF
35287 .xword 0xDEADBEEFDEADBEEF
35288 .xword 0xDEADBEEFDEADBEEF
35289 .xword 0xDEADBEEFDEADBEEF
35290 .xword 0xDEADBEEFDEADBEEF
35291 .xword 0xDEADBEEFDEADBEEF
35292 .xword 0xDEADBEEFDEADBEEF
35293 .xword 0xDEADBEEFDEADBEEF
35294 .xword 0xDEADBEEFDEADBEEF
35295 .xword 0xDEADBEEFDEADBEEF
35296 .xword 0xDEADBEEFDEADBEEF
35297 .xword 0xDEADBEEFDEADBEEF
35298 .xword 0xDEADBEEFDEADBEEF
35299 .xword 0xDEADBEEFDEADBEEF
35300 .xword 0xDEADBEEFDEADBEEF
35301 .xword 0xDEADBEEFDEADBEEF
35302 .xword 0xDEADBEEFDEADBEEF
35303 .xword 0xDEADBEEFDEADBEEF
35304 .xword 0xDEADBEEFDEADBEEF
35305 .xword 0xDEADBEEFDEADBEEF
35306 .xword 0xDEADBEEFDEADBEEF
35307 .xword 0xDEADBEEFDEADBEEF
35308 .xword 0xDEADBEEFDEADBEEF
35309 .xword 0xDEADBEEFDEADBEEF
35310 .xword 0xDEADBEEFDEADBEEF
35311 .xword 0xDEADBEEFDEADBEEF
35312 .xword 0xDEADBEEFDEADBEEF
35313 .xword 0xDEADBEEFDEADBEEF
35314 .xword 0xDEADBEEFDEADBEEF
35315 .xword 0xDEADBEEFDEADBEEF
35316 .xword 0xDEADBEEFDEADBEEF
35317 .xword 0xDEADBEEFDEADBEEF
35318 .xword 0xDEADBEEFDEADBEEF
35319 .xword 0xDEADBEEFDEADBEEF
35320 .xword 0xDEADBEEFDEADBEEF
35321 .xword 0xDEADBEEFDEADBEEF
35322 .xword 0xDEADBEEFDEADBEEF
35323 .xword 0xDEADBEEFDEADBEEF
35324 .xword 0xDEADBEEFDEADBEEF
35325 .xword 0xDEADBEEFDEADBEEF
35326 .xword 0xDEADBEEFDEADBEEF
35327 .xword 0xDEADBEEFDEADBEEF
35328 .xword 0xDEADBEEFDEADBEEF
35329 .xword 0xDEADBEEFDEADBEEF
35330 .xword 0xDEADBEEFDEADBEEF
35331 .xword 0xDEADBEEFDEADBEEF
35332 .xword 0xDEADBEEFDEADBEEF
35333 .xword 0xDEADBEEFDEADBEEF
35334 .xword 0xDEADBEEFDEADBEEF
35335 .xword 0xDEADBEEFDEADBEEF
35336 .xword 0xDEADBEEFDEADBEEF
35337 .xword 0xDEADBEEFDEADBEEF
35338 .xword 0xDEADBEEFDEADBEEF
35339 .xword 0xDEADBEEFDEADBEEF
35340 .xword 0xDEADBEEFDEADBEEF
35341 .xword 0xDEADBEEFDEADBEEF
35342 .xword 0xDEADBEEFDEADBEEF
35343 .xword 0xDEADBEEFDEADBEEF
35344 .xword 0xDEADBEEFDEADBEEF
35345 .xword 0xDEADBEEFDEADBEEF
35346 .xword 0xDEADBEEFDEADBEEF
35347 .xword 0xDEADBEEFDEADBEEF
35348 .xword 0xDEADBEEFDEADBEEF
35349 .xword 0xDEADBEEFDEADBEEF
35350 .xword 0xDEADBEEFDEADBEEF
35351 .xword 0xDEADBEEFDEADBEEF
35352 .xword 0xDEADBEEFDEADBEEF
35353 .xword 0xDEADBEEFDEADBEEF
35354 .xword 0xDEADBEEFDEADBEEF
35355 .xword 0xDEADBEEFDEADBEEF
35356 .xword 0xDEADBEEFDEADBEEF
35357 .xword 0xDEADBEEFDEADBEEF
35358 .xword 0xDEADBEEFDEADBEEF
35359 .xword 0xDEADBEEFDEADBEEF
35360 .xword 0xDEADBEEFDEADBEEF
35361 .xword 0xDEADBEEFDEADBEEF
35362 .xword 0xDEADBEEFDEADBEEF
35363 .xword 0xDEADBEEFDEADBEEF
35364 .xword 0xDEADBEEFDEADBEEF
35365 .xword 0xDEADBEEFDEADBEEF
35366 .xword 0xDEADBEEFDEADBEEF
35367 .xword 0xDEADBEEFDEADBEEF
35368 .xword 0xDEADBEEFDEADBEEF
35369 .xword 0xDEADBEEFDEADBEEF
35370 .xword 0xDEADBEEFDEADBEEF
35371 .xword 0xDEADBEEFDEADBEEF
35372 .xword 0xDEADBEEFDEADBEEF
35373 .xword 0xDEADBEEFDEADBEEF
35374 .xword 0xDEADBEEFDEADBEEF
35375 .xword 0xDEADBEEFDEADBEEF
35376 .xword 0xDEADBEEFDEADBEEF
35377 .xword 0xDEADBEEFDEADBEEF
35378 .xword 0xDEADBEEFDEADBEEF
35379 .xword 0xDEADBEEFDEADBEEF
35380 .xword 0xDEADBEEFDEADBEEF
35381 .xword 0xDEADBEEFDEADBEEF
35382 .xword 0xDEADBEEFDEADBEEF
35383 .xword 0xDEADBEEFDEADBEEF
35384 .xword 0xDEADBEEFDEADBEEF
35385 .xword 0xDEADBEEFDEADBEEF
35386 .xword 0xDEADBEEFDEADBEEF
35387 .xword 0xDEADBEEFDEADBEEF
35388 .xword 0xDEADBEEFDEADBEEF
35389 .xword 0xDEADBEEFDEADBEEF
35390 .xword 0xDEADBEEFDEADBEEF
35391 .xword 0xDEADBEEFDEADBEEF
35392 .xword 0xDEADBEEFDEADBEEF
35393 .xword 0xDEADBEEFDEADBEEF
35394 .xword 0xDEADBEEFDEADBEEF
35395 .xword 0xDEADBEEFDEADBEEF
35396 .xword 0xDEADBEEFDEADBEEF
35397 .xword 0xDEADBEEFDEADBEEF
35398 .xword 0xDEADBEEFDEADBEEF
35399 .xword 0xDEADBEEFDEADBEEF
35400 .xword 0xDEADBEEFDEADBEEF
35401 .xword 0xDEADBEEFDEADBEEF
35402 .xword 0xDEADBEEFDEADBEEF
35403 .xword 0xDEADBEEFDEADBEEF
35404 .xword 0xDEADBEEFDEADBEEF
35405 .xword 0xDEADBEEFDEADBEEF
35406 .xword 0xDEADBEEFDEADBEEF
35407 .xword 0xDEADBEEFDEADBEEF
35408 .xword 0xDEADBEEFDEADBEEF
35409 .xword 0xDEADBEEFDEADBEEF
35410 .xword 0xDEADBEEFDEADBEEF
35411 .xword 0xDEADBEEFDEADBEEF
35412 .xword 0xDEADBEEFDEADBEEF
35413 .xword 0xDEADBEEFDEADBEEF
35414 .xword 0xDEADBEEFDEADBEEF
35415 .xword 0xDEADBEEFDEADBEEF
35416 .xword 0xDEADBEEFDEADBEEF
35417 .xword 0xDEADBEEFDEADBEEF
35418_t1_crc_auth_key:
35419 .xword 0x6ba0e9a9b36eeb9f
35420 .xword 0x3b2039fe359e2db6
35421 .xword 0x0cf037f716c62789
35422 .xword 0x120f86be19072c73
35423 .xword 0x1ba5aced22a31885
35424 .xword 0x5dd1b35c54a98f9f
35425 .xword 0x50e6364634ed6f24
35426 .xword 0x7d47470db01f2924
35427 .xword 0xcb236944bf3aaa75
35428 .xword 0xa71151da02db581f
35429 .xword 0xf08cd7489a2baaef
35430 .xword 0xd5ff7d7bea600101
35431 .xword 0x92a6acb09b9e632d
35432 .xword 0x039df7c17861bb40
35433 .xword 0xc90748ed4c3fbb7a
35434 .xword 0xdcec5d7b04bddc5a
35435 .xword 0x52e6eefb1765b7dc
35436 .xword 0xb90a5425604cc867
35437 .xword 0x12f096b59b4c6e9f
35438 .xword 0x636e85d42af1f26a
35439 .xword 0xb6ff17965fbe6733
35440 .xword 0x16100eb1d0ff7c1d
35441 .xword 0x63d7edaab7151baa
35442_t1_crc_auth_iv:
35443 .xword 0xa7daff8f518f42ad
35444 .xword 0xa753a4fa7a10b408
35445 .xword 0x545d5f126558cbc0
35446 .xword 0x55bfc6137726425f
35447 .xword 0xcd891089f070adee
35448 .xword 0x17df7896c2f2f2e4
35449 .xword 0x6cec586434e6014b
35450 .xword 0x8625b96498cc5a43
35451 .xword 0x72a6c9c429dc0849
35452 .xword 0x9acf27b75832ae17
35453 .xword 0x06ce7db10b29246b
35454 .xword 0xd914e3832000953c
35455 .xword 0xc43f19dcd8f7a05d
35456 .xword 0x78782a846a40ec17
35457 .xword 0x3ebd356a864b788d
35458 .xword 0xc962de39be91e98a
35459 .xword 0x45cbd0cb71bbfe6c
35460 .xword 0x3af68cc2eb3159b4
35461 .xword 0x6d42c589b2e40816
35462 .xword 0xd1f4c92cb50b6709
35463 .xword 0x96a5ed5225f5ddcf
35464 .xword 0x0f5430ac05541f75
35465 .xword 0x3c99e65d811c41ca
35466_t1_crc_fas_result:
35467 .xword 0xDEADBEEFDEADBEEF
35468 .xword 0xDEADBEEFDEADBEEF
35469 .xword 0xDEADBEEFDEADBEEF
35470 .xword 0xDEADBEEFDEADBEEF
35471 .xword 0xDEADBEEFDEADBEEF
35472 .xword 0xDEADBEEFDEADBEEF
35473 .xword 0xDEADBEEFDEADBEEF
35474 .xword 0xDEADBEEFDEADBEEF
35475 .xword 0xDEADBEEFDEADBEEF
35476 .xword 0xDEADBEEFDEADBEEF
35477 .xword 0xDEADBEEFDEADBEEF
35478 .xword 0xDEADBEEFDEADBEEF
35479 .xword 0xDEADBEEFDEADBEEF
35480 .xword 0xDEADBEEFDEADBEEF
35481 .xword 0xDEADBEEFDEADBEEF
35482 .xword 0xDEADBEEFDEADBEEF
35483 .xword 0xDEADBEEFDEADBEEF
35484 .xword 0xDEADBEEFDEADBEEF
35485 .xword 0xDEADBEEFDEADBEEF
35486 .xword 0xDEADBEEFDEADBEEF
35487 .xword 0xDEADBEEFDEADBEEF
35488 .xword 0xDEADBEEFDEADBEEF
35489 .xword 0xDEADBEEFDEADBEEF
35490_t1_hash_key_array:
35491 .xword 0x00bdbc657248f41f
35492 .xword 0x7d1b7445ed2226a9
35493 .xword 0x55049fcd44566a03
35494 .xword 0xb283129869cd41a8
35495 .xword 0xdaa9dd7a0fb0626c
35496 .xword 0xd12168f37c420569
35497 .xword 0x5229b5a7b8db9de6
35498 .xword 0x193af632dd7c6d38
35499 .xword 0x18ac807078d4a7f7
35500 .xword 0x5c339a5a7b008c6d
35501 .xword 0xc76de7fb2231980d
35502 .xword 0x18139f4682aca997
35503 .xword 0xa576440ffc51e8ff
35504 .xword 0x653455076b0c85bf
35505 .xword 0x6006d696f2bc25ef
35506 .xword 0xe9bec437d7187295
35507 .xword 0x6434889c1491378b
35508 .xword 0xa4004b76d72b1053
35509 .xword 0x4576c2d9d133c5a8
35510 .xword 0x4bbee58466c59c9f
35511 .xword 0xe2ffa17aa225a902
35512 .xword 0x2bbd5b432b4efdb9
35513 .xword 0xbaac239fae7753e3
35514 .xword 0x61541fd8ac57cdba
35515 .xword 0xd7fa06746d6fe154
35516 .xword 0xe223756c6e1b3d2f
35517 .xword 0x0e1ff314cc7d2c51
35518 .xword 0x0a06d8a9ffeb9699
35519 .xword 0x9f4a8cdac6db2d4e
35520 .xword 0x029a5522ab47980d
35521 .xword 0xcfe53e870bd0cc68
35522 .xword 0x8992ede83635ce5d
35523 .xword 0x961d712a6910188a
35524 .xword 0x8f474458633c308e
35525 .xword 0xb232e32609301170
35526 .xword 0x745d7f28f7ca130a
35527 .xword 0xbd6bd26c3eea127b
35528 .xword 0x32615e1d44ef87e8
35529 .xword 0xbe97660df17c9cdd
35530 .xword 0xcae7fdd16bd6dad4
35531 .xword 0x7138e8b51f8c990e
35532 .xword 0x5f798c33e43b90ea
35533 .xword 0xd1c5986ee534c7b9
35534 .xword 0xed1100778ce1db2c
35535 .xword 0xb8b94cb16b244d5d
35536 .xword 0x5c11fbccd81a896d
35537 .xword 0xfea0024358cd1668
35538 .xword 0x6aa982b343e41fc6
35539 .xword 0x1408bed5184d2b1e
35540 .xword 0x600fac1f266eabc0
35541 .xword 0x97ec6130377b9f35
35542_t1_hash_iv_array:
35543 .xword 0xdbcd24c5c6f85f73
35544 .xword 0x7464b603e86e2aab
35545 .xword 0x1cacff19e3fb2754
35546 .xword 0xc4ea99ccd2d34587
35547 .xword 0xe0deedaffa229e28
35548 .xword 0xbb775a8b2f5a3565
35549 .xword 0x5eed0679b053b796
35550 .xword 0xd0c839e87ef185e6
35551 .xword 0xd20361cbf1d99bb2
35552 .xword 0x134f1ebc41dc61ed
35553 .xword 0x7e5eb57f23366303
35554 .xword 0x4d6db8ee9823bfd6
35555 .xword 0x8888674ac36157bd
35556 .xword 0xc580c16f5c64d2fe
35557 .xword 0x2791e2050cd0d81f
35558 .xword 0x3d2bfc0299135dd2
35559 .xword 0x3cd65cb62e79a5b5
35560 .xword 0x94ab003c64906219
35561 .xword 0xf062bbb50487a0c2
35562 .xword 0x82546a3cad5b27c9
35563 .xword 0xc3cfd77cf143ffa1
35564 .xword 0xcd37cc1058270dcc
35565 .xword 0x07deefa1d6cfdc83
35566 .xword 0xdd9dd753115792ea
35567 .xword 0xe4a10a417ed5e267
35568 .xword 0x7f12678f9c60dd43
35569 .xword 0xd01d776eb1a3b463
35570 .xword 0xec583a6876824e87
35571 .xword 0x7cded487803dc757
35572 .xword 0xa949d5674fbe6e36
35573 .xword 0x2264c5a28bccaa38
35574 .xword 0xd8408600046ee8bb
35575 .xword 0xb72ef76eb57fabe4
35576 .xword 0xb6783a502982d8c4
35577 .xword 0xea04573d5000da57
35578 .xword 0x61e3d6f9dd1a2f7f
35579 .xword 0x4f248ec063c3905d
35580 .xword 0x4be82a3e99376a68
35581 .xword 0xfe8e31459406e22c
35582 .xword 0x9057b09e1e28d7e3
35583 .xword 0x18af8be82e9cfba2
35584 .xword 0x833f3e04904c4c01
35585 .xword 0xe93b1f0be60c14e4
35586 .xword 0x11136ad53386aeb7
35587 .xword 0x6f0489dd8df5f144
35588_t1_hash_alignment_array:
35589 .xword 8
35590 .xword 3
35591 .xword 4
35592 .xword 2
35593 .xword 8
35594 .xword 12
35595 .xword 15
35596 .xword 9
35597 .xword 14
35598 .xword 2
35599 .xword 15
35600 .xword 8
35601 .xword 13
35602 .xword 0
35603 .xword 4
35604 .xword 4
35605 .xword 1
35606 .xword 6
35607 .xword 7
35608 .xword 8
35609 .xword 15
35610 .xword 12
35611 .xword 14
35612 .xword 14
35613 .xword 8
35614 .xword 1
35615 .xword 14
35616 .xword 3
35617 .xword 2
35618 .xword 4
35619 .xword 3
35620 .xword 13
35621 .xword 6
35622 .xword 12
35623 .xword 2
35624 .xword 11
35625 .xword 3
35626 .xword 14
35627 .xword 3
35628 .xword 2
35629 .xword 8
35630 .xword 15
35631 .xword 1
35632 .xword 3
35633 .xword 3
35634 .xword 9
35635 .xword 8
35636 .xword 13
35637 .xword 13
35638 .xword 14
35639 .xword 8
35640 .xword 3
35641 .xword 3
35642 .xword 11
35643 .xword 8
35644 .xword 14
35645 .xword 2
35646 .xword 6
35647 .xword 8
35648 .xword 3
35649 .xword 12
35650 .xword 11
35651 .xword 13
35652 .xword 14
35653 .xword 4
35654 .xword 12
35655 .xword 5
35656 .xword 5
35657 .xword 2
35658 .xword 1
35659 .xword 0
35660 .xword 14
35661 .xword 4
35662 .xword 5
35663 .xword 7
35664 .xword 14
35665 .xword 9
35666 .xword 7
35667 .xword 13
35668 .xword 2
35669 .xword 1
35670 .xword 8
35671 .xword 1
35672 .xword 0
35673 .xword 6
35674 .xword 10
35675 .xword 10
35676 .xword 8
35677 .xword 5
35678 .xword 0
35679 .xword 14
35680 .xword 8
35681 .xword 3
35682 .xword 15
35683 .xword 13
35684 .xword 8
35685 .xword 15
35686 .xword 13
35687 .xword 11
35688 .xword 12
35689 .xword 11
35690 .xword 1
35691 .xword 15
35692 .xword 3
35693 .xword 10
35694_t1_hash_src:
35695 .xword 0xe75acb1e457c64b5
35696 .xword 0x68c0065e9a3c0734
35697 .xword 0x821fe3cbe8d82e9c
35698 .xword 0x5084423898157518
35699 .xword 0xee2dc1b239dfcf2d
35700 .xword 0x79dba1f77d88226c
35701 .xword 0x8733375f97c577dc
35702 .xword 0x74cc6f685751e18c
35703 .xword 0x6039170723260055
35704 .xword 0x4d256c9a31f48148
35705 .xword 0x4b419906815fc1bc
35706 .xword 0xe59c1d2efff67ead
35707 .xword 0xdfd8483b9b7f2b13
35708 .xword 0x957c5868efbb058c
35709 .xword 0x5c3e0600a96fdb95
35710 .xword 0x22470f6ee7d3ed04
35711 .xword 0x476ea9546fc5d92d
35712 .xword 0x5ee395ffc08bd670
35713 .xword 0x8ef7251fd6d13a15
35714 .xword 0x57e0e73557f24272
35715 .xword 0xd6a0e4bf2ed85820
35716 .xword 0x9c04bcbb74a5584d
35717 .xword 0xc8a01fb357dd27e4
35718 .xword 0xddbf9f21fed1a25a
35719 .xword 0xd1647661b5416868
35720 .xword 0x718cef8cc0b3f246
35721 .xword 0x06dd6e9e8bf845e9
35722 .xword 0x1ef84a5f13ed72ea
35723 .xword 0xbfd519790c5db95a
35724 .xword 0x13e2161e5ee6ce57
35725 .xword 0xc3a057c955e51707
35726 .xword 0x1583fab2f58262ce
35727 .xword 0xaca8a7afdfcfdfea
35728 .xword 0x704f98639243bc50
35729 .xword 0xaadca14a6fd1c8ab
35730 .xword 0x3077a86b147acddd
35731 .xword 0x2b50ef821cf443f8
35732 .xword 0x0277fc40e123ef23
35733 .xword 0x614c0a2c58968ed8
35734 .xword 0x9fd78bbe49598c34
35735 .xword 0xda2a40a7580bf083
35736 .xword 0x05da8bee0580048f
35737 .xword 0xf379fe2d5cb7b773
35738 .xword 0x712201bcd4cc13a7
35739 .xword 0xd7c030eb3252c048
35740 .xword 0xd906e0b868bbfb22
35741 .xword 0xdac4ba10359c6363
35742 .xword 0x29f2c0464c8a98f5
35743 .xword 0x02b206ad36d05ad7
35744 .xword 0x74064cd56e1e0975
35745 .xword 0x16a7099623c6f516
35746 .xword 0x643bee48589f26e6
35747 .xword 0x4cf3783eb83d553d
35748 .xword 0x69c484369343b79e
35749 .xword 0x745eeb13431118a5
35750 .xword 0x0702c7ff288eb502
35751 .xword 0x376216a2ed8c1d4a
35752 .xword 0xf5520ac906960247
35753 .xword 0x020e64c81a2fdd83
35754 .xword 0x3613a1781fc20421
35755 .xword 0x4be87334a77f7890
35756 .xword 0xc3f8e4d5a978e158
35757 .xword 0xb3e04bd673bfbc80
35758 .xword 0x3890d15ac665be19
35759 .xword 0xe5a3245dac5b86a3
35760 .xword 0x58d62e25391b6a79
35761 .xword 0x9912fc3fc08eff6a
35762 .xword 0x89dde0a63d2fbd1b
35763 .xword 0xfccaf5c8ef92b425
35764 .xword 0x2475b86b7bbd8671
35765 .xword 0xb36a6570210e05b8
35766 .xword 0x1cddc6efc556045b
35767 .xword 0x37d3df1cd395dd88
35768 .xword 0xd6fa452ee9ca671e
35769 .xword 0xba68955dfa250584
35770 .xword 0xe6846f8b916d5cb2
35771 .xword 0xa8ef4111a4c0a519
35772 .xword 0xf9036afdc731b5a1
35773 .xword 0x1974910e9922e31a
35774 .xword 0xd2e11faef46c2631
35775 .xword 0x724724e6fa9b61a0
35776 .xword 0x6cefa3bcc60b134e
35777 .xword 0x8ded9563a8817ff6
35778 .xword 0xa9a073d8e6ffbad7
35779 .xword 0x3944c7771f6d9ddd
35780 .xword 0x955b514bb28cecf0
35781 .xword 0xe9de9b8a4903faf4
35782 .xword 0x38e68769b11e3e06
35783 .xword 0x4399c1fdab4e53f5
35784 .xword 0x92547c8bcf9c64db
35785 .xword 0x8f5915efa2e02dfe
35786 .xword 0xc8186f1fba6112ef
35787 .xword 0x86926158b2dd7927
35788 .xword 0x13a2722df5df8f36
35789 .xword 0x40e0c9155922df5c
35790 .xword 0xe17d3b6a976e6fe2
35791 .xword 0x51441999e76b9c29
35792 .xword 0x95f7e01cf6121117
35793 .xword 0x5a15d2ea6f44174d
35794 .xword 0x2e3537dcd3695455
35795 .xword 0xc3245a2f0f683a63
35796 .xword 0x4570dab880784cbf
35797 .xword 0x6555e556216fd6ef
35798 .xword 0x4c90bb12fc7f56b8
35799 .xword 0xb8c5ed4446a9efaa
35800 .xword 0x4ba2ac335f6a0804
35801 .xword 0x80dbce26ea684056
35802 .xword 0x98f2ee95edba4843
35803 .xword 0x954e8deb8d6ad5b0
35804 .xword 0x812d5d2590ac27c7
35805 .xword 0x9c55d02a05ab4be4
35806 .xword 0xf7e7b1a41d686c20
35807 .xword 0x1b30bc3cb02ee943
35808 .xword 0x17f48c36b77eb8b7
35809 .xword 0x8fb2b1f1d7565f6e
35810 .xword 0x3eb7e10eeb3873a6
35811 .xword 0x6a40b441b7364f12
35812 .xword 0x2bd28c648da61cd5
35813 .xword 0x1b310b60ca3161a7
35814 .xword 0xe0595cf878ea7f29
35815 .xword 0xf9150b93f03d04e5
35816 .xword 0x06937b2b9c16ee21
35817 .xword 0x7f6b56ab3c60155b
35818 .xword 0x78b8211439bed206
35819 .xword 0x1ce2ef4d57817a72
35820 .xword 0xe7b40990cc4107ae
35821 .xword 0x6405810bafab8c5b
35822 .xword 0xf27508a0f61bf6d7
35823 .xword 0x497a4f4a6b7f819d
35824 .xword 0x66c8aea4a1cbeb5e
35825 .xword 0x6e8121ddd0f10805
35826 .xword 0x2f2b60b2f9e7efbc
35827 .xword 0x309287146633c07d
35828 .xword 0xdcd5274eba882478
35829 .xword 0x5b4bb5d2f2a8e1b5
35830 .xword 0xbcd4f549c24b4f10
35831 .xword 0xbd8adccf1ede4dc2
35832 .xword 0xf3faabb53754c26b
35833 .xword 0xc3cfd4fb4a6d43c4
35834 .xword 0xb2a31a47fc70a76c
35835 .xword 0x2be26dbf137d9945
35836 .xword 0x96efaffe552cd693
35837 .xword 0x5c13085151cbce21
35838 .xword 0x58497c0900ebdbc5
35839 .xword 0x5a43b3cd98d8fafa
35840 .xword 0xf7faf5bed727b32f
35841 .xword 0xd485b0eb05d28678
35842 .xword 0x1714b3441b70e5ed
35843 .xword 0xed3fbad39cd667cd
35844 .xword 0x41ed44fcafe1a482
35845 .xword 0xbbf4ad68d294a69c
35846 .xword 0xa59c4258f09fba60
35847 .xword 0x5573c655448669a1
35848 .xword 0x37f81537249745ba
35849 .xword 0x07092855442b53a1
35850 .xword 0x8173ae6c398a8de2
35851 .xword 0x0eb1fb0698fb942c
35852 .xword 0xf8f5a3144121abbd
35853 .xword 0x262508fa86245f7a
35854 .xword 0xe6d573448452de23
35855 .xword 0xfd81bfb2f88d6ae0
35856 .xword 0x1853535c85e4f544
35857 .xword 0x7a64a4c64af5785d
35858 .xword 0x1f0d35deb0da5994
35859 .xword 0x1824b23939871add
35860 .xword 0x118cdc0da0fbeebb
35861 .xword 0xe0d5e2ec98c15407
35862 .xword 0x90ca9d78ea55f346
35863 .xword 0x4625c8123964a982
35864 .xword 0xb5a7afa1f0e42a25
35865 .xword 0x8a7784fa119b8522
35866 .xword 0x0d400dc2eaa4545c
35867 .xword 0xe3bd584075cd6481
35868 .xword 0x66cc7755cefe2342
35869 .xword 0x791377ee6d0c5f82
35870 .xword 0x5db60e8ec62b248b
35871 .xword 0x65a4b62f874f405f
35872 .xword 0x1cdae1df84247ae7
35873 .xword 0xdc6f12c2e19fed7b
35874 .xword 0x2b583304e32b591d
35875 .xword 0x47d6a04c7fb664a5
35876 .xword 0x7c485b7c33171f20
35877 .xword 0x8ccad4f0d561cefa
35878 .xword 0xfc5cf6839a24394f
35879 .xword 0x560a1128aaabc2bc
35880 .xword 0x2a69f4838e4b5fa5
35881 .xword 0xe59d12f583368a3e
35882 .xword 0x51c74f3ec24d1ee3
35883 .xword 0x3f5f2d072b7c7850
35884 .xword 0xc086ee22bcf4bb98
35885 .xword 0xe520a223be447233
35886 .xword 0xfe32f4e86dc6d0a1
35887 .xword 0x8b44553c135bc5ff
35888 .xword 0x6e9dae9b84b497b0
35889 .xword 0x125ae188ce11030c
35890 .xword 0xa18603224a428453
35891 .xword 0xf11c3bb64df746ab
35892 .xword 0x60f6b7bac40a5e12
35893 .xword 0x93b1502e6e3bb101
35894 .xword 0x6b6114fc9c0d4443
35895 .xword 0xf44851b7015d610c
35896 .xword 0xe451781a62244a95
35897 .xword 0x826da6b6fb44727e
35898 .xword 0xed387f2d9de3c805
35899 .xword 0x349b15ff97024b38
35900 .xword 0xe723a057811256fb
35901 .xword 0x86c5668753d7b8c7
35902 .xword 0xf5a588b17617a680
35903 .xword 0xbfbb070099cbf369
35904 .xword 0x49fa44b9b3dfb2be
35905 .xword 0x01b4ffa7483b30b2
35906 .xword 0x84db4733e6cc54ba
35907 .xword 0x54523e0571f1bc29
35908 .xword 0xdf4c7223ac124e69
35909 .xword 0x458ec06a5ecd9990
35910 .xword 0x2c7ba80bc9b4ef2e
35911 .xword 0x955199806ab38741
35912 .xword 0xc37e0d94320e1744
35913 .xword 0x5b2bb1e5b41fbaae
35914 .xword 0xee1b4198173cfdfc
35915 .xword 0x044bff7128299247
35916 .xword 0x99a7f277b4217c37
35917 .xword 0x65a417023242f747
35918 .xword 0xf61d08ceb3dd06be
35919 .xword 0xb539b9d8a6d77c5b
35920 .xword 0xdf585f0556ac52f5
35921 .xword 0x9ad67b1c46ae006f
35922 .xword 0xac8fe723f09ae62d
35923 .xword 0x618f2ca87f608b4b
35924 .xword 0x61d4af58e344d4b3
35925 .xword 0xf499c2449aabd748
35926 .xword 0x5426f4b7b2744f4e
35927 .xword 0xd9d8bfb1d940d725
35928 .xword 0xfa807ce0c7f82393
35929 .xword 0x2b95225dbc17d795
35930 .xword 0xc2a050d7094d2c4d
35931 .xword 0x910f49affc1700bc
35932 .xword 0xe9c32e73c9bb3674
35933 .xword 0x06dd22a177cf72b7
35934 .xword 0x2af03949183758db
35935 .xword 0xa15939ef8214ee6d
35936 .xword 0x18d7e9993f94c097
35937 .xword 0x8244cec9af7f06a3
35938 .xword 0x3bbab39c44397655
35939 .xword 0xd4b0749c448db910
35940 .xword 0x030250e088823b53
35941 .xword 0x98c82301cb27661f
35942 .xword 0x1562bc1c8014ff67
35943 .xword 0x17588f24bd7691c6
35944 .xword 0xb757661f6fd018d3
35945 .xword 0x05b28002b5cd654d
35946 .xword 0xa5700a174b1450a3
35947 .xword 0x7a76f9367b818aaf
35948 .xword 0xcfc8d99a54f066e6
35949 .xword 0x5fdd21a0eafdb950
35950 .xword 0x423eb43aec113381
35951 .xword 0x4c963b1c459dca1e
35952 .xword 0xe77bca8b514106c7
35953 .xword 0xb059f0870a4edf74
35954 .xword 0x67c38e16e3ab10bf
35955 .xword 0x747cd15926594a8f
35956 .xword 0xc69400fce4ffedf7
35957 .xword 0x29a41ceaee2a40d5
35958 .xword 0xf8b0278cbf3a0832
35959 .xword 0x2f35975f3118f252
35960 .xword 0x3ad036299043bfd7
35961 .xword 0x7c763a728e7d5de9
35962 .xword 0xf61476b4e60bd1ed
35963 .xword 0xec2d234589d74c53
35964 .xword 0x337b9e406c452d6b
35965 .xword 0xcda03f5ef19a1764
35966 .xword 0xf3fdd8b53e7e7000
35967 .xword 0xf05df25bdf4f22c9
35968 .xword 0xbdd50af340f40bbc
35969 .xword 0x71d6a11ea53b1852
35970_t1_hash_dest:
35971 .xword 0xDEADBEEFDEADBEEF
35972 .xword 0xDEADBEEFDEADBEEF
35973 .xword 0xDEADBEEFDEADBEEF
35974 .xword 0xDEADBEEFDEADBEEF
35975 .xword 0xDEADBEEFDEADBEEF
35976 .xword 0xDEADBEEFDEADBEEF
35977 .xword 0xDEADBEEFDEADBEEF
35978 .xword 0xDEADBEEFDEADBEEF
35979 .xword 0xDEADBEEFDEADBEEF
35980 .xword 0xDEADBEEFDEADBEEF
35981 .xword 0xDEADBEEFDEADBEEF
35982 .xword 0xDEADBEEFDEADBEEF
35983 .xword 0xDEADBEEFDEADBEEF
35984 .xword 0xDEADBEEFDEADBEEF
35985 .xword 0xDEADBEEFDEADBEEF
35986 .xword 0xDEADBEEFDEADBEEF
35987 .xword 0xDEADBEEFDEADBEEF
35988 .xword 0xDEADBEEFDEADBEEF
35989 .xword 0xDEADBEEFDEADBEEF
35990 .xword 0xDEADBEEFDEADBEEF
35991 .xword 0xDEADBEEFDEADBEEF
35992 .xword 0xDEADBEEFDEADBEEF
35993 .xword 0xDEADBEEFDEADBEEF
35994 .xword 0xDEADBEEFDEADBEEF
35995 .xword 0xDEADBEEFDEADBEEF
35996 .xword 0xDEADBEEFDEADBEEF
35997 .xword 0xDEADBEEFDEADBEEF
35998 .xword 0xDEADBEEFDEADBEEF
35999 .xword 0xDEADBEEFDEADBEEF
36000 .xword 0xDEADBEEFDEADBEEF
36001 .xword 0xDEADBEEFDEADBEEF
36002 .xword 0xDEADBEEFDEADBEEF
36003 .xword 0xDEADBEEFDEADBEEF
36004 .xword 0xDEADBEEFDEADBEEF
36005 .xword 0xDEADBEEFDEADBEEF
36006 .xword 0xDEADBEEFDEADBEEF
36007 .xword 0xDEADBEEFDEADBEEF
36008 .xword 0xDEADBEEFDEADBEEF
36009 .xword 0xDEADBEEFDEADBEEF
36010 .xword 0xDEADBEEFDEADBEEF
36011 .xword 0xDEADBEEFDEADBEEF
36012 .xword 0xDEADBEEFDEADBEEF
36013 .xword 0xDEADBEEFDEADBEEF
36014 .xword 0xDEADBEEFDEADBEEF
36015 .xword 0xDEADBEEFDEADBEEF
36016 .xword 0xDEADBEEFDEADBEEF
36017 .xword 0xDEADBEEFDEADBEEF
36018 .xword 0xDEADBEEFDEADBEEF
36019 .xword 0xDEADBEEFDEADBEEF
36020 .xword 0xDEADBEEFDEADBEEF
36021 .xword 0xDEADBEEFDEADBEEF
36022 .xword 0xDEADBEEFDEADBEEF
36023 .xword 0xDEADBEEFDEADBEEF
36024 .xword 0xDEADBEEFDEADBEEF
36025 .xword 0xDEADBEEFDEADBEEF
36026 .xword 0xDEADBEEFDEADBEEF
36027 .xword 0xDEADBEEFDEADBEEF
36028 .xword 0xDEADBEEFDEADBEEF
36029 .xword 0xDEADBEEFDEADBEEF
36030 .xword 0xDEADBEEFDEADBEEF
36031 .xword 0xDEADBEEFDEADBEEF
36032 .xword 0xDEADBEEFDEADBEEF
36033 .xword 0xDEADBEEFDEADBEEF
36034 .xword 0xDEADBEEFDEADBEEF
36035 .xword 0xDEADBEEFDEADBEEF
36036 .xword 0xDEADBEEFDEADBEEF
36037 .xword 0xDEADBEEFDEADBEEF
36038 .xword 0xDEADBEEFDEADBEEF
36039 .xword 0xDEADBEEFDEADBEEF
36040 .xword 0xDEADBEEFDEADBEEF
36041 .xword 0xDEADBEEFDEADBEEF
36042 .xword 0xDEADBEEFDEADBEEF
36043 .xword 0xDEADBEEFDEADBEEF
36044 .xword 0xDEADBEEFDEADBEEF
36045 .xword 0xDEADBEEFDEADBEEF
36046 .xword 0xDEADBEEFDEADBEEF
36047 .xword 0xDEADBEEFDEADBEEF
36048 .xword 0xDEADBEEFDEADBEEF
36049 .xword 0xDEADBEEFDEADBEEF
36050 .xword 0xDEADBEEFDEADBEEF
36051 .xword 0xDEADBEEFDEADBEEF
36052 .xword 0xDEADBEEFDEADBEEF
36053 .xword 0xDEADBEEFDEADBEEF
36054 .xword 0xDEADBEEFDEADBEEF
36055 .xword 0xDEADBEEFDEADBEEF
36056 .xword 0xDEADBEEFDEADBEEF
36057 .xword 0xDEADBEEFDEADBEEF
36058 .xword 0xDEADBEEFDEADBEEF
36059 .xword 0xDEADBEEFDEADBEEF
36060 .xword 0xDEADBEEFDEADBEEF
36061 .xword 0xDEADBEEFDEADBEEF
36062 .xword 0xDEADBEEFDEADBEEF
36063 .xword 0xDEADBEEFDEADBEEF
36064 .xword 0xDEADBEEFDEADBEEF
36065 .xword 0xDEADBEEFDEADBEEF
36066 .xword 0xDEADBEEFDEADBEEF
36067 .xword 0xDEADBEEFDEADBEEF
36068 .xword 0xDEADBEEFDEADBEEF
36069 .xword 0xDEADBEEFDEADBEEF
36070 .xword 0xDEADBEEFDEADBEEF
36071 .xword 0xDEADBEEFDEADBEEF
36072 .xword 0xDEADBEEFDEADBEEF
36073 .xword 0xDEADBEEFDEADBEEF
36074 .xword 0xDEADBEEFDEADBEEF
36075 .xword 0xDEADBEEFDEADBEEF
36076 .xword 0xDEADBEEFDEADBEEF
36077 .xword 0xDEADBEEFDEADBEEF
36078 .xword 0xDEADBEEFDEADBEEF
36079 .xword 0xDEADBEEFDEADBEEF
36080 .xword 0xDEADBEEFDEADBEEF
36081 .xword 0xDEADBEEFDEADBEEF
36082 .xword 0xDEADBEEFDEADBEEF
36083 .xword 0xDEADBEEFDEADBEEF
36084 .xword 0xDEADBEEFDEADBEEF
36085 .xword 0xDEADBEEFDEADBEEF
36086 .xword 0xDEADBEEFDEADBEEF
36087 .xword 0xDEADBEEFDEADBEEF
36088 .xword 0xDEADBEEFDEADBEEF
36089 .xword 0xDEADBEEFDEADBEEF
36090 .xword 0xDEADBEEFDEADBEEF
36091 .xword 0xDEADBEEFDEADBEEF
36092 .xword 0xDEADBEEFDEADBEEF
36093 .xword 0xDEADBEEFDEADBEEF
36094 .xword 0xDEADBEEFDEADBEEF
36095 .xword 0xDEADBEEFDEADBEEF
36096 .xword 0xDEADBEEFDEADBEEF
36097 .xword 0xDEADBEEFDEADBEEF
36098 .xword 0xDEADBEEFDEADBEEF
36099 .xword 0xDEADBEEFDEADBEEF
36100 .xword 0xDEADBEEFDEADBEEF
36101 .xword 0xDEADBEEFDEADBEEF
36102 .xword 0xDEADBEEFDEADBEEF
36103 .xword 0xDEADBEEFDEADBEEF
36104 .xword 0xDEADBEEFDEADBEEF
36105 .xword 0xDEADBEEFDEADBEEF
36106 .xword 0xDEADBEEFDEADBEEF
36107 .xword 0xDEADBEEFDEADBEEF
36108 .xword 0xDEADBEEFDEADBEEF
36109 .xword 0xDEADBEEFDEADBEEF
36110 .xword 0xDEADBEEFDEADBEEF
36111 .xword 0xDEADBEEFDEADBEEF
36112 .xword 0xDEADBEEFDEADBEEF
36113 .xword 0xDEADBEEFDEADBEEF
36114 .xword 0xDEADBEEFDEADBEEF
36115 .xword 0xDEADBEEFDEADBEEF
36116 .xword 0xDEADBEEFDEADBEEF
36117 .xword 0xDEADBEEFDEADBEEF
36118 .xword 0xDEADBEEFDEADBEEF
36119 .xword 0xDEADBEEFDEADBEEF
36120 .xword 0xDEADBEEFDEADBEEF
36121 .xword 0xDEADBEEFDEADBEEF
36122 .xword 0xDEADBEEFDEADBEEF
36123 .xword 0xDEADBEEFDEADBEEF
36124 .xword 0xDEADBEEFDEADBEEF
36125 .xword 0xDEADBEEFDEADBEEF
36126 .xword 0xDEADBEEFDEADBEEF
36127 .xword 0xDEADBEEFDEADBEEF
36128 .xword 0xDEADBEEFDEADBEEF
36129 .xword 0xDEADBEEFDEADBEEF
36130 .xword 0xDEADBEEFDEADBEEF
36131 .xword 0xDEADBEEFDEADBEEF
36132 .xword 0xDEADBEEFDEADBEEF
36133 .xword 0xDEADBEEFDEADBEEF
36134 .xword 0xDEADBEEFDEADBEEF
36135 .xword 0xDEADBEEFDEADBEEF
36136 .xword 0xDEADBEEFDEADBEEF
36137 .xword 0xDEADBEEFDEADBEEF
36138 .xword 0xDEADBEEFDEADBEEF
36139 .xword 0xDEADBEEFDEADBEEF
36140 .xword 0xDEADBEEFDEADBEEF
36141 .xword 0xDEADBEEFDEADBEEF
36142 .xword 0xDEADBEEFDEADBEEF
36143 .xword 0xDEADBEEFDEADBEEF
36144 .xword 0xDEADBEEFDEADBEEF
36145 .xword 0xDEADBEEFDEADBEEF
36146 .xword 0xDEADBEEFDEADBEEF
36147 .xword 0xDEADBEEFDEADBEEF
36148 .xword 0xDEADBEEFDEADBEEF
36149 .xword 0xDEADBEEFDEADBEEF
36150 .xword 0xDEADBEEFDEADBEEF
36151 .xword 0xDEADBEEFDEADBEEF
36152 .xword 0xDEADBEEFDEADBEEF
36153 .xword 0xDEADBEEFDEADBEEF
36154 .xword 0xDEADBEEFDEADBEEF
36155 .xword 0xDEADBEEFDEADBEEF
36156 .xword 0xDEADBEEFDEADBEEF
36157 .xword 0xDEADBEEFDEADBEEF
36158 .xword 0xDEADBEEFDEADBEEF
36159 .xword 0xDEADBEEFDEADBEEF
36160 .xword 0xDEADBEEFDEADBEEF
36161 .xword 0xDEADBEEFDEADBEEF
36162 .xword 0xDEADBEEFDEADBEEF
36163 .xword 0xDEADBEEFDEADBEEF
36164 .xword 0xDEADBEEFDEADBEEF
36165 .xword 0xDEADBEEFDEADBEEF
36166 .xword 0xDEADBEEFDEADBEEF
36167 .xword 0xDEADBEEFDEADBEEF
36168 .xword 0xDEADBEEFDEADBEEF
36169 .xword 0xDEADBEEFDEADBEEF
36170 .xword 0xDEADBEEFDEADBEEF
36171 .xword 0xDEADBEEFDEADBEEF
36172 .xword 0xDEADBEEFDEADBEEF
36173 .xword 0xDEADBEEFDEADBEEF
36174 .xword 0xDEADBEEFDEADBEEF
36175 .xword 0xDEADBEEFDEADBEEF
36176 .xword 0xDEADBEEFDEADBEEF
36177 .xword 0xDEADBEEFDEADBEEF
36178 .xword 0xDEADBEEFDEADBEEF
36179 .xword 0xDEADBEEFDEADBEEF
36180 .xword 0xDEADBEEFDEADBEEF
36181 .xword 0xDEADBEEFDEADBEEF
36182 .xword 0xDEADBEEFDEADBEEF
36183 .xword 0xDEADBEEFDEADBEEF
36184 .xword 0xDEADBEEFDEADBEEF
36185 .xword 0xDEADBEEFDEADBEEF
36186 .xword 0xDEADBEEFDEADBEEF
36187 .xword 0xDEADBEEFDEADBEEF
36188 .xword 0xDEADBEEFDEADBEEF
36189 .xword 0xDEADBEEFDEADBEEF
36190 .xword 0xDEADBEEFDEADBEEF
36191 .xword 0xDEADBEEFDEADBEEF
36192 .xword 0xDEADBEEFDEADBEEF
36193 .xword 0xDEADBEEFDEADBEEF
36194 .xword 0xDEADBEEFDEADBEEF
36195 .xword 0xDEADBEEFDEADBEEF
36196 .xword 0xDEADBEEFDEADBEEF
36197 .xword 0xDEADBEEFDEADBEEF
36198 .xword 0xDEADBEEFDEADBEEF
36199 .xword 0xDEADBEEFDEADBEEF
36200 .xword 0xDEADBEEFDEADBEEF
36201 .xword 0xDEADBEEFDEADBEEF
36202 .xword 0xDEADBEEFDEADBEEF
36203 .xword 0xDEADBEEFDEADBEEF
36204 .xword 0xDEADBEEFDEADBEEF
36205 .xword 0xDEADBEEFDEADBEEF
36206 .xword 0xDEADBEEFDEADBEEF
36207 .xword 0xDEADBEEFDEADBEEF
36208 .xword 0xDEADBEEFDEADBEEF
36209 .xword 0xDEADBEEFDEADBEEF
36210 .xword 0xDEADBEEFDEADBEEF
36211 .xword 0xDEADBEEFDEADBEEF
36212 .xword 0xDEADBEEFDEADBEEF
36213 .xword 0xDEADBEEFDEADBEEF
36214 .xword 0xDEADBEEFDEADBEEF
36215 .xword 0xDEADBEEFDEADBEEF
36216 .xword 0xDEADBEEFDEADBEEF
36217 .xword 0xDEADBEEFDEADBEEF
36218 .xword 0xDEADBEEFDEADBEEF
36219 .xword 0xDEADBEEFDEADBEEF
36220 .xword 0xDEADBEEFDEADBEEF
36221 .xword 0xDEADBEEFDEADBEEF
36222 .xword 0xDEADBEEFDEADBEEF
36223 .xword 0xDEADBEEFDEADBEEF
36224 .xword 0xDEADBEEFDEADBEEF
36225 .xword 0xDEADBEEFDEADBEEF
36226 .xword 0xDEADBEEFDEADBEEF
36227 .xword 0xDEADBEEFDEADBEEF
36228 .xword 0xDEADBEEFDEADBEEF
36229 .xword 0xDEADBEEFDEADBEEF
36230 .xword 0xDEADBEEFDEADBEEF
36231 .xword 0xDEADBEEFDEADBEEF
36232 .xword 0xDEADBEEFDEADBEEF
36233 .xword 0xDEADBEEFDEADBEEF
36234 .xword 0xDEADBEEFDEADBEEF
36235 .xword 0xDEADBEEFDEADBEEF
36236 .xword 0xDEADBEEFDEADBEEF
36237 .xword 0xDEADBEEFDEADBEEF
36238 .xword 0xDEADBEEFDEADBEEF
36239 .xword 0xDEADBEEFDEADBEEF
36240 .xword 0xDEADBEEFDEADBEEF
36241 .xword 0xDEADBEEFDEADBEEF
36242 .xword 0xDEADBEEFDEADBEEF
36243 .xword 0xDEADBEEFDEADBEEF
36244 .xword 0xDEADBEEFDEADBEEF
36245 .xword 0xDEADBEEFDEADBEEF
36246_t1_hash_auth_key:
36247 .xword 0x4136be25524a7ddb
36248 .xword 0x3589aed08c4432b0
36249 .xword 0x08a5b9fa814dd1f3
36250 .xword 0x6ebad875edd2f9bf
36251 .xword 0xbf1e4ef45d696b91
36252 .xword 0xc9e3d1768c77339b
36253 .xword 0x1b6407d0a37bf959
36254 .xword 0x41d6408129c2fbbf
36255 .xword 0x2d9314550830f042
36256 .xword 0xe70657c75fb7f360
36257 .xword 0xe5e9890c324c3573
36258 .xword 0x7a560a003549748d
36259 .xword 0xebb812f2a52bcc8d
36260 .xword 0x83f8bbe17843b1af
36261 .xword 0xe23928ccbfd60517
36262 .xword 0xd877b21c7d9acf4f
36263 .xword 0x78fc8f813a2f16d3
36264 .xword 0x35665c372e33acd2
36265 .xword 0x0cbb9949d7684477
36266 .xword 0xdd1179b7eec22b8e
36267 .xword 0x3cbc1b357fe7c610
36268 .xword 0x9355c98ba1c47965
36269 .xword 0x27fa3e5feaa33794
36270_t1_hash_auth_iv:
36271 .xword 0x65e464c413e9191a
36272 .xword 0xc7256d32ebe8085d
36273 .xword 0x8895b903d3d04637
36274 .xword 0xb9f38042f7b024e4
36275 .xword 0xe71fdcc016f75a63
36276 .xword 0x463417931496b032
36277 .xword 0x1086cbc207cbea23
36278 .xword 0xbd3404ef9c4fd442
36279 .xword 0xd0a933cf8f5af64f
36280 .xword 0x7a26199485f2c3f1
36281 .xword 0x76d2ac5deff06b37
36282 .xword 0x1afe2ac2e2b22aa6
36283 .xword 0x82b8cf2dba229a7d
36284 .xword 0x0f81d0edf4b805db
36285 .xword 0x78ee0bcb0df268bf
36286 .xword 0x7666b6a5f51f489d
36287 .xword 0xa84f9c786534ab0f
36288 .xword 0xebfce1b680f4a6ee
36289 .xword 0xd761b8c51af24ad9
36290 .xword 0x716c7e96d632ed73
36291 .xword 0xeb41936b4c2b8de3
36292 .xword 0x28db0450f0df3e03
36293 .xword 0x662a831df3f28379
36294_t1_hash_fas_result:
36295 .xword 0xDEADBEEFDEADBEEF
36296 .xword 0xDEADBEEFDEADBEEF
36297 .xword 0xDEADBEEFDEADBEEF
36298 .xword 0xDEADBEEFDEADBEEF
36299 .xword 0xDEADBEEFDEADBEEF
36300 .xword 0xDEADBEEFDEADBEEF
36301 .xword 0xDEADBEEFDEADBEEF
36302 .xword 0xDEADBEEFDEADBEEF
36303 .xword 0xDEADBEEFDEADBEEF
36304 .xword 0xDEADBEEFDEADBEEF
36305 .xword 0xDEADBEEFDEADBEEF
36306 .xword 0xDEADBEEFDEADBEEF
36307 .xword 0xDEADBEEFDEADBEEF
36308 .xword 0xDEADBEEFDEADBEEF
36309 .xword 0xDEADBEEFDEADBEEF
36310 .xword 0xDEADBEEFDEADBEEF
36311 .xword 0xDEADBEEFDEADBEEF
36312 .xword 0xDEADBEEFDEADBEEF
36313 .xword 0xDEADBEEFDEADBEEF
36314 .xword 0xDEADBEEFDEADBEEF
36315 .xword 0xDEADBEEFDEADBEEF
36316 .xword 0xDEADBEEFDEADBEEF
36317 .xword 0xDEADBEEFDEADBEEF
36318_t1_hmac_key_array:
36319 .xword 0x930afa4c877ec9dd
36320 .xword 0xb97a431596a02d19
36321 .xword 0xb3055a5ef7513ae8
36322 .xword 0x64108330df8ab253
36323 .xword 0x96bc545616825e98
36324 .xword 0xdb026ae25f59e9bf
36325 .xword 0x9fad31c48def80d1
36326 .xword 0x14e53583290b372c
36327 .xword 0x96d7aeb491d77b9e
36328 .xword 0x51087f05f9a79305
36329 .xword 0xab647428dcdce3a8
36330 .xword 0x013b087e11d0762b
36331 .xword 0x781cbb4f5ffb1b72
36332 .xword 0xa3176aba924ab2b9
36333 .xword 0xe50880ff26eb8430
36334 .xword 0x2f0cd5fbfedea868
36335 .xword 0x6f3cb2d81cc95f01
36336 .xword 0xa2d334fe6003b196
36337 .xword 0x02c74ba6ce06727e
36338 .xword 0x9300bf25ba07a03a
36339 .xword 0x1571af769685faba
36340 .xword 0x054e746a0a515362
36341 .xword 0x845bc3712ee032c3
36342 .xword 0xb6a88ebf230960a1
36343 .xword 0x3837e7613afc9aff
36344 .xword 0xbee9d9d7d78cf66d
36345 .xword 0x5f60387fe906f8a3
36346 .xword 0x4b3078d254b6dd6d
36347 .xword 0xf8f9e51a21addc95
36348 .xword 0xb8af139720ecbbcd
36349 .xword 0x2ee8d2cc6273ef54
36350 .xword 0x22b493237ee680bb
36351 .xword 0x4f90cdfec5bad825
36352 .xword 0xa00d3d07d5a0f7c8
36353 .xword 0xfcfd5e2c711ae6b8
36354 .xword 0x5ab01a56f3eed993
36355 .xword 0x8ce5698308357cae
36356 .xword 0x782b5fd085a62ebc
36357 .xword 0x0111d5a0d96a5ce3
36358 .xword 0xf356c418f4f4f4c8
36359 .xword 0x5d67587f1840a78d
36360 .xword 0x92acf4e105cf3ecd
36361 .xword 0xb5e93c34bdfb6e67
36362 .xword 0x144da26cd9be1496
36363 .xword 0x96de5aa605507ad7
36364 .xword 0xc2691643468c0656
36365 .xword 0x2d76d4173fffca0f
36366 .xword 0x9f0d3a3c62807451
36367 .xword 0xd7b7031e9c5e5857
36368 .xword 0xe30570c60c8d1ff4
36369 .xword 0x59629de07328c4cb
36370_t1_hmac_iv_array:
36371 .xword 0x9525064aa4727c9e
36372 .xword 0xd2d00ec39c87ffba
36373 .xword 0x95ccac485b878807
36374 .xword 0x24948bab0aa0ef8a
36375 .xword 0xd7b1954b8a118368
36376 .xword 0x6150da1bb377e2e1
36377 .xword 0x3093c2589cc03d19
36378 .xword 0x5f645dbdf5187b43
36379 .xword 0xb7d4d314d046abc7
36380 .xword 0xcd0a00649288c82a
36381 .xword 0x72ecfb02b5ebcb3a
36382 .xword 0xbce8f23e0af2f59d
36383 .xword 0x56fe94b2f2557b22
36384 .xword 0x49cb540fff4a15c3
36385 .xword 0x3858156d64edd258
36386 .xword 0x65d0c4aa1f49b89b
36387 .xword 0xfb2cede5506e8636
36388 .xword 0x5135b1259bb4995e
36389 .xword 0x8851d04e6b54ce14
36390 .xword 0x339df4575cc675a7
36391 .xword 0x6cc773960dd59060
36392 .xword 0x624f86fe896a9316
36393 .xword 0xa7e92be3436d8a80
36394 .xword 0xc7f7b8f1edeaee52
36395 .xword 0x04aa53618b3219c3
36396 .xword 0x269a77ffd069a9b3
36397 .xword 0x03779b7495713b43
36398 .xword 0x81abe3f706867060
36399 .xword 0xb78f2086831b0ae4
36400 .xword 0x08dd0701034d427e
36401 .xword 0x5dfe841b5c2ec1ca
36402 .xword 0xdc991a64d7028163
36403 .xword 0xb21dce823f61749b
36404 .xword 0x34dbf41c73e45781
36405 .xword 0xaeee87196791c111
36406 .xword 0xa5ca1c4d4d229c5e
36407 .xword 0x7132aa7e8732d701
36408 .xword 0x3af42e3f2b6c480a
36409 .xword 0x3c93b698ea49f332
36410 .xword 0x3222deb5b5ae7ccf
36411 .xword 0x71f180df21de5a18
36412 .xword 0xd3bd5a432e3bacaf
36413 .xword 0x910e574cdeac02e6
36414 .xword 0x5bbeebd2e077fa49
36415 .xword 0x910e24b5d820c88e
36416_t1_hmac_alignment_array:
36417 .xword 9
36418 .xword 8
36419 .xword 11
36420 .xword 8
36421 .xword 0
36422 .xword 0
36423 .xword 4
36424 .xword 8
36425 .xword 5
36426 .xword 9
36427 .xword 7
36428 .xword 5
36429 .xword 6
36430 .xword 5
36431 .xword 2
36432 .xword 7
36433 .xword 12
36434 .xword 4
36435 .xword 9
36436 .xword 3
36437 .xword 15
36438 .xword 8
36439 .xword 3
36440 .xword 10
36441 .xword 7
36442 .xword 8
36443 .xword 3
36444 .xword 11
36445 .xword 1
36446 .xword 13
36447 .xword 13
36448 .xword 6
36449 .xword 9
36450 .xword 10
36451 .xword 3
36452 .xword 2
36453 .xword 0
36454 .xword 14
36455 .xword 7
36456 .xword 3
36457 .xword 13
36458 .xword 5
36459 .xword 1
36460 .xword 6
36461 .xword 7
36462 .xword 12
36463 .xword 1
36464 .xword 4
36465 .xword 2
36466 .xword 11
36467 .xword 13
36468 .xword 1
36469 .xword 13
36470 .xword 1
36471 .xword 6
36472 .xword 0
36473 .xword 14
36474 .xword 13
36475 .xword 8
36476 .xword 15
36477 .xword 3
36478 .xword 7
36479 .xword 2
36480 .xword 0
36481 .xword 3
36482 .xword 5
36483 .xword 6
36484 .xword 15
36485 .xword 12
36486 .xword 2
36487 .xword 10
36488 .xword 5
36489 .xword 2
36490 .xword 13
36491 .xword 6
36492 .xword 1
36493 .xword 10
36494 .xword 6
36495 .xword 1
36496 .xword 10
36497 .xword 9
36498 .xword 3
36499 .xword 12
36500 .xword 1
36501 .xword 9
36502 .xword 9
36503 .xword 13
36504 .xword 3
36505 .xword 15
36506 .xword 9
36507 .xword 8
36508 .xword 10
36509 .xword 1
36510 .xword 3
36511 .xword 0
36512 .xword 7
36513 .xword 1
36514 .xword 7
36515 .xword 3
36516 .xword 0
36517 .xword 15
36518 .xword 11
36519 .xword 2
36520 .xword 15
36521 .xword 3
36522_t1_hmac_src:
36523 .xword 0xac47de2720e389cd
36524 .xword 0x18e0b87de81c8df6
36525 .xword 0x4e214303d4599697
36526 .xword 0xf3ff988279f18299
36527 .xword 0xc733f5979e77777d
36528 .xword 0xd331b017a43f53db
36529 .xword 0x2c5244cbe5c2ae59
36530 .xword 0x7029d398941643c3
36531 .xword 0xfe7b5687556ccfb8
36532 .xword 0xa45e4e6b941ae98d
36533 .xword 0xc345b6ccb0aedbe1
36534 .xword 0x02fdefbdcbe17c4c
36535 .xword 0x4f861030a92b98ae
36536 .xword 0x8de6c50862d57bb8
36537 .xword 0x50a0f7daaa635949
36538 .xword 0xec8cf8dff48bffb6
36539 .xword 0xbbf5782486b57e35
36540 .xword 0xa39543cd09b30cb2
36541 .xword 0xc6b7e399e3e64b9d
36542 .xword 0x7b5d704812105bcd
36543 .xword 0x721e88cb069f9e43
36544 .xword 0xf38b3d68d8d759a8
36545 .xword 0x5610a920dfa2bc01
36546 .xword 0xe168846ca880bc2e
36547 .xword 0x0d2397f9e8cb7fe1
36548 .xword 0x59bc0636c061bb5c
36549 .xword 0x75b64be4838c18f7
36550 .xword 0xb3c2e25cc88607f6
36551 .xword 0x1c956722dfa330ed
36552 .xword 0x75b41b957a195536
36553 .xword 0x1a8903d92fcafd73
36554 .xword 0xf81a20bdda3655e7
36555 .xword 0x1aa765bd653b5df6
36556 .xword 0x50cac6339ed86c47
36557 .xword 0xbbe743b5f1acf6a0
36558 .xword 0x0f68fcdbda92886f
36559 .xword 0x6414e2a9e9de0d44
36560 .xword 0x71c0c71b16ad6279
36561 .xword 0x4703d8e3efeb2467
36562 .xword 0x7716b73691f12453
36563 .xword 0xfb6a335a82829c85
36564 .xword 0x61682816f6a0fa2c
36565 .xword 0x80179b10673fe624
36566 .xword 0x524c9250e6fd0c73
36567 .xword 0x75276f41d9a8244e
36568 .xword 0x409a632c0f439496
36569 .xword 0x9e2edc2318373dc6
36570 .xword 0xac427f92d39179fa
36571 .xword 0x869a053f0c99136c
36572 .xword 0xf3378a21748ed124
36573 .xword 0xd50cd92bd9b24f4e
36574 .xword 0x987f7a839ea384ed
36575 .xword 0x8681b070c4c93a2f
36576 .xword 0x85b023e7487409b7
36577 .xword 0x7fac855a19537321
36578 .xword 0xa9a063bf9bf93696
36579 .xword 0xe5f3a42c141b522d
36580 .xword 0x6dcb86aaaf87d2fd
36581 .xword 0x2bcbe8db86023689
36582 .xword 0xc19f0dab525c74cc
36583 .xword 0x1921727818c36d27
36584 .xword 0xe9a031b769ba35b2
36585 .xword 0x8ba5958ea3daa1c5
36586 .xword 0x5a34e1b70cdf3ef1
36587 .xword 0x8e27b6b5da81b4e5
36588 .xword 0xe43834841e6711cd
36589 .xword 0x2c721b8998f48b9e
36590 .xword 0x798857dc52e72ef2
36591 .xword 0xab5cd1282d644bd8
36592 .xword 0x6ac48c6a96cb0178
36593 .xword 0x757ffd101d883fd0
36594 .xword 0x20681e41c04920db
36595 .xword 0x9b0fa2a17d27f4c8
36596 .xword 0xf96993877d1a6892
36597 .xword 0x6960206e512c2c16
36598 .xword 0x67226f45aa66e682
36599 .xword 0xa23f716d288a3e76
36600 .xword 0xa159eb268f8e8595
36601 .xword 0xf4b843fe5f5d7cdf
36602 .xword 0x09cb85409857b160
36603 .xword 0x033e5eb77a3b1b45
36604 .xword 0x2377c6dc2ef26e6e
36605 .xword 0x32d389a026014cbf
36606 .xword 0x3e39d01dd60ca11f
36607 .xword 0x1dbdcff2373a8ed4
36608 .xword 0xe50b02fcc1b3b4c6
36609 .xword 0xd54198659dbb2c9a
36610 .xword 0x4d45e723aa69ce24
36611 .xword 0x608ea6281af0f60f
36612 .xword 0xf10c2d41fa8ee456
36613 .xword 0x30be1c2b04eb77a5
36614 .xword 0xbfb39e7401b43d8f
36615 .xword 0x6d888f9cfde1417d
36616 .xword 0xb80e754d37381721
36617 .xword 0xe838f821c2856fde
36618 .xword 0x9dee050d5e043fe2
36619 .xword 0x30cd8d038a081be5
36620 .xword 0xe2f3dc6c7c0eb68e
36621 .xword 0xa4ef77a9bbcb8db9
36622 .xword 0xb3310ff6045c31b9
36623 .xword 0x680dd321cec4d275
36624 .xword 0x7ea01b850407f083
36625 .xword 0x749cf03d288c6bfa
36626 .xword 0xc95a8b392e5a252b
36627 .xword 0xd3dd929b58a61756
36628 .xword 0xdc157e03aaefc3ec
36629 .xword 0x9ae1f4423b95b898
36630 .xword 0x5887feaf14b64c21
36631 .xword 0xe8aa719393d8632d
36632 .xword 0x0ae74d2d50c0571a
36633 .xword 0x63eb4e28136a6e2e
36634 .xword 0xe6d0c78080ce0513
36635 .xword 0x8e0eeb4dd25355dd
36636 .xword 0x64521c1e53f18a9b
36637 .xword 0xad00b33e9539419c
36638 .xword 0x67f220cf2a9dbfb3
36639 .xword 0x04ef84a4932bd6ee
36640 .xword 0xef1a3c85888fdd2a
36641 .xword 0x36b0d5518db5ed5e
36642 .xword 0x1984f761a4d4db16
36643 .xword 0x27d316ec7a2e3db3
36644 .xword 0x7299f6e14f693254
36645 .xword 0xc25c5de6dd3efb50
36646 .xword 0x73eacf1c0adda591
36647 .xword 0xc670dea71971c037
36648 .xword 0x1a3ba529b04afe6a
36649 .xword 0x7b042901f24acec0
36650 .xword 0x7615f28031ca411a
36651 .xword 0xe410785829a74b82
36652 .xword 0x39762833a6dff6aa
36653 .xword 0x6c4b9894d9a513e8
36654 .xword 0x5f44ece12192d1d9
36655 .xword 0x9c950cb1fb450d0b
36656 .xword 0xb7833ee29964ec9e
36657 .xword 0x62e7ffad166df9a7
36658 .xword 0xc04e8743ed182531
36659 .xword 0x22a88c9fa39be697
36660 .xword 0x2af473250ac86617
36661 .xword 0x4500ae1a11dde9b2
36662 .xword 0xa50e0755f9d42043
36663 .xword 0xe84983050320fc0a
36664 .xword 0x82c3d2e553268bc6
36665 .xword 0x7c7ffa84fa1aff69
36666 .xword 0xd7e6751f40cc9af4
36667 .xword 0x17ea252db1e24a03
36668 .xword 0x5fc0f56ae2b13f29
36669 .xword 0x8da5b4b2ce5b1bfd
36670 .xword 0xf1cf3871e85bff67
36671 .xword 0xcef074f7737d8c76
36672 .xword 0xb691869f775c9522
36673 .xword 0x517300a584e0c660
36674 .xword 0x05b43aab4e8cc022
36675 .xword 0x83c068e3f21da02b
36676 .xword 0x41b8308c77c92a83
36677 .xword 0xff2d2b459528fc43
36678 .xword 0xbca4d0fc34fccf5b
36679 .xword 0x88eb6f29bf510540
36680 .xword 0x85f239f15c1b6d33
36681 .xword 0x01863e7e26b2d987
36682 .xword 0x654ddf5e590c3c86
36683 .xword 0x315f976590cdbdc7
36684 .xword 0x5557e843dc7476d4
36685 .xword 0x1bfb29790ed5fa77
36686 .xword 0xd188079e48501f85
36687 .xword 0x5af08c29f14304cf
36688 .xword 0x889b18b2b247fa81
36689 .xword 0x7e5230920eb89ec7
36690 .xword 0x865d88ee965bf9f4
36691 .xword 0xe3cf5601d22ee000
36692 .xword 0x720a68f4753a531a
36693 .xword 0xf755dc059a46bc9c
36694 .xword 0x9b1d2fc7bdd5654b
36695 .xword 0xa95b4df23204827e
36696 .xword 0xfa65dfe7e8cbf864
36697 .xword 0x356a5ec564e129e6
36698 .xword 0xbdf3a06b06b7c71c
36699 .xword 0xebd8dfb01b5305f1
36700 .xword 0xe1dfe0ac31dd7578
36701 .xword 0x745546d60d417250
36702 .xword 0xa417528bcb5f6501
36703 .xword 0xce484e36be623df6
36704 .xword 0x19b69faa0d2bf43e
36705 .xword 0x67f91c5c9f442b40
36706 .xword 0x9e98c7f7e23f7ec7
36707 .xword 0x36ed6f606d992a29
36708 .xword 0xbdbbf76a0893f89b
36709 .xword 0x99bed01fbb18d218
36710 .xword 0x5024566fe9522970
36711 .xword 0xbe011215fb9a28d0
36712 .xword 0xabb0468803425fb9
36713 .xword 0xf4299bd639bfcd78
36714 .xword 0x7294669f05611583
36715 .xword 0x510ae8aa07fc9d58
36716 .xword 0xd6f4f1260edf1d9e
36717 .xword 0xe8d67ad7ef1f5c8f
36718 .xword 0x11b862376fb35efc
36719 .xword 0x7310379490add2f8
36720 .xword 0x5ff340fc6f73a95a
36721 .xword 0x06ab7aeb6cc40e68
36722 .xword 0x77e8a57afa430072
36723 .xword 0x4616f848abba8c6b
36724 .xword 0x308e102d9e169bcc
36725 .xword 0x25575670aecdb65f
36726 .xword 0x46f54f015ca35b52
36727 .xword 0x6a0f9d4d90f8c6ba
36728 .xword 0x359dbe2f26b7eb8a
36729 .xword 0xe6d0e599d5c24b1f
36730 .xword 0xc5d1dfef3a0c1b5b
36731 .xword 0x90e4391a0d4b0a82
36732 .xword 0x1866cb6640d5f170
36733 .xword 0xe8de82c2c8e061a5
36734 .xword 0xdce3fe7df49a6607
36735 .xword 0xf50863436dd9ccf6
36736 .xword 0x1a78ea517c62c924
36737 .xword 0x5284dab06dc9a5ba
36738 .xword 0x8ca1eab497aed2f9
36739 .xword 0x73cc95809f4451c1
36740 .xword 0xa56e0598de7a3df7
36741 .xword 0x7c1200c70884f5db
36742 .xword 0x4a84c7758bb1503d
36743 .xword 0x4971411cdc1edf29
36744 .xword 0xbfdaf8b5d0c37432
36745 .xword 0x114914d8771fbb2c
36746 .xword 0x2c0cdf71a2c68a8b
36747 .xword 0x15095e274a822aef
36748 .xword 0xad7a114485888b9d
36749 .xword 0xae1d7924733f6c2a
36750 .xword 0x798e920612c33876
36751 .xword 0x8fc109ae9c35297a
36752 .xword 0xa415ecfa2b91e906
36753 .xword 0x3ee41e4da76bfe04
36754 .xword 0x63dd9014e7a7af2f
36755 .xword 0x4d571aa19c1c8ea1
36756 .xword 0xc100ded7b11df2ba
36757 .xword 0x93ec38939317cce9
36758 .xword 0x8ca246c6db4e0cdd
36759 .xword 0x211aa63972b97b38
36760 .xword 0x065e898676338427
36761 .xword 0x3f3f58444a299686
36762 .xword 0x13936040ef88e0a8
36763 .xword 0x7ee57c33d144462a
36764 .xword 0x021daf2f0e703507
36765 .xword 0x83ab1d6f62fba9ae
36766 .xword 0xd347725f0296777c
36767 .xword 0xdb1d95153ce9805f
36768 .xword 0xe1976411b5c86c29
36769 .xword 0x8b1c724431c1abea
36770 .xword 0x2674ea74ccea336f
36771 .xword 0x7efee612cd973605
36772 .xword 0x8905379c1c818453
36773 .xword 0x0a3b402c7bf26e25
36774 .xword 0x95f0082be86b1972
36775 .xword 0xb1862f560004403c
36776 .xword 0xb6021be5b8e12202
36777 .xword 0x4c277a65da01bdc9
36778 .xword 0xee575a055951aace
36779 .xword 0xf566b792dd1a18f9
36780 .xword 0xa58f99d4fdecb3b0
36781 .xword 0xf4d890ff5eb22c6d
36782 .xword 0xf7480e31a3b9db00
36783 .xword 0x417d14977a1dd376
36784 .xword 0xb74c67709f60ad45
36785 .xword 0x513c2f6bdb40061e
36786 .xword 0x853977179f899301
36787 .xword 0x7cc81f18bb19960a
36788 .xword 0x53a9274469bff4dd
36789 .xword 0x63b165762f276534
36790 .xword 0xe80b2a3447cd2819
36791 .xword 0x9ec5be14c4fba9dc
36792 .xword 0x8abd5e68b45be17b
36793 .xword 0x779387bcd7495cd1
36794 .xword 0x37b072d673e2e117
36795 .xword 0x0b4bd12a10dee9e2
36796 .xword 0x835ad933d928ba1f
36797 .xword 0xcafc39f72526b5a8
36798_t1_hmac_dest:
36799 .xword 0xDEADBEEFDEADBEEF
36800 .xword 0xDEADBEEFDEADBEEF
36801 .xword 0xDEADBEEFDEADBEEF
36802 .xword 0xDEADBEEFDEADBEEF
36803 .xword 0xDEADBEEFDEADBEEF
36804 .xword 0xDEADBEEFDEADBEEF
36805 .xword 0xDEADBEEFDEADBEEF
36806 .xword 0xDEADBEEFDEADBEEF
36807 .xword 0xDEADBEEFDEADBEEF
36808 .xword 0xDEADBEEFDEADBEEF
36809 .xword 0xDEADBEEFDEADBEEF
36810 .xword 0xDEADBEEFDEADBEEF
36811 .xword 0xDEADBEEFDEADBEEF
36812 .xword 0xDEADBEEFDEADBEEF
36813 .xword 0xDEADBEEFDEADBEEF
36814 .xword 0xDEADBEEFDEADBEEF
36815 .xword 0xDEADBEEFDEADBEEF
36816 .xword 0xDEADBEEFDEADBEEF
36817 .xword 0xDEADBEEFDEADBEEF
36818 .xword 0xDEADBEEFDEADBEEF
36819 .xword 0xDEADBEEFDEADBEEF
36820 .xword 0xDEADBEEFDEADBEEF
36821 .xword 0xDEADBEEFDEADBEEF
36822 .xword 0xDEADBEEFDEADBEEF
36823 .xword 0xDEADBEEFDEADBEEF
36824 .xword 0xDEADBEEFDEADBEEF
36825 .xword 0xDEADBEEFDEADBEEF
36826 .xword 0xDEADBEEFDEADBEEF
36827 .xword 0xDEADBEEFDEADBEEF
36828 .xword 0xDEADBEEFDEADBEEF
36829 .xword 0xDEADBEEFDEADBEEF
36830 .xword 0xDEADBEEFDEADBEEF
36831 .xword 0xDEADBEEFDEADBEEF
36832 .xword 0xDEADBEEFDEADBEEF
36833 .xword 0xDEADBEEFDEADBEEF
36834 .xword 0xDEADBEEFDEADBEEF
36835 .xword 0xDEADBEEFDEADBEEF
36836 .xword 0xDEADBEEFDEADBEEF
36837 .xword 0xDEADBEEFDEADBEEF
36838 .xword 0xDEADBEEFDEADBEEF
36839 .xword 0xDEADBEEFDEADBEEF
36840 .xword 0xDEADBEEFDEADBEEF
36841 .xword 0xDEADBEEFDEADBEEF
36842 .xword 0xDEADBEEFDEADBEEF
36843 .xword 0xDEADBEEFDEADBEEF
36844 .xword 0xDEADBEEFDEADBEEF
36845 .xword 0xDEADBEEFDEADBEEF
36846 .xword 0xDEADBEEFDEADBEEF
36847 .xword 0xDEADBEEFDEADBEEF
36848 .xword 0xDEADBEEFDEADBEEF
36849 .xword 0xDEADBEEFDEADBEEF
36850 .xword 0xDEADBEEFDEADBEEF
36851 .xword 0xDEADBEEFDEADBEEF
36852 .xword 0xDEADBEEFDEADBEEF
36853 .xword 0xDEADBEEFDEADBEEF
36854 .xword 0xDEADBEEFDEADBEEF
36855 .xword 0xDEADBEEFDEADBEEF
36856 .xword 0xDEADBEEFDEADBEEF
36857 .xword 0xDEADBEEFDEADBEEF
36858 .xword 0xDEADBEEFDEADBEEF
36859 .xword 0xDEADBEEFDEADBEEF
36860 .xword 0xDEADBEEFDEADBEEF
36861 .xword 0xDEADBEEFDEADBEEF
36862 .xword 0xDEADBEEFDEADBEEF
36863 .xword 0xDEADBEEFDEADBEEF
36864 .xword 0xDEADBEEFDEADBEEF
36865 .xword 0xDEADBEEFDEADBEEF
36866 .xword 0xDEADBEEFDEADBEEF
36867 .xword 0xDEADBEEFDEADBEEF
36868 .xword 0xDEADBEEFDEADBEEF
36869 .xword 0xDEADBEEFDEADBEEF
36870 .xword 0xDEADBEEFDEADBEEF
36871 .xword 0xDEADBEEFDEADBEEF
36872 .xword 0xDEADBEEFDEADBEEF
36873 .xword 0xDEADBEEFDEADBEEF
36874 .xword 0xDEADBEEFDEADBEEF
36875 .xword 0xDEADBEEFDEADBEEF
36876 .xword 0xDEADBEEFDEADBEEF
36877 .xword 0xDEADBEEFDEADBEEF
36878 .xword 0xDEADBEEFDEADBEEF
36879 .xword 0xDEADBEEFDEADBEEF
36880 .xword 0xDEADBEEFDEADBEEF
36881 .xword 0xDEADBEEFDEADBEEF
36882 .xword 0xDEADBEEFDEADBEEF
36883 .xword 0xDEADBEEFDEADBEEF
36884 .xword 0xDEADBEEFDEADBEEF
36885 .xword 0xDEADBEEFDEADBEEF
36886 .xword 0xDEADBEEFDEADBEEF
36887 .xword 0xDEADBEEFDEADBEEF
36888 .xword 0xDEADBEEFDEADBEEF
36889 .xword 0xDEADBEEFDEADBEEF
36890 .xword 0xDEADBEEFDEADBEEF
36891 .xword 0xDEADBEEFDEADBEEF
36892 .xword 0xDEADBEEFDEADBEEF
36893 .xword 0xDEADBEEFDEADBEEF
36894 .xword 0xDEADBEEFDEADBEEF
36895 .xword 0xDEADBEEFDEADBEEF
36896 .xword 0xDEADBEEFDEADBEEF
36897 .xword 0xDEADBEEFDEADBEEF
36898 .xword 0xDEADBEEFDEADBEEF
36899 .xword 0xDEADBEEFDEADBEEF
36900 .xword 0xDEADBEEFDEADBEEF
36901 .xword 0xDEADBEEFDEADBEEF
36902 .xword 0xDEADBEEFDEADBEEF
36903 .xword 0xDEADBEEFDEADBEEF
36904 .xword 0xDEADBEEFDEADBEEF
36905 .xword 0xDEADBEEFDEADBEEF
36906 .xword 0xDEADBEEFDEADBEEF
36907 .xword 0xDEADBEEFDEADBEEF
36908 .xword 0xDEADBEEFDEADBEEF
36909 .xword 0xDEADBEEFDEADBEEF
36910 .xword 0xDEADBEEFDEADBEEF
36911 .xword 0xDEADBEEFDEADBEEF
36912 .xword 0xDEADBEEFDEADBEEF
36913 .xword 0xDEADBEEFDEADBEEF
36914 .xword 0xDEADBEEFDEADBEEF
36915 .xword 0xDEADBEEFDEADBEEF
36916 .xword 0xDEADBEEFDEADBEEF
36917 .xword 0xDEADBEEFDEADBEEF
36918 .xword 0xDEADBEEFDEADBEEF
36919 .xword 0xDEADBEEFDEADBEEF
36920 .xword 0xDEADBEEFDEADBEEF
36921 .xword 0xDEADBEEFDEADBEEF
36922 .xword 0xDEADBEEFDEADBEEF
36923 .xword 0xDEADBEEFDEADBEEF
36924 .xword 0xDEADBEEFDEADBEEF
36925 .xword 0xDEADBEEFDEADBEEF
36926 .xword 0xDEADBEEFDEADBEEF
36927 .xword 0xDEADBEEFDEADBEEF
36928 .xword 0xDEADBEEFDEADBEEF
36929 .xword 0xDEADBEEFDEADBEEF
36930 .xword 0xDEADBEEFDEADBEEF
36931 .xword 0xDEADBEEFDEADBEEF
36932 .xword 0xDEADBEEFDEADBEEF
36933 .xword 0xDEADBEEFDEADBEEF
36934 .xword 0xDEADBEEFDEADBEEF
36935 .xword 0xDEADBEEFDEADBEEF
36936 .xword 0xDEADBEEFDEADBEEF
36937 .xword 0xDEADBEEFDEADBEEF
36938 .xword 0xDEADBEEFDEADBEEF
36939 .xword 0xDEADBEEFDEADBEEF
36940 .xword 0xDEADBEEFDEADBEEF
36941 .xword 0xDEADBEEFDEADBEEF
36942 .xword 0xDEADBEEFDEADBEEF
36943 .xword 0xDEADBEEFDEADBEEF
36944 .xword 0xDEADBEEFDEADBEEF
36945 .xword 0xDEADBEEFDEADBEEF
36946 .xword 0xDEADBEEFDEADBEEF
36947 .xword 0xDEADBEEFDEADBEEF
36948 .xword 0xDEADBEEFDEADBEEF
36949 .xword 0xDEADBEEFDEADBEEF
36950 .xword 0xDEADBEEFDEADBEEF
36951 .xword 0xDEADBEEFDEADBEEF
36952 .xword 0xDEADBEEFDEADBEEF
36953 .xword 0xDEADBEEFDEADBEEF
36954 .xword 0xDEADBEEFDEADBEEF
36955 .xword 0xDEADBEEFDEADBEEF
36956 .xword 0xDEADBEEFDEADBEEF
36957 .xword 0xDEADBEEFDEADBEEF
36958 .xword 0xDEADBEEFDEADBEEF
36959 .xword 0xDEADBEEFDEADBEEF
36960 .xword 0xDEADBEEFDEADBEEF
36961 .xword 0xDEADBEEFDEADBEEF
36962 .xword 0xDEADBEEFDEADBEEF
36963 .xword 0xDEADBEEFDEADBEEF
36964 .xword 0xDEADBEEFDEADBEEF
36965 .xword 0xDEADBEEFDEADBEEF
36966 .xword 0xDEADBEEFDEADBEEF
36967 .xword 0xDEADBEEFDEADBEEF
36968 .xword 0xDEADBEEFDEADBEEF
36969 .xword 0xDEADBEEFDEADBEEF
36970 .xword 0xDEADBEEFDEADBEEF
36971 .xword 0xDEADBEEFDEADBEEF
36972 .xword 0xDEADBEEFDEADBEEF
36973 .xword 0xDEADBEEFDEADBEEF
36974 .xword 0xDEADBEEFDEADBEEF
36975 .xword 0xDEADBEEFDEADBEEF
36976 .xword 0xDEADBEEFDEADBEEF
36977 .xword 0xDEADBEEFDEADBEEF
36978 .xword 0xDEADBEEFDEADBEEF
36979 .xword 0xDEADBEEFDEADBEEF
36980 .xword 0xDEADBEEFDEADBEEF
36981 .xword 0xDEADBEEFDEADBEEF
36982 .xword 0xDEADBEEFDEADBEEF
36983 .xword 0xDEADBEEFDEADBEEF
36984 .xword 0xDEADBEEFDEADBEEF
36985 .xword 0xDEADBEEFDEADBEEF
36986 .xword 0xDEADBEEFDEADBEEF
36987 .xword 0xDEADBEEFDEADBEEF
36988 .xword 0xDEADBEEFDEADBEEF
36989 .xword 0xDEADBEEFDEADBEEF
36990 .xword 0xDEADBEEFDEADBEEF
36991 .xword 0xDEADBEEFDEADBEEF
36992 .xword 0xDEADBEEFDEADBEEF
36993 .xword 0xDEADBEEFDEADBEEF
36994 .xword 0xDEADBEEFDEADBEEF
36995 .xword 0xDEADBEEFDEADBEEF
36996 .xword 0xDEADBEEFDEADBEEF
36997 .xword 0xDEADBEEFDEADBEEF
36998 .xword 0xDEADBEEFDEADBEEF
36999 .xword 0xDEADBEEFDEADBEEF
37000 .xword 0xDEADBEEFDEADBEEF
37001 .xword 0xDEADBEEFDEADBEEF
37002 .xword 0xDEADBEEFDEADBEEF
37003 .xword 0xDEADBEEFDEADBEEF
37004 .xword 0xDEADBEEFDEADBEEF
37005 .xword 0xDEADBEEFDEADBEEF
37006 .xword 0xDEADBEEFDEADBEEF
37007 .xword 0xDEADBEEFDEADBEEF
37008 .xword 0xDEADBEEFDEADBEEF
37009 .xword 0xDEADBEEFDEADBEEF
37010 .xword 0xDEADBEEFDEADBEEF
37011 .xword 0xDEADBEEFDEADBEEF
37012 .xword 0xDEADBEEFDEADBEEF
37013 .xword 0xDEADBEEFDEADBEEF
37014 .xword 0xDEADBEEFDEADBEEF
37015 .xword 0xDEADBEEFDEADBEEF
37016 .xword 0xDEADBEEFDEADBEEF
37017 .xword 0xDEADBEEFDEADBEEF
37018 .xword 0xDEADBEEFDEADBEEF
37019 .xword 0xDEADBEEFDEADBEEF
37020 .xword 0xDEADBEEFDEADBEEF
37021 .xword 0xDEADBEEFDEADBEEF
37022 .xword 0xDEADBEEFDEADBEEF
37023 .xword 0xDEADBEEFDEADBEEF
37024 .xword 0xDEADBEEFDEADBEEF
37025 .xword 0xDEADBEEFDEADBEEF
37026 .xword 0xDEADBEEFDEADBEEF
37027 .xword 0xDEADBEEFDEADBEEF
37028 .xword 0xDEADBEEFDEADBEEF
37029 .xword 0xDEADBEEFDEADBEEF
37030 .xword 0xDEADBEEFDEADBEEF
37031 .xword 0xDEADBEEFDEADBEEF
37032 .xword 0xDEADBEEFDEADBEEF
37033 .xword 0xDEADBEEFDEADBEEF
37034 .xword 0xDEADBEEFDEADBEEF
37035 .xword 0xDEADBEEFDEADBEEF
37036 .xword 0xDEADBEEFDEADBEEF
37037 .xword 0xDEADBEEFDEADBEEF
37038 .xword 0xDEADBEEFDEADBEEF
37039 .xword 0xDEADBEEFDEADBEEF
37040 .xword 0xDEADBEEFDEADBEEF
37041 .xword 0xDEADBEEFDEADBEEF
37042 .xword 0xDEADBEEFDEADBEEF
37043 .xword 0xDEADBEEFDEADBEEF
37044 .xword 0xDEADBEEFDEADBEEF
37045 .xword 0xDEADBEEFDEADBEEF
37046 .xword 0xDEADBEEFDEADBEEF
37047 .xword 0xDEADBEEFDEADBEEF
37048 .xword 0xDEADBEEFDEADBEEF
37049 .xword 0xDEADBEEFDEADBEEF
37050 .xword 0xDEADBEEFDEADBEEF
37051 .xword 0xDEADBEEFDEADBEEF
37052 .xword 0xDEADBEEFDEADBEEF
37053 .xword 0xDEADBEEFDEADBEEF
37054 .xword 0xDEADBEEFDEADBEEF
37055 .xword 0xDEADBEEFDEADBEEF
37056 .xword 0xDEADBEEFDEADBEEF
37057 .xword 0xDEADBEEFDEADBEEF
37058 .xword 0xDEADBEEFDEADBEEF
37059 .xword 0xDEADBEEFDEADBEEF
37060 .xword 0xDEADBEEFDEADBEEF
37061 .xword 0xDEADBEEFDEADBEEF
37062 .xword 0xDEADBEEFDEADBEEF
37063 .xword 0xDEADBEEFDEADBEEF
37064 .xword 0xDEADBEEFDEADBEEF
37065 .xword 0xDEADBEEFDEADBEEF
37066 .xword 0xDEADBEEFDEADBEEF
37067 .xword 0xDEADBEEFDEADBEEF
37068 .xword 0xDEADBEEFDEADBEEF
37069 .xword 0xDEADBEEFDEADBEEF
37070 .xword 0xDEADBEEFDEADBEEF
37071 .xword 0xDEADBEEFDEADBEEF
37072 .xword 0xDEADBEEFDEADBEEF
37073 .xword 0xDEADBEEFDEADBEEF
37074_t1_hmac_auth_key:
37075 .xword 0x7a7558d3fd35570a
37076 .xword 0x56a746652a0280e8
37077 .xword 0x168b1b0e736552ea
37078 .xword 0xb97f836ad3a736fa
37079 .xword 0x8f3822d93de174a7
37080 .xword 0xb866ac54fe8ccff3
37081 .xword 0x44006884ad9814e7
37082 .xword 0x3a435991f5ce5093
37083 .xword 0x524e96cf47725160
37084 .xword 0xdf8b31186fdf3e56
37085 .xword 0x44f7bec349252082
37086 .xword 0x085ca40e217e6188
37087 .xword 0x030ced1893974bf0
37088 .xword 0xef2c3e6e00e866fc
37089 .xword 0xddffa72af2668e2f
37090 .xword 0x3113a89acd74964d
37091 .xword 0xd02a791f3ccbf90b
37092 .xword 0xe53d4a52e2432788
37093 .xword 0x57e4200cd850497e
37094 .xword 0x79ee117b5c280270
37095 .xword 0x45c0bc7ef12e4674
37096 .xword 0xb1ad659519f119fd
37097 .xword 0x9f84c2907dbd94a6
37098_t1_hmac_auth_iv:
37099 .xword 0x5000d92de49c4a8e
37100 .xword 0x126ec0d0ebc54699
37101 .xword 0xc5e6423d4a3951d0
37102 .xword 0x7ae875bb99be21cd
37103 .xword 0xe93298d629edfbbc
37104 .xword 0xff932fd32e927f8a
37105 .xword 0xfa62559cfa4635f9
37106 .xword 0x9482060d7d0e40ea
37107 .xword 0xcd7e45e4479c968b
37108 .xword 0x6ff8bcef8b5b8a8d
37109 .xword 0xce7f9bdb120968f9
37110 .xword 0xe249ab67584201fd
37111 .xword 0xa76da55bbc79b793
37112 .xword 0xc6c411a161ba803f
37113 .xword 0xc8a0fd8891282f95
37114 .xword 0x3934c3c4dc4a14fa
37115 .xword 0x2b41bdeb19ce149b
37116 .xword 0x6edf7032a8ab175c
37117 .xword 0x5f4bc7cdf91ceab1
37118 .xword 0xfbdfb43086138bc3
37119 .xword 0x02766cbee8f71c69
37120 .xword 0x85e6d979746ca69e
37121 .xword 0x34484293a160d764
37122_t1_hmac_fas_result:
37123 .xword 0xDEADBEEFDEADBEEF
37124 .xword 0xDEADBEEFDEADBEEF
37125 .xword 0xDEADBEEFDEADBEEF
37126 .xword 0xDEADBEEFDEADBEEF
37127 .xword 0xDEADBEEFDEADBEEF
37128 .xword 0xDEADBEEFDEADBEEF
37129 .xword 0xDEADBEEFDEADBEEF
37130 .xword 0xDEADBEEFDEADBEEF
37131 .xword 0xDEADBEEFDEADBEEF
37132 .xword 0xDEADBEEFDEADBEEF
37133 .xword 0xDEADBEEFDEADBEEF
37134 .xword 0xDEADBEEFDEADBEEF
37135 .xword 0xDEADBEEFDEADBEEF
37136 .xword 0xDEADBEEFDEADBEEF
37137 .xword 0xDEADBEEFDEADBEEF
37138 .xword 0xDEADBEEFDEADBEEF
37139 .xword 0xDEADBEEFDEADBEEF
37140 .xword 0xDEADBEEFDEADBEEF
37141 .xword 0xDEADBEEFDEADBEEF
37142 .xword 0xDEADBEEFDEADBEEF
37143 .xword 0xDEADBEEFDEADBEEF
37144 .xword 0xDEADBEEFDEADBEEF
37145 .xword 0xDEADBEEFDEADBEEF
37146_t1_rc4_key_array:
37147 .xword 0xfbdc5c16ebf047f7
37148 .xword 0x45a6e55310ea22a7
37149 .xword 0x3289937d9983eb9e
37150 .xword 0x5eb3e2deefa05c16
37151 .xword 0x616c04ecefd58831
37152 .xword 0xed468051e73a7c27
37153 .xword 0xb3615e61b991f494
37154 .xword 0x139f19462ee69462
37155 .xword 0xb42cd0765e08097a
37156 .xword 0x2171c0da1b3f5eda
37157 .xword 0x5bc9e532af299d9c
37158 .xword 0x1acaf2f837211b93
37159 .xword 0x92e2080661ca5ab8
37160 .xword 0x9ff4a4dcf6407382
37161 .xword 0xd3c6b9ab18d423a3
37162 .xword 0x843614c9c93c295b
37163 .xword 0x1537d0573a1d9634
37164 .xword 0x0ab2e0e2c8a89e42
37165 .xword 0x534854e67f045f14
37166 .xword 0x66c74c10b7b22572
37167 .xword 0xb956b44480d1b9da
37168 .xword 0xe92ea7a8739d210d
37169 .xword 0x381e0516847d7726
37170 .xword 0x4a90513ed192fc36
37171 .xword 0xd79917d04433b1c4
37172 .xword 0xa9b4e4cc5c7e534e
37173 .xword 0x1d47f82518064c32
37174 .xword 0x5204b7b5d42430b6
37175 .xword 0x974087c268676b0b
37176 .xword 0xb1f2a9aac61432df
37177 .xword 0x57102920dc306b0a
37178 .xword 0x73379958c64845c6
37179 .xword 0xb21ca03607962efb
37180 .xword 0x5acf6d1cdfbec036
37181 .xword 0x6360fdff3b9a4668
37182 .xword 0xffa5dae4eed6a1eb
37183 .xword 0xdc3951b5ec1231c6
37184 .xword 0xee1da4822aa4c771
37185 .xword 0x8124497544e2434d
37186 .xword 0x85cae3850208114b
37187 .xword 0x46ddc484304cc665
37188 .xword 0x1bc863e1a11292c6
37189 .xword 0xbe47edff627390ad
37190 .xword 0xeae8397fcc7b1331
37191 .xword 0x81e40295e0ab9d4f
37192 .xword 0x31523cd85c650f31
37193 .xword 0x78f9396c165f3be8
37194 .xword 0xfcad4480a80f2cc3
37195 .xword 0x34c5d7b6f2191289
37196 .xword 0x179f49b574ce53c1
37197 .xword 0x7620253ffce856bf
37198_t1_rc4_iv_array:
37199 .xword 0x6db79d63437fcfbc
37200 .xword 0x1fb5b66501fb3d9b
37201 .xword 0x89fa67238e738fec
37202 .xword 0x2b12801d0b443ebc
37203 .xword 0xa7f60026e767d968
37204 .xword 0xc423d1c93b16db61
37205 .xword 0x0de24bb0bccbdf38
37206 .xword 0xb52ff1fa1de220b6
37207 .xword 0x8094a4bb9d729243
37208 .xword 0xbf095189796881b6
37209 .xword 0xb49107a74477200a
37210 .xword 0x499c5524bdfa4b45
37211 .xword 0xa931aee5725366d5
37212 .xword 0x53780b3de389822b
37213 .xword 0x2e56bed17ef157b6
37214 .xword 0x7bf858c421cd12ca
37215 .xword 0xed4ff572cb3f755f
37216 .xword 0x6e629eca30a20d5f
37217 .xword 0x144a15f2b0cdacde
37218 .xword 0x072c8f998445d5af
37219 .xword 0xd416dddd571308b6
37220 .xword 0x761a921771b50f23
37221 .xword 0x733c71744bb5a1be
37222 .xword 0x8edb0de8f5c91007
37223 .xword 0xd868cfbdedce2424
37224 .xword 0xf844c1a757946114
37225 .xword 0x874f564c422fa359
37226 .xword 0xe8c8bc28d55200b0
37227 .xword 0x6a1d3aea20e435cb
37228 .xword 0x81bfe1b6d50be2ec
37229 .xword 0x2625afc46fb21321
37230 .xword 0x78d4224d8783a937
37231 .xword 0xe1e4480bb2df50eb
37232 .xword 0xa760cfe3fb8be8ec
37233 .xword 0xd14112a9da050e43
37234 .xword 0xed6dffb59e73f873
37235 .xword 0x3c7d4b465b409934
37236 .xword 0xed7b17f0ff20f458
37237 .xword 0xb0a2008536b9babe
37238 .xword 0xd0cc7e51028c4b7b
37239 .xword 0x5f415c865fbe11d6
37240 .xword 0x4c308625078939d9
37241 .xword 0x9c1d58d1ba78d24f
37242 .xword 0xa6a1c2d8fa927faa
37243 .xword 0xe63614b286a6f21b
37244_t1_rc4_alignment_array:
37245 .xword 13
37246 .xword 14
37247 .xword 12
37248 .xword 14
37249 .xword 7
37250 .xword 7
37251 .xword 13
37252 .xword 13
37253 .xword 14
37254 .xword 12
37255 .xword 7
37256 .xword 0
37257 .xword 15
37258 .xword 9
37259 .xword 4
37260 .xword 14
37261 .xword 3
37262 .xword 6
37263 .xword 6
37264 .xword 13
37265 .xword 0
37266 .xword 6
37267 .xword 8
37268 .xword 12
37269 .xword 13
37270 .xword 15
37271 .xword 1
37272 .xword 5
37273 .xword 9
37274 .xword 4
37275 .xword 14
37276 .xword 0
37277 .xword 12
37278 .xword 4
37279 .xword 8
37280 .xword 0
37281 .xword 14
37282 .xword 2
37283 .xword 12
37284 .xword 8
37285 .xword 8
37286 .xword 2
37287 .xword 5
37288 .xword 10
37289 .xword 8
37290 .xword 4
37291 .xword 7
37292 .xword 1
37293 .xword 12
37294 .xword 8
37295 .xword 13
37296 .xword 10
37297 .xword 4
37298 .xword 4
37299 .xword 3
37300 .xword 1
37301 .xword 1
37302 .xword 14
37303 .xword 8
37304 .xword 1
37305 .xword 8
37306 .xword 7
37307 .xword 14
37308 .xword 7
37309 .xword 2
37310 .xword 14
37311 .xword 12
37312 .xword 7
37313 .xword 4
37314 .xword 7
37315 .xword 9
37316 .xword 5
37317 .xword 1
37318 .xword 5
37319 .xword 13
37320 .xword 9
37321 .xword 4
37322 .xword 1
37323 .xword 1
37324 .xword 10
37325 .xword 14
37326 .xword 4
37327 .xword 4
37328 .xword 14
37329 .xword 11
37330 .xword 10
37331 .xword 8
37332 .xword 11
37333 .xword 9
37334 .xword 9
37335 .xword 0
37336 .xword 8
37337 .xword 15
37338 .xword 6
37339 .xword 13
37340 .xword 3
37341 .xword 2
37342 .xword 14
37343 .xword 13
37344 .xword 8
37345 .xword 13
37346 .xword 6
37347 .xword 5
37348 .xword 5
37349 .xword 11
37350_t1_rc4_src:
37351 .xword 0x419208689c860fb9
37352 .xword 0x4cbe92e646229433
37353 .xword 0x4b7f55980273b79a
37354 .xword 0x63020a64912256c8
37355 .xword 0xd4395aa181f854a4
37356 .xword 0xe5cbceaeea7a20c0
37357 .xword 0xd2adfcd98a9c7ec2
37358 .xword 0xc6d849704942f2df
37359 .xword 0x58c3b466348c4314
37360 .xword 0x2bbf3abb5689b381
37361 .xword 0xfbc3c31e8d869651
37362 .xword 0x7fa42ad48c4ab3fd
37363 .xword 0xa1c2e0b66034f692
37364 .xword 0xc11e67954b67051c
37365 .xword 0x16a11dac8a97c7e3
37366 .xword 0x4c47b291e210140b
37367 .xword 0xfe944d26e022f34d
37368 .xword 0x380a5d42556d8710
37369 .xword 0x256c93ef271abb77
37370 .xword 0xa84dc5d7a8e255a4
37371 .xword 0xa059da2714911957
37372 .xword 0x2d85a449a3b3657c
37373 .xword 0xea9cf602d2eb705f
37374 .xword 0xef593f0e7dd9b508
37375 .xword 0x73dc00bd53111340
37376 .xword 0xb91af3d7da08fa9f
37377 .xword 0x55c31cb5c6433de7
37378 .xword 0x8ea18c480b0aec65
37379 .xword 0x0a2f5d7d1792fafb
37380 .xword 0xda2093ecac923f43
37381 .xword 0x228ba319e85718ba
37382 .xword 0x34aa5726b3e3656d
37383 .xword 0xbe742804c821e2c4
37384 .xword 0x784b8b12629977fd
37385 .xword 0xe229ff1a7259d77a
37386 .xword 0xa80b89f5169fd17b
37387 .xword 0xf7cc57e2d4209d0c
37388 .xword 0x16f8b74cc7368a47
37389 .xword 0x0aa265c05c8c9edd
37390 .xword 0x0c8e4f73501197dd
37391 .xword 0xe276e4f6c178d73e
37392 .xword 0xee749aa00f1dc390
37393 .xword 0xbb1575e77c58f866
37394 .xword 0x4e4d302eb6edf512
37395 .xword 0xc94cfb281a55d8ae
37396 .xword 0xf9dbc02281728215
37397 .xword 0xc1a8bd753c375f59
37398 .xword 0x19394168bb72a60b
37399 .xword 0x8f3344d71394eb18
37400 .xword 0x0bbc8c35f6935eb2
37401 .xword 0x5d00e9bab880f73c
37402 .xword 0x0d60829ff145822f
37403 .xword 0x13602e4884e27c80
37404 .xword 0xb050f49de4e20696
37405 .xword 0x907f7d8716b29509
37406 .xword 0x4eaa6ee812604807
37407 .xword 0x73c110754773fa50
37408 .xword 0x31e346a57da87e69
37409 .xword 0x6acce94b7abce7ea
37410 .xword 0x05888555d11e0e18
37411 .xword 0xf78e942f838cdbad
37412 .xword 0x442feae6e66d53c8
37413 .xword 0x9361ebf17b99020f
37414 .xword 0xfadae9bbd503de29
37415 .xword 0xf82b6c3951fbb914
37416 .xword 0x49bae5cd9e3cb5a1
37417 .xword 0x5d07ea545b7f115b
37418 .xword 0x3063d0e1ddc5ebec
37419 .xword 0x4404ac810af905d5
37420 .xword 0x4e2878adf49846ca
37421 .xword 0x13a4c6f94cb510b9
37422 .xword 0x590713085f8edac7
37423 .xword 0x4cac8048a36f871b
37424 .xword 0xf08f0fbe1ee30018
37425 .xword 0x9f8e80860376e2c0
37426 .xword 0x1ab503c9267e863e
37427 .xword 0x0154b4d4dcaa1804
37428 .xword 0xe30f3674f28b0b91
37429 .xword 0x79346a0ce65bf3af
37430 .xword 0x3124e5b671df204a
37431 .xword 0xedd2d498e9dbca60
37432 .xword 0xba819c1c770058b8
37433 .xword 0x10b922ffa5488718
37434 .xword 0x1a8c3754d19ae140
37435 .xword 0xce31669689bbc73c
37436 .xword 0x61b38d33da98d533
37437 .xword 0x169639df4da9b4e0
37438 .xword 0x19b35828f69c118c
37439 .xword 0x8c67a722fc6107ca
37440 .xword 0xa0622e3809c910cf
37441 .xword 0xf6e9fb75a27b8864
37442 .xword 0x85077a856062f6de
37443 .xword 0xdc24618f8db8ebc9
37444 .xword 0x22b28f37683a1754
37445 .xword 0x39f327b04abe9574
37446 .xword 0x9c556da3f4120c46
37447 .xword 0x9dbf1ad395e65e0f
37448 .xword 0xb51700eb79885d95
37449 .xword 0xd85794854ec335d3
37450 .xword 0xbfeb02d8788b92cf
37451 .xword 0x5eb9b5ca895d640c
37452 .xword 0x9316ddf5172a55b5
37453 .xword 0xa1f83261517bbb47
37454 .xword 0xd7c7d28f77545b26
37455 .xword 0x09e5b2792af49233
37456 .xword 0xcbe20fdfb6f9beac
37457 .xword 0x61196fc4d2197518
37458 .xword 0x703faf5033e14d19
37459 .xword 0x978f0f4cbb1079cc
37460 .xword 0xf002d41dce5639ee
37461 .xword 0xff1adb86efa7ff26
37462 .xword 0x8db9f275dcc3f9dd
37463 .xword 0x7aacee00b21c9040
37464 .xword 0x28cd845830cafa57
37465 .xword 0x62d883a469bf7fee
37466 .xword 0x3ce5989ac0b1429a
37467 .xword 0x3d54b091ca01b850
37468 .xword 0xdd448fbdf40b72a1
37469 .xword 0xb303b6715a09225a
37470 .xword 0x68fdff875de41f6b
37471 .xword 0x25b96e82d0ca60ae
37472 .xword 0xab09f6307f65b84b
37473 .xword 0x0707d581e99bbfff
37474 .xword 0x1b15f9a42b1d41f5
37475 .xword 0xe6bcb07bc5904047
37476 .xword 0x41ccc5a2a4f166da
37477 .xword 0x269e1e0b3b393fb2
37478 .xword 0x3002c7fcdace4ebc
37479 .xword 0xf12023c828af1c58
37480 .xword 0x03b4f8f1b14c1bfe
37481 .xword 0xc02d6a967dedf9f2
37482 .xword 0x3846b0b10121b4a9
37483 .xword 0x93c3e62d85113c24
37484 .xword 0xfb35895510d2c7e3
37485 .xword 0x57bec16621c2f80b
37486 .xword 0x7e1647bd3809fcd9
37487 .xword 0x34c8c9332becbd0e
37488 .xword 0x0e558a79146aacd4
37489 .xword 0x607ff9bdc5726dc6
37490 .xword 0xc03ac5ba5b5b681f
37491 .xword 0x95cfd030eab998e3
37492 .xword 0x8165aa1a6557eabb
37493 .xword 0xa05d8b164f3fbb9d
37494 .xword 0x8c0d7e8205a54126
37495 .xword 0x705509ff407b46b1
37496 .xword 0x7a83cd7e2913c6d8
37497 .xword 0x2645067a0c8e221a
37498 .xword 0x07e91b014ee19d1d
37499 .xword 0x9dbf8fdf6709974f
37500 .xword 0xcc78ec99a48fe647
37501 .xword 0x7947846c9af0e5e4
37502 .xword 0xd11a8554331e4525
37503 .xword 0xaa05aa8deb6d2fa6
37504 .xword 0x9e7d369494f6c760
37505 .xword 0x4e0fa19a13590451
37506 .xword 0xffcf603cd8a3e7bd
37507 .xword 0xa1406f7628998051
37508 .xword 0x44d3035264599415
37509 .xword 0xb4a5430c34d2b5a0
37510 .xword 0xf303ed04b2c35cd6
37511 .xword 0xd34b7aaeb7e21288
37512 .xword 0xf2a435bbc0bd8678
37513 .xword 0xb2d127dfbd357bfd
37514 .xword 0x817eba43ff1416b4
37515 .xword 0xc045e3783c5c8422
37516 .xword 0xd55f2622801af50f
37517 .xword 0x97031ab20be7ed32
37518 .xword 0xcc0a8c2817fe5822
37519 .xword 0xde6c05291971ad87
37520 .xword 0xa7a0946fd3f7c286
37521 .xword 0x4094fdd6fa87e9e3
37522 .xword 0x388a5e67a9aa45d7
37523 .xword 0x0fe6a89f07d34447
37524 .xword 0xa87d59a46d236eb9
37525 .xword 0x02ec4ed53b1877c2
37526 .xword 0x0cf1dfe3740683a2
37527 .xword 0xcc6821c437c3ff3b
37528 .xword 0xfb687f6b5afabb96
37529 .xword 0x88481fe4b0533293
37530 .xword 0x13dfc3f0f4810d07
37531 .xword 0x09621c14adb5d050
37532 .xword 0x46cdaf96c217c60a
37533 .xword 0x98762220da940138
37534 .xword 0x04dd4c5a6d5647b1
37535 .xword 0xf9fd5fff8ddf4387
37536 .xword 0xbee325b822c48a03
37537 .xword 0xf933bacca8956b87
37538 .xword 0x4815ecd318506fb5
37539 .xword 0x0f9aebf4726263ec
37540 .xword 0x85ede9755586b3c8
37541 .xword 0x0d8090e1d6e21ad1
37542 .xword 0x27b69dc29c6432e5
37543 .xword 0xa42e45e7163fc3a4
37544 .xword 0xad48592091d6b92a
37545 .xword 0xf69f26702a1e9e6b
37546 .xword 0xb9f35f7a12748236
37547 .xword 0x67aa45d81123d97d
37548 .xword 0x72221634a340769c
37549 .xword 0xbccc432aedde6b87
37550 .xword 0x1691189e74f9529a
37551 .xword 0x7b4c9f4a8a1443d6
37552 .xword 0xb947f41e3283b3d1
37553 .xword 0x89ee66fccccee470
37554 .xword 0x6e80d3bb76dbfb8d
37555 .xword 0x7fe0806a9e41d5f3
37556 .xword 0xfa946a7e7d61d365
37557 .xword 0xe9f4f23ea459d1be
37558 .xword 0x9a12e71675607484
37559 .xword 0xab0dd16c5b42d3f4
37560 .xword 0xc607fc4b8615c67d
37561 .xword 0xe08d871215d6b735
37562 .xword 0x44a72ffbfe85e926
37563 .xword 0xb87c9707dbb74b57
37564 .xword 0xbb909f85a0e13814
37565 .xword 0x5e3f5c67b52aa9d6
37566 .xword 0x9cbcfd28ea1a25f5
37567 .xword 0xe6b89138ff4e8a64
37568 .xword 0xfcfce24921f4a19e
37569 .xword 0x2650b75e8237f359
37570 .xword 0xf6def906571a9e9d
37571 .xword 0x85a9d003ca7d77b5
37572 .xword 0x9d9ee410b826c64b
37573 .xword 0x783c9c150b9d04db
37574 .xword 0x19154a2e9a4ef5c2
37575 .xword 0x6ebb81c1b9434f45
37576 .xword 0xd24102113c43bc58
37577 .xword 0xd5f1a33cf9bdb759
37578 .xword 0x6157de30e70616ae
37579 .xword 0x6e73bc181edf5e0f
37580 .xword 0x228128350a442ab6
37581 .xword 0x980a48fe7493279f
37582 .xword 0x74280420ba326729
37583 .xword 0x4bbfaf13e3b68fa4
37584 .xword 0x9d72e911d1cdf899
37585 .xword 0xb4b3fb0ab529da97
37586 .xword 0x0002dbbc73a32b45
37587 .xword 0x84c4040e7dcb213d
37588 .xword 0xa0ba78c3ae89540a
37589 .xword 0x79600736c824263a
37590 .xword 0x5552ddaf34b64601
37591 .xword 0x29d28d6e87efb439
37592 .xword 0xc118262268c3ba3f
37593 .xword 0xf05f9a63aed7fdb9
37594 .xword 0xe8a36dd28eb45498
37595 .xword 0x00d52ba52bcd5309
37596 .xword 0x8fbee8d1ef2587e5
37597 .xword 0x6f9ef5da6a3d3c03
37598 .xword 0xbeaed5ba76433876
37599 .xword 0x79c8a5388cca29ce
37600 .xword 0xc957470645c7d947
37601 .xword 0x599795902dea51a3
37602 .xword 0xfc6a45ad79087d6f
37603 .xword 0x8318c499cb45edd4
37604 .xword 0xcbfbfeb2b7e08734
37605 .xword 0xb7dd8e5a8c7d404d
37606 .xword 0x62ff79339c17074f
37607 .xword 0xbff72b37a41994d1
37608 .xword 0x078b03663703b982
37609 .xword 0x8874e947a734a598
37610 .xword 0x06939ffff234875d
37611 .xword 0x479fe682e728704f
37612 .xword 0x06d120188bf834d5
37613 .xword 0x73451c618f7497bd
37614 .xword 0xc3177aeb42186618
37615 .xword 0x17c73b0a8526ba6f
37616 .xword 0xef8d184202a8eafa
37617 .xword 0x9b97046e8f57fdf6
37618 .xword 0xb33c11dcc17e4013
37619 .xword 0xd8150940f92815a9
37620 .xword 0x9831eff3066cdf40
37621 .xword 0xb767fa48082d8c57
37622 .xword 0xca5739cacc0f7efe
37623 .xword 0x493c414fb32a8c01
37624 .xword 0xea3f2454a199d0ab
37625 .xword 0xa7d89bc4d6455a10
37626_t1_rc4_dest:
37627 .xword 0xDEADBEEFDEADBEEF
37628 .xword 0xDEADBEEFDEADBEEF
37629 .xword 0xDEADBEEFDEADBEEF
37630 .xword 0xDEADBEEFDEADBEEF
37631 .xword 0xDEADBEEFDEADBEEF
37632 .xword 0xDEADBEEFDEADBEEF
37633 .xword 0xDEADBEEFDEADBEEF
37634 .xword 0xDEADBEEFDEADBEEF
37635 .xword 0xDEADBEEFDEADBEEF
37636 .xword 0xDEADBEEFDEADBEEF
37637 .xword 0xDEADBEEFDEADBEEF
37638 .xword 0xDEADBEEFDEADBEEF
37639 .xword 0xDEADBEEFDEADBEEF
37640 .xword 0xDEADBEEFDEADBEEF
37641 .xword 0xDEADBEEFDEADBEEF
37642 .xword 0xDEADBEEFDEADBEEF
37643 .xword 0xDEADBEEFDEADBEEF
37644 .xword 0xDEADBEEFDEADBEEF
37645 .xword 0xDEADBEEFDEADBEEF
37646 .xword 0xDEADBEEFDEADBEEF
37647 .xword 0xDEADBEEFDEADBEEF
37648 .xword 0xDEADBEEFDEADBEEF
37649 .xword 0xDEADBEEFDEADBEEF
37650 .xword 0xDEADBEEFDEADBEEF
37651 .xword 0xDEADBEEFDEADBEEF
37652 .xword 0xDEADBEEFDEADBEEF
37653 .xword 0xDEADBEEFDEADBEEF
37654 .xword 0xDEADBEEFDEADBEEF
37655 .xword 0xDEADBEEFDEADBEEF
37656 .xword 0xDEADBEEFDEADBEEF
37657 .xword 0xDEADBEEFDEADBEEF
37658 .xword 0xDEADBEEFDEADBEEF
37659 .xword 0xDEADBEEFDEADBEEF
37660 .xword 0xDEADBEEFDEADBEEF
37661 .xword 0xDEADBEEFDEADBEEF
37662 .xword 0xDEADBEEFDEADBEEF
37663 .xword 0xDEADBEEFDEADBEEF
37664 .xword 0xDEADBEEFDEADBEEF
37665 .xword 0xDEADBEEFDEADBEEF
37666 .xword 0xDEADBEEFDEADBEEF
37667 .xword 0xDEADBEEFDEADBEEF
37668 .xword 0xDEADBEEFDEADBEEF
37669 .xword 0xDEADBEEFDEADBEEF
37670 .xword 0xDEADBEEFDEADBEEF
37671 .xword 0xDEADBEEFDEADBEEF
37672 .xword 0xDEADBEEFDEADBEEF
37673 .xword 0xDEADBEEFDEADBEEF
37674 .xword 0xDEADBEEFDEADBEEF
37675 .xword 0xDEADBEEFDEADBEEF
37676 .xword 0xDEADBEEFDEADBEEF
37677 .xword 0xDEADBEEFDEADBEEF
37678 .xword 0xDEADBEEFDEADBEEF
37679 .xword 0xDEADBEEFDEADBEEF
37680 .xword 0xDEADBEEFDEADBEEF
37681 .xword 0xDEADBEEFDEADBEEF
37682 .xword 0xDEADBEEFDEADBEEF
37683 .xword 0xDEADBEEFDEADBEEF
37684 .xword 0xDEADBEEFDEADBEEF
37685 .xword 0xDEADBEEFDEADBEEF
37686 .xword 0xDEADBEEFDEADBEEF
37687 .xword 0xDEADBEEFDEADBEEF
37688 .xword 0xDEADBEEFDEADBEEF
37689 .xword 0xDEADBEEFDEADBEEF
37690 .xword 0xDEADBEEFDEADBEEF
37691 .xword 0xDEADBEEFDEADBEEF
37692 .xword 0xDEADBEEFDEADBEEF
37693 .xword 0xDEADBEEFDEADBEEF
37694 .xword 0xDEADBEEFDEADBEEF
37695 .xword 0xDEADBEEFDEADBEEF
37696 .xword 0xDEADBEEFDEADBEEF
37697 .xword 0xDEADBEEFDEADBEEF
37698 .xword 0xDEADBEEFDEADBEEF
37699 .xword 0xDEADBEEFDEADBEEF
37700 .xword 0xDEADBEEFDEADBEEF
37701 .xword 0xDEADBEEFDEADBEEF
37702 .xword 0xDEADBEEFDEADBEEF
37703 .xword 0xDEADBEEFDEADBEEF
37704 .xword 0xDEADBEEFDEADBEEF
37705 .xword 0xDEADBEEFDEADBEEF
37706 .xword 0xDEADBEEFDEADBEEF
37707 .xword 0xDEADBEEFDEADBEEF
37708 .xword 0xDEADBEEFDEADBEEF
37709 .xword 0xDEADBEEFDEADBEEF
37710 .xword 0xDEADBEEFDEADBEEF
37711 .xword 0xDEADBEEFDEADBEEF
37712 .xword 0xDEADBEEFDEADBEEF
37713 .xword 0xDEADBEEFDEADBEEF
37714 .xword 0xDEADBEEFDEADBEEF
37715 .xword 0xDEADBEEFDEADBEEF
37716 .xword 0xDEADBEEFDEADBEEF
37717 .xword 0xDEADBEEFDEADBEEF
37718 .xword 0xDEADBEEFDEADBEEF
37719 .xword 0xDEADBEEFDEADBEEF
37720 .xword 0xDEADBEEFDEADBEEF
37721 .xword 0xDEADBEEFDEADBEEF
37722 .xword 0xDEADBEEFDEADBEEF
37723 .xword 0xDEADBEEFDEADBEEF
37724 .xword 0xDEADBEEFDEADBEEF
37725 .xword 0xDEADBEEFDEADBEEF
37726 .xword 0xDEADBEEFDEADBEEF
37727 .xword 0xDEADBEEFDEADBEEF
37728 .xword 0xDEADBEEFDEADBEEF
37729 .xword 0xDEADBEEFDEADBEEF
37730 .xword 0xDEADBEEFDEADBEEF
37731 .xword 0xDEADBEEFDEADBEEF
37732 .xword 0xDEADBEEFDEADBEEF
37733 .xword 0xDEADBEEFDEADBEEF
37734 .xword 0xDEADBEEFDEADBEEF
37735 .xword 0xDEADBEEFDEADBEEF
37736 .xword 0xDEADBEEFDEADBEEF
37737 .xword 0xDEADBEEFDEADBEEF
37738 .xword 0xDEADBEEFDEADBEEF
37739 .xword 0xDEADBEEFDEADBEEF
37740 .xword 0xDEADBEEFDEADBEEF
37741 .xword 0xDEADBEEFDEADBEEF
37742 .xword 0xDEADBEEFDEADBEEF
37743 .xword 0xDEADBEEFDEADBEEF
37744 .xword 0xDEADBEEFDEADBEEF
37745 .xword 0xDEADBEEFDEADBEEF
37746 .xword 0xDEADBEEFDEADBEEF
37747 .xword 0xDEADBEEFDEADBEEF
37748 .xword 0xDEADBEEFDEADBEEF
37749 .xword 0xDEADBEEFDEADBEEF
37750 .xword 0xDEADBEEFDEADBEEF
37751 .xword 0xDEADBEEFDEADBEEF
37752 .xword 0xDEADBEEFDEADBEEF
37753 .xword 0xDEADBEEFDEADBEEF
37754 .xword 0xDEADBEEFDEADBEEF
37755 .xword 0xDEADBEEFDEADBEEF
37756 .xword 0xDEADBEEFDEADBEEF
37757 .xword 0xDEADBEEFDEADBEEF
37758 .xword 0xDEADBEEFDEADBEEF
37759 .xword 0xDEADBEEFDEADBEEF
37760 .xword 0xDEADBEEFDEADBEEF
37761 .xword 0xDEADBEEFDEADBEEF
37762 .xword 0xDEADBEEFDEADBEEF
37763 .xword 0xDEADBEEFDEADBEEF
37764 .xword 0xDEADBEEFDEADBEEF
37765 .xword 0xDEADBEEFDEADBEEF
37766 .xword 0xDEADBEEFDEADBEEF
37767 .xword 0xDEADBEEFDEADBEEF
37768 .xword 0xDEADBEEFDEADBEEF
37769 .xword 0xDEADBEEFDEADBEEF
37770 .xword 0xDEADBEEFDEADBEEF
37771 .xword 0xDEADBEEFDEADBEEF
37772 .xword 0xDEADBEEFDEADBEEF
37773 .xword 0xDEADBEEFDEADBEEF
37774 .xword 0xDEADBEEFDEADBEEF
37775 .xword 0xDEADBEEFDEADBEEF
37776 .xword 0xDEADBEEFDEADBEEF
37777 .xword 0xDEADBEEFDEADBEEF
37778 .xword 0xDEADBEEFDEADBEEF
37779 .xword 0xDEADBEEFDEADBEEF
37780 .xword 0xDEADBEEFDEADBEEF
37781 .xword 0xDEADBEEFDEADBEEF
37782 .xword 0xDEADBEEFDEADBEEF
37783 .xword 0xDEADBEEFDEADBEEF
37784 .xword 0xDEADBEEFDEADBEEF
37785 .xword 0xDEADBEEFDEADBEEF
37786 .xword 0xDEADBEEFDEADBEEF
37787 .xword 0xDEADBEEFDEADBEEF
37788 .xword 0xDEADBEEFDEADBEEF
37789 .xword 0xDEADBEEFDEADBEEF
37790 .xword 0xDEADBEEFDEADBEEF
37791 .xword 0xDEADBEEFDEADBEEF
37792 .xword 0xDEADBEEFDEADBEEF
37793 .xword 0xDEADBEEFDEADBEEF
37794 .xword 0xDEADBEEFDEADBEEF
37795 .xword 0xDEADBEEFDEADBEEF
37796 .xword 0xDEADBEEFDEADBEEF
37797 .xword 0xDEADBEEFDEADBEEF
37798 .xword 0xDEADBEEFDEADBEEF
37799 .xword 0xDEADBEEFDEADBEEF
37800 .xword 0xDEADBEEFDEADBEEF
37801 .xword 0xDEADBEEFDEADBEEF
37802 .xword 0xDEADBEEFDEADBEEF
37803 .xword 0xDEADBEEFDEADBEEF
37804 .xword 0xDEADBEEFDEADBEEF
37805 .xword 0xDEADBEEFDEADBEEF
37806 .xword 0xDEADBEEFDEADBEEF
37807 .xword 0xDEADBEEFDEADBEEF
37808 .xword 0xDEADBEEFDEADBEEF
37809 .xword 0xDEADBEEFDEADBEEF
37810 .xword 0xDEADBEEFDEADBEEF
37811 .xword 0xDEADBEEFDEADBEEF
37812 .xword 0xDEADBEEFDEADBEEF
37813 .xword 0xDEADBEEFDEADBEEF
37814 .xword 0xDEADBEEFDEADBEEF
37815 .xword 0xDEADBEEFDEADBEEF
37816 .xword 0xDEADBEEFDEADBEEF
37817 .xword 0xDEADBEEFDEADBEEF
37818 .xword 0xDEADBEEFDEADBEEF
37819 .xword 0xDEADBEEFDEADBEEF
37820 .xword 0xDEADBEEFDEADBEEF
37821 .xword 0xDEADBEEFDEADBEEF
37822 .xword 0xDEADBEEFDEADBEEF
37823 .xword 0xDEADBEEFDEADBEEF
37824 .xword 0xDEADBEEFDEADBEEF
37825 .xword 0xDEADBEEFDEADBEEF
37826 .xword 0xDEADBEEFDEADBEEF
37827 .xword 0xDEADBEEFDEADBEEF
37828 .xword 0xDEADBEEFDEADBEEF
37829 .xword 0xDEADBEEFDEADBEEF
37830 .xword 0xDEADBEEFDEADBEEF
37831 .xword 0xDEADBEEFDEADBEEF
37832 .xword 0xDEADBEEFDEADBEEF
37833 .xword 0xDEADBEEFDEADBEEF
37834 .xword 0xDEADBEEFDEADBEEF
37835 .xword 0xDEADBEEFDEADBEEF
37836 .xword 0xDEADBEEFDEADBEEF
37837 .xword 0xDEADBEEFDEADBEEF
37838 .xword 0xDEADBEEFDEADBEEF
37839 .xword 0xDEADBEEFDEADBEEF
37840 .xword 0xDEADBEEFDEADBEEF
37841 .xword 0xDEADBEEFDEADBEEF
37842 .xword 0xDEADBEEFDEADBEEF
37843 .xword 0xDEADBEEFDEADBEEF
37844 .xword 0xDEADBEEFDEADBEEF
37845 .xword 0xDEADBEEFDEADBEEF
37846 .xword 0xDEADBEEFDEADBEEF
37847 .xword 0xDEADBEEFDEADBEEF
37848 .xword 0xDEADBEEFDEADBEEF
37849 .xword 0xDEADBEEFDEADBEEF
37850 .xword 0xDEADBEEFDEADBEEF
37851 .xword 0xDEADBEEFDEADBEEF
37852 .xword 0xDEADBEEFDEADBEEF
37853 .xword 0xDEADBEEFDEADBEEF
37854 .xword 0xDEADBEEFDEADBEEF
37855 .xword 0xDEADBEEFDEADBEEF
37856 .xword 0xDEADBEEFDEADBEEF
37857 .xword 0xDEADBEEFDEADBEEF
37858 .xword 0xDEADBEEFDEADBEEF
37859 .xword 0xDEADBEEFDEADBEEF
37860 .xword 0xDEADBEEFDEADBEEF
37861 .xword 0xDEADBEEFDEADBEEF
37862 .xword 0xDEADBEEFDEADBEEF
37863 .xword 0xDEADBEEFDEADBEEF
37864 .xword 0xDEADBEEFDEADBEEF
37865 .xword 0xDEADBEEFDEADBEEF
37866 .xword 0xDEADBEEFDEADBEEF
37867 .xword 0xDEADBEEFDEADBEEF
37868 .xword 0xDEADBEEFDEADBEEF
37869 .xword 0xDEADBEEFDEADBEEF
37870 .xword 0xDEADBEEFDEADBEEF
37871 .xword 0xDEADBEEFDEADBEEF
37872 .xword 0xDEADBEEFDEADBEEF
37873 .xword 0xDEADBEEFDEADBEEF
37874 .xword 0xDEADBEEFDEADBEEF
37875 .xword 0xDEADBEEFDEADBEEF
37876 .xword 0xDEADBEEFDEADBEEF
37877 .xword 0xDEADBEEFDEADBEEF
37878 .xword 0xDEADBEEFDEADBEEF
37879 .xword 0xDEADBEEFDEADBEEF
37880 .xword 0xDEADBEEFDEADBEEF
37881 .xword 0xDEADBEEFDEADBEEF
37882 .xword 0xDEADBEEFDEADBEEF
37883 .xword 0xDEADBEEFDEADBEEF
37884 .xword 0xDEADBEEFDEADBEEF
37885 .xword 0xDEADBEEFDEADBEEF
37886 .xword 0xDEADBEEFDEADBEEF
37887 .xword 0xDEADBEEFDEADBEEF
37888 .xword 0xDEADBEEFDEADBEEF
37889 .xword 0xDEADBEEFDEADBEEF
37890 .xword 0xDEADBEEFDEADBEEF
37891 .xword 0xDEADBEEFDEADBEEF
37892 .xword 0xDEADBEEFDEADBEEF
37893 .xword 0xDEADBEEFDEADBEEF
37894 .xword 0xDEADBEEFDEADBEEF
37895 .xword 0xDEADBEEFDEADBEEF
37896 .xword 0xDEADBEEFDEADBEEF
37897 .xword 0xDEADBEEFDEADBEEF
37898 .xword 0xDEADBEEFDEADBEEF
37899 .xword 0xDEADBEEFDEADBEEF
37900 .xword 0xDEADBEEFDEADBEEF
37901 .xword 0xDEADBEEFDEADBEEF
37902_t1_rc4_auth_key:
37903 .xword 0x0b0ca669d6381afb
37904 .xword 0xf238a6a884d65c42
37905 .xword 0xaaecd13ca1c91130
37906 .xword 0xe33ede0fc9a8a357
37907 .xword 0xd338282edf571f72
37908 .xword 0xd3fbb4345b7e8c52
37909 .xword 0xd4a012c59187aa79
37910 .xword 0x6b47b637b1742cfd
37911 .xword 0xc2dd56fb50735048
37912 .xword 0x634356aa6ca0bb85
37913 .xword 0xad1beeaea3104243
37914 .xword 0xdac298e5f01ccf45
37915 .xword 0xe56289c80ab5ecb9
37916 .xword 0x1b3053b4edc2a2d8
37917 .xword 0xa27d460fd3413bb2
37918 .xword 0x31eccf425621b01f
37919 .xword 0x302e306be40944a4
37920 .xword 0x8b6f31455313b7b1
37921 .xword 0x20ad95423b978521
37922 .xword 0xe12c8d931476b125
37923 .xword 0x210ebdcd5bc325ec
37924 .xword 0x0fac3b61ee403a85
37925 .xword 0xc37889cb2105b20b
37926_t1_rc4_auth_iv:
37927 .xword 0x5974fc9c946d4aff
37928 .xword 0xc12b70b159fcbc13
37929 .xword 0x845bf8d9106ff893
37930 .xword 0xda0702ad996685f7
37931 .xword 0x642687126d6fe2a0
37932 .xword 0x7fc778bfa89c30ce
37933 .xword 0x72f3253f6e8274eb
37934 .xword 0xd8f56221474d4b3f
37935 .xword 0x8122b10218304c6e
37936 .xword 0xa06b6bc09db8a94a
37937 .xword 0x6b543a711cce0a91
37938 .xword 0xf90a321ecfa361e8
37939 .xword 0x1bbd97b743ce69a3
37940 .xword 0xabeaf7a7a2aecc1a
37941 .xword 0x917da29e8b95db97
37942 .xword 0xbd0e08ab1704b896
37943 .xword 0x3eda0b835aef439e
37944 .xword 0x2de16dad89090727
37945 .xword 0xad7fd519832a8857
37946 .xword 0xeca97791bfbb9a1e
37947 .xword 0x91d501dec627a283
37948 .xword 0x3e4686926e3cdef3
37949 .xword 0x5c1fd4179f1f80ce
37950_t1_rc4_fas_result:
37951 .xword 0xDEADBEEFDEADBEEF
37952 .xword 0xDEADBEEFDEADBEEF
37953 .xword 0xDEADBEEFDEADBEEF
37954 .xword 0xDEADBEEFDEADBEEF
37955 .xword 0xDEADBEEFDEADBEEF
37956 .xword 0xDEADBEEFDEADBEEF
37957 .xword 0xDEADBEEFDEADBEEF
37958 .xword 0xDEADBEEFDEADBEEF
37959 .xword 0xDEADBEEFDEADBEEF
37960 .xword 0xDEADBEEFDEADBEEF
37961 .xword 0xDEADBEEFDEADBEEF
37962 .xword 0xDEADBEEFDEADBEEF
37963 .xword 0xDEADBEEFDEADBEEF
37964 .xword 0xDEADBEEFDEADBEEF
37965 .xword 0xDEADBEEFDEADBEEF
37966 .xword 0xDEADBEEFDEADBEEF
37967 .xword 0xDEADBEEFDEADBEEF
37968 .xword 0xDEADBEEFDEADBEEF
37969 .xword 0xDEADBEEFDEADBEEF
37970 .xword 0xDEADBEEFDEADBEEF
37971 .xword 0xDEADBEEFDEADBEEF
37972 .xword 0xDEADBEEFDEADBEEF
37973 .xword 0xDEADBEEFDEADBEEF
37974_t1_sslkey_key_array:
37975 .xword 0x75e1e3267d581544
37976 .xword 0x755ac95a965fe330
37977 .xword 0x66e17dcc4e671086
37978 .xword 0x32dcf7239853f78a
37979 .xword 0xa0afda4533a38cab
37980 .xword 0xed451aad40bff2c6
37981 .xword 0x05bc62cb29d2d7d6
37982 .xword 0x748dcd680fc6cbfb
37983 .xword 0xa30e35465c18b29a
37984 .xword 0x8d3cc69163004ef7
37985 .xword 0xc1582c4cbf32b4ae
37986 .xword 0x440e29cc551c88aa
37987 .xword 0xe0878759358e97e7
37988 .xword 0x9fa56e0251ed0fff
37989 .xword 0xe80f495d4035110e
37990 .xword 0x003c2b3a0272fd1a
37991 .xword 0x43e0810cf0eaeacd
37992 .xword 0x9105b66cc27b6073
37993 .xword 0x9680910e7b0aaacf
37994 .xword 0x9033bcd34891223a
37995 .xword 0xca8b39909fcbbda5
37996 .xword 0x185a9cc8a8f604b3
37997 .xword 0xda2eab6af0acfd4d
37998 .xword 0xb34cd4c3c2205c48
37999 .xword 0xd0151af03ef25146
38000 .xword 0xc0ed61e36853a006
38001 .xword 0x7510ff9d89c6cb00
38002 .xword 0x3bd89b12d4c97856
38003 .xword 0xe3f34046060f4f66
38004 .xword 0x0fa37989520dc1d3
38005 .xword 0xf6345656d99b9eb3
38006 .xword 0xc98538c854cd2385
38007 .xword 0x77c7e7fdeca8fb95
38008 .xword 0x9bec1e533faf3c80
38009 .xword 0x7f24f97cd13f12eb
38010 .xword 0x446e98d9298e2598
38011 .xword 0xc1e4d59a0b684833
38012 .xword 0xada7cb2c06028885
38013 .xword 0x211610601d6e02bd
38014 .xword 0xe10b6f112215370f
38015 .xword 0xabc60418f124475b
38016 .xword 0x0546a6d9486201e1
38017 .xword 0x4671520225fba3b9
38018 .xword 0x32f16def24204b3f
38019 .xword 0xe880464ad82bdafc
38020 .xword 0xbb402744079002b4
38021 .xword 0x832e299718bfff08
38022 .xword 0xff6cdf1668a5bdf1
38023 .xword 0xe22b88ecd6561b25
38024 .xword 0x735ffbfe797a6ad4
38025 .xword 0x845f7af3e5199a19
38026_t1_sslkey_iv_array:
38027 .xword 0xfcc6c3b7fb0ab833
38028 .xword 0xee3f5e4877f69a41
38029 .xword 0x244cc1d24bb6d957
38030 .xword 0x29b4dbaf80286ab0
38031 .xword 0x7a9163016607918e
38032 .xword 0x367169cf53348a31
38033 .xword 0xabdc682df8115a59
38034 .xword 0xe8698f24dac10c12
38035 .xword 0x63004cdb5b1b3efd
38036 .xword 0x5760a98c48298994
38037 .xword 0xc230d225f9bbe29e
38038 .xword 0x4dee0f878d124c5c
38039 .xword 0xee680ad44ed48f76
38040 .xword 0x5a7c5a0b0964c74d
38041 .xword 0x9c0cd0d08e8ca651
38042 .xword 0xea20baa3e88832ab
38043 .xword 0x66687036ac1d132c
38044 .xword 0x3cd648e570936558
38045 .xword 0x8f882849dd23981c
38046 .xword 0xd99ed139a7e258c0
38047 .xword 0x1661217d85b876f6
38048 .xword 0x2f85d3c85c16ea23
38049 .xword 0x4b9a9cf47e4afb7e
38050 .xword 0x1926bfa576d6c20f
38051 .xword 0x85b9e4ddd1880dd8
38052 .xword 0x4d1b42400df1a232
38053 .xword 0xf12b4050432fe3cd
38054 .xword 0x2a687e7bef417d1f
38055 .xword 0xf93bf14cb7de739a
38056 .xword 0x3820606d9fb976e4
38057 .xword 0xf1be78b9f54737c4
38058 .xword 0x983f65716cd621d1
38059 .xword 0xf0f077ec7932f084
38060 .xword 0x83a3bb5272e1f128
38061 .xword 0x884b605dd46545cf
38062 .xword 0x4efa934732e4d3e7
38063 .xword 0x5dcd1bbe9cb6a2b9
38064 .xword 0xcf790aa27e386f4d
38065 .xword 0x5a62167df5935881
38066 .xword 0xb0bcf2f12c92dcaf
38067 .xword 0xcd320f3b3852c8b4
38068 .xword 0x34eac5da639e631b
38069 .xword 0x70ca4dc2dd7a3ce9
38070 .xword 0x0d0223eadf47300c
38071 .xword 0xfd16f180a657ab66
38072_t1_sslkey_alignment_array:
38073 .xword 0
38074 .xword 0
38075 .xword 0
38076 .xword 0
38077 .xword 0
38078 .xword 0
38079 .xword 0
38080 .xword 0
38081 .xword 0
38082 .xword 0
38083 .xword 0
38084 .xword 0
38085 .xword 0
38086 .xword 0
38087 .xword 0
38088 .xword 0
38089 .xword 0
38090 .xword 0
38091 .xword 0
38092 .xword 0
38093 .xword 0
38094 .xword 0
38095 .xword 0
38096 .xword 0
38097 .xword 0
38098 .xword 0
38099 .xword 0
38100 .xword 0
38101 .xword 0
38102 .xword 0
38103 .xword 0
38104 .xword 0
38105 .xword 0
38106 .xword 0
38107 .xword 0
38108 .xword 0
38109 .xword 0
38110 .xword 0
38111 .xword 0
38112 .xword 0
38113 .xword 0
38114 .xword 0
38115 .xword 0
38116 .xword 0
38117 .xword 0
38118 .xword 0
38119 .xword 0
38120 .xword 0
38121 .xword 0
38122 .xword 0
38123 .xword 0
38124 .xword 0
38125 .xword 0
38126 .xword 0
38127 .xword 0
38128 .xword 0
38129 .xword 0
38130 .xword 0
38131 .xword 0
38132 .xword 0
38133 .xword 0
38134 .xword 0
38135 .xword 0
38136 .xword 0
38137 .xword 0
38138 .xword 0
38139 .xword 0
38140 .xword 0
38141 .xword 0
38142 .xword 0
38143 .xword 0
38144 .xword 0
38145 .xword 0
38146 .xword 0
38147 .xword 0
38148 .xword 0
38149 .xword 0
38150 .xword 0
38151 .xword 0
38152 .xword 0
38153 .xword 0
38154 .xword 0
38155 .xword 0
38156 .xword 0
38157 .xword 0
38158 .xword 0
38159 .xword 0
38160 .xword 0
38161 .xword 0
38162 .xword 0
38163 .xword 0
38164 .xword 0
38165 .xword 0
38166 .xword 0
38167 .xword 0
38168 .xword 0
38169 .xword 0
38170 .xword 0
38171 .xword 0
38172 .xword 0
38173 .xword 0
38174 .xword 0
38175 .xword 0
38176 .xword 0
38177 .xword 0
38178_t1_sslkey_src:
38179 .xword 0x465ad174f7444eab
38180 .xword 0x8f22912fbc985931
38181 .xword 0x48b739c8362272e2
38182 .xword 0x441daf9a4a4170d1
38183 .xword 0x011c0ae2c30a0dab
38184 .xword 0x59cc1e6688596d9c
38185 .xword 0x511d8e4f0956746d
38186 .xword 0x3834813b13f81430
38187 .xword 0x34b74ff9cc5b25bb
38188 .xword 0x04049df2ce7216f4
38189 .xword 0xdee8ae02c52996f0
38190 .xword 0xaf3c2225e4348f9a
38191 .xword 0xdade47e0a985050d
38192 .xword 0xb86c936e7aee3f72
38193 .xword 0xf3b407a18cdf2a34
38194 .xword 0x5baaecdbeec8c88f
38195 .xword 0x58760019c83375ea
38196 .xword 0x222cdddc6d781c07
38197 .xword 0x493679dcd47745cb
38198 .xword 0xa64b038d37177803
38199 .xword 0x10c297b8514e06a2
38200 .xword 0x565a42bb5d03e5e6
38201 .xword 0x65ce0b6fa7deafd4
38202 .xword 0x49d4aeeff83eaa76
38203 .xword 0x9318c38ca48de84b
38204 .xword 0x3e19a278f102fe91
38205 .xword 0x1cc72c80b0f36a5f
38206 .xword 0x7c04c377b64b59a2
38207 .xword 0xef38c2aa4f264072
38208 .xword 0x20a38f3223d2e554
38209 .xword 0x324e16137261fc30
38210 .xword 0x552529d9aa58396e
38211 .xword 0x1e6671e2090b4183
38212 .xword 0xa0fbdccb7ca6e046
38213 .xword 0xc2e0f991e54822dd
38214 .xword 0x7010913fbca775b9
38215 .xword 0x13129867fcfaacb6
38216 .xword 0xeda938386c4077ae
38217 .xword 0xc719e017f149290f
38218 .xword 0xad5eee5bac899451
38219 .xword 0x2e3d798a0292c88b
38220 .xword 0x35f8e8a23d51c8ec
38221 .xword 0xf600df079144af6f
38222 .xword 0x37c2cab8e85d4bde
38223 .xword 0xa8105e3fe0aa9c5c
38224 .xword 0x5779a04c657dc082
38225 .xword 0x664b91bebe0e7c09
38226 .xword 0x37c16bb914734242
38227 .xword 0xe9fa89a3ca9b9f64
38228 .xword 0x4086cc1ff1bdf546
38229 .xword 0x6aee426b93c0ddbf
38230 .xword 0x2b88edb10cbcaddf
38231 .xword 0x4ab2cc5fd96a893a
38232 .xword 0x924f570692f37d42
38233 .xword 0x22201cb48a01b7d8
38234 .xword 0x0d67ebb952b46e2d
38235 .xword 0x67040ceefa5a9564
38236 .xword 0x3ef1ee2223281577
38237 .xword 0x31f0b6dc57838507
38238 .xword 0x44bfd73a18df1166
38239 .xword 0xc7d91b51ba637223
38240 .xword 0x06e10c4a1b3284c2
38241 .xword 0x1ff913ed2e0ad781
38242 .xword 0x7767b3b4b1831964
38243 .xword 0x5ca1c2d77b74c666
38244 .xword 0xc77db68958bafe2a
38245 .xword 0xfee78a1df93c29e0
38246 .xword 0x868532079df1d7a4
38247 .xword 0x5f96ab0780d1ce12
38248 .xword 0x8eedb6c92b642311
38249 .xword 0xc9da786e62d4cd9c
38250 .xword 0x946eafbde8c93148
38251 .xword 0x966c4822272f28fb
38252 .xword 0xc2f0135b2cfceb81
38253 .xword 0xc7745463679336df
38254 .xword 0x0b06d92400010512
38255 .xword 0x112096f8e1f22576
38256 .xword 0xb5ee724dda70c8c6
38257 .xword 0x57d04dddff6d67d4
38258 .xword 0x66a58a75b6ad7615
38259 .xword 0xd1e0d620e16ab75f
38260 .xword 0x5904cec0c056c9d8
38261 .xword 0x1439a465454607ba
38262 .xword 0x24536069936235b8
38263 .xword 0x6903b80fa6bc907d
38264 .xword 0x207aae237d0b6ca5
38265 .xword 0xafd28589d771dd5b
38266 .xword 0xa509fa1f3272adfc
38267 .xword 0x44fa26be59d391e2
38268 .xword 0xfa6404eb827e90db
38269 .xword 0x31024975c04963dc
38270 .xword 0x41e2e8488824f065
38271 .xword 0xee5144257e37bb16
38272 .xword 0xaa198de29e0ea0a6
38273 .xword 0x6584a9caadfa7042
38274 .xword 0xb09c1e84028af169
38275 .xword 0x9754761be4213424
38276 .xword 0xf0de2669c1c4b8a7
38277 .xword 0x52b609d5c339b904
38278 .xword 0x2834256ccac56873
38279 .xword 0xd5c45d19cd112593
38280 .xword 0xf27b96e7f0260027
38281 .xword 0x2e3833f5ee47f8f1
38282 .xword 0xbf602e983d156aae
38283 .xword 0xe4db41e694ec4516
38284 .xword 0x6d2c35391a3aa879
38285 .xword 0xec6be50984740cf4
38286 .xword 0xcdd5a4ca23b1140c
38287 .xword 0x1cdd37474dce62a2
38288 .xword 0x795d683d4227f980
38289 .xword 0x2c632f29e8ab7a85
38290 .xword 0xe70705dcd503e94d
38291 .xword 0xd310a6c5884f040d
38292 .xword 0x8c29706e36a707bb
38293 .xword 0x24aff839f15e8810
38294 .xword 0xd1650665cf992f24
38295 .xword 0xed24d6cea8f723b5
38296 .xword 0xdd9e19eb9ed3946f
38297 .xword 0x0af05a9a6832695d
38298 .xword 0xaa443fdd171ae927
38299 .xword 0x0d2445b8f730ea46
38300 .xword 0x7c2f1544b4734786
38301 .xword 0x72e28f4ac0bcb9f6
38302 .xword 0xff69ba7b387ae47e
38303 .xword 0xc0cff1b3d7e67514
38304 .xword 0x83e7d05bb822f8a9
38305 .xword 0x6c1dd740f6165e80
38306 .xword 0xc8d956ccf7d85775
38307 .xword 0x835d3c45978ee492
38308 .xword 0xd6e00839dc122eed
38309 .xword 0x44a22a7f85150d85
38310 .xword 0xbfb1c8e3c3330081
38311 .xword 0xd0dfb2672e17c39d
38312 .xword 0x0437ff3ee95f9415
38313 .xword 0xd2a49d7d669232cf
38314 .xword 0x3ced1e0a021f8234
38315 .xword 0xc56bab55d1605daf
38316 .xword 0xf2fd6738c6e0974f
38317 .xword 0x74d81c8489c594d1
38318 .xword 0xf2fcf609fa3c1d61
38319 .xword 0xca57815514c7bad7
38320 .xword 0x0e80550c6a9a82b2
38321 .xword 0x7ee11c74534d5c64
38322 .xword 0xfee279bbb3302dea
38323 .xword 0x75d89ecfbdf2f80a
38324 .xword 0xacfc36cb64fcc27f
38325 .xword 0x2d6db169c120e413
38326 .xword 0x757788531b14acad
38327 .xword 0xbea933ae2c4570e3
38328 .xword 0xca46f7eda0b3738b
38329 .xword 0x84d5757dc37e5f19
38330 .xword 0x2e79f1c925d559bc
38331 .xword 0x1ba1b7a2ccabb48f
38332 .xword 0xeb7a4471d7d248c2
38333 .xword 0xee5ba73a11c0b1c0
38334 .xword 0x8a67a0b5d17035cd
38335 .xword 0x4eb85750c48ae29e
38336 .xword 0x2d92a7019eb3c678
38337 .xword 0x33fa8f461fd90d16
38338 .xword 0xefb358292cd2d340
38339 .xword 0xfbb6692e794ecef7
38340 .xword 0x585e7f355fa87e9c
38341 .xword 0xba17b724693ff316
38342 .xword 0xd57bcb068a18c065
38343 .xword 0x46bdb8e7779b62e2
38344 .xword 0x866b98837f2e85e0
38345 .xword 0x82337a9f73b87d3a
38346 .xword 0x7edc281c329dba4f
38347 .xword 0x88baf4cb124ca735
38348 .xword 0x4f9cc6e52c6e7b16
38349 .xword 0xf37707795d9d17a1
38350 .xword 0x21d94160f636fe66
38351 .xword 0x366aa8f9b4b83dd1
38352 .xword 0xb09f76895b9565a7
38353 .xword 0x45b13e633b1f35ea
38354 .xword 0xe7ed3d9883869a4a
38355 .xword 0x5009f016d6081aac
38356 .xword 0x32abdbb498aba933
38357 .xword 0x76b60f8088492dbe
38358 .xword 0x2049d92cf309b0eb
38359 .xword 0x20a55cf353ac0497
38360 .xword 0x6d9be2725c50050b
38361 .xword 0x068e8d9f29426ea0
38362 .xword 0x78d698505324357b
38363 .xword 0x21a99be19fbf7d97
38364 .xword 0x2c83a95fcb98067a
38365 .xword 0xde99a11d2bc17062
38366 .xword 0x85b18d3c5a893902
38367 .xword 0x854153204c60a1c3
38368 .xword 0xeac188a11709ff95
38369 .xword 0xe510eaedd6507fea
38370 .xword 0x6562c6a5c98a841f
38371 .xword 0x5273f5117836f0a9
38372 .xword 0xe6d70a64490aa329
38373 .xword 0xe475bb77241714ec
38374 .xword 0x2f01a045639bb83b
38375 .xword 0x0bd992fda42f1e5b
38376 .xword 0x0f2ce4ba818e76e5
38377 .xword 0x34b1beda4942cdbb
38378 .xword 0x3b23162b247582de
38379 .xword 0x6882726c0991c0f6
38380 .xword 0x605a250570cc75c5
38381 .xword 0x878769e15e39da94
38382 .xword 0xfd711e8f551908ea
38383 .xword 0x47e69076794f105b
38384 .xword 0x954874a050a2fddd
38385 .xword 0x3e842086b0087484
38386 .xword 0x2743316dda59d1cb
38387 .xword 0x0d7e7b3333d5c087
38388 .xword 0x53c97132033fe292
38389 .xword 0x37ce94e46504f212
38390 .xword 0xa33f2b1ce0697168
38391 .xword 0x167f0a9cebb8a4e6
38392 .xword 0xca318677e00e4ff8
38393 .xword 0x3d655bac65925f2c
38394 .xword 0xfab124a50266f572
38395 .xword 0x0fd4eb4c470fb78a
38396 .xword 0x04bc06c6bf7466d8
38397 .xword 0x341a2fa548163374
38398 .xword 0x9c6f78b610a9c598
38399 .xword 0x70acd0a2b9fe0dc2
38400 .xword 0x81bc6ec209351a6f
38401 .xword 0xcf02d693696cf746
38402 .xword 0x415daee1e729c317
38403 .xword 0x582a62c2e2da716c
38404 .xword 0x6b13368f1b94c7ab
38405 .xword 0xfca0dd05681d7d4a
38406 .xword 0xe72877299a65eb65
38407 .xword 0x93376319c0eba2fa
38408 .xword 0x0b0d7a6fe50a298c
38409 .xword 0x97dffb96ebd88555
38410 .xword 0x5b44b475d348ab94
38411 .xword 0xeef450b5f608908a
38412 .xword 0x2ca69fd54318510b
38413 .xword 0x0d68924b4883b132
38414 .xword 0x45fcaa5ac1271254
38415 .xword 0x3829bbb3c6c650d7
38416 .xword 0x4b54b03a2d5a1435
38417 .xword 0x8b0f13ce95798e21
38418 .xword 0x32009b9ff2f48ea4
38419 .xword 0x99aea8cfdcbcfc24
38420 .xword 0xf09ea07b031a49e9
38421 .xword 0xa577048ed9b08915
38422 .xword 0xec6804ba2566088a
38423 .xword 0x89a9a808367e9254
38424 .xword 0xfcbe81b46b6256d7
38425 .xword 0x5a24e9a1324c51e2
38426 .xword 0x010460d360de41c4
38427 .xword 0x671a44ee4730bc06
38428 .xword 0xb431d192d224e035
38429 .xword 0xc2d203869ed26806
38430 .xword 0x7d0f9805770192f2
38431 .xword 0x20b068b9c8b8eb78
38432 .xword 0x550a23f5d41a141e
38433 .xword 0x093991ee2660c8ea
38434 .xword 0xa87a855178e2bcad
38435 .xword 0xc53b8753209f5783
38436 .xword 0x15a49ba2f0b893c2
38437 .xword 0xbc5e93c9114aa1b9
38438 .xword 0xc76c7b116125741b
38439 .xword 0x84a07ce09a2bc785
38440 .xword 0x8699110ce57c0148
38441 .xword 0x03ede4c53704bd42
38442 .xword 0xb9f91c9e7e7e501f
38443 .xword 0xac0c65e8d43defe9
38444 .xword 0x4c2d7f8b05da2849
38445 .xword 0x215093999123d985
38446 .xword 0xba79670b2513cf78
38447 .xword 0xf3f170c52e67dd7c
38448 .xword 0x817ad1b23c935958
38449 .xword 0xa6f87a966e255a05
38450 .xword 0xd1d34f2b07238c02
38451 .xword 0x0acb557f01a72b07
38452 .xword 0x0cf7f5f037cef986
38453 .xword 0x43bd8d1394499cde
38454_t1_sslkey_dest:
38455 .xword 0xDEADBEEFDEADBEEF
38456 .xword 0xDEADBEEFDEADBEEF
38457 .xword 0xDEADBEEFDEADBEEF
38458 .xword 0xDEADBEEFDEADBEEF
38459 .xword 0xDEADBEEFDEADBEEF
38460 .xword 0xDEADBEEFDEADBEEF
38461 .xword 0xDEADBEEFDEADBEEF
38462 .xword 0xDEADBEEFDEADBEEF
38463 .xword 0xDEADBEEFDEADBEEF
38464 .xword 0xDEADBEEFDEADBEEF
38465 .xword 0xDEADBEEFDEADBEEF
38466 .xword 0xDEADBEEFDEADBEEF
38467 .xword 0xDEADBEEFDEADBEEF
38468 .xword 0xDEADBEEFDEADBEEF
38469 .xword 0xDEADBEEFDEADBEEF
38470 .xword 0xDEADBEEFDEADBEEF
38471 .xword 0xDEADBEEFDEADBEEF
38472 .xword 0xDEADBEEFDEADBEEF
38473 .xword 0xDEADBEEFDEADBEEF
38474 .xword 0xDEADBEEFDEADBEEF
38475 .xword 0xDEADBEEFDEADBEEF
38476 .xword 0xDEADBEEFDEADBEEF
38477 .xword 0xDEADBEEFDEADBEEF
38478 .xword 0xDEADBEEFDEADBEEF
38479 .xword 0xDEADBEEFDEADBEEF
38480 .xword 0xDEADBEEFDEADBEEF
38481 .xword 0xDEADBEEFDEADBEEF
38482 .xword 0xDEADBEEFDEADBEEF
38483 .xword 0xDEADBEEFDEADBEEF
38484 .xword 0xDEADBEEFDEADBEEF
38485 .xword 0xDEADBEEFDEADBEEF
38486 .xword 0xDEADBEEFDEADBEEF
38487 .xword 0xDEADBEEFDEADBEEF
38488 .xword 0xDEADBEEFDEADBEEF
38489 .xword 0xDEADBEEFDEADBEEF
38490 .xword 0xDEADBEEFDEADBEEF
38491 .xword 0xDEADBEEFDEADBEEF
38492 .xword 0xDEADBEEFDEADBEEF
38493 .xword 0xDEADBEEFDEADBEEF
38494 .xword 0xDEADBEEFDEADBEEF
38495 .xword 0xDEADBEEFDEADBEEF
38496 .xword 0xDEADBEEFDEADBEEF
38497 .xword 0xDEADBEEFDEADBEEF
38498 .xword 0xDEADBEEFDEADBEEF
38499 .xword 0xDEADBEEFDEADBEEF
38500 .xword 0xDEADBEEFDEADBEEF
38501 .xword 0xDEADBEEFDEADBEEF
38502 .xword 0xDEADBEEFDEADBEEF
38503 .xword 0xDEADBEEFDEADBEEF
38504 .xword 0xDEADBEEFDEADBEEF
38505 .xword 0xDEADBEEFDEADBEEF
38506 .xword 0xDEADBEEFDEADBEEF
38507 .xword 0xDEADBEEFDEADBEEF
38508 .xword 0xDEADBEEFDEADBEEF
38509 .xword 0xDEADBEEFDEADBEEF
38510 .xword 0xDEADBEEFDEADBEEF
38511 .xword 0xDEADBEEFDEADBEEF
38512 .xword 0xDEADBEEFDEADBEEF
38513 .xword 0xDEADBEEFDEADBEEF
38514 .xword 0xDEADBEEFDEADBEEF
38515 .xword 0xDEADBEEFDEADBEEF
38516 .xword 0xDEADBEEFDEADBEEF
38517 .xword 0xDEADBEEFDEADBEEF
38518 .xword 0xDEADBEEFDEADBEEF
38519 .xword 0xDEADBEEFDEADBEEF
38520 .xword 0xDEADBEEFDEADBEEF
38521 .xword 0xDEADBEEFDEADBEEF
38522 .xword 0xDEADBEEFDEADBEEF
38523 .xword 0xDEADBEEFDEADBEEF
38524 .xword 0xDEADBEEFDEADBEEF
38525 .xword 0xDEADBEEFDEADBEEF
38526 .xword 0xDEADBEEFDEADBEEF
38527 .xword 0xDEADBEEFDEADBEEF
38528 .xword 0xDEADBEEFDEADBEEF
38529 .xword 0xDEADBEEFDEADBEEF
38530 .xword 0xDEADBEEFDEADBEEF
38531 .xword 0xDEADBEEFDEADBEEF
38532 .xword 0xDEADBEEFDEADBEEF
38533 .xword 0xDEADBEEFDEADBEEF
38534 .xword 0xDEADBEEFDEADBEEF
38535 .xword 0xDEADBEEFDEADBEEF
38536 .xword 0xDEADBEEFDEADBEEF
38537 .xword 0xDEADBEEFDEADBEEF
38538 .xword 0xDEADBEEFDEADBEEF
38539 .xword 0xDEADBEEFDEADBEEF
38540 .xword 0xDEADBEEFDEADBEEF
38541 .xword 0xDEADBEEFDEADBEEF
38542 .xword 0xDEADBEEFDEADBEEF
38543 .xword 0xDEADBEEFDEADBEEF
38544 .xword 0xDEADBEEFDEADBEEF
38545 .xword 0xDEADBEEFDEADBEEF
38546 .xword 0xDEADBEEFDEADBEEF
38547 .xword 0xDEADBEEFDEADBEEF
38548 .xword 0xDEADBEEFDEADBEEF
38549 .xword 0xDEADBEEFDEADBEEF
38550 .xword 0xDEADBEEFDEADBEEF
38551 .xword 0xDEADBEEFDEADBEEF
38552 .xword 0xDEADBEEFDEADBEEF
38553 .xword 0xDEADBEEFDEADBEEF
38554 .xword 0xDEADBEEFDEADBEEF
38555 .xword 0xDEADBEEFDEADBEEF
38556 .xword 0xDEADBEEFDEADBEEF
38557 .xword 0xDEADBEEFDEADBEEF
38558 .xword 0xDEADBEEFDEADBEEF
38559 .xword 0xDEADBEEFDEADBEEF
38560 .xword 0xDEADBEEFDEADBEEF
38561 .xword 0xDEADBEEFDEADBEEF
38562 .xword 0xDEADBEEFDEADBEEF
38563 .xword 0xDEADBEEFDEADBEEF
38564 .xword 0xDEADBEEFDEADBEEF
38565 .xword 0xDEADBEEFDEADBEEF
38566 .xword 0xDEADBEEFDEADBEEF
38567 .xword 0xDEADBEEFDEADBEEF
38568 .xword 0xDEADBEEFDEADBEEF
38569 .xword 0xDEADBEEFDEADBEEF
38570 .xword 0xDEADBEEFDEADBEEF
38571 .xword 0xDEADBEEFDEADBEEF
38572 .xword 0xDEADBEEFDEADBEEF
38573 .xword 0xDEADBEEFDEADBEEF
38574 .xword 0xDEADBEEFDEADBEEF
38575 .xword 0xDEADBEEFDEADBEEF
38576 .xword 0xDEADBEEFDEADBEEF
38577 .xword 0xDEADBEEFDEADBEEF
38578 .xword 0xDEADBEEFDEADBEEF
38579 .xword 0xDEADBEEFDEADBEEF
38580 .xword 0xDEADBEEFDEADBEEF
38581 .xword 0xDEADBEEFDEADBEEF
38582 .xword 0xDEADBEEFDEADBEEF
38583 .xword 0xDEADBEEFDEADBEEF
38584 .xword 0xDEADBEEFDEADBEEF
38585 .xword 0xDEADBEEFDEADBEEF
38586 .xword 0xDEADBEEFDEADBEEF
38587 .xword 0xDEADBEEFDEADBEEF
38588 .xword 0xDEADBEEFDEADBEEF
38589 .xword 0xDEADBEEFDEADBEEF
38590 .xword 0xDEADBEEFDEADBEEF
38591 .xword 0xDEADBEEFDEADBEEF
38592 .xword 0xDEADBEEFDEADBEEF
38593 .xword 0xDEADBEEFDEADBEEF
38594 .xword 0xDEADBEEFDEADBEEF
38595 .xword 0xDEADBEEFDEADBEEF
38596 .xword 0xDEADBEEFDEADBEEF
38597 .xword 0xDEADBEEFDEADBEEF
38598 .xword 0xDEADBEEFDEADBEEF
38599 .xword 0xDEADBEEFDEADBEEF
38600 .xword 0xDEADBEEFDEADBEEF
38601 .xword 0xDEADBEEFDEADBEEF
38602 .xword 0xDEADBEEFDEADBEEF
38603 .xword 0xDEADBEEFDEADBEEF
38604 .xword 0xDEADBEEFDEADBEEF
38605 .xword 0xDEADBEEFDEADBEEF
38606 .xword 0xDEADBEEFDEADBEEF
38607 .xword 0xDEADBEEFDEADBEEF
38608 .xword 0xDEADBEEFDEADBEEF
38609 .xword 0xDEADBEEFDEADBEEF
38610 .xword 0xDEADBEEFDEADBEEF
38611 .xword 0xDEADBEEFDEADBEEF
38612 .xword 0xDEADBEEFDEADBEEF
38613 .xword 0xDEADBEEFDEADBEEF
38614 .xword 0xDEADBEEFDEADBEEF
38615 .xword 0xDEADBEEFDEADBEEF
38616 .xword 0xDEADBEEFDEADBEEF
38617 .xword 0xDEADBEEFDEADBEEF
38618 .xword 0xDEADBEEFDEADBEEF
38619 .xword 0xDEADBEEFDEADBEEF
38620 .xword 0xDEADBEEFDEADBEEF
38621 .xword 0xDEADBEEFDEADBEEF
38622 .xword 0xDEADBEEFDEADBEEF
38623 .xword 0xDEADBEEFDEADBEEF
38624 .xword 0xDEADBEEFDEADBEEF
38625 .xword 0xDEADBEEFDEADBEEF
38626 .xword 0xDEADBEEFDEADBEEF
38627 .xword 0xDEADBEEFDEADBEEF
38628 .xword 0xDEADBEEFDEADBEEF
38629 .xword 0xDEADBEEFDEADBEEF
38630 .xword 0xDEADBEEFDEADBEEF
38631 .xword 0xDEADBEEFDEADBEEF
38632 .xword 0xDEADBEEFDEADBEEF
38633 .xword 0xDEADBEEFDEADBEEF
38634 .xword 0xDEADBEEFDEADBEEF
38635 .xword 0xDEADBEEFDEADBEEF
38636 .xword 0xDEADBEEFDEADBEEF
38637 .xword 0xDEADBEEFDEADBEEF
38638 .xword 0xDEADBEEFDEADBEEF
38639 .xword 0xDEADBEEFDEADBEEF
38640 .xword 0xDEADBEEFDEADBEEF
38641 .xword 0xDEADBEEFDEADBEEF
38642 .xword 0xDEADBEEFDEADBEEF
38643 .xword 0xDEADBEEFDEADBEEF
38644 .xword 0xDEADBEEFDEADBEEF
38645 .xword 0xDEADBEEFDEADBEEF
38646 .xword 0xDEADBEEFDEADBEEF
38647 .xword 0xDEADBEEFDEADBEEF
38648 .xword 0xDEADBEEFDEADBEEF
38649 .xword 0xDEADBEEFDEADBEEF
38650 .xword 0xDEADBEEFDEADBEEF
38651 .xword 0xDEADBEEFDEADBEEF
38652 .xword 0xDEADBEEFDEADBEEF
38653 .xword 0xDEADBEEFDEADBEEF
38654 .xword 0xDEADBEEFDEADBEEF
38655 .xword 0xDEADBEEFDEADBEEF
38656 .xword 0xDEADBEEFDEADBEEF
38657 .xword 0xDEADBEEFDEADBEEF
38658 .xword 0xDEADBEEFDEADBEEF
38659 .xword 0xDEADBEEFDEADBEEF
38660 .xword 0xDEADBEEFDEADBEEF
38661 .xword 0xDEADBEEFDEADBEEF
38662 .xword 0xDEADBEEFDEADBEEF
38663 .xword 0xDEADBEEFDEADBEEF
38664 .xword 0xDEADBEEFDEADBEEF
38665 .xword 0xDEADBEEFDEADBEEF
38666 .xword 0xDEADBEEFDEADBEEF
38667 .xword 0xDEADBEEFDEADBEEF
38668 .xword 0xDEADBEEFDEADBEEF
38669 .xword 0xDEADBEEFDEADBEEF
38670 .xword 0xDEADBEEFDEADBEEF
38671 .xword 0xDEADBEEFDEADBEEF
38672 .xword 0xDEADBEEFDEADBEEF
38673 .xword 0xDEADBEEFDEADBEEF
38674 .xword 0xDEADBEEFDEADBEEF
38675 .xword 0xDEADBEEFDEADBEEF
38676 .xword 0xDEADBEEFDEADBEEF
38677 .xword 0xDEADBEEFDEADBEEF
38678 .xword 0xDEADBEEFDEADBEEF
38679 .xword 0xDEADBEEFDEADBEEF
38680 .xword 0xDEADBEEFDEADBEEF
38681 .xword 0xDEADBEEFDEADBEEF
38682 .xword 0xDEADBEEFDEADBEEF
38683 .xword 0xDEADBEEFDEADBEEF
38684 .xword 0xDEADBEEFDEADBEEF
38685 .xword 0xDEADBEEFDEADBEEF
38686 .xword 0xDEADBEEFDEADBEEF
38687 .xword 0xDEADBEEFDEADBEEF
38688 .xword 0xDEADBEEFDEADBEEF
38689 .xword 0xDEADBEEFDEADBEEF
38690 .xword 0xDEADBEEFDEADBEEF
38691 .xword 0xDEADBEEFDEADBEEF
38692 .xword 0xDEADBEEFDEADBEEF
38693 .xword 0xDEADBEEFDEADBEEF
38694 .xword 0xDEADBEEFDEADBEEF
38695 .xword 0xDEADBEEFDEADBEEF
38696 .xword 0xDEADBEEFDEADBEEF
38697 .xword 0xDEADBEEFDEADBEEF
38698 .xword 0xDEADBEEFDEADBEEF
38699 .xword 0xDEADBEEFDEADBEEF
38700 .xword 0xDEADBEEFDEADBEEF
38701 .xword 0xDEADBEEFDEADBEEF
38702 .xword 0xDEADBEEFDEADBEEF
38703 .xword 0xDEADBEEFDEADBEEF
38704 .xword 0xDEADBEEFDEADBEEF
38705 .xword 0xDEADBEEFDEADBEEF
38706 .xword 0xDEADBEEFDEADBEEF
38707 .xword 0xDEADBEEFDEADBEEF
38708 .xword 0xDEADBEEFDEADBEEF
38709 .xword 0xDEADBEEFDEADBEEF
38710 .xword 0xDEADBEEFDEADBEEF
38711 .xword 0xDEADBEEFDEADBEEF
38712 .xword 0xDEADBEEFDEADBEEF
38713 .xword 0xDEADBEEFDEADBEEF
38714 .xword 0xDEADBEEFDEADBEEF
38715 .xword 0xDEADBEEFDEADBEEF
38716 .xword 0xDEADBEEFDEADBEEF
38717 .xword 0xDEADBEEFDEADBEEF
38718 .xword 0xDEADBEEFDEADBEEF
38719 .xword 0xDEADBEEFDEADBEEF
38720 .xword 0xDEADBEEFDEADBEEF
38721 .xword 0xDEADBEEFDEADBEEF
38722 .xword 0xDEADBEEFDEADBEEF
38723 .xword 0xDEADBEEFDEADBEEF
38724 .xword 0xDEADBEEFDEADBEEF
38725 .xword 0xDEADBEEFDEADBEEF
38726 .xword 0xDEADBEEFDEADBEEF
38727 .xword 0xDEADBEEFDEADBEEF
38728 .xword 0xDEADBEEFDEADBEEF
38729 .xword 0xDEADBEEFDEADBEEF
38730_t1_sslkey_auth_key:
38731 .xword 0x7f89584d1e0778c0
38732 .xword 0x46822da1da08703d
38733 .xword 0x3edbd7edd2c2ffcf
38734 .xword 0x4b73dd12dadab37e
38735 .xword 0x1b33c25dc0197106
38736 .xword 0x4554c3c5837a79cb
38737 .xword 0x9891920e6b1363e4
38738 .xword 0x2b17a91eaa8d5d32
38739 .xword 0x3c152e51ca320b0b
38740 .xword 0x35e68f0ede637afa
38741 .xword 0x6a71936c603bcab7
38742 .xword 0xa16e9b865715c7e3
38743 .xword 0xda50bfb991bfe869
38744 .xword 0xd62958095db8ea4e
38745 .xword 0xb3be76b267eed8fc
38746 .xword 0xf546bde57b2946d2
38747 .xword 0x89c0526216f0d006
38748 .xword 0xa60fa7a3240a3e75
38749 .xword 0xa70880f4bbf6dbb6
38750 .xword 0x7efdcb4dfb00a2a8
38751 .xword 0x81af3c20711b3c37
38752 .xword 0x73ca990448bc754b
38753 .xword 0x633c9c86d4d25c18
38754_t1_sslkey_auth_iv:
38755 .xword 0xf4210872ec684c0e
38756 .xword 0x7b02942b8c8d332c
38757 .xword 0x43058f96828b0178
38758 .xword 0xcfb50d38b24ebaec
38759 .xword 0x1a77014ed4920133
38760 .xword 0xbef0ca65ad0543a1
38761 .xword 0x6e67938655421be4
38762 .xword 0xb5209924f39a33bc
38763 .xword 0x701617c308779d51
38764 .xword 0x92dff3590a0449c5
38765 .xword 0x8ced1098e66b27e0
38766 .xword 0x0c5f8004054b6c79
38767 .xword 0xee87f44aa2a42551
38768 .xword 0x39d5c65d3772dd48
38769 .xword 0x04b1791ccce81935
38770 .xword 0xcd17b3d4a5fef1af
38771 .xword 0x3461e8d3a40ba8cb
38772 .xword 0xfa163aeca5c04ad2
38773 .xword 0xf3784e347ff1a102
38774 .xword 0x1fabda01dab78173
38775 .xword 0xa8143ceb10025426
38776 .xword 0x9d44e9c4c2b9cf95
38777 .xword 0x5403c90da9ae118e
38778_t1_sslkey_fas_result:
38779 .xword 0xDEADBEEFDEADBEEF
38780 .xword 0xDEADBEEFDEADBEEF
38781 .xword 0xDEADBEEFDEADBEEF
38782 .xword 0xDEADBEEFDEADBEEF
38783 .xword 0xDEADBEEFDEADBEEF
38784 .xword 0xDEADBEEFDEADBEEF
38785 .xword 0xDEADBEEFDEADBEEF
38786 .xword 0xDEADBEEFDEADBEEF
38787 .xword 0xDEADBEEFDEADBEEF
38788 .xword 0xDEADBEEFDEADBEEF
38789 .xword 0xDEADBEEFDEADBEEF
38790 .xword 0xDEADBEEFDEADBEEF
38791 .xword 0xDEADBEEFDEADBEEF
38792 .xword 0xDEADBEEFDEADBEEF
38793 .xword 0xDEADBEEFDEADBEEF
38794 .xword 0xDEADBEEFDEADBEEF
38795 .xword 0xDEADBEEFDEADBEEF
38796 .xword 0xDEADBEEFDEADBEEF
38797 .xword 0xDEADBEEFDEADBEEF
38798 .xword 0xDEADBEEFDEADBEEF
38799 .xword 0xDEADBEEFDEADBEEF
38800 .xword 0xDEADBEEFDEADBEEF
38801 .xword 0xDEADBEEFDEADBEEF
38802_t1_aes_toc:
38803 .xword _t1_aes_cwd_array
38804 .xword _t1_aes_src
38805 .xword _t1_aes_auth_key
38806 .xword _t1_aes_auth_iv
38807 .xword _t1_aes_fas_result
38808 .xword _t1_aes_key_array
38809 .xword _t1_aes_iv_array
38810 .xword _t1_aes_dest
38811 .xword _t1_aes_alignment_array
38812_t1_des_toc:
38813 .xword _t1_des_cwd_array
38814 .xword _t1_des_src
38815 .xword _t1_des_auth_key
38816 .xword _t1_des_auth_iv
38817 .xword _t1_des_fas_result
38818 .xword _t1_des_key_array
38819 .xword _t1_des_iv_array
38820 .xword _t1_des_dest
38821 .xword _t1_des_alignment_array
38822_t1_copy_toc:
38823 .xword _t1_copy_cwd_array
38824 .xword _t1_copy_src
38825 .xword _t1_copy_auth_key
38826 .xword _t1_copy_auth_iv
38827 .xword _t1_copy_fas_result
38828 .xword _t1_copy_key_array
38829 .xword _t1_copy_iv_array
38830 .xword _t1_copy_dest
38831 .xword _t1_copy_alignment_array
38832_t1_crc_toc:
38833 .xword _t1_crc_cwd_array
38834 .xword _t1_crc_src
38835 .xword _t1_crc_auth_key
38836 .xword _t1_crc_auth_iv
38837 .xword _t1_crc_fas_result
38838 .xword _t1_crc_key_array
38839 .xword _t1_crc_iv_array
38840 .xword _t1_crc_dest
38841 .xword _t1_crc_alignment_array
38842_t1_hash_toc:
38843 .xword _t1_hash_cwd_array
38844 .xword _t1_hash_src
38845 .xword _t1_hash_auth_key
38846 .xword _t1_hash_auth_iv
38847 .xword _t1_hash_fas_result
38848 .xword _t1_hash_key_array
38849 .xword _t1_hash_iv_array
38850 .xword _t1_hash_dest
38851 .xword _t1_hash_alignment_array
38852_t1_hmac_toc:
38853 .xword _t1_hmac_cwd_array
38854 .xword _t1_hmac_src
38855 .xword _t1_hmac_auth_key
38856 .xword _t1_hmac_auth_iv
38857 .xword _t1_hmac_fas_result
38858 .xword _t1_hmac_key_array
38859 .xword _t1_hmac_iv_array
38860 .xword _t1_hmac_dest
38861 .xword _t1_hmac_alignment_array
38862_t1_rc4_toc:
38863 .xword _t1_rc4_cwd_array
38864 .xword _t1_rc4_src
38865 .xword _t1_rc4_auth_key
38866 .xword _t1_rc4_auth_iv
38867 .xword _t1_rc4_fas_result
38868 .xword _t1_rc4_key_array
38869 .xword _t1_rc4_iv_array
38870 .xword _t1_rc4_dest
38871 .xword _t1_rc4_alignment_array
38872_t1_sslkey_toc:
38873 .xword _t1_sslkey_cwd_array
38874 .xword _t1_sslkey_src
38875 .xword _t1_sslkey_auth_key
38876 .xword _t1_sslkey_auth_iv
38877 .xword _t1_sslkey_fas_result
38878 .xword _t1_sslkey_key_array
38879 .xword _t1_sslkey_iv_array
38880 .xword _t1_sslkey_dest
38881 .xword _t1_sslkey_alignment_array
38882_t1_table_of_context2:
38883 .xword _t1_aes_toc
38884 .xword _t1_des_toc
38885 .xword _t1_copy_toc
38886 .xword _t1_crc_toc
38887 .xword _t1_hash_toc
38888 .xword _t1_hmac_toc
38889 .xword _t1_rc4_toc
38890 .xword _t1_sslkey_toc
38891
38892!# CWQ data area, set aside 512 CW's worth
38893!# 512*8*8 = 32KB
38894.align 32*1024
38895_t1_cwq_base2:
38896 .xword 0xAAAAAAAAAAAAAAA
38897 .xword 0xAAAAAAAAAAAAAAA
38898 .xword 0xAAAAAAAAAAAAAAA
38899 .xword 0xAAAAAAAAAAAAAAA
38900 .xword 0xAAAAAAAAAAAAAAA
38901 .xword 0xAAAAAAAAAAAAAAA
38902 .xword 0xAAAAAAAAAAAAAAA
38903 .xword 0xAAAAAAAAAAAAAAA
38904.align 32*1024
38905_t1_cwq_last2:
38906
38907SECTION ._t1_T_CWQ_DATA3 DATA_VA=288358400
38908attr_data {
38909 Name = ._t1_T_CWQ_DATA3
38910 hypervisor
38911}
38912 .data
38913_t1_user_data_start3:
38914_t1_scratch_area3:
38915
38916.align 16
38917_t1_spu_op_array3:
38918 .xword 4
38919 .xword 6
38920 .xword 2
38921 .xword 4
38922 .xword 3
38923 .xword 2
38924 .xword 5
38925 .xword 7
38926 .xword 0
38927 .xword 5
38928 .xword 1
38929 .xword 0
38930 .xword 6
38931 .xword 2
38932 .xword 7
38933_t1_aes_cwd_array3:
38934 .xword 0xc06100e01800000f
38935 .xword 0xc06100a01900002f
38936 .xword 0x40e000201100000f
38937 .xword 0xc0e000e01800002f
38938 .xword 0x40e100401000003f
38939 .xword 0xc06000201800000f
38940 .xword 0x406100c01000000f
38941 .xword 0xc06100201700003f
38942 .xword 0x406000801b00003f
38943 .xword 0xc0e000001700002f
38944 .xword 0x40e000601300000f
38945 .xword 0xc0e100801500003f
38946 .xword 0x40e100801100003f
38947 .xword 0x406000001000001f
38948 .xword 0x406000201400003f
38949_t1_des_cwd_array3:
38950 .xword 0x40e100400a000017
38951 .xword 0xc0e000000800000f
38952 .xword 0x406000000c000007
38953 .xword 0xc06000e009000017
38954 .xword 0xc06000e009000007
38955 .xword 0x40e100800800001f
38956 .xword 0xc06100e00900000f
38957 .xword 0x406000200c000007
38958 .xword 0x406000600d000007
38959 .xword 0xc0e000600d00000f
38960 .xword 0xc06000c00a00001f
38961 .xword 0x40e100a00a00001f
38962 .xword 0xc06100e00c000007
38963 .xword 0x406000c00c000017
38964 .xword 0xc06100a00a000017
38965_t1_copy_cwd_array3:
38966 .xword 0xa061008000000001
38967 .xword 0x2061000000000008
38968 .xword 0xa06000200000000f
38969 .xword 0x2061000000000002
38970 .xword 0xa06100a00000000f
38971 .xword 0x2061008000000006
38972 .xword 0xa061006000000005
38973 .xword 0x2061002000000009
38974 .xword 0x206000a000000005
38975 .xword 0xa06000200000000f
38976 .xword 0x206000a000000008
38977 .xword 0xa061004000000002
38978 .xword 0x2060006000000003
38979 .xword 0x2060004000000004
38980 .xword 0x206000c00000000d
38981_t1_crc_cwd_array3:
38982 .xword 0x416003c400000009
38983 .xword 0x4162018800000002
38984 .xword 0xc16003440000000a
38985 .xword 0xc163012800000001
38986 .xword 0xc16203e400000004
38987 .xword 0xc16101480000000c
38988 .xword 0xc161038400000007
38989 .xword 0x4160014800000009
38990 .xword 0x416203e400000001
38991 .xword 0x416001c800000000
38992 .xword 0xc16203640000000c
38993 .xword 0x4162014800000001
38994 .xword 0x4160032400000006
38995 .xword 0xc16301e800000002
38996_t1_hash_cwd_array3:
38997 .xword 0xc1620dc10000001f
38998 .xword 0xc16002e100000040
38999 .xword 0xc1621d830000000e
39000 .xword 0x416008820000002a
39001 .xword 0x41600a2300000032
39002 .xword 0xc16204410000001b
39003 .xword 0x416201c10000001e
39004 .xword 0xc162170300000018
39005 .xword 0xc1610b610000003d
39006 .xword 0xc16309a200000039
39007 .xword 0x416204010000001b
39008 .xword 0x4161078200000000
39009 .xword 0xc1620b6200000040
39010 .xword 0xc1600f010000001c
39011 .xword 0xc16307e10000000b
39012_t1_hmac_cwd_array3:
39013 .xword 0x41610d65000f003e
39014 .xword 0x416104a9000f002a
39015 .xword 0x41630f29000f0017
39016 .xword 0xc1610b05000f0028
39017 .xword 0x416208e5000f000a
39018 .xword 0x416106a5000f001c
39019 .xword 0xc1630ec9000f0032
39020 .xword 0x416101e5000f0039
39021 .xword 0xc1630eca00130034
39022 .xword 0x41611bcb001f0038
39023 .xword 0x41600025000f0006
39024 .xword 0xc1610ec5000f0035
39025 .xword 0x416003e9000f001a
39026 .xword 0x41630265000f0031
39027 .xword 0xc1610345000f0024
39028_t1_rc4_cwd_array3:
39029 .xword 0x40e000200400000b
39030 .xword 0xc0e000e00000000a
39031 .xword 0x40e1004004000005
39032 .xword 0xc0e000a004000000
39033 .xword 0xc0e0008004000007
39034 .xword 0xc0e100c004000005
39035 .xword 0x40e100e00000000a
39036 .xword 0x40e100e000000002
39037 .xword 0xc0e100e00000000b
39038 .xword 0xc0e0006004000007
39039 .xword 0xc0e000e004000003
39040 .xword 0xc0e000a004000009
39041 .xword 0xc0e100400000000b
39042 .xword 0xc0e000a000000000
39043 .xword 0xc0e100e00400000a
39044_t1_sslkey_cwd_array3:
39045 .xword 0x106027e000000000, 0
39046 .xword 0x906006e000000000, 0
39047 .xword 0x906016c000000000, 0
39048 .xword 0x90603de000000000, 0
39049 .xword 0x906009a000000000, 0
39050 .xword 0x90601a0000000000, 0
39051 .xword 0x906034a000000000, 0
39052 .xword 0x106037e000000000, 0
39053 .xword 0x9060374000000000, 0
39054 .xword 0x90601ee000000000, 0
39055 .xword 0x10603e2000000000, 0
39056 .xword 0x90601e0000000000, 0
39057 .xword 0x906016c000000000, 0
39058 .xword 0x906030e000000000, 0
39059 .xword 0x9060386000000000, 0
39060_t1_aes_key_array:
39061 .xword 0xc8682ad588dbcd22
39062 .xword 0xb28d37d37384b135
39063 .xword 0x7cbf4725b615e72c
39064 .xword 0x6c0f66beffaa806c
39065 .xword 0xa96daba94915ce70
39066 .xword 0x86a417fa9f11290a
39067 .xword 0x01cfaf131e332067
39068 .xword 0xc17d2ac0be7f34dc
39069 .xword 0xba116ed5077b1e2e
39070 .xword 0xc9a86f4ee899846d
39071 .xword 0x19228c1510933a31
39072 .xword 0x110f98c6eabddfee
39073 .xword 0xc4cb42f491229f48
39074 .xword 0x5578c6b4cd44ed9e
39075 .xword 0xcaeb6a2a7ac42cb0
39076 .xword 0x8b7cd18ab4e6f542
39077 .xword 0xb930513fc7ad4697
39078 .xword 0x7e3f3eb2315d840c
39079 .xword 0x52bd0b3b7097ef6f
39080 .xword 0x7f2a3f07d73b6e08
39081 .xword 0x8b9a9d61cdd73f28
39082 .xword 0x548656fe86f8f9c9
39083 .xword 0xdd7226cc6441e6fd
39084 .xword 0xb9f492a24555a1fb
39085 .xword 0x956fe0998ec07103
39086 .xword 0x90582589ce26ff0f
39087 .xword 0x11e023db20243c5a
39088 .xword 0x92a5181d90fc6567
39089 .xword 0xa638cfd862332e02
39090 .xword 0xe932209531df9cee
39091 .xword 0xfc8ec243c4663aed
39092 .xword 0x7aa02ad6947acef1
39093 .xword 0x39883ee720e6ab9f
39094 .xword 0x3c8223693cb84501
39095 .xword 0xb0ae6e180c3ba1ef
39096 .xword 0x13944e5e682ae4e5
39097 .xword 0xe6b17ecef73ed675
39098 .xword 0x4161a0e0ad6bae8d
39099 .xword 0xa8d62baddf710e0b
39100 .xword 0x577cb4925b5c1817
39101 .xword 0x68bedde55b0105fe
39102 .xword 0x9eb2bc43e1ce6ca5
39103 .xword 0x1e912e8e3c4c276e
39104 .xword 0xbfd12f44bb2d3bfc
39105 .xword 0x594eb80d7e6a1aba
39106 .xword 0xcab34a448e90d412
39107 .xword 0xebc4138402bac1c8
39108 .xword 0xe1a0f73c1157c072
39109 .xword 0xb899f9cf4c1bce92
39110 .xword 0x70dfd09c0a6c5f66
39111 .xword 0x1ea6b9454fc8d113
39112_t1_aes_iv_array:
39113 .xword 0x0ad18f466b562448
39114 .xword 0x42bf9cd97b7587a5
39115 .xword 0xd0127efcf1518776
39116 .xword 0xe088b9fac8629a54
39117 .xword 0xe28610f93a4ef8f7
39118 .xword 0xd6b4acd54160ae5f
39119 .xword 0x3871a94f786566ab
39120 .xword 0xe86d81cd8ef7cb1a
39121 .xword 0xf6373e0fad6d5cde
39122 .xword 0x1009caf6b4e84955
39123 .xword 0x4051101518945b55
39124 .xword 0x711ef51064018f04
39125 .xword 0x8e12fe7176f16a64
39126 .xword 0x112e9e692dff5a55
39127 .xword 0x492b1efd73efe2fc
39128 .xword 0xc8929bb612a01712
39129 .xword 0x3dacc7d062ab810a
39130 .xword 0x5915c5b92fdd22ed
39131 .xword 0xda9773038f42e904
39132 .xword 0x678abc00a84a5ef6
39133 .xword 0xf386264e02d9ea6d
39134 .xword 0xcc9e1d240badfe05
39135 .xword 0xbd5ffe78bd6f6c1e
39136 .xword 0x861a5be8d0df1680
39137 .xword 0x4bb14737cbeded6f
39138 .xword 0x5d9f82c56a024735
39139 .xword 0x85e1209453daf22f
39140 .xword 0x487d8a891785d895
39141 .xword 0xfd0006e81d0fd8e8
39142 .xword 0x3303d7c0751bd6a7
39143 .xword 0x72a259b3cd0b98cd
39144 .xword 0x8b6aa0eac0d806ff
39145 .xword 0xc39d628974af0cd8
39146 .xword 0x2637cc4993de64ef
39147 .xword 0x61826a28f6b40ffc
39148 .xword 0x96d240c1ee7cb09d
39149 .xword 0xfa662ab24837420c
39150 .xword 0x367b335a6d1f07e0
39151 .xword 0xf1f5b09d5cc108f4
39152 .xword 0x1865ee2b89d17e3f
39153 .xword 0x52952634dfaa8695
39154 .xword 0xbbce7c696c4758ad
39155 .xword 0xfedb932bf0732222
39156 .xword 0x77d538229c00e2a8
39157 .xword 0xef06d61c19fa0e46
39158_t1_aes_alignment_array:
39159 .xword 8
39160 .xword 12
39161 .xword 15
39162 .xword 10
39163 .xword 8
39164 .xword 1
39165 .xword 2
39166 .xword 6
39167 .xword 6
39168 .xword 13
39169 .xword 9
39170 .xword 11
39171 .xword 12
39172 .xword 1
39173 .xword 6
39174 .xword 0
39175 .xword 1
39176 .xword 6
39177 .xword 10
39178 .xword 6
39179 .xword 0
39180 .xword 14
39181 .xword 0
39182 .xword 10
39183 .xword 7
39184 .xword 8
39185 .xword 6
39186 .xword 14
39187 .xword 0
39188 .xword 2
39189 .xword 15
39190 .xword 8
39191 .xword 2
39192 .xword 7
39193 .xword 15
39194 .xword 13
39195 .xword 4
39196 .xword 8
39197 .xword 8
39198 .xword 3
39199 .xword 15
39200 .xword 11
39201 .xword 4
39202 .xword 9
39203 .xword 2
39204 .xword 3
39205 .xword 12
39206 .xword 11
39207 .xword 15
39208 .xword 2
39209 .xword 15
39210 .xword 0
39211 .xword 13
39212 .xword 8
39213 .xword 2
39214 .xword 9
39215 .xword 14
39216 .xword 8
39217 .xword 7
39218 .xword 10
39219 .xword 9
39220 .xword 7
39221 .xword 9
39222 .xword 15
39223 .xword 7
39224 .xword 5
39225 .xword 7
39226 .xword 7
39227 .xword 8
39228 .xword 2
39229 .xword 3
39230 .xword 7
39231 .xword 14
39232 .xword 0
39233 .xword 10
39234 .xword 1
39235 .xword 10
39236 .xword 11
39237 .xword 8
39238 .xword 9
39239 .xword 4
39240 .xword 14
39241 .xword 15
39242 .xword 3
39243 .xword 6
39244 .xword 6
39245 .xword 2
39246 .xword 11
39247 .xword 6
39248 .xword 9
39249 .xword 15
39250 .xword 11
39251 .xword 15
39252 .xword 0
39253 .xword 13
39254 .xword 4
39255 .xword 2
39256 .xword 10
39257 .xword 14
39258 .xword 0
39259 .xword 11
39260 .xword 14
39261 .xword 10
39262 .xword 2
39263 .xword 12
39264_t1_aes_src:
39265 .xword 0x83125354321d3011
39266 .xword 0x44d15ecb7d4528f8
39267 .xword 0xb8867abda8180c82
39268 .xword 0x96bd3ee23b8efd70
39269 .xword 0x59313935b43ed18b
39270 .xword 0xbc4b0a81442a2df8
39271 .xword 0x531f82a79637e812
39272 .xword 0x032bff18aff8747f
39273 .xword 0xd2572010f2bbf400
39274 .xword 0x003210be66fa25cd
39275 .xword 0x42d1990cc3e1e71b
39276 .xword 0x520461f8df724796
39277 .xword 0x68b695b8c2f93926
39278 .xword 0x5a2ea9b3707f9981
39279 .xword 0x998d3b1ae1435e61
39280 .xword 0xba41d758063f66bd
39281 .xword 0xc7875912aba26a1a
39282 .xword 0x6bfd5c7a6fdbb308
39283 .xword 0xafd4cf86817f7c15
39284 .xword 0x9effbf100df580c8
39285 .xword 0x792b72bdb8f47ddc
39286 .xword 0xfafede046ee5e127
39287 .xword 0xc52e615858fc280a
39288 .xword 0x7f0e77f777e4ea6e
39289 .xword 0xe50f14dec22683cb
39290 .xword 0x6e5ae5a8ef80ef68
39291 .xword 0x567c81547f708813
39292 .xword 0xa45de94f8a48329c
39293 .xword 0xa93a286fa48bfa80
39294 .xword 0xb7488f801d66a2db
39295 .xword 0x7c93702894df0cce
39296 .xword 0x1277af852a021e17
39297 .xword 0xa1c395c1a246ba8f
39298 .xword 0x87de67038e0d5822
39299 .xword 0x6598928b798218b9
39300 .xword 0x87593dbf87ec71cb
39301 .xword 0xce4484941853415c
39302 .xword 0xab23229843312700
39303 .xword 0xf8c0b0f12f1cd48b
39304 .xword 0x3eac38a5c82735f8
39305 .xword 0x426e0679646ec3a3
39306 .xword 0x7525b707add5ec7b
39307 .xword 0xb1d63d9b5a077e97
39308 .xword 0x5226164b4ec4db0b
39309 .xword 0x345631114fe79604
39310 .xword 0x0ad52f8226e88d0f
39311 .xword 0x469e08ddf369f014
39312 .xword 0x2239c987adf0e857
39313 .xword 0x5b9a28aa1159e84e
39314 .xword 0x545360e895fd2f60
39315 .xword 0x98c5283c66e66450
39316 .xword 0x4d33dd3898a41b39
39317 .xword 0x1580bc9f4f18bfda
39318 .xword 0x005391a43ceb6182
39319 .xword 0x3af1e7de583ca31a
39320 .xword 0x6c593ec60b0ba9d7
39321 .xword 0x12d04efe4a654783
39322 .xword 0xce22fb1e0ed11830
39323 .xword 0x9beaa109d59f72d4
39324 .xword 0xc2400e59b7d9d9dc
39325 .xword 0x7273bc774cfa8bcf
39326 .xword 0x9b9121f760755a06
39327 .xword 0xf5e5937a08f9737f
39328 .xword 0x9d022cab49b10c09
39329 .xword 0x9c816f2dcd878bc2
39330 .xword 0x52d5473d1f663c10
39331 .xword 0x4e220397e2dbf6db
39332 .xword 0xf013cf64c3b05806
39333 .xword 0x7413b572bb689289
39334 .xword 0x9f989dfcc2ed0bf8
39335 .xword 0xc9a8655a3677d0a5
39336 .xword 0xd4009cb5e0de86b5
39337 .xword 0x67053f01b4751582
39338 .xword 0xd9c41414e39ff9d0
39339 .xword 0x6068e664b2d1d854
39340 .xword 0xd26ebf31751ae3ea
39341 .xword 0xbb3b26ecbac19b5c
39342 .xword 0x591018afdc9f2a88
39343 .xword 0x67cd1c9d649a86ff
39344 .xword 0x1d09cc8c5a8573dc
39345 .xword 0xf230fe4bc9e7dc4e
39346 .xword 0x7687e209c12436a0
39347 .xword 0x45c46a09ff7906dc
39348 .xword 0x5301807418404ba1
39349 .xword 0x2fc9eb7445f59a19
39350 .xword 0x7666075b7b950006
39351 .xword 0x77d725f352d8487d
39352 .xword 0x1ea4cda98489f4d5
39353 .xword 0x7a1840b7eed5cd14
39354 .xword 0x69db57215997c479
39355 .xword 0xdcb22fba1bc3682e
39356 .xword 0xe0456663f9aec6fe
39357 .xword 0xc0a0f56e75a156a1
39358 .xword 0xf8107044f198b3ee
39359 .xword 0x7a3867601aec326b
39360 .xword 0x87460b41e3b2d7b4
39361 .xword 0x3e82802294a201de
39362 .xword 0x2d0e97fa77999ed6
39363 .xword 0xec788199297dea51
39364 .xword 0x71df4d56522f8bfa
39365 .xword 0x6cd559a0b0560c50
39366 .xword 0x800304582987c17d
39367 .xword 0x5ca8c726e6edc1d8
39368 .xword 0xe591d2ca7a28ddcb
39369 .xword 0x3a767cdaac143555
39370 .xword 0xcd19f94ab71603c9
39371 .xword 0xb42b1db67fcc430b
39372 .xword 0xda118fa05c64c83e
39373 .xword 0xd8a888037f68fd11
39374 .xword 0xb5af6e99dd5e051d
39375 .xword 0xb79527eb4656de0c
39376 .xword 0x15799c5edfb82dad
39377 .xword 0x5002e0b6cefbb894
39378 .xword 0x13682a4b579c4d0e
39379 .xword 0x2bf397d207fd4b72
39380 .xword 0x86f0ef668930403f
39381 .xword 0xbd6517c89290a3bb
39382 .xword 0xe7103ad599515cc4
39383 .xword 0xf1054bbc88dd4f59
39384 .xword 0x796a2ad78f9ba995
39385 .xword 0x0dbbb4819c9aa177
39386 .xword 0x57c20e9c62abfd62
39387 .xword 0xe4fb36e2df561b25
39388 .xword 0x5454ef0d2aa82ef2
39389 .xword 0xde13104f92ff3a9e
39390 .xword 0x107785c8bf81876b
39391 .xword 0xdaef38ece7008ceb
39392 .xword 0x99cfb71a3778dfdd
39393 .xword 0x3714bbd717f17774
39394 .xword 0xba7fd09bdf17e19b
39395 .xword 0x59f636792398a0f2
39396 .xword 0x7f91f1d741972003
39397 .xword 0xaa64c8f84ec249d6
39398 .xword 0x8b8d92ed79ccf736
39399 .xword 0x4d5c1174c00a3d2c
39400 .xword 0x6e7912272a94eb2c
39401 .xword 0xd9ea8140406be8b5
39402 .xword 0x3b40473c0f15accb
39403 .xword 0x003ad6bea2746c1b
39404 .xword 0x7990ca035ef19271
39405 .xword 0xc3db47b926f210f5
39406 .xword 0x51de1ae2bd945b06
39407 .xword 0x6958dfb10f761a1e
39408 .xword 0x870bf93cfa06d382
39409 .xword 0xb9a736ea1ce66a81
39410 .xword 0x2c4de05d087591d5
39411 .xword 0x50ce4c2850f85fe1
39412 .xword 0x665aa466f7914cbd
39413 .xword 0x214fd1615a2e517a
39414 .xword 0x61021e80cfa213c2
39415 .xword 0x83ef04f95b081f69
39416 .xword 0xe8078d7ff6232799
39417 .xword 0x97afcf84544520c9
39418 .xword 0x74b6b6515c1e997a
39419 .xword 0x267424212d975771
39420 .xword 0x55ef16f5b551f007
39421 .xword 0x5e258be2f4f74d1b
39422 .xword 0xe8ac543b792e146a
39423 .xword 0x19a87e264c4684ca
39424 .xword 0x47938238ddcfd9bf
39425 .xword 0xc64e6ece704e3cba
39426 .xword 0xcc79dcb87147dbb6
39427 .xword 0x5201b06a437ab840
39428 .xword 0x45ddc1810152d130
39429 .xword 0xe7d9925e903d309c
39430 .xword 0x476c911d83a04fd8
39431 .xword 0xe0077d74b2d7b583
39432 .xword 0x8993915d5ead8fb1
39433 .xword 0x011ebbb8aedd2aec
39434 .xword 0xb31e6f91edf3b43f
39435 .xword 0xf01a8a49f8f18f3c
39436 .xword 0xf4250dccba0aac71
39437 .xword 0x0ed92f5cdc9fecf7
39438 .xword 0xb4e815c18ffe5489
39439 .xword 0x5557d67ac5f25939
39440 .xword 0x58dcb01363e6f038
39441 .xword 0x5a185889eedf7a2c
39442 .xword 0x15112f3b316caef9
39443 .xword 0xc734026e795f703b
39444 .xword 0x2eb218df72ff6751
39445 .xword 0xef8c6cc0a0853582
39446 .xword 0xf816ebaff1d6cc77
39447 .xword 0xc640d30eeb5daa01
39448 .xword 0x168a4d3cd22ec313
39449 .xword 0xfe76d99060ac836b
39450 .xword 0x65bef2dd469e8076
39451 .xword 0x296b156ca420b4ac
39452 .xword 0x3af06d00505a59c0
39453 .xword 0x6238d45720b2e9ff
39454 .xword 0xbc41b8103e2cd98c
39455 .xword 0x664d4083e5e72e0b
39456 .xword 0xcb37fcff834821df
39457 .xword 0x8e29be01d7d2d157
39458 .xword 0x4046c105eff97823
39459 .xword 0x15df4fdc6badf0cd
39460 .xword 0xd7f278637dfa17a9
39461 .xword 0x356ba604d117cdce
39462 .xword 0x0a50e417bf9d29c6
39463 .xword 0x7bb1e3439c4b92a2
39464 .xword 0x03f4995df65f3a20
39465 .xword 0x2f8ea2dbb52598bb
39466 .xword 0xd4856c49f07865b4
39467 .xword 0xcd1b51ea74af1c91
39468 .xword 0xd84c7d78e47f1534
39469 .xword 0x10fc079b1f736027
39470 .xword 0x2e7fdc4fde0ce59e
39471 .xword 0x05d9873617f37e3b
39472 .xword 0x112b2d82a2f6e657
39473 .xword 0x806b831c6f1ec2e3
39474 .xword 0x15d1eaecd9e377d4
39475 .xword 0x70a8f60f065c90d9
39476 .xword 0x1887daa17b3acba8
39477 .xword 0x92ff8352a9e843d0
39478 .xword 0x78fe93c85662179c
39479 .xword 0x342f3fcb87be24a5
39480 .xword 0x7c37f540d0dc55ac
39481 .xword 0xdb6ce2459f99ba03
39482 .xword 0xa5a0aabb85150293
39483 .xword 0xe84945a97277efde
39484 .xword 0x2cf81bd153755fb3
39485 .xword 0x673341f116379a38
39486 .xword 0xd49701a2489cbdfa
39487 .xword 0x6cd5cfb29976bc46
39488 .xword 0x0f5a8d96a2371525
39489 .xword 0xb984a020d6a89e77
39490 .xword 0xc0072c9d15bd2c90
39491 .xword 0x1265b3970c829090
39492 .xword 0xeb4b791075569221
39493 .xword 0x8b98b3c721a7e599
39494 .xword 0xc8bcb1d49a7ab45e
39495 .xword 0xa76992dd4a0786fc
39496 .xword 0x8969144bf7e5f05f
39497 .xword 0xb60c54840f9c9c05
39498 .xword 0xf771a8f0c04df268
39499 .xword 0x2220503da8f9710b
39500 .xword 0x373d3fcdf31b8f4b
39501 .xword 0x7a5c6a26024e5887
39502 .xword 0x1224f12fe17dd14d
39503 .xword 0xaab34a8e4e6fde81
39504 .xword 0x40becec0ac30a455
39505 .xword 0xef6cb68e5e09ade1
39506 .xword 0xd40b7aebf32ccf7f
39507 .xword 0xd5b2bb762fd42fec
39508 .xword 0x62d071fff989c836
39509 .xword 0x75f33acaabd50db0
39510 .xword 0xc1c5809d4c4174c6
39511 .xword 0xacd371dbf9994adf
39512 .xword 0xe3bd65a4245c8c25
39513 .xword 0x85b96eabc2af29a3
39514 .xword 0x03fa00c6f3b3b8f4
39515 .xword 0xc8b13eab6e835525
39516 .xword 0x2f557e80df485376
39517 .xword 0x5b3e1da3aa2e5ba6
39518 .xword 0x5e822f515ed97546
39519 .xword 0x203764cfc3c5cda9
39520 .xword 0x03677262463f8eb8
39521 .xword 0x6017f7aa4e9240ce
39522 .xword 0x94e8a0be269ca700
39523 .xword 0x699cec05f9917871
39524 .xword 0xc8e54a60f2b9dc68
39525 .xword 0x31981161f3bbf993
39526 .xword 0xfcdae0f25cb1224d
39527 .xword 0x1d9470420b050aaf
39528 .xword 0xfc60c20410ad7758
39529 .xword 0xdb43ec47c0d3a2f3
39530 .xword 0xe4e0d6b46ebf6240
39531 .xword 0xacd4d35ef1eb75d8
39532 .xword 0x2d432d81ee128aec
39533 .xword 0x7821aa9395259a1f
39534 .xword 0x9d87e171f7560bf2
39535 .xword 0x0bd120321b1b1e86
39536 .xword 0x1431bba9de513873
39537 .xword 0x9181dc33129f0ca5
39538 .xword 0x978c6e66fdfb39dc
39539 .xword 0x1b1ab849bdf196dd
39540_t1_aes_dest:
39541 .xword 0xDEADBEEFDEADBEEF
39542 .xword 0xDEADBEEFDEADBEEF
39543 .xword 0xDEADBEEFDEADBEEF
39544 .xword 0xDEADBEEFDEADBEEF
39545 .xword 0xDEADBEEFDEADBEEF
39546 .xword 0xDEADBEEFDEADBEEF
39547 .xword 0xDEADBEEFDEADBEEF
39548 .xword 0xDEADBEEFDEADBEEF
39549 .xword 0xDEADBEEFDEADBEEF
39550 .xword 0xDEADBEEFDEADBEEF
39551 .xword 0xDEADBEEFDEADBEEF
39552 .xword 0xDEADBEEFDEADBEEF
39553 .xword 0xDEADBEEFDEADBEEF
39554 .xword 0xDEADBEEFDEADBEEF
39555 .xword 0xDEADBEEFDEADBEEF
39556 .xword 0xDEADBEEFDEADBEEF
39557 .xword 0xDEADBEEFDEADBEEF
39558 .xword 0xDEADBEEFDEADBEEF
39559 .xword 0xDEADBEEFDEADBEEF
39560 .xword 0xDEADBEEFDEADBEEF
39561 .xword 0xDEADBEEFDEADBEEF
39562 .xword 0xDEADBEEFDEADBEEF
39563 .xword 0xDEADBEEFDEADBEEF
39564 .xword 0xDEADBEEFDEADBEEF
39565 .xword 0xDEADBEEFDEADBEEF
39566 .xword 0xDEADBEEFDEADBEEF
39567 .xword 0xDEADBEEFDEADBEEF
39568 .xword 0xDEADBEEFDEADBEEF
39569 .xword 0xDEADBEEFDEADBEEF
39570 .xword 0xDEADBEEFDEADBEEF
39571 .xword 0xDEADBEEFDEADBEEF
39572 .xword 0xDEADBEEFDEADBEEF
39573 .xword 0xDEADBEEFDEADBEEF
39574 .xword 0xDEADBEEFDEADBEEF
39575 .xword 0xDEADBEEFDEADBEEF
39576 .xword 0xDEADBEEFDEADBEEF
39577 .xword 0xDEADBEEFDEADBEEF
39578 .xword 0xDEADBEEFDEADBEEF
39579 .xword 0xDEADBEEFDEADBEEF
39580 .xword 0xDEADBEEFDEADBEEF
39581 .xword 0xDEADBEEFDEADBEEF
39582 .xword 0xDEADBEEFDEADBEEF
39583 .xword 0xDEADBEEFDEADBEEF
39584 .xword 0xDEADBEEFDEADBEEF
39585 .xword 0xDEADBEEFDEADBEEF
39586 .xword 0xDEADBEEFDEADBEEF
39587 .xword 0xDEADBEEFDEADBEEF
39588 .xword 0xDEADBEEFDEADBEEF
39589 .xword 0xDEADBEEFDEADBEEF
39590 .xword 0xDEADBEEFDEADBEEF
39591 .xword 0xDEADBEEFDEADBEEF
39592 .xword 0xDEADBEEFDEADBEEF
39593 .xword 0xDEADBEEFDEADBEEF
39594 .xword 0xDEADBEEFDEADBEEF
39595 .xword 0xDEADBEEFDEADBEEF
39596 .xword 0xDEADBEEFDEADBEEF
39597 .xword 0xDEADBEEFDEADBEEF
39598 .xword 0xDEADBEEFDEADBEEF
39599 .xword 0xDEADBEEFDEADBEEF
39600 .xword 0xDEADBEEFDEADBEEF
39601 .xword 0xDEADBEEFDEADBEEF
39602 .xword 0xDEADBEEFDEADBEEF
39603 .xword 0xDEADBEEFDEADBEEF
39604 .xword 0xDEADBEEFDEADBEEF
39605 .xword 0xDEADBEEFDEADBEEF
39606 .xword 0xDEADBEEFDEADBEEF
39607 .xword 0xDEADBEEFDEADBEEF
39608 .xword 0xDEADBEEFDEADBEEF
39609 .xword 0xDEADBEEFDEADBEEF
39610 .xword 0xDEADBEEFDEADBEEF
39611 .xword 0xDEADBEEFDEADBEEF
39612 .xword 0xDEADBEEFDEADBEEF
39613 .xword 0xDEADBEEFDEADBEEF
39614 .xword 0xDEADBEEFDEADBEEF
39615 .xword 0xDEADBEEFDEADBEEF
39616 .xword 0xDEADBEEFDEADBEEF
39617 .xword 0xDEADBEEFDEADBEEF
39618 .xword 0xDEADBEEFDEADBEEF
39619 .xword 0xDEADBEEFDEADBEEF
39620 .xword 0xDEADBEEFDEADBEEF
39621 .xword 0xDEADBEEFDEADBEEF
39622 .xword 0xDEADBEEFDEADBEEF
39623 .xword 0xDEADBEEFDEADBEEF
39624 .xword 0xDEADBEEFDEADBEEF
39625 .xword 0xDEADBEEFDEADBEEF
39626 .xword 0xDEADBEEFDEADBEEF
39627 .xword 0xDEADBEEFDEADBEEF
39628 .xword 0xDEADBEEFDEADBEEF
39629 .xword 0xDEADBEEFDEADBEEF
39630 .xword 0xDEADBEEFDEADBEEF
39631 .xword 0xDEADBEEFDEADBEEF
39632 .xword 0xDEADBEEFDEADBEEF
39633 .xword 0xDEADBEEFDEADBEEF
39634 .xword 0xDEADBEEFDEADBEEF
39635 .xword 0xDEADBEEFDEADBEEF
39636 .xword 0xDEADBEEFDEADBEEF
39637 .xword 0xDEADBEEFDEADBEEF
39638 .xword 0xDEADBEEFDEADBEEF
39639 .xword 0xDEADBEEFDEADBEEF
39640 .xword 0xDEADBEEFDEADBEEF
39641 .xword 0xDEADBEEFDEADBEEF
39642 .xword 0xDEADBEEFDEADBEEF
39643 .xword 0xDEADBEEFDEADBEEF
39644 .xword 0xDEADBEEFDEADBEEF
39645 .xword 0xDEADBEEFDEADBEEF
39646 .xword 0xDEADBEEFDEADBEEF
39647 .xword 0xDEADBEEFDEADBEEF
39648 .xword 0xDEADBEEFDEADBEEF
39649 .xword 0xDEADBEEFDEADBEEF
39650 .xword 0xDEADBEEFDEADBEEF
39651 .xword 0xDEADBEEFDEADBEEF
39652 .xword 0xDEADBEEFDEADBEEF
39653 .xword 0xDEADBEEFDEADBEEF
39654 .xword 0xDEADBEEFDEADBEEF
39655 .xword 0xDEADBEEFDEADBEEF
39656 .xword 0xDEADBEEFDEADBEEF
39657 .xword 0xDEADBEEFDEADBEEF
39658 .xword 0xDEADBEEFDEADBEEF
39659 .xword 0xDEADBEEFDEADBEEF
39660 .xword 0xDEADBEEFDEADBEEF
39661 .xword 0xDEADBEEFDEADBEEF
39662 .xword 0xDEADBEEFDEADBEEF
39663 .xword 0xDEADBEEFDEADBEEF
39664 .xword 0xDEADBEEFDEADBEEF
39665 .xword 0xDEADBEEFDEADBEEF
39666 .xword 0xDEADBEEFDEADBEEF
39667 .xword 0xDEADBEEFDEADBEEF
39668 .xword 0xDEADBEEFDEADBEEF
39669 .xword 0xDEADBEEFDEADBEEF
39670 .xword 0xDEADBEEFDEADBEEF
39671 .xword 0xDEADBEEFDEADBEEF
39672 .xword 0xDEADBEEFDEADBEEF
39673 .xword 0xDEADBEEFDEADBEEF
39674 .xword 0xDEADBEEFDEADBEEF
39675 .xword 0xDEADBEEFDEADBEEF
39676 .xword 0xDEADBEEFDEADBEEF
39677 .xword 0xDEADBEEFDEADBEEF
39678 .xword 0xDEADBEEFDEADBEEF
39679 .xword 0xDEADBEEFDEADBEEF
39680 .xword 0xDEADBEEFDEADBEEF
39681 .xword 0xDEADBEEFDEADBEEF
39682 .xword 0xDEADBEEFDEADBEEF
39683 .xword 0xDEADBEEFDEADBEEF
39684 .xword 0xDEADBEEFDEADBEEF
39685 .xword 0xDEADBEEFDEADBEEF
39686 .xword 0xDEADBEEFDEADBEEF
39687 .xword 0xDEADBEEFDEADBEEF
39688 .xword 0xDEADBEEFDEADBEEF
39689 .xword 0xDEADBEEFDEADBEEF
39690 .xword 0xDEADBEEFDEADBEEF
39691 .xword 0xDEADBEEFDEADBEEF
39692 .xword 0xDEADBEEFDEADBEEF
39693 .xword 0xDEADBEEFDEADBEEF
39694 .xword 0xDEADBEEFDEADBEEF
39695 .xword 0xDEADBEEFDEADBEEF
39696 .xword 0xDEADBEEFDEADBEEF
39697 .xword 0xDEADBEEFDEADBEEF
39698 .xword 0xDEADBEEFDEADBEEF
39699 .xword 0xDEADBEEFDEADBEEF
39700 .xword 0xDEADBEEFDEADBEEF
39701 .xword 0xDEADBEEFDEADBEEF
39702 .xword 0xDEADBEEFDEADBEEF
39703 .xword 0xDEADBEEFDEADBEEF
39704 .xword 0xDEADBEEFDEADBEEF
39705 .xword 0xDEADBEEFDEADBEEF
39706 .xword 0xDEADBEEFDEADBEEF
39707 .xword 0xDEADBEEFDEADBEEF
39708 .xword 0xDEADBEEFDEADBEEF
39709 .xword 0xDEADBEEFDEADBEEF
39710 .xword 0xDEADBEEFDEADBEEF
39711 .xword 0xDEADBEEFDEADBEEF
39712 .xword 0xDEADBEEFDEADBEEF
39713 .xword 0xDEADBEEFDEADBEEF
39714 .xword 0xDEADBEEFDEADBEEF
39715 .xword 0xDEADBEEFDEADBEEF
39716 .xword 0xDEADBEEFDEADBEEF
39717 .xword 0xDEADBEEFDEADBEEF
39718 .xword 0xDEADBEEFDEADBEEF
39719 .xword 0xDEADBEEFDEADBEEF
39720 .xword 0xDEADBEEFDEADBEEF
39721 .xword 0xDEADBEEFDEADBEEF
39722 .xword 0xDEADBEEFDEADBEEF
39723 .xword 0xDEADBEEFDEADBEEF
39724 .xword 0xDEADBEEFDEADBEEF
39725 .xword 0xDEADBEEFDEADBEEF
39726 .xword 0xDEADBEEFDEADBEEF
39727 .xword 0xDEADBEEFDEADBEEF
39728 .xword 0xDEADBEEFDEADBEEF
39729 .xword 0xDEADBEEFDEADBEEF
39730 .xword 0xDEADBEEFDEADBEEF
39731 .xword 0xDEADBEEFDEADBEEF
39732 .xword 0xDEADBEEFDEADBEEF
39733 .xword 0xDEADBEEFDEADBEEF
39734 .xword 0xDEADBEEFDEADBEEF
39735 .xword 0xDEADBEEFDEADBEEF
39736 .xword 0xDEADBEEFDEADBEEF
39737 .xword 0xDEADBEEFDEADBEEF
39738 .xword 0xDEADBEEFDEADBEEF
39739 .xword 0xDEADBEEFDEADBEEF
39740 .xword 0xDEADBEEFDEADBEEF
39741 .xword 0xDEADBEEFDEADBEEF
39742 .xword 0xDEADBEEFDEADBEEF
39743 .xword 0xDEADBEEFDEADBEEF
39744 .xword 0xDEADBEEFDEADBEEF
39745 .xword 0xDEADBEEFDEADBEEF
39746 .xword 0xDEADBEEFDEADBEEF
39747 .xword 0xDEADBEEFDEADBEEF
39748 .xword 0xDEADBEEFDEADBEEF
39749 .xword 0xDEADBEEFDEADBEEF
39750 .xword 0xDEADBEEFDEADBEEF
39751 .xword 0xDEADBEEFDEADBEEF
39752 .xword 0xDEADBEEFDEADBEEF
39753 .xword 0xDEADBEEFDEADBEEF
39754 .xword 0xDEADBEEFDEADBEEF
39755 .xword 0xDEADBEEFDEADBEEF
39756 .xword 0xDEADBEEFDEADBEEF
39757 .xword 0xDEADBEEFDEADBEEF
39758 .xword 0xDEADBEEFDEADBEEF
39759 .xword 0xDEADBEEFDEADBEEF
39760 .xword 0xDEADBEEFDEADBEEF
39761 .xword 0xDEADBEEFDEADBEEF
39762 .xword 0xDEADBEEFDEADBEEF
39763 .xword 0xDEADBEEFDEADBEEF
39764 .xword 0xDEADBEEFDEADBEEF
39765 .xword 0xDEADBEEFDEADBEEF
39766 .xword 0xDEADBEEFDEADBEEF
39767 .xword 0xDEADBEEFDEADBEEF
39768 .xword 0xDEADBEEFDEADBEEF
39769 .xword 0xDEADBEEFDEADBEEF
39770 .xword 0xDEADBEEFDEADBEEF
39771 .xword 0xDEADBEEFDEADBEEF
39772 .xword 0xDEADBEEFDEADBEEF
39773 .xword 0xDEADBEEFDEADBEEF
39774 .xword 0xDEADBEEFDEADBEEF
39775 .xword 0xDEADBEEFDEADBEEF
39776 .xword 0xDEADBEEFDEADBEEF
39777 .xword 0xDEADBEEFDEADBEEF
39778 .xword 0xDEADBEEFDEADBEEF
39779 .xword 0xDEADBEEFDEADBEEF
39780 .xword 0xDEADBEEFDEADBEEF
39781 .xword 0xDEADBEEFDEADBEEF
39782 .xword 0xDEADBEEFDEADBEEF
39783 .xword 0xDEADBEEFDEADBEEF
39784 .xword 0xDEADBEEFDEADBEEF
39785 .xword 0xDEADBEEFDEADBEEF
39786 .xword 0xDEADBEEFDEADBEEF
39787 .xword 0xDEADBEEFDEADBEEF
39788 .xword 0xDEADBEEFDEADBEEF
39789 .xword 0xDEADBEEFDEADBEEF
39790 .xword 0xDEADBEEFDEADBEEF
39791 .xword 0xDEADBEEFDEADBEEF
39792 .xword 0xDEADBEEFDEADBEEF
39793 .xword 0xDEADBEEFDEADBEEF
39794 .xword 0xDEADBEEFDEADBEEF
39795 .xword 0xDEADBEEFDEADBEEF
39796 .xword 0xDEADBEEFDEADBEEF
39797 .xword 0xDEADBEEFDEADBEEF
39798 .xword 0xDEADBEEFDEADBEEF
39799 .xword 0xDEADBEEFDEADBEEF
39800 .xword 0xDEADBEEFDEADBEEF
39801 .xword 0xDEADBEEFDEADBEEF
39802 .xword 0xDEADBEEFDEADBEEF
39803 .xword 0xDEADBEEFDEADBEEF
39804 .xword 0xDEADBEEFDEADBEEF
39805 .xword 0xDEADBEEFDEADBEEF
39806 .xword 0xDEADBEEFDEADBEEF
39807 .xword 0xDEADBEEFDEADBEEF
39808 .xword 0xDEADBEEFDEADBEEF
39809 .xword 0xDEADBEEFDEADBEEF
39810 .xword 0xDEADBEEFDEADBEEF
39811 .xword 0xDEADBEEFDEADBEEF
39812 .xword 0xDEADBEEFDEADBEEF
39813 .xword 0xDEADBEEFDEADBEEF
39814 .xword 0xDEADBEEFDEADBEEF
39815 .xword 0xDEADBEEFDEADBEEF
39816_t1_aes_auth_key:
39817 .xword 0x51ed1f39e4d3ae6d
39818 .xword 0x01700a0ed77b6aa1
39819 .xword 0x9fc346720d155f9b
39820 .xword 0xcc2a902c50770b73
39821 .xword 0x26558f36fdabbb7e
39822 .xword 0x8c26dd7dc449efcc
39823 .xword 0xe2b9b2a1fda7280f
39824 .xword 0x5a93af13712b0555
39825 .xword 0x52f88c02a8128226
39826 .xword 0x936f5e09fe541e54
39827 .xword 0xfed86c447f8856b0
39828 .xword 0xb40872a9265b4dc9
39829 .xword 0x5ce2d8e97a902f35
39830 .xword 0xfb6b58e24e98ed7f
39831 .xword 0xa8d03304117807be
39832 .xword 0x4540c81b7b33b43a
39833 .xword 0x2dc20073d47c87d7
39834 .xword 0x0748008527001acc
39835 .xword 0x1e2266a684237c0b
39836 .xword 0x4369dd3c74cde47a
39837 .xword 0x7d64e30cc430946a
39838 .xword 0xc2d28958996d91e4
39839 .xword 0x30ea4fe9a32d052a
39840_t1_aes_auth_iv:
39841 .xword 0x78efd3861ae520c0
39842 .xword 0x2ee09a53ecf45cfa
39843 .xword 0x7ed59485786d4527
39844 .xword 0x9b6b28e1149565e5
39845 .xword 0x46c0ac68e96a9d88
39846 .xword 0x08a67bb840f8b342
39847 .xword 0x459406275717ded5
39848 .xword 0x439a0fbe6471f23e
39849 .xword 0x2a57ba2611c7819b
39850 .xword 0x724462cf691bbf73
39851 .xword 0x462eaf0b0da79c09
39852 .xword 0x19aeb1d73535f9eb
39853 .xword 0xe77a7353d8ada392
39854 .xword 0xcab8458ac1033779
39855 .xword 0x26dd9fc2282a8b70
39856 .xword 0x4dea39278672da04
39857 .xword 0x96302fa3d5e7157a
39858 .xword 0x1cfe5b7c0cb6669a
39859 .xword 0x0c720d9335584191
39860 .xword 0xf7d412ad217c1251
39861 .xword 0xfb61394df113c735
39862 .xword 0x5b0fdd761b807675
39863 .xword 0xf84a944288b470a3
39864_t1_aes_fas_result:
39865 .xword 0xDEADBEEFDEADBEEF
39866 .xword 0xDEADBEEFDEADBEEF
39867 .xword 0xDEADBEEFDEADBEEF
39868 .xword 0xDEADBEEFDEADBEEF
39869 .xword 0xDEADBEEFDEADBEEF
39870 .xword 0xDEADBEEFDEADBEEF
39871 .xword 0xDEADBEEFDEADBEEF
39872 .xword 0xDEADBEEFDEADBEEF
39873 .xword 0xDEADBEEFDEADBEEF
39874 .xword 0xDEADBEEFDEADBEEF
39875 .xword 0xDEADBEEFDEADBEEF
39876 .xword 0xDEADBEEFDEADBEEF
39877 .xword 0xDEADBEEFDEADBEEF
39878 .xword 0xDEADBEEFDEADBEEF
39879 .xword 0xDEADBEEFDEADBEEF
39880 .xword 0xDEADBEEFDEADBEEF
39881 .xword 0xDEADBEEFDEADBEEF
39882 .xword 0xDEADBEEFDEADBEEF
39883 .xword 0xDEADBEEFDEADBEEF
39884 .xword 0xDEADBEEFDEADBEEF
39885 .xword 0xDEADBEEFDEADBEEF
39886 .xword 0xDEADBEEFDEADBEEF
39887 .xword 0xDEADBEEFDEADBEEF
39888_t1_des_key_array:
39889 .xword 0x1222822375f5c41c
39890 .xword 0xa954faead9ef85d8
39891 .xword 0xce176e07ef782b0e
39892 .xword 0xd605df8f95d57798
39893 .xword 0x1caacf33eda04578
39894 .xword 0x4fb6b25fc68a9361
39895 .xword 0xd773a88146d81d95
39896 .xword 0x1240d79c045fd6b6
39897 .xword 0x729329f991f31dac
39898 .xword 0xd64737f8fcc97ed8
39899 .xword 0x166f9c80fdff9624
39900 .xword 0x6fe83a8988adc35b
39901 .xword 0x5f81b88b221acd5b
39902 .xword 0x5df6b674072cb2a7
39903 .xword 0x6965b35e70a7d4f5
39904 .xword 0x276355e173db4cbd
39905 .xword 0x6fc7d03fa82831cb
39906 .xword 0xa979a673601d1760
39907 .xword 0x31a7fea9aab4f586
39908 .xword 0x6e69bdee053afcbd
39909 .xword 0x0ee5aafd035b7232
39910 .xword 0x8fb2f01091969c46
39911 .xword 0x1748843e928c7665
39912 .xword 0xefc37131e3a6cd09
39913 .xword 0xe7204ca12fef0170
39914 .xword 0x9b403d53a89e1fcd
39915 .xword 0xe6bf70a75c3f53e8
39916 .xword 0xc1eb91d5bfb9b501
39917 .xword 0x88eef3215fbaa4e1
39918 .xword 0x90a8c1aab030c881
39919 .xword 0x25328854689618f7
39920 .xword 0x1e39d76468f42e4e
39921 .xword 0x2737ecf7aad416dd
39922 .xword 0x84744e5c22a8a514
39923 .xword 0x89f8bfcf2bf9417c
39924 .xword 0x02e2a1decb192eb6
39925 .xword 0x95be2a9c4407a22a
39926 .xword 0x125284d777cab145
39927 .xword 0x969d24cdfd1eca17
39928 .xword 0x3042c33d39059e55
39929 .xword 0x9e3014a02b9cb4f0
39930 .xword 0x5a982cba829117ac
39931 .xword 0x37c973430b947f2b
39932 .xword 0x83a5baeacf01475a
39933 .xword 0xad7505ed08873863
39934 .xword 0x8b434242e64eabb6
39935 .xword 0x938f8504196e075e
39936 .xword 0x6910c41503d628b3
39937 .xword 0xb9ce1c0ae0e69a10
39938 .xword 0x63384501bcf7b1e6
39939 .xword 0x56bf54328bf02ba2
39940_t1_des_iv_array:
39941 .xword 0x421729b39ed9c664
39942 .xword 0x657c9a6f6defe369
39943 .xword 0xf5699a38706eea57
39944 .xword 0xbe535597f61dbd42
39945 .xword 0x97578a8441b20e1f
39946 .xword 0xe5093baa74f2577c
39947 .xword 0x51adfe70700f4e70
39948 .xword 0x0339fe0bb8045d93
39949 .xword 0xd7d6670d4c7001ae
39950 .xword 0xf8c4666122fdf1aa
39951 .xword 0x882a77cb1ea6fa52
39952 .xword 0x4872d309533c22b7
39953 .xword 0xb0a3820cc9d8e7a2
39954 .xword 0x20db85418c179733
39955 .xword 0x619923e93a572464
39956 .xword 0xf1002a4784abecb7
39957 .xword 0x76958f87ddb086c1
39958 .xword 0xcffe65afbf685641
39959 .xword 0xef14e62d011e6b05
39960 .xword 0x75b2b25e288ad863
39961 .xword 0xd7b3b1c23f60b6e4
39962 .xword 0x79b718cbee6fe315
39963 .xword 0x9218f8250ecabd48
39964 .xword 0x74ddeb80f0ad6c65
39965 .xword 0x3e39792939c8845d
39966 .xword 0x411d56acb36b0d06
39967 .xword 0x2a4fbb4090094d71
39968 .xword 0x9dcd56650b58a9f3
39969 .xword 0xda7bcbab815468f6
39970 .xword 0x59f6498a8267803a
39971 .xword 0x51b09f7525b3e64e
39972 .xword 0x75ca00fa3d3c4494
39973 .xword 0x7d91a1895d2348dd
39974 .xword 0x71b25ba56d2507ac
39975 .xword 0xde70c0ae733d00d3
39976 .xword 0x1fa2b5e111fa5789
39977 .xword 0x9ffcb5bfb1ccc24d
39978 .xword 0xd6db61779e65a562
39979 .xword 0x3518873ea3f72b4f
39980 .xword 0xe5dd9a37ebcb81b6
39981 .xword 0x770977fd60a54717
39982 .xword 0x2b9c8821b71559e8
39983 .xword 0xd81fd543d9565700
39984 .xword 0x9a9a73311884c17c
39985 .xword 0x3a91038c720823d1
39986_t1_des_alignment_array:
39987 .xword 15
39988 .xword 12
39989 .xword 4
39990 .xword 12
39991 .xword 15
39992 .xword 14
39993 .xword 4
39994 .xword 5
39995 .xword 5
39996 .xword 6
39997 .xword 0
39998 .xword 0
39999 .xword 6
40000 .xword 15
40001 .xword 5
40002 .xword 13
40003 .xword 15
40004 .xword 4
40005 .xword 6
40006 .xword 14
40007 .xword 2
40008 .xword 13
40009 .xword 11
40010 .xword 3
40011 .xword 1
40012 .xword 4
40013 .xword 0
40014 .xword 10
40015 .xword 8
40016 .xword 12
40017 .xword 15
40018 .xword 15
40019 .xword 11
40020 .xword 12
40021 .xword 15
40022 .xword 10
40023 .xword 15
40024 .xword 6
40025 .xword 6
40026 .xword 14
40027 .xword 0
40028 .xword 11
40029 .xword 0
40030 .xword 2
40031 .xword 12
40032 .xword 15
40033 .xword 7
40034 .xword 13
40035 .xword 8
40036 .xword 6
40037 .xword 11
40038 .xword 13
40039 .xword 12
40040 .xword 11
40041 .xword 12
40042 .xword 8
40043 .xword 12
40044 .xword 11
40045 .xword 9
40046 .xword 15
40047 .xword 4
40048 .xword 3
40049 .xword 8
40050 .xword 1
40051 .xword 8
40052 .xword 14
40053 .xword 10
40054 .xword 12
40055 .xword 2
40056 .xword 1
40057 .xword 12
40058 .xword 10
40059 .xword 13
40060 .xword 12
40061 .xword 8
40062 .xword 2
40063 .xword 11
40064 .xword 0
40065 .xword 7
40066 .xword 5
40067 .xword 9
40068 .xword 3
40069 .xword 9
40070 .xword 5
40071 .xword 2
40072 .xword 9
40073 .xword 3
40074 .xword 10
40075 .xword 7
40076 .xword 14
40077 .xword 7
40078 .xword 8
40079 .xword 15
40080 .xword 10
40081 .xword 9
40082 .xword 5
40083 .xword 4
40084 .xword 4
40085 .xword 5
40086 .xword 6
40087 .xword 4
40088 .xword 10
40089 .xword 12
40090 .xword 1
40091 .xword 13
40092_t1_des_src:
40093 .xword 0x180bbd30601cf9e6
40094 .xword 0x24b78506a672229d
40095 .xword 0xc1ffd410e3eecda9
40096 .xword 0xa7d181368fc42442
40097 .xword 0xb23227f34bd07cdb
40098 .xword 0xd8800939da041600
40099 .xword 0xd2cbba026f7a3fd4
40100 .xword 0xa0b105067fa73b21
40101 .xword 0x98d9777d2f267ab5
40102 .xword 0x315f351954d84001
40103 .xword 0x7e839ffd215094f6
40104 .xword 0xc3435f16fdc5e83a
40105 .xword 0xc729f152d5f2d417
40106 .xword 0xcefac1ff6d0a492a
40107 .xword 0x954c1d12dd748067
40108 .xword 0xa900ecabf10b4576
40109 .xword 0x8387d36a2e0917ff
40110 .xword 0xdeb0d89b0fd27e39
40111 .xword 0xfa9cc8a3a9987fee
40112 .xword 0x73205cb62276f15f
40113 .xword 0xa9ffadba22262b4e
40114 .xword 0xdd5826f485a25da6
40115 .xword 0xe781498b408ed67a
40116 .xword 0x19f9adefa31a647f
40117 .xword 0x553e028ef8ab6f33
40118 .xword 0xe415ab752596ccda
40119 .xword 0x9b2380838d2ae729
40120 .xword 0xb97fbbb7ff1baaa0
40121 .xword 0xac3125513a26fb7f
40122 .xword 0x1320b3fc5b3a1c2d
40123 .xword 0x04c789bdb2bcdc25
40124 .xword 0x1e2feb901eb5416e
40125 .xword 0x0821eb00da086e8b
40126 .xword 0xd5802c748ab2d469
40127 .xword 0x760fc8066a68147d
40128 .xword 0x11b378222de2115c
40129 .xword 0xe651690c25f44a0a
40130 .xword 0x6407bf1f1236e176
40131 .xword 0xc71cb9dde021b3c6
40132 .xword 0x39f840fb59b83dbf
40133 .xword 0x529dbe1d694fbe20
40134 .xword 0x1c11438561f60477
40135 .xword 0x4a5db113d8de4428
40136 .xword 0xdc89d7cf578c3afa
40137 .xword 0x4376ec410651ca17
40138 .xword 0x69fee4d1079747b8
40139 .xword 0x6b73243fd0719594
40140 .xword 0x4ce71debca612b16
40141 .xword 0x4db40ca64a504a93
40142 .xword 0xac02a044eded05b3
40143 .xword 0x10fbd24b877548a1
40144 .xword 0x9b6b49d3e7b4bcab
40145 .xword 0x5321403633a96241
40146 .xword 0xf4e8c19b76dee39a
40147 .xword 0x4a4d0b93c6596cf2
40148 .xword 0x18c10dd6d835b373
40149 .xword 0xf2f01d48d2c7277f
40150 .xword 0xb1082ea916245ab1
40151 .xword 0x439a88388967a43a
40152 .xword 0xb2aad93f7d0ce6c7
40153 .xword 0xe1fb3ea7d3d5ec7a
40154 .xword 0xe23d03bcb7d1b969
40155 .xword 0xe5f88e3325f2a3a5
40156 .xword 0x7ef281b40a01d0e2
40157 .xword 0x66b5aba26892fe82
40158 .xword 0x5074a073dcaaa2bb
40159 .xword 0xbc589e3254394f02
40160 .xword 0x312d6dc5698fd883
40161 .xword 0x70ec9158471c9f90
40162 .xword 0xc09d242ab8f93373
40163 .xword 0x3b873efa839555d5
40164 .xword 0x14572eae8e2aa15f
40165 .xword 0x23d26f393e3751ec
40166 .xword 0x1b61fedd15182e0a
40167 .xword 0x15c11cf455060902
40168 .xword 0xa4db32ed7fa65571
40169 .xword 0xb31070c800cc4b5f
40170 .xword 0x0e3d49373f4216c9
40171 .xword 0x9e46969b1e63c646
40172 .xword 0x7644264ac721fa7d
40173 .xword 0x679412b5f7c9bda2
40174 .xword 0xcb5dfc17372f539c
40175 .xword 0x5aff7314135c1325
40176 .xword 0xf306e391f3cf2b45
40177 .xword 0xa0062fa43d8f85cf
40178 .xword 0x07006956c44105c5
40179 .xword 0x62545c42610aa68a
40180 .xword 0xcc53917b1fc01d00
40181 .xword 0xe2907577a912c4a5
40182 .xword 0x119a61a0fffcec0f
40183 .xword 0xfcc388bcab006088
40184 .xword 0xc2df98ed4d76f8f7
40185 .xword 0x1e2483111798a988
40186 .xword 0x987bd33a9f8a29a4
40187 .xword 0xf1cd9788d6832ff2
40188 .xword 0x024efe04f635e6db
40189 .xword 0xbffafb49bd8611c0
40190 .xword 0x56aee9175716e4be
40191 .xword 0x84bf93a795c71529
40192 .xword 0x59a2977b50059d1e
40193 .xword 0xd267b23918a4c508
40194 .xword 0x71835c5ad194077d
40195 .xword 0x1502761f7296bbb9
40196 .xword 0x5cf539e8c3e0d2e6
40197 .xword 0xc52ec543a845b914
40198 .xword 0x2389ef0f47b78d62
40199 .xword 0xe7b5ba613d4d5d2c
40200 .xword 0x4f9db5846c0dd5a7
40201 .xword 0x3d2671b9f5009252
40202 .xword 0xcad2c245fd04bafb
40203 .xword 0xc16b05e25adb826e
40204 .xword 0x31e3065416199bf5
40205 .xword 0xb7e129fe64fc15e4
40206 .xword 0x265288739bf44314
40207 .xword 0x940bf557e114030d
40208 .xword 0x508311592147ab8b
40209 .xword 0xf4e85443b76d25d2
40210 .xword 0xbe474950315fffd8
40211 .xword 0xb696843e3a9dfbf8
40212 .xword 0xb5254d374c15dc8a
40213 .xword 0x9222a48fc4072da1
40214 .xword 0x3b811055ac5ddc66
40215 .xword 0x74e7dce399283efa
40216 .xword 0xb547551d62aa9be4
40217 .xword 0xdf786a7a4078f33e
40218 .xword 0x472e5657bda06513
40219 .xword 0xd0c886e398766ec5
40220 .xword 0x980bf26b4174c2f3
40221 .xword 0x8881c8e23a12d073
40222 .xword 0x8edf2513330b4128
40223 .xword 0x8448c3194d8b4e77
40224 .xword 0xbc327b50de549c70
40225 .xword 0xbdc80f455cc8aaba
40226 .xword 0xa5cf436bac791426
40227 .xword 0xd233f25f74faab8c
40228 .xword 0xb6f55c399db7ed5f
40229 .xword 0xf791c0b29291eecd
40230 .xword 0x761fc599d2f98523
40231 .xword 0x77e040559bbbe71e
40232 .xword 0x2793dcba18440210
40233 .xword 0x367bf6d2c4611f18
40234 .xword 0x5144565bc65bfae8
40235 .xword 0x09b4d159944fe088
40236 .xword 0x75daa951da42f8d0
40237 .xword 0xd0c5abf0f7aed40c
40238 .xword 0x1d33620ca4ee7b03
40239 .xword 0xcb5ff78fabea416f
40240 .xword 0xb85020e8f7bf016f
40241 .xword 0x5ba05917a5f1e811
40242 .xword 0x4973219e8ec1a67d
40243 .xword 0x6c22b1ff0b322285
40244 .xword 0xdfa1c4d7bd25ac63
40245 .xword 0x36ccff4158ddf071
40246 .xword 0x874add25e1698871
40247 .xword 0x612911edf90d68a0
40248 .xword 0x0529236458c9e1eb
40249 .xword 0xe1119485bac92843
40250 .xword 0x834b735dfbddf684
40251 .xword 0x80e85d906868b23e
40252 .xword 0x3ab0bd7e18053e9d
40253 .xword 0x0836b762c438eb24
40254 .xword 0x106772b75f5cb325
40255 .xword 0x610cf8cc0af704be
40256 .xword 0x91579fd7f8cdcd01
40257 .xword 0xfa83aade2027e2bf
40258 .xword 0x821dfb83695ae158
40259 .xword 0xdb0791d0225a0763
40260 .xword 0x893845469b5d80fc
40261 .xword 0xaa02b1b8d2736c5f
40262 .xword 0xe29670fc302d417b
40263 .xword 0x9dad78d3d5296b0f
40264 .xword 0x7acd06421a95a60c
40265 .xword 0xe7dc6c3ff0ca5adb
40266 .xword 0x0608d849dbe4fc3b
40267 .xword 0xa35e6f3313a75858
40268 .xword 0x945f9e33e2fddd41
40269 .xword 0xf949404f691be96b
40270 .xword 0xd653c5ea5fb1a7f3
40271 .xword 0x033848de10d6ea6e
40272 .xword 0x28e1367deb3bc2b4
40273 .xword 0x124d98397ded1fd2
40274 .xword 0x4d524c196f4f8f91
40275 .xword 0xb9c34fe3d8b45080
40276 .xword 0xf854ce2c324ff0b4
40277 .xword 0x519e58a1a004e95d
40278 .xword 0xd7cac2ad0aa7f8c9
40279 .xword 0x30eb5edc682085d6
40280 .xword 0xd73381b0b6a2cbc9
40281 .xword 0x60f7799a472966ba
40282 .xword 0x40eaaeb2eea5c594
40283 .xword 0xa12a8119d37aeaab
40284 .xword 0x7cb7b2469927e736
40285 .xword 0xe7c659bd71833b3f
40286 .xword 0x6593f4336e27cc08
40287 .xword 0xcdfa0cbd2d603158
40288 .xword 0x87f4c5b6c405c6b5
40289 .xword 0x6febc2a1ff04ffc0
40290 .xword 0xbc43695215d8a035
40291 .xword 0x0c0ab927470a1390
40292 .xword 0x7033560f0f494cb8
40293 .xword 0x6b9b64e91f873e61
40294 .xword 0xba70a8a312a6df9d
40295 .xword 0x7eeff6c75aac0094
40296 .xword 0x6aa84a5424762672
40297 .xword 0x55417a1086480044
40298 .xword 0xed92f906679bafcd
40299 .xword 0x9f5f2bfc4f3299bc
40300 .xword 0xb96782948c90493d
40301 .xword 0x50d95cb65f176d5c
40302 .xword 0x744d92a27a1ed1c2
40303 .xword 0x58665bcba8c789d6
40304 .xword 0x01a6500b95b0bfc9
40305 .xword 0xc3ad311c0672f60c
40306 .xword 0x62dbb92bf6d94657
40307 .xword 0xc959346ace6b32e7
40308 .xword 0x0db0704019a0f811
40309 .xword 0x616753e73f6cad4d
40310 .xword 0xcc7abf3ba4b79670
40311 .xword 0xbf2259cbd1e8509e
40312 .xword 0x0ec0569507986caa
40313 .xword 0x3abfef3db5d7d819
40314 .xword 0x4dfb707207e04c7b
40315 .xword 0xc1a399a9a4ba100e
40316 .xword 0x0c321b5f6dd3bbd8
40317 .xword 0x3aea7ca9338b59f2
40318 .xword 0xfb93d48fb52733c0
40319 .xword 0x0441bed4122fe317
40320 .xword 0x644b431050f93cb7
40321 .xword 0xfc2f996e5c85a8d7
40322 .xword 0x6153a798608bd9bf
40323 .xword 0x7b71ca1aa712d626
40324 .xword 0x716514d564297c5c
40325 .xword 0xcb8f2d9717c1adfd
40326 .xword 0x0dc3e41c4a73bf86
40327 .xword 0xcc8a14be170f7ab7
40328 .xword 0x4d61b8f79bdc882f
40329 .xword 0x00710804d5e28082
40330 .xword 0xd1b45867c57014a5
40331 .xword 0x257682d20ebe7659
40332 .xword 0xbee76f2a175cddd9
40333 .xword 0xdd21d1ba68a572c6
40334 .xword 0x2a929b88d1747e51
40335 .xword 0xde0526c7460cd7fc
40336 .xword 0x982f84325356e27d
40337 .xword 0x69c41ec9c231260b
40338 .xword 0x99e2453519991b34
40339 .xword 0x1d6aed78b4c1ac6c
40340 .xword 0xbdf81b36412417e7
40341 .xword 0x9799adf694b95a27
40342 .xword 0xbcd5eb726a71b173
40343 .xword 0x710d5c3dbfe95f3d
40344 .xword 0xa42dbf39e0bfbee5
40345 .xword 0xdf6961f670945853
40346 .xword 0xfc60cc20291bd48f
40347 .xword 0x51f64ae21a0f7052
40348 .xword 0x12efc4cf9d9a111b
40349 .xword 0xa119f0652a3d6160
40350 .xword 0x6614f7ea12d3aea5
40351 .xword 0xbe11a097286acba8
40352 .xword 0xe8f4d539a4527732
40353 .xword 0x4b3db94fc67d895e
40354 .xword 0x80f459423057dcab
40355 .xword 0xe41f516b8f019652
40356 .xword 0xb6dd54e9a9cadbf1
40357 .xword 0x80b3af3bb2106260
40358 .xword 0x6c3653e5b5e04bbf
40359 .xword 0xc839178a9a302555
40360 .xword 0x4ba2ce5547af3d42
40361 .xword 0x4f32d914e8aa02d9
40362 .xword 0x0e55ce53ee9ee6d4
40363 .xword 0xb91f556b6470e5f5
40364 .xword 0x1d750158216db2b5
40365 .xword 0xff1b715ddb25493e
40366 .xword 0x4d01b88b8ba1f0b1
40367 .xword 0x479a6afb4189f5e7
40368_t1_des_dest:
40369 .xword 0xDEADBEEFDEADBEEF
40370 .xword 0xDEADBEEFDEADBEEF
40371 .xword 0xDEADBEEFDEADBEEF
40372 .xword 0xDEADBEEFDEADBEEF
40373 .xword 0xDEADBEEFDEADBEEF
40374 .xword 0xDEADBEEFDEADBEEF
40375 .xword 0xDEADBEEFDEADBEEF
40376 .xword 0xDEADBEEFDEADBEEF
40377 .xword 0xDEADBEEFDEADBEEF
40378 .xword 0xDEADBEEFDEADBEEF
40379 .xword 0xDEADBEEFDEADBEEF
40380 .xword 0xDEADBEEFDEADBEEF
40381 .xword 0xDEADBEEFDEADBEEF
40382 .xword 0xDEADBEEFDEADBEEF
40383 .xword 0xDEADBEEFDEADBEEF
40384 .xword 0xDEADBEEFDEADBEEF
40385 .xword 0xDEADBEEFDEADBEEF
40386 .xword 0xDEADBEEFDEADBEEF
40387 .xword 0xDEADBEEFDEADBEEF
40388 .xword 0xDEADBEEFDEADBEEF
40389 .xword 0xDEADBEEFDEADBEEF
40390 .xword 0xDEADBEEFDEADBEEF
40391 .xword 0xDEADBEEFDEADBEEF
40392 .xword 0xDEADBEEFDEADBEEF
40393 .xword 0xDEADBEEFDEADBEEF
40394 .xword 0xDEADBEEFDEADBEEF
40395 .xword 0xDEADBEEFDEADBEEF
40396 .xword 0xDEADBEEFDEADBEEF
40397 .xword 0xDEADBEEFDEADBEEF
40398 .xword 0xDEADBEEFDEADBEEF
40399 .xword 0xDEADBEEFDEADBEEF
40400 .xword 0xDEADBEEFDEADBEEF
40401 .xword 0xDEADBEEFDEADBEEF
40402 .xword 0xDEADBEEFDEADBEEF
40403 .xword 0xDEADBEEFDEADBEEF
40404 .xword 0xDEADBEEFDEADBEEF
40405 .xword 0xDEADBEEFDEADBEEF
40406 .xword 0xDEADBEEFDEADBEEF
40407 .xword 0xDEADBEEFDEADBEEF
40408 .xword 0xDEADBEEFDEADBEEF
40409 .xword 0xDEADBEEFDEADBEEF
40410 .xword 0xDEADBEEFDEADBEEF
40411 .xword 0xDEADBEEFDEADBEEF
40412 .xword 0xDEADBEEFDEADBEEF
40413 .xword 0xDEADBEEFDEADBEEF
40414 .xword 0xDEADBEEFDEADBEEF
40415 .xword 0xDEADBEEFDEADBEEF
40416 .xword 0xDEADBEEFDEADBEEF
40417 .xword 0xDEADBEEFDEADBEEF
40418 .xword 0xDEADBEEFDEADBEEF
40419 .xword 0xDEADBEEFDEADBEEF
40420 .xword 0xDEADBEEFDEADBEEF
40421 .xword 0xDEADBEEFDEADBEEF
40422 .xword 0xDEADBEEFDEADBEEF
40423 .xword 0xDEADBEEFDEADBEEF
40424 .xword 0xDEADBEEFDEADBEEF
40425 .xword 0xDEADBEEFDEADBEEF
40426 .xword 0xDEADBEEFDEADBEEF
40427 .xword 0xDEADBEEFDEADBEEF
40428 .xword 0xDEADBEEFDEADBEEF
40429 .xword 0xDEADBEEFDEADBEEF
40430 .xword 0xDEADBEEFDEADBEEF
40431 .xword 0xDEADBEEFDEADBEEF
40432 .xword 0xDEADBEEFDEADBEEF
40433 .xword 0xDEADBEEFDEADBEEF
40434 .xword 0xDEADBEEFDEADBEEF
40435 .xword 0xDEADBEEFDEADBEEF
40436 .xword 0xDEADBEEFDEADBEEF
40437 .xword 0xDEADBEEFDEADBEEF
40438 .xword 0xDEADBEEFDEADBEEF
40439 .xword 0xDEADBEEFDEADBEEF
40440 .xword 0xDEADBEEFDEADBEEF
40441 .xword 0xDEADBEEFDEADBEEF
40442 .xword 0xDEADBEEFDEADBEEF
40443 .xword 0xDEADBEEFDEADBEEF
40444 .xword 0xDEADBEEFDEADBEEF
40445 .xword 0xDEADBEEFDEADBEEF
40446 .xword 0xDEADBEEFDEADBEEF
40447 .xword 0xDEADBEEFDEADBEEF
40448 .xword 0xDEADBEEFDEADBEEF
40449 .xword 0xDEADBEEFDEADBEEF
40450 .xword 0xDEADBEEFDEADBEEF
40451 .xword 0xDEADBEEFDEADBEEF
40452 .xword 0xDEADBEEFDEADBEEF
40453 .xword 0xDEADBEEFDEADBEEF
40454 .xword 0xDEADBEEFDEADBEEF
40455 .xword 0xDEADBEEFDEADBEEF
40456 .xword 0xDEADBEEFDEADBEEF
40457 .xword 0xDEADBEEFDEADBEEF
40458 .xword 0xDEADBEEFDEADBEEF
40459 .xword 0xDEADBEEFDEADBEEF
40460 .xword 0xDEADBEEFDEADBEEF
40461 .xword 0xDEADBEEFDEADBEEF
40462 .xword 0xDEADBEEFDEADBEEF
40463 .xword 0xDEADBEEFDEADBEEF
40464 .xword 0xDEADBEEFDEADBEEF
40465 .xword 0xDEADBEEFDEADBEEF
40466 .xword 0xDEADBEEFDEADBEEF
40467 .xword 0xDEADBEEFDEADBEEF
40468 .xword 0xDEADBEEFDEADBEEF
40469 .xword 0xDEADBEEFDEADBEEF
40470 .xword 0xDEADBEEFDEADBEEF
40471 .xword 0xDEADBEEFDEADBEEF
40472 .xword 0xDEADBEEFDEADBEEF
40473 .xword 0xDEADBEEFDEADBEEF
40474 .xword 0xDEADBEEFDEADBEEF
40475 .xword 0xDEADBEEFDEADBEEF
40476 .xword 0xDEADBEEFDEADBEEF
40477 .xword 0xDEADBEEFDEADBEEF
40478 .xword 0xDEADBEEFDEADBEEF
40479 .xword 0xDEADBEEFDEADBEEF
40480 .xword 0xDEADBEEFDEADBEEF
40481 .xword 0xDEADBEEFDEADBEEF
40482 .xword 0xDEADBEEFDEADBEEF
40483 .xword 0xDEADBEEFDEADBEEF
40484 .xword 0xDEADBEEFDEADBEEF
40485 .xword 0xDEADBEEFDEADBEEF
40486 .xword 0xDEADBEEFDEADBEEF
40487 .xword 0xDEADBEEFDEADBEEF
40488 .xword 0xDEADBEEFDEADBEEF
40489 .xword 0xDEADBEEFDEADBEEF
40490 .xword 0xDEADBEEFDEADBEEF
40491 .xword 0xDEADBEEFDEADBEEF
40492 .xword 0xDEADBEEFDEADBEEF
40493 .xword 0xDEADBEEFDEADBEEF
40494 .xword 0xDEADBEEFDEADBEEF
40495 .xword 0xDEADBEEFDEADBEEF
40496 .xword 0xDEADBEEFDEADBEEF
40497 .xword 0xDEADBEEFDEADBEEF
40498 .xword 0xDEADBEEFDEADBEEF
40499 .xword 0xDEADBEEFDEADBEEF
40500 .xword 0xDEADBEEFDEADBEEF
40501 .xword 0xDEADBEEFDEADBEEF
40502 .xword 0xDEADBEEFDEADBEEF
40503 .xword 0xDEADBEEFDEADBEEF
40504 .xword 0xDEADBEEFDEADBEEF
40505 .xword 0xDEADBEEFDEADBEEF
40506 .xword 0xDEADBEEFDEADBEEF
40507 .xword 0xDEADBEEFDEADBEEF
40508 .xword 0xDEADBEEFDEADBEEF
40509 .xword 0xDEADBEEFDEADBEEF
40510 .xword 0xDEADBEEFDEADBEEF
40511 .xword 0xDEADBEEFDEADBEEF
40512 .xword 0xDEADBEEFDEADBEEF
40513 .xword 0xDEADBEEFDEADBEEF
40514 .xword 0xDEADBEEFDEADBEEF
40515 .xword 0xDEADBEEFDEADBEEF
40516 .xword 0xDEADBEEFDEADBEEF
40517 .xword 0xDEADBEEFDEADBEEF
40518 .xword 0xDEADBEEFDEADBEEF
40519 .xword 0xDEADBEEFDEADBEEF
40520 .xword 0xDEADBEEFDEADBEEF
40521 .xword 0xDEADBEEFDEADBEEF
40522 .xword 0xDEADBEEFDEADBEEF
40523 .xword 0xDEADBEEFDEADBEEF
40524 .xword 0xDEADBEEFDEADBEEF
40525 .xword 0xDEADBEEFDEADBEEF
40526 .xword 0xDEADBEEFDEADBEEF
40527 .xword 0xDEADBEEFDEADBEEF
40528 .xword 0xDEADBEEFDEADBEEF
40529 .xword 0xDEADBEEFDEADBEEF
40530 .xword 0xDEADBEEFDEADBEEF
40531 .xword 0xDEADBEEFDEADBEEF
40532 .xword 0xDEADBEEFDEADBEEF
40533 .xword 0xDEADBEEFDEADBEEF
40534 .xword 0xDEADBEEFDEADBEEF
40535 .xword 0xDEADBEEFDEADBEEF
40536 .xword 0xDEADBEEFDEADBEEF
40537 .xword 0xDEADBEEFDEADBEEF
40538 .xword 0xDEADBEEFDEADBEEF
40539 .xword 0xDEADBEEFDEADBEEF
40540 .xword 0xDEADBEEFDEADBEEF
40541 .xword 0xDEADBEEFDEADBEEF
40542 .xword 0xDEADBEEFDEADBEEF
40543 .xword 0xDEADBEEFDEADBEEF
40544 .xword 0xDEADBEEFDEADBEEF
40545 .xword 0xDEADBEEFDEADBEEF
40546 .xword 0xDEADBEEFDEADBEEF
40547 .xword 0xDEADBEEFDEADBEEF
40548 .xword 0xDEADBEEFDEADBEEF
40549 .xword 0xDEADBEEFDEADBEEF
40550 .xword 0xDEADBEEFDEADBEEF
40551 .xword 0xDEADBEEFDEADBEEF
40552 .xword 0xDEADBEEFDEADBEEF
40553 .xword 0xDEADBEEFDEADBEEF
40554 .xword 0xDEADBEEFDEADBEEF
40555 .xword 0xDEADBEEFDEADBEEF
40556 .xword 0xDEADBEEFDEADBEEF
40557 .xword 0xDEADBEEFDEADBEEF
40558 .xword 0xDEADBEEFDEADBEEF
40559 .xword 0xDEADBEEFDEADBEEF
40560 .xword 0xDEADBEEFDEADBEEF
40561 .xword 0xDEADBEEFDEADBEEF
40562 .xword 0xDEADBEEFDEADBEEF
40563 .xword 0xDEADBEEFDEADBEEF
40564 .xword 0xDEADBEEFDEADBEEF
40565 .xword 0xDEADBEEFDEADBEEF
40566 .xword 0xDEADBEEFDEADBEEF
40567 .xword 0xDEADBEEFDEADBEEF
40568 .xword 0xDEADBEEFDEADBEEF
40569 .xword 0xDEADBEEFDEADBEEF
40570 .xword 0xDEADBEEFDEADBEEF
40571 .xword 0xDEADBEEFDEADBEEF
40572 .xword 0xDEADBEEFDEADBEEF
40573 .xword 0xDEADBEEFDEADBEEF
40574 .xword 0xDEADBEEFDEADBEEF
40575 .xword 0xDEADBEEFDEADBEEF
40576 .xword 0xDEADBEEFDEADBEEF
40577 .xword 0xDEADBEEFDEADBEEF
40578 .xword 0xDEADBEEFDEADBEEF
40579 .xword 0xDEADBEEFDEADBEEF
40580 .xword 0xDEADBEEFDEADBEEF
40581 .xword 0xDEADBEEFDEADBEEF
40582 .xword 0xDEADBEEFDEADBEEF
40583 .xword 0xDEADBEEFDEADBEEF
40584 .xword 0xDEADBEEFDEADBEEF
40585 .xword 0xDEADBEEFDEADBEEF
40586 .xword 0xDEADBEEFDEADBEEF
40587 .xword 0xDEADBEEFDEADBEEF
40588 .xword 0xDEADBEEFDEADBEEF
40589 .xword 0xDEADBEEFDEADBEEF
40590 .xword 0xDEADBEEFDEADBEEF
40591 .xword 0xDEADBEEFDEADBEEF
40592 .xword 0xDEADBEEFDEADBEEF
40593 .xword 0xDEADBEEFDEADBEEF
40594 .xword 0xDEADBEEFDEADBEEF
40595 .xword 0xDEADBEEFDEADBEEF
40596 .xword 0xDEADBEEFDEADBEEF
40597 .xword 0xDEADBEEFDEADBEEF
40598 .xword 0xDEADBEEFDEADBEEF
40599 .xword 0xDEADBEEFDEADBEEF
40600 .xword 0xDEADBEEFDEADBEEF
40601 .xword 0xDEADBEEFDEADBEEF
40602 .xword 0xDEADBEEFDEADBEEF
40603 .xword 0xDEADBEEFDEADBEEF
40604 .xword 0xDEADBEEFDEADBEEF
40605 .xword 0xDEADBEEFDEADBEEF
40606 .xword 0xDEADBEEFDEADBEEF
40607 .xword 0xDEADBEEFDEADBEEF
40608 .xword 0xDEADBEEFDEADBEEF
40609 .xword 0xDEADBEEFDEADBEEF
40610 .xword 0xDEADBEEFDEADBEEF
40611 .xword 0xDEADBEEFDEADBEEF
40612 .xword 0xDEADBEEFDEADBEEF
40613 .xword 0xDEADBEEFDEADBEEF
40614 .xword 0xDEADBEEFDEADBEEF
40615 .xword 0xDEADBEEFDEADBEEF
40616 .xword 0xDEADBEEFDEADBEEF
40617 .xword 0xDEADBEEFDEADBEEF
40618 .xword 0xDEADBEEFDEADBEEF
40619 .xword 0xDEADBEEFDEADBEEF
40620 .xword 0xDEADBEEFDEADBEEF
40621 .xword 0xDEADBEEFDEADBEEF
40622 .xword 0xDEADBEEFDEADBEEF
40623 .xword 0xDEADBEEFDEADBEEF
40624 .xword 0xDEADBEEFDEADBEEF
40625 .xword 0xDEADBEEFDEADBEEF
40626 .xword 0xDEADBEEFDEADBEEF
40627 .xword 0xDEADBEEFDEADBEEF
40628 .xword 0xDEADBEEFDEADBEEF
40629 .xword 0xDEADBEEFDEADBEEF
40630 .xword 0xDEADBEEFDEADBEEF
40631 .xword 0xDEADBEEFDEADBEEF
40632 .xword 0xDEADBEEFDEADBEEF
40633 .xword 0xDEADBEEFDEADBEEF
40634 .xword 0xDEADBEEFDEADBEEF
40635 .xword 0xDEADBEEFDEADBEEF
40636 .xword 0xDEADBEEFDEADBEEF
40637 .xword 0xDEADBEEFDEADBEEF
40638 .xword 0xDEADBEEFDEADBEEF
40639 .xword 0xDEADBEEFDEADBEEF
40640 .xword 0xDEADBEEFDEADBEEF
40641 .xword 0xDEADBEEFDEADBEEF
40642 .xword 0xDEADBEEFDEADBEEF
40643 .xword 0xDEADBEEFDEADBEEF
40644_t1_des_auth_key:
40645 .xword 0x4c91507787324e9c
40646 .xword 0xb07667760831d6c3
40647 .xword 0xc85ec38c538e2599
40648 .xword 0x647af9b94098c99b
40649 .xword 0xffee44be579a28ee
40650 .xword 0x41d9a6d01c8c4951
40651 .xword 0x718b3ba60a21b9a2
40652 .xword 0x2b6bbe4b789c751b
40653 .xword 0xb4ed466e5e99df05
40654 .xword 0xb66a2bb5d990bcf6
40655 .xword 0xabbe582f0e5aa8ae
40656 .xword 0x583b2086e5b84ac3
40657 .xword 0x6da0fc1ef713a1f5
40658 .xword 0x1f2df13a2a68b6eb
40659 .xword 0xb381cdeaa40d916f
40660 .xword 0xeab14ca886bf9f2b
40661 .xword 0x45b2094e457c107d
40662 .xword 0x703052ccef8eed7a
40663 .xword 0x3c25ea37ce59b451
40664 .xword 0xb1f727fd5862ddbd
40665 .xword 0x3dd1860902139539
40666 .xword 0x1c5b4803be51602e
40667 .xword 0xc51a693d66cb7588
40668_t1_des_auth_iv:
40669 .xword 0x97bffca21534ee7d
40670 .xword 0x4a357a3d04eed547
40671 .xword 0x42bbdf4023f5d228
40672 .xword 0x4bbecf8c39719c64
40673 .xword 0x8e3f88f3e4c23912
40674 .xword 0xa90b6fa955d996fd
40675 .xword 0xa07b55b1f8b2688a
40676 .xword 0x837b3077220e7976
40677 .xword 0x83ea867d2404ca54
40678 .xword 0xaf5cefced403de18
40679 .xword 0xd62c411f0bc9d7e3
40680 .xword 0x0ab5f23bac94c506
40681 .xword 0x00e169c27eaf3096
40682 .xword 0x0bce0ded93abf763
40683 .xword 0x76f9008674c99377
40684 .xword 0x4bff2dc8a26ebed9
40685 .xword 0x9855c71c4163ddd5
40686 .xword 0x25591f0aa65b5236
40687 .xword 0x47dcbf581282f0a3
40688 .xword 0xa535985505d86773
40689 .xword 0xa0f5102e7c7d5d5b
40690 .xword 0x512411186de4a7b5
40691 .xword 0x79291a60d7f4f3d5
40692_t1_des_fas_result:
40693 .xword 0xDEADBEEFDEADBEEF
40694 .xword 0xDEADBEEFDEADBEEF
40695 .xword 0xDEADBEEFDEADBEEF
40696 .xword 0xDEADBEEFDEADBEEF
40697 .xword 0xDEADBEEFDEADBEEF
40698 .xword 0xDEADBEEFDEADBEEF
40699 .xword 0xDEADBEEFDEADBEEF
40700 .xword 0xDEADBEEFDEADBEEF
40701 .xword 0xDEADBEEFDEADBEEF
40702 .xword 0xDEADBEEFDEADBEEF
40703 .xword 0xDEADBEEFDEADBEEF
40704 .xword 0xDEADBEEFDEADBEEF
40705 .xword 0xDEADBEEFDEADBEEF
40706 .xword 0xDEADBEEFDEADBEEF
40707 .xword 0xDEADBEEFDEADBEEF
40708 .xword 0xDEADBEEFDEADBEEF
40709 .xword 0xDEADBEEFDEADBEEF
40710 .xword 0xDEADBEEFDEADBEEF
40711 .xword 0xDEADBEEFDEADBEEF
40712 .xword 0xDEADBEEFDEADBEEF
40713 .xword 0xDEADBEEFDEADBEEF
40714 .xword 0xDEADBEEFDEADBEEF
40715 .xword 0xDEADBEEFDEADBEEF
40716_t1_copy_key_array:
40717 .xword 0xdccc959c3dea25bb
40718 .xword 0x5dde0ec6b9e177d3
40719 .xword 0xb35b8e15fc1e1789
40720 .xword 0xe28a36a689f2a335
40721 .xword 0xf098fa877d4c9a9d
40722 .xword 0xae5d017636057e60
40723 .xword 0x5d79e2da27108430
40724 .xword 0x4625f3af9bdc45c1
40725 .xword 0xde116eb59a0961ff
40726 .xword 0x03ad5662f41c291b
40727 .xword 0x472e379121933433
40728 .xword 0x98aea11d60715da6
40729 .xword 0x144db6ff9a1c0ba3
40730 .xword 0x416014cfa264cbe7
40731 .xword 0x50a90adbad60247e
40732 .xword 0x6a3405bedac5ec8f
40733 .xword 0x85302f872458e8cc
40734 .xword 0x5a4368c889b0471d
40735 .xword 0xe0ebc50b8f8e7154
40736 .xword 0x8ef186d5f4f018ea
40737 .xword 0x1fd2b2af10e794cc
40738 .xword 0xac915f24e3e9f0e4
40739 .xword 0x231518bcdf775566
40740 .xword 0x3bc8eb7506abf8e9
40741 .xword 0x1278912a97828f73
40742 .xword 0xf9be8b3724514a73
40743 .xword 0xbc5fb7b9bc98d259
40744 .xword 0x2417e1f2d4081d90
40745 .xword 0x42737afae5ca0339
40746 .xword 0x85edb7ba9345a4de
40747 .xword 0x63a10098741a4781
40748 .xword 0x2da227ddcdbf2d69
40749 .xword 0x7bfadfd17505170e
40750 .xword 0xe8da93015bd9e069
40751 .xword 0xf0f7bf751c9d9d25
40752 .xword 0xa55dfed3282a1325
40753 .xword 0x1edffa72a42788ce
40754 .xword 0xc602af915c560bf8
40755 .xword 0x6263592e8bbc62af
40756 .xword 0x0810bf7c0ab59d86
40757 .xword 0x659e428a124e3df1
40758 .xword 0x5246d48d30ed8db1
40759 .xword 0xd6fcd36bffdf9989
40760 .xword 0xc7bfc7ef4d0e724a
40761 .xword 0xad1a9e9a6e977c7f
40762 .xword 0x6fa0f87eb1d743df
40763 .xword 0xd4498d7e95181a87
40764 .xword 0x25776f187eeb434d
40765 .xword 0xe2a3d19618ec4059
40766 .xword 0x88c14a54f29bcb8c
40767 .xword 0xed9ece8a2832c0a3
40768_t1_copy_iv_array:
40769 .xword 0xe28dafc2d147cde0
40770 .xword 0x7a9d4e9a1e86551a
40771 .xword 0x6bbeab65f03d4321
40772 .xword 0x11302be562ccb30f
40773 .xword 0x113e623be0583d61
40774 .xword 0x25eeb4b2aedd39eb
40775 .xword 0x935dba9749d91f31
40776 .xword 0xc69b6a3911ea7ab1
40777 .xword 0x2ff0a327f96e62f6
40778 .xword 0x6d21ea15597c4be3
40779 .xword 0x55f6a17950885c17
40780 .xword 0x533070ab34c3db32
40781 .xword 0xfe7aaf10a3af2293
40782 .xword 0x30aeca8ecea819ac
40783 .xword 0xcfb58a747ab30c4b
40784 .xword 0x0c5fe56ab0669004
40785 .xword 0xb480fe385f015a61
40786 .xword 0xb6b6b4078eb328bc
40787 .xword 0x6708ee597647229b
40788 .xword 0x4f006afa9b138d97
40789 .xword 0xfcbdfe82ed1ede05
40790 .xword 0xeaa66cd54ec6ca14
40791 .xword 0x703a6425880fb826
40792 .xword 0x5e8a3557dfb55659
40793 .xword 0xc07c118f12795a6d
40794 .xword 0xd597cdfacd3b41c8
40795 .xword 0x236cd643225f136c
40796 .xword 0x76f77d0578695e1c
40797 .xword 0xa871987334fca061
40798 .xword 0xadc7053fa2e9344f
40799 .xword 0x3bbf600efe4bedf8
40800 .xword 0x9488eb450c5544e3
40801 .xword 0x8fe1d5c7ca7e74c5
40802 .xword 0x26956cb998d414ea
40803 .xword 0x9f5d26628b5391a9
40804 .xword 0x0a65c1fb3c4a0c86
40805 .xword 0x5508ac38fc5115f3
40806 .xword 0x9b6ffe2c9e8be584
40807 .xword 0xb1f83c96782a720f
40808 .xword 0xb617999d321bfcca
40809 .xword 0xbd9490303bc86c84
40810 .xword 0x26facef826ec849e
40811 .xword 0x5910ff44ffab0966
40812 .xword 0xd7c0760b36492ac8
40813 .xword 0x285a4385f96a41d1
40814_t1_copy_alignment_array:
40815 .xword 2
40816 .xword 1
40817 .xword 6
40818 .xword 13
40819 .xword 14
40820 .xword 14
40821 .xword 2
40822 .xword 12
40823 .xword 10
40824 .xword 0
40825 .xword 6
40826 .xword 5
40827 .xword 15
40828 .xword 7
40829 .xword 9
40830 .xword 15
40831 .xword 8
40832 .xword 2
40833 .xword 6
40834 .xword 1
40835 .xword 2
40836 .xword 5
40837 .xword 14
40838 .xword 1
40839 .xword 1
40840 .xword 9
40841 .xword 4
40842 .xword 0
40843 .xword 12
40844 .xword 14
40845 .xword 12
40846 .xword 5
40847 .xword 1
40848 .xword 2
40849 .xword 15
40850 .xword 0
40851 .xword 9
40852 .xword 0
40853 .xword 0
40854 .xword 15
40855 .xword 13
40856 .xword 15
40857 .xword 6
40858 .xword 11
40859 .xword 11
40860 .xword 13
40861 .xword 10
40862 .xword 1
40863 .xword 1
40864 .xword 14
40865 .xword 1
40866 .xword 2
40867 .xword 14
40868 .xword 14
40869 .xword 11
40870 .xword 10
40871 .xword 13
40872 .xword 14
40873 .xword 11
40874 .xword 12
40875 .xword 2
40876 .xword 2
40877 .xword 0
40878 .xword 3
40879 .xword 2
40880 .xword 6
40881 .xword 11
40882 .xword 4
40883 .xword 13
40884 .xword 4
40885 .xword 5
40886 .xword 12
40887 .xword 2
40888 .xword 13
40889 .xword 11
40890 .xword 5
40891 .xword 9
40892 .xword 0
40893 .xword 9
40894 .xword 11
40895 .xword 15
40896 .xword 15
40897 .xword 12
40898 .xword 2
40899 .xword 15
40900 .xword 7
40901 .xword 9
40902 .xword 14
40903 .xword 1
40904 .xword 14
40905 .xword 2
40906 .xword 1
40907 .xword 4
40908 .xword 4
40909 .xword 6
40910 .xword 6
40911 .xword 6
40912 .xword 8
40913 .xword 1
40914 .xword 15
40915 .xword 1
40916 .xword 0
40917 .xword 2
40918 .xword 14
40919 .xword 13
40920_t1_copy_src:
40921 .xword 0x134382c70872caea
40922 .xword 0xf3c821ce4d9903f8
40923 .xword 0x160b48228bb721ce
40924 .xword 0xfd96feb045fb9036
40925 .xword 0x4b3891a70e4f423a
40926 .xword 0x4a0fc3086db68042
40927 .xword 0xa59a1db990855923
40928 .xword 0xe4d3c99a9086b676
40929 .xword 0xc6b0046fcd22b2d8
40930 .xword 0x6c201b6382df139c
40931 .xword 0xb00091cf56f0d4bb
40932 .xword 0x85a16e4270520853
40933 .xword 0x91f350a365319e4e
40934 .xword 0x26fb26afadd66888
40935 .xword 0x94b6167e9ea431f3
40936 .xword 0x48e19ff81f0ca5b7
40937 .xword 0x4a223bea268152f9
40938 .xword 0x04201d720a617ccb
40939 .xword 0x0d4cf2768833aa09
40940 .xword 0xcd1fbfbb387a1500
40941 .xword 0xc45271951c11e431
40942 .xword 0x7d2d9a277c37b6c2
40943 .xword 0xd06b7a4d7c5617da
40944 .xword 0x3883057b0cec154d
40945 .xword 0x2fd87358bb18e48b
40946 .xword 0x294004dc735f4f8c
40947 .xword 0x5869a244cc0b2004
40948 .xword 0x252f7f039c84b240
40949 .xword 0xbf045ee9752a3284
40950 .xword 0xfebf0555c73411e9
40951 .xword 0xc586319e88c4d2a5
40952 .xword 0x72daa9c303c2eb13
40953 .xword 0xf710ec8469f9621c
40954 .xword 0x9380a2fb7b834fb5
40955 .xword 0x06ee9969b8f76c4b
40956 .xword 0x0bc37971db017e8d
40957 .xword 0x514798d79e680d94
40958 .xword 0x7509d03bde87557c
40959 .xword 0xc665aac2ee054366
40960 .xword 0x26c817300114e9cb
40961 .xword 0xc2fc2efd697e6be3
40962 .xword 0x31f1dd1626044a27
40963 .xword 0x46f6980bd5a7bd8f
40964 .xword 0x72b6e09b1e7eed61
40965 .xword 0x3ddbec2e69e2f3d1
40966 .xword 0xdc1ab25496a20717
40967 .xword 0x5363e978de8f1574
40968 .xword 0xbecfa902502cfd35
40969 .xword 0x14141bd870777b17
40970 .xword 0xab84af459fc9f8c2
40971 .xword 0x688e61beaa1e5b4c
40972 .xword 0x31f7fc039bde81f2
40973 .xword 0xe07b2b21304b0ea8
40974 .xword 0x157ecbb1f9e62ee4
40975 .xword 0x4106f74f4baa78b4
40976 .xword 0x89b28b7f403baa01
40977 .xword 0x3ca7692a57224fa0
40978 .xword 0x068518e4cfe18437
40979 .xword 0xb3d2496693ed58c8
40980 .xword 0x2246748e7f809a38
40981 .xword 0xacfb8a0ca330da47
40982 .xword 0xdeb47608a2ea0aa9
40983 .xword 0xcf713dcd7011c250
40984 .xword 0x1a34516919cb8493
40985 .xword 0x9a6e6fc53e0cbc18
40986 .xword 0x715b07d51ea2612d
40987 .xword 0x636bcb152e9caf17
40988 .xword 0x74933ad77a641197
40989 .xword 0x18d4baa4ac0defaf
40990 .xword 0xe7d5055a548dd309
40991 .xword 0x410d13d77db459dc
40992 .xword 0xc9249c63c49b7bfb
40993 .xword 0x7dc69623ce9c4762
40994 .xword 0xcacfccfdf0a09685
40995 .xword 0xb6a8a48661e2745a
40996 .xword 0xed73ccf29fde8f2f
40997 .xword 0xaad6f645aba36d9c
40998 .xword 0x90c6318fc69c0336
40999 .xword 0xe73ddef9529917a3
41000 .xword 0x200fbc8c9a810de1
41001 .xword 0xb70e5c8e7dbc380f
41002 .xword 0x7941b618024e8735
41003 .xword 0x2ab7961f7f94e09d
41004 .xword 0x7a11b7292b11608f
41005 .xword 0x550e3d81e2d6a179
41006 .xword 0x8e491b0e99c0b9d6
41007 .xword 0x2af3c4b5973709ea
41008 .xword 0xe54c86ff10cc9aa6
41009 .xword 0xa46560467f2d0e62
41010 .xword 0xb64ce4b5495ec4f5
41011 .xword 0x5174fb71629bda0b
41012 .xword 0x3effe3d8f2acc7b6
41013 .xword 0x089cb9e19f2b301f
41014 .xword 0x6d09617c333ad80e
41015 .xword 0x8278332a0c94a28e
41016 .xword 0xfa480117ecd905c2
41017 .xword 0x0414855b7f91abb4
41018 .xword 0xc15e99ebb20194c5
41019 .xword 0x0dd5ea35438f3973
41020 .xword 0x85345feffda07fff
41021 .xword 0xc9a774453c945bc1
41022 .xword 0xd9cfe1e846b3a906
41023 .xword 0x7062d76d4ae5ea89
41024 .xword 0xb6a7bbb7acf9a2d2
41025 .xword 0x06501e93dba69893
41026 .xword 0x9b9cfe6f6e56aced
41027 .xword 0xd11725163917a00e
41028 .xword 0xc2a32281ebb1710f
41029 .xword 0x357a2ef694f4f1d3
41030 .xword 0xcc5ad6a4a90b5218
41031 .xword 0xf4d954867e38b1cd
41032 .xword 0x6bba4a6bddf85759
41033 .xword 0xe8a67570586f88e4
41034 .xword 0xf955ddab2471872c
41035 .xword 0x09856db0100022f0
41036 .xword 0xdbd2ef5aa0fb1f11
41037 .xword 0x0956d4bcd9151806
41038 .xword 0x1de100efcb22bf01
41039 .xword 0xab8902419f9a1d8d
41040 .xword 0xcde3c270c013f232
41041 .xword 0x4f727ff86a769a99
41042 .xword 0xb99a1efd399c3470
41043 .xword 0x0719290d888abd78
41044 .xword 0x551d6690b93f51c0
41045 .xword 0x52f5c00c88113b43
41046 .xword 0xe47008d4b1918b53
41047 .xword 0x28a3c8f6b8bff243
41048 .xword 0x738b023956755b7b
41049 .xword 0xa27e9abdda37e3af
41050 .xword 0xf05109140af944f8
41051 .xword 0xf278759eaf95c53a
41052 .xword 0xa0d57fada9faa2f3
41053 .xword 0x595b3345c6758e68
41054 .xword 0x7c1de1afcda678ed
41055 .xword 0x36cd53aa58f9532f
41056 .xword 0x278fa39e1377f8b6
41057 .xword 0x4c3cc692af411a8a
41058 .xword 0x01ecb4ff58438112
41059 .xword 0x8f52f4dac817c8d0
41060 .xword 0xbbc592d8921323c1
41061 .xword 0xdecac85c3e9c5f8b
41062 .xword 0x8c2a844588ed25cb
41063 .xword 0x8b2c16c8aa5863ee
41064 .xword 0x0177a15466029fc4
41065 .xword 0x1a28f9ffd76db112
41066 .xword 0xcec5e15ac07315a4
41067 .xword 0xb955b7e1037998d8
41068 .xword 0xeaecd10f9687f7df
41069 .xword 0x8beb741e9f786f94
41070 .xword 0x635097eb2fc3abd1
41071 .xword 0x635690a5b580fb97
41072 .xword 0x67b6b9a67676c58d
41073 .xword 0xbbe1da353850b227
41074 .xword 0x12777b86fb26db1d
41075 .xword 0x2a58d8ae94790eb1
41076 .xword 0x9a7379172faf4b75
41077 .xword 0x52a530e65ef72a0a
41078 .xword 0xda152219349ff628
41079 .xword 0xf1d6e7f10fa7cb75
41080 .xword 0x105eb027fff6b0e5
41081 .xword 0xf1aa47424ab719b2
41082 .xword 0xef587d96c30ba3a4
41083 .xword 0x6523919141531897
41084 .xword 0x2a54f0ca8728deff
41085 .xword 0xc266ad8337ae8055
41086 .xword 0xd2ea0a8bac16f440
41087 .xword 0xc505e4ae14ec1069
41088 .xword 0x7a180cbd3de4e882
41089 .xword 0x7e0df1a4e799004d
41090 .xword 0x2ae824e19b015a62
41091 .xword 0xd067fabdb3fa8a11
41092 .xword 0x3c2e239bc7beb9aa
41093 .xword 0x3fd6c4a00527a5e9
41094 .xword 0x116030af6c798b90
41095 .xword 0x89276a00aca6b46a
41096 .xword 0xea3439e4f667c76a
41097 .xword 0xb5bb6bfe39156423
41098 .xword 0x02cd4e860431ed39
41099 .xword 0x0fd5d7fd04aaeaa9
41100 .xword 0x40131915d72e8fe8
41101 .xword 0xa022bf939641e6a7
41102 .xword 0x78a8f9b5917cb6ad
41103 .xword 0x6a5f2bd2dd02575c
41104 .xword 0x1ea48fba0302b100
41105 .xword 0xccfb8985310f9c36
41106 .xword 0x7a21e02b31ab3145
41107 .xword 0x1f56acf02f86f454
41108 .xword 0xbf8c9376b822a963
41109 .xword 0x8ee70f5bd39c976d
41110 .xword 0xa3a33319f85e0510
41111 .xword 0x53947eb093b1acee
41112 .xword 0x008042af6c3af611
41113 .xword 0xf05701023043fc24
41114 .xword 0x4c677c568a5c1e10
41115 .xword 0xf82f5a70116f34e3
41116 .xword 0x0f9a65495547fc79
41117 .xword 0x4bf341fae6065eec
41118 .xword 0xf76a642db68a9548
41119 .xword 0xdec9582097d69dc6
41120 .xword 0xd138e473fba66132
41121 .xword 0xdccd0d29d211e48f
41122 .xword 0xa0bdb2b2c3676887
41123 .xword 0xbdcc2596504879c5
41124 .xword 0xdd3ef779f5735a72
41125 .xword 0x3b3fec00c97399f9
41126 .xword 0xebc8defb1c5ab100
41127 .xword 0xe07291201bbb9eb7
41128 .xword 0x0255bbd1974341fd
41129 .xword 0xb3052b22c85432a3
41130 .xword 0x868812a068cb8528
41131 .xword 0xc06d491268c72591
41132 .xword 0x30b5b5ab0fd15826
41133 .xword 0x22410417dfedc76f
41134 .xword 0x4b6ba18ff6dfa0b9
41135 .xword 0xc498e0bb5c8eb202
41136 .xword 0x6d32b5ab1e29d5f2
41137 .xword 0x140ea4aea207d628
41138 .xword 0xf75acb733a151c84
41139 .xword 0xa5496169e67863dd
41140 .xword 0xc4fa8c995c2408f9
41141 .xword 0x459516adcc9eb533
41142 .xword 0x54ba9961cd8e1113
41143 .xword 0x406797b20d7816b5
41144 .xword 0xde2ca9cf9f8f5118
41145 .xword 0x30369dab00ffb9ea
41146 .xword 0x952adbcbe8ee32e8
41147 .xword 0xf02a0fbf6b0c703a
41148 .xword 0x188c963c476e7987
41149 .xword 0x30eaf99a7b864d2c
41150 .xword 0x0a61b7228e8cd710
41151 .xword 0xe7b907e61e9e5dc3
41152 .xword 0x8e03a0ecbb18ae3d
41153 .xword 0x59587f8707f15568
41154 .xword 0x23fc2e9d179b5c7b
41155 .xword 0x4d6b13c5172c6dc7
41156 .xword 0xa61b412704d52395
41157 .xword 0xc2d95dcecae16e5b
41158 .xword 0xeb90b090c226362e
41159 .xword 0xdc3c6286f0810b9c
41160 .xword 0xb51bff42d771359d
41161 .xword 0x4408380d6645f515
41162 .xword 0xd3cde315c10ce8cb
41163 .xword 0xfbd54255ccc05b42
41164 .xword 0x48676084ba9278cb
41165 .xword 0xf6ee1097c20b4ce0
41166 .xword 0x72f7c431583d705b
41167 .xword 0x7e14c9900544a98c
41168 .xword 0x2a77979f450195b5
41169 .xword 0x093df3314e9b2907
41170 .xword 0xa68d243750c3eb36
41171 .xword 0xdcae559b8f30e6b3
41172 .xword 0x9408424737567465
41173 .xword 0xb37e7a2d9f395c5e
41174 .xword 0x928dd4099ca831de
41175 .xword 0xba0041a80ef25c07
41176 .xword 0xbad878c3544559a6
41177 .xword 0x3c3dea740fc7b47f
41178 .xword 0xbfa61e834447cd0f
41179 .xword 0xacfd2306f7f0144e
41180 .xword 0x315c8f55ac80c389
41181 .xword 0xaede161fa4418cd5
41182 .xword 0xf36ad9b29d27acc3
41183 .xword 0x641b13558891ffc4
41184 .xword 0x94cc5e9f26923406
41185 .xword 0x5a0855754748901d
41186 .xword 0xc67855f7f6f6741e
41187 .xword 0x689ed5b5f7d4e148
41188 .xword 0x92712a2617d8cd54
41189 .xword 0xea83b31160ba951e
41190 .xword 0x87fd28b1541f5b88
41191 .xword 0x8acf3d6899bfe541
41192 .xword 0x6bb5ffbcf64e1476
41193 .xword 0x034c7ab315a41133
41194 .xword 0xb56aa7b279cd206b
41195 .xword 0x251b1a6464d3bf6d
41196_t1_copy_dest:
41197 .xword 0xDEADBEEFDEADBEEF
41198 .xword 0xDEADBEEFDEADBEEF
41199 .xword 0xDEADBEEFDEADBEEF
41200 .xword 0xDEADBEEFDEADBEEF
41201 .xword 0xDEADBEEFDEADBEEF
41202 .xword 0xDEADBEEFDEADBEEF
41203 .xword 0xDEADBEEFDEADBEEF
41204 .xword 0xDEADBEEFDEADBEEF
41205 .xword 0xDEADBEEFDEADBEEF
41206 .xword 0xDEADBEEFDEADBEEF
41207 .xword 0xDEADBEEFDEADBEEF
41208 .xword 0xDEADBEEFDEADBEEF
41209 .xword 0xDEADBEEFDEADBEEF
41210 .xword 0xDEADBEEFDEADBEEF
41211 .xword 0xDEADBEEFDEADBEEF
41212 .xword 0xDEADBEEFDEADBEEF
41213 .xword 0xDEADBEEFDEADBEEF
41214 .xword 0xDEADBEEFDEADBEEF
41215 .xword 0xDEADBEEFDEADBEEF
41216 .xword 0xDEADBEEFDEADBEEF
41217 .xword 0xDEADBEEFDEADBEEF
41218 .xword 0xDEADBEEFDEADBEEF
41219 .xword 0xDEADBEEFDEADBEEF
41220 .xword 0xDEADBEEFDEADBEEF
41221 .xword 0xDEADBEEFDEADBEEF
41222 .xword 0xDEADBEEFDEADBEEF
41223 .xword 0xDEADBEEFDEADBEEF
41224 .xword 0xDEADBEEFDEADBEEF
41225 .xword 0xDEADBEEFDEADBEEF
41226 .xword 0xDEADBEEFDEADBEEF
41227 .xword 0xDEADBEEFDEADBEEF
41228 .xword 0xDEADBEEFDEADBEEF
41229 .xword 0xDEADBEEFDEADBEEF
41230 .xword 0xDEADBEEFDEADBEEF
41231 .xword 0xDEADBEEFDEADBEEF
41232 .xword 0xDEADBEEFDEADBEEF
41233 .xword 0xDEADBEEFDEADBEEF
41234 .xword 0xDEADBEEFDEADBEEF
41235 .xword 0xDEADBEEFDEADBEEF
41236 .xword 0xDEADBEEFDEADBEEF
41237 .xword 0xDEADBEEFDEADBEEF
41238 .xword 0xDEADBEEFDEADBEEF
41239 .xword 0xDEADBEEFDEADBEEF
41240 .xword 0xDEADBEEFDEADBEEF
41241 .xword 0xDEADBEEFDEADBEEF
41242 .xword 0xDEADBEEFDEADBEEF
41243 .xword 0xDEADBEEFDEADBEEF
41244 .xword 0xDEADBEEFDEADBEEF
41245 .xword 0xDEADBEEFDEADBEEF
41246 .xword 0xDEADBEEFDEADBEEF
41247 .xword 0xDEADBEEFDEADBEEF
41248 .xword 0xDEADBEEFDEADBEEF
41249 .xword 0xDEADBEEFDEADBEEF
41250 .xword 0xDEADBEEFDEADBEEF
41251 .xword 0xDEADBEEFDEADBEEF
41252 .xword 0xDEADBEEFDEADBEEF
41253 .xword 0xDEADBEEFDEADBEEF
41254 .xword 0xDEADBEEFDEADBEEF
41255 .xword 0xDEADBEEFDEADBEEF
41256 .xword 0xDEADBEEFDEADBEEF
41257 .xword 0xDEADBEEFDEADBEEF
41258 .xword 0xDEADBEEFDEADBEEF
41259 .xword 0xDEADBEEFDEADBEEF
41260 .xword 0xDEADBEEFDEADBEEF
41261 .xword 0xDEADBEEFDEADBEEF
41262 .xword 0xDEADBEEFDEADBEEF
41263 .xword 0xDEADBEEFDEADBEEF
41264 .xword 0xDEADBEEFDEADBEEF
41265 .xword 0xDEADBEEFDEADBEEF
41266 .xword 0xDEADBEEFDEADBEEF
41267 .xword 0xDEADBEEFDEADBEEF
41268 .xword 0xDEADBEEFDEADBEEF
41269 .xword 0xDEADBEEFDEADBEEF
41270 .xword 0xDEADBEEFDEADBEEF
41271 .xword 0xDEADBEEFDEADBEEF
41272 .xword 0xDEADBEEFDEADBEEF
41273 .xword 0xDEADBEEFDEADBEEF
41274 .xword 0xDEADBEEFDEADBEEF
41275 .xword 0xDEADBEEFDEADBEEF
41276 .xword 0xDEADBEEFDEADBEEF
41277 .xword 0xDEADBEEFDEADBEEF
41278 .xword 0xDEADBEEFDEADBEEF
41279 .xword 0xDEADBEEFDEADBEEF
41280 .xword 0xDEADBEEFDEADBEEF
41281 .xword 0xDEADBEEFDEADBEEF
41282 .xword 0xDEADBEEFDEADBEEF
41283 .xword 0xDEADBEEFDEADBEEF
41284 .xword 0xDEADBEEFDEADBEEF
41285 .xword 0xDEADBEEFDEADBEEF
41286 .xword 0xDEADBEEFDEADBEEF
41287 .xword 0xDEADBEEFDEADBEEF
41288 .xword 0xDEADBEEFDEADBEEF
41289 .xword 0xDEADBEEFDEADBEEF
41290 .xword 0xDEADBEEFDEADBEEF
41291 .xword 0xDEADBEEFDEADBEEF
41292 .xword 0xDEADBEEFDEADBEEF
41293 .xword 0xDEADBEEFDEADBEEF
41294 .xword 0xDEADBEEFDEADBEEF
41295 .xword 0xDEADBEEFDEADBEEF
41296 .xword 0xDEADBEEFDEADBEEF
41297 .xword 0xDEADBEEFDEADBEEF
41298 .xword 0xDEADBEEFDEADBEEF
41299 .xword 0xDEADBEEFDEADBEEF
41300 .xword 0xDEADBEEFDEADBEEF
41301 .xword 0xDEADBEEFDEADBEEF
41302 .xword 0xDEADBEEFDEADBEEF
41303 .xword 0xDEADBEEFDEADBEEF
41304 .xword 0xDEADBEEFDEADBEEF
41305 .xword 0xDEADBEEFDEADBEEF
41306 .xword 0xDEADBEEFDEADBEEF
41307 .xword 0xDEADBEEFDEADBEEF
41308 .xword 0xDEADBEEFDEADBEEF
41309 .xword 0xDEADBEEFDEADBEEF
41310 .xword 0xDEADBEEFDEADBEEF
41311 .xword 0xDEADBEEFDEADBEEF
41312 .xword 0xDEADBEEFDEADBEEF
41313 .xword 0xDEADBEEFDEADBEEF
41314 .xword 0xDEADBEEFDEADBEEF
41315 .xword 0xDEADBEEFDEADBEEF
41316 .xword 0xDEADBEEFDEADBEEF
41317 .xword 0xDEADBEEFDEADBEEF
41318 .xword 0xDEADBEEFDEADBEEF
41319 .xword 0xDEADBEEFDEADBEEF
41320 .xword 0xDEADBEEFDEADBEEF
41321 .xword 0xDEADBEEFDEADBEEF
41322 .xword 0xDEADBEEFDEADBEEF
41323 .xword 0xDEADBEEFDEADBEEF
41324 .xword 0xDEADBEEFDEADBEEF
41325 .xword 0xDEADBEEFDEADBEEF
41326 .xword 0xDEADBEEFDEADBEEF
41327 .xword 0xDEADBEEFDEADBEEF
41328 .xword 0xDEADBEEFDEADBEEF
41329 .xword 0xDEADBEEFDEADBEEF
41330 .xword 0xDEADBEEFDEADBEEF
41331 .xword 0xDEADBEEFDEADBEEF
41332 .xword 0xDEADBEEFDEADBEEF
41333 .xword 0xDEADBEEFDEADBEEF
41334 .xword 0xDEADBEEFDEADBEEF
41335 .xword 0xDEADBEEFDEADBEEF
41336 .xword 0xDEADBEEFDEADBEEF
41337 .xword 0xDEADBEEFDEADBEEF
41338 .xword 0xDEADBEEFDEADBEEF
41339 .xword 0xDEADBEEFDEADBEEF
41340 .xword 0xDEADBEEFDEADBEEF
41341 .xword 0xDEADBEEFDEADBEEF
41342 .xword 0xDEADBEEFDEADBEEF
41343 .xword 0xDEADBEEFDEADBEEF
41344 .xword 0xDEADBEEFDEADBEEF
41345 .xword 0xDEADBEEFDEADBEEF
41346 .xword 0xDEADBEEFDEADBEEF
41347 .xword 0xDEADBEEFDEADBEEF
41348 .xword 0xDEADBEEFDEADBEEF
41349 .xword 0xDEADBEEFDEADBEEF
41350 .xword 0xDEADBEEFDEADBEEF
41351 .xword 0xDEADBEEFDEADBEEF
41352 .xword 0xDEADBEEFDEADBEEF
41353 .xword 0xDEADBEEFDEADBEEF
41354 .xword 0xDEADBEEFDEADBEEF
41355 .xword 0xDEADBEEFDEADBEEF
41356 .xword 0xDEADBEEFDEADBEEF
41357 .xword 0xDEADBEEFDEADBEEF
41358 .xword 0xDEADBEEFDEADBEEF
41359 .xword 0xDEADBEEFDEADBEEF
41360 .xword 0xDEADBEEFDEADBEEF
41361 .xword 0xDEADBEEFDEADBEEF
41362 .xword 0xDEADBEEFDEADBEEF
41363 .xword 0xDEADBEEFDEADBEEF
41364 .xword 0xDEADBEEFDEADBEEF
41365 .xword 0xDEADBEEFDEADBEEF
41366 .xword 0xDEADBEEFDEADBEEF
41367 .xword 0xDEADBEEFDEADBEEF
41368 .xword 0xDEADBEEFDEADBEEF
41369 .xword 0xDEADBEEFDEADBEEF
41370 .xword 0xDEADBEEFDEADBEEF
41371 .xword 0xDEADBEEFDEADBEEF
41372 .xword 0xDEADBEEFDEADBEEF
41373 .xword 0xDEADBEEFDEADBEEF
41374 .xword 0xDEADBEEFDEADBEEF
41375 .xword 0xDEADBEEFDEADBEEF
41376 .xword 0xDEADBEEFDEADBEEF
41377 .xword 0xDEADBEEFDEADBEEF
41378 .xword 0xDEADBEEFDEADBEEF
41379 .xword 0xDEADBEEFDEADBEEF
41380 .xword 0xDEADBEEFDEADBEEF
41381 .xword 0xDEADBEEFDEADBEEF
41382 .xword 0xDEADBEEFDEADBEEF
41383 .xword 0xDEADBEEFDEADBEEF
41384 .xword 0xDEADBEEFDEADBEEF
41385 .xword 0xDEADBEEFDEADBEEF
41386 .xword 0xDEADBEEFDEADBEEF
41387 .xword 0xDEADBEEFDEADBEEF
41388 .xword 0xDEADBEEFDEADBEEF
41389 .xword 0xDEADBEEFDEADBEEF
41390 .xword 0xDEADBEEFDEADBEEF
41391 .xword 0xDEADBEEFDEADBEEF
41392 .xword 0xDEADBEEFDEADBEEF
41393 .xword 0xDEADBEEFDEADBEEF
41394 .xword 0xDEADBEEFDEADBEEF
41395 .xword 0xDEADBEEFDEADBEEF
41396 .xword 0xDEADBEEFDEADBEEF
41397 .xword 0xDEADBEEFDEADBEEF
41398 .xword 0xDEADBEEFDEADBEEF
41399 .xword 0xDEADBEEFDEADBEEF
41400 .xword 0xDEADBEEFDEADBEEF
41401 .xword 0xDEADBEEFDEADBEEF
41402 .xword 0xDEADBEEFDEADBEEF
41403 .xword 0xDEADBEEFDEADBEEF
41404 .xword 0xDEADBEEFDEADBEEF
41405 .xword 0xDEADBEEFDEADBEEF
41406 .xword 0xDEADBEEFDEADBEEF
41407 .xword 0xDEADBEEFDEADBEEF
41408 .xword 0xDEADBEEFDEADBEEF
41409 .xword 0xDEADBEEFDEADBEEF
41410 .xword 0xDEADBEEFDEADBEEF
41411 .xword 0xDEADBEEFDEADBEEF
41412 .xword 0xDEADBEEFDEADBEEF
41413 .xword 0xDEADBEEFDEADBEEF
41414 .xword 0xDEADBEEFDEADBEEF
41415 .xword 0xDEADBEEFDEADBEEF
41416 .xword 0xDEADBEEFDEADBEEF
41417 .xword 0xDEADBEEFDEADBEEF
41418 .xword 0xDEADBEEFDEADBEEF
41419 .xword 0xDEADBEEFDEADBEEF
41420 .xword 0xDEADBEEFDEADBEEF
41421 .xword 0xDEADBEEFDEADBEEF
41422 .xword 0xDEADBEEFDEADBEEF
41423 .xword 0xDEADBEEFDEADBEEF
41424 .xword 0xDEADBEEFDEADBEEF
41425 .xword 0xDEADBEEFDEADBEEF
41426 .xword 0xDEADBEEFDEADBEEF
41427 .xword 0xDEADBEEFDEADBEEF
41428 .xword 0xDEADBEEFDEADBEEF
41429 .xword 0xDEADBEEFDEADBEEF
41430 .xword 0xDEADBEEFDEADBEEF
41431 .xword 0xDEADBEEFDEADBEEF
41432 .xword 0xDEADBEEFDEADBEEF
41433 .xword 0xDEADBEEFDEADBEEF
41434 .xword 0xDEADBEEFDEADBEEF
41435 .xword 0xDEADBEEFDEADBEEF
41436 .xword 0xDEADBEEFDEADBEEF
41437 .xword 0xDEADBEEFDEADBEEF
41438 .xword 0xDEADBEEFDEADBEEF
41439 .xword 0xDEADBEEFDEADBEEF
41440 .xword 0xDEADBEEFDEADBEEF
41441 .xword 0xDEADBEEFDEADBEEF
41442 .xword 0xDEADBEEFDEADBEEF
41443 .xword 0xDEADBEEFDEADBEEF
41444 .xword 0xDEADBEEFDEADBEEF
41445 .xword 0xDEADBEEFDEADBEEF
41446 .xword 0xDEADBEEFDEADBEEF
41447 .xword 0xDEADBEEFDEADBEEF
41448 .xword 0xDEADBEEFDEADBEEF
41449 .xword 0xDEADBEEFDEADBEEF
41450 .xword 0xDEADBEEFDEADBEEF
41451 .xword 0xDEADBEEFDEADBEEF
41452 .xword 0xDEADBEEFDEADBEEF
41453 .xword 0xDEADBEEFDEADBEEF
41454 .xword 0xDEADBEEFDEADBEEF
41455 .xword 0xDEADBEEFDEADBEEF
41456 .xword 0xDEADBEEFDEADBEEF
41457 .xword 0xDEADBEEFDEADBEEF
41458 .xword 0xDEADBEEFDEADBEEF
41459 .xword 0xDEADBEEFDEADBEEF
41460 .xword 0xDEADBEEFDEADBEEF
41461 .xword 0xDEADBEEFDEADBEEF
41462 .xword 0xDEADBEEFDEADBEEF
41463 .xword 0xDEADBEEFDEADBEEF
41464 .xword 0xDEADBEEFDEADBEEF
41465 .xword 0xDEADBEEFDEADBEEF
41466 .xword 0xDEADBEEFDEADBEEF
41467 .xword 0xDEADBEEFDEADBEEF
41468 .xword 0xDEADBEEFDEADBEEF
41469 .xword 0xDEADBEEFDEADBEEF
41470 .xword 0xDEADBEEFDEADBEEF
41471 .xword 0xDEADBEEFDEADBEEF
41472_t1_copy_auth_key:
41473 .xword 0x33df152b80c5b0ce
41474 .xword 0x7a89d0b6186be0db
41475 .xword 0x3d51bc9f9aa8485f
41476 .xword 0xeba6782694d7679d
41477 .xword 0x211e0a0ecfc0fe10
41478 .xword 0x6f049b46fe9965e0
41479 .xword 0x04963e6c608f87e3
41480 .xword 0x2490afeca051e61c
41481 .xword 0x63adc9e3a3be4df6
41482 .xword 0xa110d6486e1ad641
41483 .xword 0x94a1a73ae2cde2e6
41484 .xword 0x9d0d2d6858c68e38
41485 .xword 0xa38b96d041745ca5
41486 .xword 0x443baa2a73d4d9f9
41487 .xword 0xd62182d57c6517c6
41488 .xword 0xaaed796f4acd13fb
41489 .xword 0xdfe360657f2d18ac
41490 .xword 0xc260269eb2320ae6
41491 .xword 0xa939cb55d778155f
41492 .xword 0xe338dd5eabec942d
41493 .xword 0x5645406b8d27fff1
41494 .xword 0x412056c87190f63d
41495 .xword 0xfae95f1b4db4d338
41496_t1_copy_auth_iv:
41497 .xword 0xbdacd296e21a4c4a
41498 .xword 0xddf6594802411398
41499 .xword 0x75cf7a0ccd636411
41500 .xword 0x8764a623c6b1f003
41501 .xword 0x1706772dd9b324e6
41502 .xword 0x8ea23cc79c456eef
41503 .xword 0x406a8dffae6afaf7
41504 .xword 0xd0bf2dfe375e82ef
41505 .xword 0x43efba57ae617c80
41506 .xword 0x47bdb49f2dc84c98
41507 .xword 0xee05a92ee36a1e62
41508 .xword 0x2c10a64c02c7a02a
41509 .xword 0xbc60b70ccc1e3af8
41510 .xword 0x402d5a143ce203a0
41511 .xword 0x9c4be50515ef19a2
41512 .xword 0xbd36fb1f5b1ef423
41513 .xword 0xadf0fb258670053b
41514 .xword 0xf34c14eaeb6ba160
41515 .xword 0x3476b26e76b752f7
41516 .xword 0xf9c09b1cbb6edcf4
41517 .xword 0xf4ae32b84798eda2
41518 .xword 0x582759b571000786
41519 .xword 0x67f3b721e5dffd34
41520_t1_copy_fas_result:
41521 .xword 0xDEADBEEFDEADBEEF
41522 .xword 0xDEADBEEFDEADBEEF
41523 .xword 0xDEADBEEFDEADBEEF
41524 .xword 0xDEADBEEFDEADBEEF
41525 .xword 0xDEADBEEFDEADBEEF
41526 .xword 0xDEADBEEFDEADBEEF
41527 .xword 0xDEADBEEFDEADBEEF
41528 .xword 0xDEADBEEFDEADBEEF
41529 .xword 0xDEADBEEFDEADBEEF
41530 .xword 0xDEADBEEFDEADBEEF
41531 .xword 0xDEADBEEFDEADBEEF
41532 .xword 0xDEADBEEFDEADBEEF
41533 .xword 0xDEADBEEFDEADBEEF
41534 .xword 0xDEADBEEFDEADBEEF
41535 .xword 0xDEADBEEFDEADBEEF
41536 .xword 0xDEADBEEFDEADBEEF
41537 .xword 0xDEADBEEFDEADBEEF
41538 .xword 0xDEADBEEFDEADBEEF
41539 .xword 0xDEADBEEFDEADBEEF
41540 .xword 0xDEADBEEFDEADBEEF
41541 .xword 0xDEADBEEFDEADBEEF
41542 .xword 0xDEADBEEFDEADBEEF
41543 .xword 0xDEADBEEFDEADBEEF
41544_t1_crc_key_array:
41545 .xword 0xdb2b9b864e35edc4
41546 .xword 0x27ef5b172f3de07b
41547 .xword 0xaead5d097b93630b
41548 .xword 0xe643a4d03dc763f0
41549 .xword 0x374f3138df494331
41550 .xword 0xc22fd001e64a5407
41551 .xword 0x47a284c3a63ed29f
41552 .xword 0x813ccf94194a69bd
41553 .xword 0x4a393cb128a8fe23
41554 .xword 0xdacea76ca9e18c72
41555 .xword 0x5fb67014c357feff
41556 .xword 0xa09c62ca0efc92c2
41557 .xword 0x8e659495940c8e5f
41558 .xword 0xca8b396f7f7aeb96
41559 .xword 0xa3468bc2bf24ff27
41560 .xword 0x3caa08fe328affca
41561 .xword 0xa895c645643a50e4
41562 .xword 0xe293d2a2de0a8e70
41563 .xword 0x74e4659dd33bd6f8
41564 .xword 0xc67532e8c4b151c6
41565 .xword 0xf48e304257fb8d72
41566 .xword 0x3fa4159c41ce4896
41567 .xword 0x651ec259b8313a0d
41568 .xword 0x38c19a64c996adf0
41569 .xword 0xf0412cd7182840dd
41570 .xword 0x43bceea28668d1a1
41571 .xword 0x2941041e02f63698
41572 .xword 0x18f33370175f6da9
41573 .xword 0x9687e441335794e5
41574 .xword 0xdbe1e81df715420e
41575 .xword 0xfb65fc049edbbf23
41576 .xword 0x8342c17c8973cae2
41577 .xword 0x972db5e25728b4a0
41578 .xword 0xc000bf2ba024badc
41579 .xword 0x5a762fc220508ed9
41580 .xword 0x7b77703e3e752842
41581 .xword 0x69d67de50027e356
41582 .xword 0xb4c49582ecef8ae2
41583 .xword 0x9c3b2a1f2eb3d362
41584 .xword 0x13db6ea15dbe838e
41585 .xword 0x464c196538c5997a
41586 .xword 0xfa997ab83ef56d15
41587 .xword 0x68d1064a4c27277c
41588 .xword 0x36481b85b8b6d7eb
41589 .xword 0x5bc9826a0b740775
41590 .xword 0xca91f2a276c0d5a7
41591 .xword 0xb77829353e195084
41592 .xword 0x74bedb0a193c6aad
41593 .xword 0x5c14a7b7eebabe75
41594 .xword 0x02a72ade6b8a0d79
41595 .xword 0x339cc285ae0d4eb8
41596_t1_crc_iv_array:
41597 .xword 0x5998d7609dc14a6b
41598 .xword 0xa514f7f2a01b7fde
41599 .xword 0xadbfd4c37adbbbbd
41600 .xword 0x1270ede072adf5b1
41601 .xword 0xbe52798167574c61
41602 .xword 0xc65b66e865a48a78
41603 .xword 0x7b1093b0f7b5b181
41604 .xword 0x712120d4c98f5787
41605 .xword 0x09fefc0bc9003116
41606 .xword 0xc03356f3cd14a7b9
41607 .xword 0x96c84dcb4a36b7fd
41608 .xword 0x4025b65a9255608f
41609 .xword 0x93247b8b74522733
41610 .xword 0x19f87dbfc4e51d20
41611 .xword 0x9b06cf7639bbc6c2
41612 .xword 0x198f7f2ac0f9c6dd
41613 .xword 0x3ea29f2a660bf7ff
41614 .xword 0x5afc81a25baf37d4
41615 .xword 0xf7642c70ce928ff3
41616 .xword 0x26e56faaab1f4f9f
41617 .xword 0xdd12582d3df48d25
41618 .xword 0x4d6016209bb1879d
41619 .xword 0x80533b83d624d26c
41620 .xword 0xfc76cae71a5a19de
41621 .xword 0xc8de12781e89e0cc
41622 .xword 0xf05e6b546108588d
41623 .xword 0x5910ec38177fa261
41624 .xword 0xf56153f8ad5d4d99
41625 .xword 0x91c468a3d2698a7c
41626 .xword 0x520c7fe39f4972b5
41627 .xword 0x6241c159d2314e11
41628 .xword 0x672f5e4fe9347353
41629 .xword 0xa65fd9c7cf40a6cb
41630 .xword 0x253799b1fd77dfa7
41631 .xword 0x02630302fb6a057b
41632 .xword 0xeded5b3525f9a943
41633 .xword 0x4e0b9f7316c8019d
41634 .xword 0x78600cebc0b5a252
41635 .xword 0x62298fe9d1cd855f
41636 .xword 0x94d3da849db26efe
41637 .xword 0x3db06096971a9e21
41638 .xword 0x0a65c0b1dc5a4363
41639 .xword 0xd96177c6412a0e38
41640 .xword 0xd7134ca4a6978baf
41641 .xword 0x65ee544ab3688cfc
41642_t1_crc_alignment_array:
41643 .xword 8
41644 .xword 14
41645 .xword 6
41646 .xword 8
41647 .xword 9
41648 .xword 1
41649 .xword 7
41650 .xword 4
41651 .xword 8
41652 .xword 13
41653 .xword 11
41654 .xword 12
41655 .xword 8
41656 .xword 0
41657 .xword 6
41658 .xword 7
41659 .xword 12
41660 .xword 6
41661 .xword 5
41662 .xword 7
41663 .xword 11
41664 .xword 2
41665 .xword 13
41666 .xword 7
41667 .xword 4
41668 .xword 14
41669 .xword 9
41670 .xword 8
41671 .xword 2
41672 .xword 12
41673 .xword 10
41674 .xword 0
41675 .xword 4
41676 .xword 14
41677 .xword 10
41678 .xword 1
41679 .xword 14
41680 .xword 2
41681 .xword 6
41682 .xword 3
41683 .xword 10
41684 .xword 10
41685 .xword 1
41686 .xword 6
41687 .xword 12
41688 .xword 10
41689 .xword 11
41690 .xword 5
41691 .xword 6
41692 .xword 12
41693 .xword 12
41694 .xword 2
41695 .xword 6
41696 .xword 10
41697 .xword 14
41698 .xword 6
41699 .xword 2
41700 .xword 3
41701 .xword 5
41702 .xword 13
41703 .xword 5
41704 .xword 14
41705 .xword 2
41706 .xword 6
41707 .xword 1
41708 .xword 8
41709 .xword 9
41710 .xword 6
41711 .xword 4
41712 .xword 10
41713 .xword 9
41714 .xword 4
41715 .xword 5
41716 .xword 14
41717 .xword 1
41718 .xword 4
41719 .xword 2
41720 .xword 0
41721 .xword 10
41722 .xword 12
41723 .xword 15
41724 .xword 8
41725 .xword 10
41726 .xword 0
41727 .xword 1
41728 .xword 6
41729 .xword 0
41730 .xword 8
41731 .xword 0
41732 .xword 3
41733 .xword 2
41734 .xword 13
41735 .xword 4
41736 .xword 10
41737 .xword 9
41738 .xword 6
41739 .xword 15
41740 .xword 11
41741 .xword 13
41742 .xword 3
41743 .xword 8
41744 .xword 9
41745 .xword 10
41746 .xword 11
41747 .xword 12
41748_t1_crc_src:
41749 .xword 0x157016dd954b39a8
41750 .xword 0x58a723128af0ef42
41751 .xword 0x7618d0c4f6e4ff92
41752 .xword 0xb302961e3445022d
41753 .xword 0x0f7040d3e3adce84
41754 .xword 0x4a86385e9a1ba3ea
41755 .xword 0x4e5c7cd1876fb60b
41756 .xword 0x0dcfc2f801c066a4
41757 .xword 0x90e0c7d778741001
41758 .xword 0x2c1bc42c2d0af6da
41759 .xword 0x883489bc119aa258
41760 .xword 0xfaa463d17771c1b8
41761 .xword 0x4b3146f64a4ebd4c
41762 .xword 0xf87bf4ae1f8c5099
41763 .xword 0xa68338e7efe26373
41764 .xword 0x49dade7317ebfd66
41765 .xword 0xb542f9e3517dcc25
41766 .xword 0x24f00d0884461641
41767 .xword 0x7b666fccf3bf0e7e
41768 .xword 0xf4e9542347c66921
41769 .xword 0xe8fb193e8052de60
41770 .xword 0x288926fcd6f6297c
41771 .xword 0xbf8aeb4234cc681b
41772 .xword 0x8d64dc0f694522f0
41773 .xword 0x7b057ff6700b25e9
41774 .xword 0x882204a121aca6e8
41775 .xword 0xaa1ff80d1eb09d9a
41776 .xword 0x72b018007a09a00e
41777 .xword 0x49de99c1bea3521e
41778 .xword 0x1e977c5383645e31
41779 .xword 0x9eb7fb600dc6ef54
41780 .xword 0x1141a49bc5b05685
41781 .xword 0xf6f20ffa6164215e
41782 .xword 0xf449501e06755251
41783 .xword 0x490e2a3b7ef59989
41784 .xword 0x3fcf8dd8e0234ac1
41785 .xword 0x0f92d1e968135dbe
41786 .xword 0x9087efb45e3c8426
41787 .xword 0xd130cd3a2d250f06
41788 .xword 0xf55b1cd9ce741f30
41789 .xword 0x512156042f9b95a1
41790 .xword 0x549504fcbfb81b1f
41791 .xword 0xf368f93851aae377
41792 .xword 0x66957176daddb03d
41793 .xword 0x007adf9452b56f78
41794 .xword 0xd3f221d6e66e22fa
41795 .xword 0x51c9c9e54663fb30
41796 .xword 0x748a45281f766de8
41797 .xword 0xcf40fc1a1106d262
41798 .xword 0xbf018d1c9e52d137
41799 .xword 0x8077db046725ef1b
41800 .xword 0xe9b2b2d708e53d4f
41801 .xword 0x6e3e2f612c4abc3b
41802 .xword 0x75f75ec85eb3224c
41803 .xword 0xd678c525de05af03
41804 .xword 0x44bb9dd8c32bf0d8
41805 .xword 0xe8ce406432992c5d
41806 .xword 0x313d2ab0ddb7dc7a
41807 .xword 0x307f54ed92d2a664
41808 .xword 0x20f625aa1f8e5aea
41809 .xword 0xd7b106536edb3582
41810 .xword 0x69d6d093a3e516b7
41811 .xword 0x7a4dcedbfd16a9da
41812 .xword 0x2ded7bacf08a7abe
41813 .xword 0xf9d47b7a031f6d34
41814 .xword 0x8f52907e60dca761
41815 .xword 0x2fab99da45e7a65c
41816 .xword 0x05c728718aa6f989
41817 .xword 0x8c39d58750619388
41818 .xword 0x27c2e70dc04d46f4
41819 .xword 0xe21bccdb1236d5a1
41820 .xword 0xb1322a88463d1963
41821 .xword 0x5ae87c74ebe98a68
41822 .xword 0x8bf3b106d67b08ba
41823 .xword 0xedaea4bc5684a8dc
41824 .xword 0xb7b78f348195a686
41825 .xword 0x86a504cc85445899
41826 .xword 0xbac82c28eb12e1c9
41827 .xword 0xcb7d682e3486dc32
41828 .xword 0xd7e808b8660a5cc1
41829 .xword 0xe5f47912c7fe0e21
41830 .xword 0x5d50e5c041faa8eb
41831 .xword 0xcdf5d7276e79da6b
41832 .xword 0xd2febeaa1460d41a
41833 .xword 0x8422412352d4353b
41834 .xword 0x1ae9742fb19f1426
41835 .xword 0x669db955c9034095
41836 .xword 0xd12807bb0cc67e5b
41837 .xword 0x31059660d553af3b
41838 .xword 0x4e3a91130f872e52
41839 .xword 0xeb78dd38661c61e6
41840 .xword 0xfea7438b2e22128d
41841 .xword 0x3b88f72cb40a2a97
41842 .xword 0x1eb16c899c73fb82
41843 .xword 0xad6c39b3cd490507
41844 .xword 0xf4aeb96001ceeb58
41845 .xword 0x1bf5a535b5e14491
41846 .xword 0xc30f140d967b84e9
41847 .xword 0x4a9842bc79221957
41848 .xword 0x49da55de0d32b9d9
41849 .xword 0x1ed8e19ed22db2ca
41850 .xword 0x61c580590ad5755b
41851 .xword 0xd0e8fad1a49d9a6d
41852 .xword 0x62e39466c4ba6561
41853 .xword 0x36dbd9bf9decd0d9
41854 .xword 0x8c699deaffd27ee3
41855 .xword 0xefa9eda319ea5a1f
41856 .xword 0x4d52bdb7377de99a
41857 .xword 0xc38d0572901c4cc3
41858 .xword 0xc88969db6ec8b258
41859 .xword 0xf3eae6e49afefb06
41860 .xword 0x079df44135e1e272
41861 .xword 0x6fdb4f2afcbec70c
41862 .xword 0x965de71864662dd2
41863 .xword 0x47494c78374f922c
41864 .xword 0x53eee5b11095baaa
41865 .xword 0x5accdac40fce1670
41866 .xword 0x1da288fcede97925
41867 .xword 0xd4f05b7536fd5615
41868 .xword 0xc1ccc4cac255b5d4
41869 .xword 0x682d6cbd0a3fd79c
41870 .xword 0xc1ec41ced4a5868e
41871 .xword 0xc0f34e16b4f30e84
41872 .xword 0x8fbf739272afc6ef
41873 .xword 0xd9f2eeae3d78f55a
41874 .xword 0x10cbe4bca83e33d7
41875 .xword 0xe50c809cc0d62942
41876 .xword 0x9f3813ac4d7fcce4
41877 .xword 0x327bbcb39a75dd87
41878 .xword 0xdb8d09a60af7a34f
41879 .xword 0x18902ce37789e1d8
41880 .xword 0xbd948a3377614804
41881 .xword 0x7697fa84d0f6c0d0
41882 .xword 0x74ce0ea54509b5a3
41883 .xword 0x98bcae804acb6276
41884 .xword 0x60775baf8562b247
41885 .xword 0xe01e4218d23680a6
41886 .xword 0x25ed950253134425
41887 .xword 0x3da1974f1db961f1
41888 .xword 0x45632400f89841ea
41889 .xword 0x9a7aaaf7da3f91e0
41890 .xword 0x6727c19aeaf4294a
41891 .xword 0x1db97d1dee9bc8d9
41892 .xword 0xc215dd5dde50de48
41893 .xword 0x71c3519e6502f986
41894 .xword 0xfdd0618512fd3cc2
41895 .xword 0x3088b6e55f07e2be
41896 .xword 0xa32ec68b7cb7ddb3
41897 .xword 0x11a0349f62c1a640
41898 .xword 0x810864d7eb6fd22d
41899 .xword 0x9a8fb16c957ca953
41900 .xword 0x343ad4495d3a60de
41901 .xword 0x3f79dc21066cffd1
41902 .xword 0x14efef912f258b77
41903 .xword 0x11e46b354bf739a0
41904 .xword 0x26c5ce15898bf6ec
41905 .xword 0xd70639a5da6f093a
41906 .xword 0xe41752e32ac2f065
41907 .xword 0xb5c181c2ce293fc3
41908 .xword 0x2d3a6e0a5366ec5a
41909 .xword 0x00080fc100f69b4a
41910 .xword 0x5be8e2463f7a276f
41911 .xword 0x9f140080c651d46e
41912 .xword 0xc50fb1777b3d923a
41913 .xword 0x4f6ea26384d441af
41914 .xword 0x5b371ac8bdafbcb5
41915 .xword 0x4e442f2f099dbc6f
41916 .xword 0x87157889dbaf4e82
41917 .xword 0xf2d3ea5b8818cb59
41918 .xword 0x32dbd7526cda036c
41919 .xword 0x1a85f6049a3fa147
41920 .xword 0x5a6d2c3522bfff3e
41921 .xword 0x4aa3f79b8d0d435d
41922 .xword 0x2ebfcd6ce86bee75
41923 .xword 0x9d55d2b4ff1a6ef1
41924 .xword 0x30bb4981897b1521
41925 .xword 0x012de3e8f3f074a0
41926 .xword 0x4c0fa7ef1e5bf712
41927 .xword 0x7e6409272c976bbd
41928 .xword 0x7ed4e92ba466cbe4
41929 .xword 0x76d6e0a10a250b5b
41930 .xword 0x456a26c7e53dfb01
41931 .xword 0x44ad369a8cd539fe
41932 .xword 0xb746a06e72726185
41933 .xword 0x4e10210143e71fc6
41934 .xword 0x2eddf35f78231c5e
41935 .xword 0x81f39ac6b9e09126
41936 .xword 0xcb006c5eb6dbd47f
41937 .xword 0x9b1297b7ec7195ed
41938 .xword 0xc1ee3b44ea971857
41939 .xword 0x8079e517535e489b
41940 .xword 0x792bf855a96bd57e
41941 .xword 0x02df611997965a52
41942 .xword 0xff6514f2875ca51a
41943 .xword 0xf01113a8819e296f
41944 .xword 0x928c89fa5f8c0574
41945 .xword 0x55089cf1a4ecef6d
41946 .xword 0xa4e60f6002c344c4
41947 .xword 0x8ed61eae729c0441
41948 .xword 0x33c718f78ee16165
41949 .xword 0x66f7dcab3dd8429a
41950 .xword 0xc672e0272dcbe429
41951 .xword 0x045b84e2ec491261
41952 .xword 0x53b4c63e8bdcdd7a
41953 .xword 0x4475319befc358f5
41954 .xword 0x281ff66a9f5f12a0
41955 .xword 0xe9d7b99112f04d29
41956 .xword 0x009ec36fa744cc84
41957 .xword 0x59392d28f44e6362
41958 .xword 0x02a16a7f79516be7
41959 .xword 0xf7d904e7bebf3031
41960 .xword 0xaa4773101239886e
41961 .xword 0x8b1e8152cd2e78c8
41962 .xword 0x77b7d6ba4b4a579b
41963 .xword 0xf192e5139d685498
41964 .xword 0xaa55533368ca5dc8
41965 .xword 0xb10a89237c389e23
41966 .xword 0x92f72d864ef194eb
41967 .xword 0x69bbb78e4aa8795e
41968 .xword 0x68db04d8e5502f47
41969 .xword 0x65e2fb91325dba79
41970 .xword 0x216f471b6df4e1a3
41971 .xword 0x7e0cc679cc3d1aa6
41972 .xword 0x1752cb4d28dba13f
41973 .xword 0x43015e8d44be7522
41974 .xword 0x66576b820d50c97e
41975 .xword 0xb70f3cc7e90048df
41976 .xword 0x90e08e8937c46f00
41977 .xword 0x81fabc0e7b42d139
41978 .xword 0x8efcbd2130b27270
41979 .xword 0x471695458bbc50ae
41980 .xword 0xf1037cdee7e2033f
41981 .xword 0xae1f055ae8f89eb0
41982 .xword 0x1ac17ead8e964329
41983 .xword 0x388197bb6065a6d5
41984 .xword 0xf6793b5bccfc0d8c
41985 .xword 0x7048543ee28e3368
41986 .xword 0xd17dced379973a5f
41987 .xword 0xb48642c07ba0b28a
41988 .xword 0xfad5d9f42f27fa89
41989 .xword 0xf0aaf4ba4216252e
41990 .xword 0x84b46a15c900c435
41991 .xword 0x195da30c4f5de1ea
41992 .xword 0x2806db4cc282fc26
41993 .xword 0x236afb91c3ed4065
41994 .xword 0xb5c434bf4b3af270
41995 .xword 0x7a6bfffc025524d5
41996 .xword 0xcea6db2ea490ae8b
41997 .xword 0xd73bab3303090688
41998 .xword 0xa793fbb3cd7d60ef
41999 .xword 0x92f5be0dbb2b8b43
42000 .xword 0xa645fd2b8c38310b
42001 .xword 0x400a65bd8ef81acc
42002 .xword 0xad697b67c594fc32
42003 .xword 0xefbb536b356d4da6
42004 .xword 0x9dcd089d95793b7b
42005 .xword 0xa9d1b53edad8bc8d
42006 .xword 0xc85b5680fdba48a7
42007 .xword 0x0494014ee950c2c9
42008 .xword 0x404faf31c4a11ab4
42009 .xword 0x6a7a267577ca84a7
42010 .xword 0x195d33b8da0f5f6f
42011 .xword 0x00b853b1f4999e80
42012 .xword 0x83b23dac9b2528ea
42013 .xword 0x26592bda2cae7e13
42014 .xword 0xbea39d11b56522e5
42015 .xword 0x54ab3c0eca791066
42016 .xword 0x172eb42b70786279
42017 .xword 0xbb7ccb726733c8e8
42018 .xword 0x41ed1a119bc16cbf
42019 .xword 0x6fd05c737db855c2
42020 .xword 0x5cf3d6ac55ca5306
42021 .xword 0xfd0ac6642dabca69
42022 .xword 0x00c24e6f68395568
42023 .xword 0xa9de8043a0c17ca3
42024_t1_crc_dest:
42025 .xword 0xDEADBEEFDEADBEEF
42026 .xword 0xDEADBEEFDEADBEEF
42027 .xword 0xDEADBEEFDEADBEEF
42028 .xword 0xDEADBEEFDEADBEEF
42029 .xword 0xDEADBEEFDEADBEEF
42030 .xword 0xDEADBEEFDEADBEEF
42031 .xword 0xDEADBEEFDEADBEEF
42032 .xword 0xDEADBEEFDEADBEEF
42033 .xword 0xDEADBEEFDEADBEEF
42034 .xword 0xDEADBEEFDEADBEEF
42035 .xword 0xDEADBEEFDEADBEEF
42036 .xword 0xDEADBEEFDEADBEEF
42037 .xword 0xDEADBEEFDEADBEEF
42038 .xword 0xDEADBEEFDEADBEEF
42039 .xword 0xDEADBEEFDEADBEEF
42040 .xword 0xDEADBEEFDEADBEEF
42041 .xword 0xDEADBEEFDEADBEEF
42042 .xword 0xDEADBEEFDEADBEEF
42043 .xword 0xDEADBEEFDEADBEEF
42044 .xword 0xDEADBEEFDEADBEEF
42045 .xword 0xDEADBEEFDEADBEEF
42046 .xword 0xDEADBEEFDEADBEEF
42047 .xword 0xDEADBEEFDEADBEEF
42048 .xword 0xDEADBEEFDEADBEEF
42049 .xword 0xDEADBEEFDEADBEEF
42050 .xword 0xDEADBEEFDEADBEEF
42051 .xword 0xDEADBEEFDEADBEEF
42052 .xword 0xDEADBEEFDEADBEEF
42053 .xword 0xDEADBEEFDEADBEEF
42054 .xword 0xDEADBEEFDEADBEEF
42055 .xword 0xDEADBEEFDEADBEEF
42056 .xword 0xDEADBEEFDEADBEEF
42057 .xword 0xDEADBEEFDEADBEEF
42058 .xword 0xDEADBEEFDEADBEEF
42059 .xword 0xDEADBEEFDEADBEEF
42060 .xword 0xDEADBEEFDEADBEEF
42061 .xword 0xDEADBEEFDEADBEEF
42062 .xword 0xDEADBEEFDEADBEEF
42063 .xword 0xDEADBEEFDEADBEEF
42064 .xword 0xDEADBEEFDEADBEEF
42065 .xword 0xDEADBEEFDEADBEEF
42066 .xword 0xDEADBEEFDEADBEEF
42067 .xword 0xDEADBEEFDEADBEEF
42068 .xword 0xDEADBEEFDEADBEEF
42069 .xword 0xDEADBEEFDEADBEEF
42070 .xword 0xDEADBEEFDEADBEEF
42071 .xword 0xDEADBEEFDEADBEEF
42072 .xword 0xDEADBEEFDEADBEEF
42073 .xword 0xDEADBEEFDEADBEEF
42074 .xword 0xDEADBEEFDEADBEEF
42075 .xword 0xDEADBEEFDEADBEEF
42076 .xword 0xDEADBEEFDEADBEEF
42077 .xword 0xDEADBEEFDEADBEEF
42078 .xword 0xDEADBEEFDEADBEEF
42079 .xword 0xDEADBEEFDEADBEEF
42080 .xword 0xDEADBEEFDEADBEEF
42081 .xword 0xDEADBEEFDEADBEEF
42082 .xword 0xDEADBEEFDEADBEEF
42083 .xword 0xDEADBEEFDEADBEEF
42084 .xword 0xDEADBEEFDEADBEEF
42085 .xword 0xDEADBEEFDEADBEEF
42086 .xword 0xDEADBEEFDEADBEEF
42087 .xword 0xDEADBEEFDEADBEEF
42088 .xword 0xDEADBEEFDEADBEEF
42089 .xword 0xDEADBEEFDEADBEEF
42090 .xword 0xDEADBEEFDEADBEEF
42091 .xword 0xDEADBEEFDEADBEEF
42092 .xword 0xDEADBEEFDEADBEEF
42093 .xword 0xDEADBEEFDEADBEEF
42094 .xword 0xDEADBEEFDEADBEEF
42095 .xword 0xDEADBEEFDEADBEEF
42096 .xword 0xDEADBEEFDEADBEEF
42097 .xword 0xDEADBEEFDEADBEEF
42098 .xword 0xDEADBEEFDEADBEEF
42099 .xword 0xDEADBEEFDEADBEEF
42100 .xword 0xDEADBEEFDEADBEEF
42101 .xword 0xDEADBEEFDEADBEEF
42102 .xword 0xDEADBEEFDEADBEEF
42103 .xword 0xDEADBEEFDEADBEEF
42104 .xword 0xDEADBEEFDEADBEEF
42105 .xword 0xDEADBEEFDEADBEEF
42106 .xword 0xDEADBEEFDEADBEEF
42107 .xword 0xDEADBEEFDEADBEEF
42108 .xword 0xDEADBEEFDEADBEEF
42109 .xword 0xDEADBEEFDEADBEEF
42110 .xword 0xDEADBEEFDEADBEEF
42111 .xword 0xDEADBEEFDEADBEEF
42112 .xword 0xDEADBEEFDEADBEEF
42113 .xword 0xDEADBEEFDEADBEEF
42114 .xword 0xDEADBEEFDEADBEEF
42115 .xword 0xDEADBEEFDEADBEEF
42116 .xword 0xDEADBEEFDEADBEEF
42117 .xword 0xDEADBEEFDEADBEEF
42118 .xword 0xDEADBEEFDEADBEEF
42119 .xword 0xDEADBEEFDEADBEEF
42120 .xword 0xDEADBEEFDEADBEEF
42121 .xword 0xDEADBEEFDEADBEEF
42122 .xword 0xDEADBEEFDEADBEEF
42123 .xword 0xDEADBEEFDEADBEEF
42124 .xword 0xDEADBEEFDEADBEEF
42125 .xword 0xDEADBEEFDEADBEEF
42126 .xword 0xDEADBEEFDEADBEEF
42127 .xword 0xDEADBEEFDEADBEEF
42128 .xword 0xDEADBEEFDEADBEEF
42129 .xword 0xDEADBEEFDEADBEEF
42130 .xword 0xDEADBEEFDEADBEEF
42131 .xword 0xDEADBEEFDEADBEEF
42132 .xword 0xDEADBEEFDEADBEEF
42133 .xword 0xDEADBEEFDEADBEEF
42134 .xword 0xDEADBEEFDEADBEEF
42135 .xword 0xDEADBEEFDEADBEEF
42136 .xword 0xDEADBEEFDEADBEEF
42137 .xword 0xDEADBEEFDEADBEEF
42138 .xword 0xDEADBEEFDEADBEEF
42139 .xword 0xDEADBEEFDEADBEEF
42140 .xword 0xDEADBEEFDEADBEEF
42141 .xword 0xDEADBEEFDEADBEEF
42142 .xword 0xDEADBEEFDEADBEEF
42143 .xword 0xDEADBEEFDEADBEEF
42144 .xword 0xDEADBEEFDEADBEEF
42145 .xword 0xDEADBEEFDEADBEEF
42146 .xword 0xDEADBEEFDEADBEEF
42147 .xword 0xDEADBEEFDEADBEEF
42148 .xword 0xDEADBEEFDEADBEEF
42149 .xword 0xDEADBEEFDEADBEEF
42150 .xword 0xDEADBEEFDEADBEEF
42151 .xword 0xDEADBEEFDEADBEEF
42152 .xword 0xDEADBEEFDEADBEEF
42153 .xword 0xDEADBEEFDEADBEEF
42154 .xword 0xDEADBEEFDEADBEEF
42155 .xword 0xDEADBEEFDEADBEEF
42156 .xword 0xDEADBEEFDEADBEEF
42157 .xword 0xDEADBEEFDEADBEEF
42158 .xword 0xDEADBEEFDEADBEEF
42159 .xword 0xDEADBEEFDEADBEEF
42160 .xword 0xDEADBEEFDEADBEEF
42161 .xword 0xDEADBEEFDEADBEEF
42162 .xword 0xDEADBEEFDEADBEEF
42163 .xword 0xDEADBEEFDEADBEEF
42164 .xword 0xDEADBEEFDEADBEEF
42165 .xword 0xDEADBEEFDEADBEEF
42166 .xword 0xDEADBEEFDEADBEEF
42167 .xword 0xDEADBEEFDEADBEEF
42168 .xword 0xDEADBEEFDEADBEEF
42169 .xword 0xDEADBEEFDEADBEEF
42170 .xword 0xDEADBEEFDEADBEEF
42171 .xword 0xDEADBEEFDEADBEEF
42172 .xword 0xDEADBEEFDEADBEEF
42173 .xword 0xDEADBEEFDEADBEEF
42174 .xword 0xDEADBEEFDEADBEEF
42175 .xword 0xDEADBEEFDEADBEEF
42176 .xword 0xDEADBEEFDEADBEEF
42177 .xword 0xDEADBEEFDEADBEEF
42178 .xword 0xDEADBEEFDEADBEEF
42179 .xword 0xDEADBEEFDEADBEEF
42180 .xword 0xDEADBEEFDEADBEEF
42181 .xword 0xDEADBEEFDEADBEEF
42182 .xword 0xDEADBEEFDEADBEEF
42183 .xword 0xDEADBEEFDEADBEEF
42184 .xword 0xDEADBEEFDEADBEEF
42185 .xword 0xDEADBEEFDEADBEEF
42186 .xword 0xDEADBEEFDEADBEEF
42187 .xword 0xDEADBEEFDEADBEEF
42188 .xword 0xDEADBEEFDEADBEEF
42189 .xword 0xDEADBEEFDEADBEEF
42190 .xword 0xDEADBEEFDEADBEEF
42191 .xword 0xDEADBEEFDEADBEEF
42192 .xword 0xDEADBEEFDEADBEEF
42193 .xword 0xDEADBEEFDEADBEEF
42194 .xword 0xDEADBEEFDEADBEEF
42195 .xword 0xDEADBEEFDEADBEEF
42196 .xword 0xDEADBEEFDEADBEEF
42197 .xword 0xDEADBEEFDEADBEEF
42198 .xword 0xDEADBEEFDEADBEEF
42199 .xword 0xDEADBEEFDEADBEEF
42200 .xword 0xDEADBEEFDEADBEEF
42201 .xword 0xDEADBEEFDEADBEEF
42202 .xword 0xDEADBEEFDEADBEEF
42203 .xword 0xDEADBEEFDEADBEEF
42204 .xword 0xDEADBEEFDEADBEEF
42205 .xword 0xDEADBEEFDEADBEEF
42206 .xword 0xDEADBEEFDEADBEEF
42207 .xword 0xDEADBEEFDEADBEEF
42208 .xword 0xDEADBEEFDEADBEEF
42209 .xword 0xDEADBEEFDEADBEEF
42210 .xword 0xDEADBEEFDEADBEEF
42211 .xword 0xDEADBEEFDEADBEEF
42212 .xword 0xDEADBEEFDEADBEEF
42213 .xword 0xDEADBEEFDEADBEEF
42214 .xword 0xDEADBEEFDEADBEEF
42215 .xword 0xDEADBEEFDEADBEEF
42216 .xword 0xDEADBEEFDEADBEEF
42217 .xword 0xDEADBEEFDEADBEEF
42218 .xword 0xDEADBEEFDEADBEEF
42219 .xword 0xDEADBEEFDEADBEEF
42220 .xword 0xDEADBEEFDEADBEEF
42221 .xword 0xDEADBEEFDEADBEEF
42222 .xword 0xDEADBEEFDEADBEEF
42223 .xword 0xDEADBEEFDEADBEEF
42224 .xword 0xDEADBEEFDEADBEEF
42225 .xword 0xDEADBEEFDEADBEEF
42226 .xword 0xDEADBEEFDEADBEEF
42227 .xword 0xDEADBEEFDEADBEEF
42228 .xword 0xDEADBEEFDEADBEEF
42229 .xword 0xDEADBEEFDEADBEEF
42230 .xword 0xDEADBEEFDEADBEEF
42231 .xword 0xDEADBEEFDEADBEEF
42232 .xword 0xDEADBEEFDEADBEEF
42233 .xword 0xDEADBEEFDEADBEEF
42234 .xword 0xDEADBEEFDEADBEEF
42235 .xword 0xDEADBEEFDEADBEEF
42236 .xword 0xDEADBEEFDEADBEEF
42237 .xword 0xDEADBEEFDEADBEEF
42238 .xword 0xDEADBEEFDEADBEEF
42239 .xword 0xDEADBEEFDEADBEEF
42240 .xword 0xDEADBEEFDEADBEEF
42241 .xword 0xDEADBEEFDEADBEEF
42242 .xword 0xDEADBEEFDEADBEEF
42243 .xword 0xDEADBEEFDEADBEEF
42244 .xword 0xDEADBEEFDEADBEEF
42245 .xword 0xDEADBEEFDEADBEEF
42246 .xword 0xDEADBEEFDEADBEEF
42247 .xword 0xDEADBEEFDEADBEEF
42248 .xword 0xDEADBEEFDEADBEEF
42249 .xword 0xDEADBEEFDEADBEEF
42250 .xword 0xDEADBEEFDEADBEEF
42251 .xword 0xDEADBEEFDEADBEEF
42252 .xword 0xDEADBEEFDEADBEEF
42253 .xword 0xDEADBEEFDEADBEEF
42254 .xword 0xDEADBEEFDEADBEEF
42255 .xword 0xDEADBEEFDEADBEEF
42256 .xword 0xDEADBEEFDEADBEEF
42257 .xword 0xDEADBEEFDEADBEEF
42258 .xword 0xDEADBEEFDEADBEEF
42259 .xword 0xDEADBEEFDEADBEEF
42260 .xword 0xDEADBEEFDEADBEEF
42261 .xword 0xDEADBEEFDEADBEEF
42262 .xword 0xDEADBEEFDEADBEEF
42263 .xword 0xDEADBEEFDEADBEEF
42264 .xword 0xDEADBEEFDEADBEEF
42265 .xword 0xDEADBEEFDEADBEEF
42266 .xword 0xDEADBEEFDEADBEEF
42267 .xword 0xDEADBEEFDEADBEEF
42268 .xword 0xDEADBEEFDEADBEEF
42269 .xword 0xDEADBEEFDEADBEEF
42270 .xword 0xDEADBEEFDEADBEEF
42271 .xword 0xDEADBEEFDEADBEEF
42272 .xword 0xDEADBEEFDEADBEEF
42273 .xword 0xDEADBEEFDEADBEEF
42274 .xword 0xDEADBEEFDEADBEEF
42275 .xword 0xDEADBEEFDEADBEEF
42276 .xword 0xDEADBEEFDEADBEEF
42277 .xword 0xDEADBEEFDEADBEEF
42278 .xword 0xDEADBEEFDEADBEEF
42279 .xword 0xDEADBEEFDEADBEEF
42280 .xword 0xDEADBEEFDEADBEEF
42281 .xword 0xDEADBEEFDEADBEEF
42282 .xword 0xDEADBEEFDEADBEEF
42283 .xword 0xDEADBEEFDEADBEEF
42284 .xword 0xDEADBEEFDEADBEEF
42285 .xword 0xDEADBEEFDEADBEEF
42286 .xword 0xDEADBEEFDEADBEEF
42287 .xword 0xDEADBEEFDEADBEEF
42288 .xword 0xDEADBEEFDEADBEEF
42289 .xword 0xDEADBEEFDEADBEEF
42290 .xword 0xDEADBEEFDEADBEEF
42291 .xword 0xDEADBEEFDEADBEEF
42292 .xword 0xDEADBEEFDEADBEEF
42293 .xword 0xDEADBEEFDEADBEEF
42294 .xword 0xDEADBEEFDEADBEEF
42295 .xword 0xDEADBEEFDEADBEEF
42296 .xword 0xDEADBEEFDEADBEEF
42297 .xword 0xDEADBEEFDEADBEEF
42298 .xword 0xDEADBEEFDEADBEEF
42299 .xword 0xDEADBEEFDEADBEEF
42300_t1_crc_auth_key:
42301 .xword 0x0197b2613955716b
42302 .xword 0xb3f4c6d988ca75a8
42303 .xword 0xa403b1a165f19795
42304 .xword 0x51e31c9d6f8cbc4b
42305 .xword 0xb1f96e854f7501a1
42306 .xword 0x14639ad41cf54ac7
42307 .xword 0x8fe1c11d69276bae
42308 .xword 0xde8d5dc85601e039
42309 .xword 0x3cc631d5964a7f6d
42310 .xword 0x7f59d80a876382f9
42311 .xword 0xfa7347e97f651784
42312 .xword 0xef87fd7fe8982b91
42313 .xword 0x61631390d5c1a83f
42314 .xword 0x73b9e84bb25dabbd
42315 .xword 0x2038144d5430eeed
42316 .xword 0x6ede008681852bb7
42317 .xword 0xbe1d95354efba8ac
42318 .xword 0x7923ee22924d346f
42319 .xword 0xd2ac1f9c61c74af8
42320 .xword 0x6a9cdd792096ea6a
42321 .xword 0x86e9519c584a87ca
42322 .xword 0xfe98a8f68dfedee0
42323 .xword 0x284eff9d15ceb3ca
42324_t1_crc_auth_iv:
42325 .xword 0x6939379722950c01
42326 .xword 0xd851f0df4cc46f9e
42327 .xword 0xee1e1900927a54be
42328 .xword 0x6931cee0ff729d3f
42329 .xword 0xddb9eb9bbcb1b2a6
42330 .xword 0x6056b2f8d5a0246a
42331 .xword 0x578836bf97389955
42332 .xword 0x897af179d5d50bcd
42333 .xword 0xea6ffbdc19cd6ef4
42334 .xword 0x426ba71e4b2ef617
42335 .xword 0x34498fb50705a19b
42336 .xword 0x7b9dbc1cc3e822fb
42337 .xword 0x164b327b551167da
42338 .xword 0xc9f7c260e950a320
42339 .xword 0x57380d5447fc8fdf
42340 .xword 0xa0b3495d73061954
42341 .xword 0x02496ecb9555195b
42342 .xword 0xb7b01fce4b09da11
42343 .xword 0x8c3b13c7512932c8
42344 .xword 0xe0a137618075ab00
42345 .xword 0xb3bcfc4eabc7a42e
42346 .xword 0x70086781ee7576ea
42347 .xword 0x63583c99efd83bf6
42348_t1_crc_fas_result:
42349 .xword 0xDEADBEEFDEADBEEF
42350 .xword 0xDEADBEEFDEADBEEF
42351 .xword 0xDEADBEEFDEADBEEF
42352 .xword 0xDEADBEEFDEADBEEF
42353 .xword 0xDEADBEEFDEADBEEF
42354 .xword 0xDEADBEEFDEADBEEF
42355 .xword 0xDEADBEEFDEADBEEF
42356 .xword 0xDEADBEEFDEADBEEF
42357 .xword 0xDEADBEEFDEADBEEF
42358 .xword 0xDEADBEEFDEADBEEF
42359 .xword 0xDEADBEEFDEADBEEF
42360 .xword 0xDEADBEEFDEADBEEF
42361 .xword 0xDEADBEEFDEADBEEF
42362 .xword 0xDEADBEEFDEADBEEF
42363 .xword 0xDEADBEEFDEADBEEF
42364 .xword 0xDEADBEEFDEADBEEF
42365 .xword 0xDEADBEEFDEADBEEF
42366 .xword 0xDEADBEEFDEADBEEF
42367 .xword 0xDEADBEEFDEADBEEF
42368 .xword 0xDEADBEEFDEADBEEF
42369 .xword 0xDEADBEEFDEADBEEF
42370 .xword 0xDEADBEEFDEADBEEF
42371 .xword 0xDEADBEEFDEADBEEF
42372_t1_hash_key_array:
42373 .xword 0x817e1f0d32a7d000
42374 .xword 0x703daa70b4bf03ac
42375 .xword 0x0d0e8f22ee06c9aa
42376 .xword 0x1f919ee661095111
42377 .xword 0x36c4caeeb4184ae0
42378 .xword 0xa9a09ffdd16df5eb
42379 .xword 0xd42295ede5fb5d78
42380 .xword 0xc29c52d59f40f51a
42381 .xword 0x568748e50ea0a517
42382 .xword 0xc2a88d8b6eeda292
42383 .xword 0xce0204ce568f96b3
42384 .xword 0x0d5ff56e8c9ea072
42385 .xword 0x161b0aa3afb691a8
42386 .xword 0x497b4ef19c4d43d0
42387 .xword 0x97c8ad73548102ad
42388 .xword 0xd38e14bbd920e1c9
42389 .xword 0xfea0fa3dd000f051
42390 .xword 0x6db49f1fe4709edd
42391 .xword 0x19b0d7a1411563da
42392 .xword 0x2edbc8f11087166c
42393 .xword 0x07e1c47426a06251
42394 .xword 0x3bbda575d6048af0
42395 .xword 0x033c52e79538ac34
42396 .xword 0x3c4779a984d45081
42397 .xword 0xfaf0c4c3b35f55e8
42398 .xword 0x7e11e3a7b24c309a
42399 .xword 0x09ad0af980016487
42400 .xword 0x9ed299895a3cbb4f
42401 .xword 0xccb1fd3800c9df80
42402 .xword 0xdcf63298cbfd2cc2
42403 .xword 0xdae59d2084e6daeb
42404 .xword 0x401dd33711b7a678
42405 .xword 0x830fda1d48d4086f
42406 .xword 0xc875c7830323c9bf
42407 .xword 0xcd7c30a5e1dbc20a
42408 .xword 0x941c5eccd99a87f8
42409 .xword 0x34c86067a4413b78
42410 .xword 0x0f9cb158bc7c5229
42411 .xword 0x68e4ca47f58f4f36
42412 .xword 0x8127eccd1a476cff
42413 .xword 0x823510466d23d06b
42414 .xword 0x806b040a16c3dd7d
42415 .xword 0xae52a8552108f54e
42416 .xword 0x71c04ccaac6ff539
42417 .xword 0x90ceb7f8c9949c40
42418 .xword 0xa88b9790ca698039
42419 .xword 0x399ac9f5061ec016
42420 .xword 0x1256f15b1f7d6676
42421 .xword 0x71d456e4fcfc1139
42422 .xword 0x76f2718e5439dd5e
42423 .xword 0x05449efa6ef282ad
42424_t1_hash_iv_array:
42425 .xword 0x91f0cd2eff82acd8
42426 .xword 0x8f5bfc49bdfa9cd1
42427 .xword 0x51e61a90fa410248
42428 .xword 0x3699f57dc3d92645
42429 .xword 0x621169cb3d8ad61b
42430 .xword 0x57d1afba14888741
42431 .xword 0xb5a9d64dd68adbaf
42432 .xword 0x6f63b552540f82fb
42433 .xword 0x49e31b6c86e6b44a
42434 .xword 0x6a09f7cd8fdda00c
42435 .xword 0x281af2933a024836
42436 .xword 0xfe463d32015067fa
42437 .xword 0x74ea8c4ee25570fc
42438 .xword 0x544cde507b7ac0ad
42439 .xword 0x77612e43fda7ca4a
42440 .xword 0x116924592c471e38
42441 .xword 0xbc90416d0b484270
42442 .xword 0x9fe1d2ca2dc0dc20
42443 .xword 0xdc5596beb60f2bdd
42444 .xword 0x20214f5e61773960
42445 .xword 0xff922670fed448d0
42446 .xword 0xb2a9331c85b4da98
42447 .xword 0xc350b42404e45585
42448 .xword 0x818ac1b1b42037fe
42449 .xword 0x5ceedc036438a4d9
42450 .xword 0xe88f9e3ab7428439
42451 .xword 0x908b3587a3d53e0a
42452 .xword 0x510050f9e3b7128c
42453 .xword 0xfaaad0ab56e9e8d0
42454 .xword 0xc728d0434671bcb1
42455 .xword 0x88bf725211ef9117
42456 .xword 0x99584edb54fce96a
42457 .xword 0x3ad47f9db21c2688
42458 .xword 0xfdc3f3bc48dc26ed
42459 .xword 0x4dd89face89434bb
42460 .xword 0xf45ddf6062df7349
42461 .xword 0x14ce0cd9287ad913
42462 .xword 0x272ae5abac2a7d86
42463 .xword 0x67a8401958d190cd
42464 .xword 0xe8741316d8b7464b
42465 .xword 0x064806d1dd3f920e
42466 .xword 0xea7219b628a47777
42467 .xword 0xda37f0c69e8d314a
42468 .xword 0xf59249114f377912
42469 .xword 0xdcb9e3520caae519
42470_t1_hash_alignment_array:
42471 .xword 12
42472 .xword 5
42473 .xword 11
42474 .xword 3
42475 .xword 7
42476 .xword 15
42477 .xword 1
42478 .xword 7
42479 .xword 14
42480 .xword 7
42481 .xword 7
42482 .xword 14
42483 .xword 5
42484 .xword 14
42485 .xword 8
42486 .xword 4
42487 .xword 8
42488 .xword 13
42489 .xword 10
42490 .xword 14
42491 .xword 11
42492 .xword 0
42493 .xword 8
42494 .xword 1
42495 .xword 11
42496 .xword 4
42497 .xword 14
42498 .xword 14
42499 .xword 15
42500 .xword 3
42501 .xword 7
42502 .xword 2
42503 .xword 9
42504 .xword 4
42505 .xword 3
42506 .xword 5
42507 .xword 12
42508 .xword 10
42509 .xword 7
42510 .xword 6
42511 .xword 7
42512 .xword 7
42513 .xword 8
42514 .xword 7
42515 .xword 15
42516 .xword 5
42517 .xword 11
42518 .xword 0
42519 .xword 11
42520 .xword 11
42521 .xword 15
42522 .xword 2
42523 .xword 5
42524 .xword 7
42525 .xword 3
42526 .xword 7
42527 .xword 8
42528 .xword 15
42529 .xword 8
42530 .xword 10
42531 .xword 0
42532 .xword 6
42533 .xword 7
42534 .xword 15
42535 .xword 11
42536 .xword 8
42537 .xword 0
42538 .xword 5
42539 .xword 13
42540 .xword 3
42541 .xword 0
42542 .xword 8
42543 .xword 2
42544 .xword 9
42545 .xword 1
42546 .xword 12
42547 .xword 11
42548 .xword 12
42549 .xword 2
42550 .xword 6
42551 .xword 3
42552 .xword 1
42553 .xword 5
42554 .xword 12
42555 .xword 12
42556 .xword 13
42557 .xword 8
42558 .xword 8
42559 .xword 7
42560 .xword 6
42561 .xword 0
42562 .xword 7
42563 .xword 4
42564 .xword 10
42565 .xword 12
42566 .xword 11
42567 .xword 9
42568 .xword 6
42569 .xword 13
42570 .xword 15
42571 .xword 4
42572 .xword 8
42573 .xword 11
42574 .xword 0
42575 .xword 8
42576_t1_hash_src:
42577 .xword 0x5eeb5f13107451c4
42578 .xword 0x004f0ae6b1e2437d
42579 .xword 0x383fb76bd4e5d93a
42580 .xword 0x310691aec72dc305
42581 .xword 0xc82a345c0d55426d
42582 .xword 0xdc4771324c1c3671
42583 .xword 0x9817f2371f81a7dd
42584 .xword 0x7dc285d5534efa72
42585 .xword 0x546f1d4b006fbc4e
42586 .xword 0x67dfd4ef17648775
42587 .xword 0x87b3bcb845f0ec87
42588 .xword 0xcf7f55ed5b28330f
42589 .xword 0x4ec5ef8789f8e715
42590 .xword 0x32a5b05df2e4a0ab
42591 .xword 0x1eb078fc63e71131
42592 .xword 0xfd10eeb73ccee925
42593 .xword 0xc011abc29f2fe0ab
42594 .xword 0x09103447d052415f
42595 .xword 0x3c6636bf348482d6
42596 .xword 0xbbe89bdd0589a37c
42597 .xword 0x0ef3c8f7e2c0cd7d
42598 .xword 0x32589ae8feb2c70b
42599 .xword 0x01d5bbf6389f1e4a
42600 .xword 0x2257f14e9b3da54b
42601 .xword 0xd5c9698acf415cd2
42602 .xword 0xbd45c3d20a7f58fc
42603 .xword 0xeb10a3fe6bcebee7
42604 .xword 0x0e1b14a02c976c7d
42605 .xword 0xacb6742a13a6b447
42606 .xword 0xbf04306132bbc24a
42607 .xword 0x921f51d9a332d5c2
42608 .xword 0x55228ca98a793d6c
42609 .xword 0x1bf39abc9a7b1124
42610 .xword 0x10d08d8c43b3e890
42611 .xword 0xad984302cae8f427
42612 .xword 0x5f9f26f6ca98c6e0
42613 .xword 0xd4bc9f5af11c8b65
42614 .xword 0x3dc6931c3492e32e
42615 .xword 0x9a9186d12539a65c
42616 .xword 0x9ced7d5b4edbc36c
42617 .xword 0x75ab42895ccc9119
42618 .xword 0x8e4e126d2a55b593
42619 .xword 0xe25be1dadee96ac2
42620 .xword 0x4c410d70816a5290
42621 .xword 0xfce6f8746467888a
42622 .xword 0x57bf3940ddbffef7
42623 .xword 0x7407475c93fa962d
42624 .xword 0xae26bdd0646d71a1
42625 .xword 0xe9fae971e403663c
42626 .xword 0xc35ec1cf0b82f79d
42627 .xword 0xbfd953afad0a1b4e
42628 .xword 0x7fb14a63b871cb84
42629 .xword 0xd1a3bd8e2b12d39d
42630 .xword 0xbbc5ef9d4a4a8bbc
42631 .xword 0x9489f007fdc8ac2d
42632 .xword 0x1697801666089d3a
42633 .xword 0x3bdb85eaabb44818
42634 .xword 0x1a96ae53605eef12
42635 .xword 0x3b0888a86e76247c
42636 .xword 0xbcc36780d543d026
42637 .xword 0x62e6663f9bac84f7
42638 .xword 0x680c440ddfdfd1a8
42639 .xword 0xa359fe018044a673
42640 .xword 0x4256769e67857e7c
42641 .xword 0x1592c0491a842729
42642 .xword 0xd03efc71a6b833cf
42643 .xword 0xbe6c5329bfe487f0
42644 .xword 0x689694b5110f05af
42645 .xword 0x1155e1c6788936a2
42646 .xword 0x32d5f55f52cf039e
42647 .xword 0xc2022cd740436423
42648 .xword 0x0e802765969c56f8
42649 .xword 0x1f78dbd2ef97be09
42650 .xword 0x8c56b9f83a0fe0a4
42651 .xword 0x9ff2477b08cddd65
42652 .xword 0x71ef4ac02e5e6cef
42653 .xword 0xb008128f8558f07f
42654 .xword 0x93b478b54f97f105
42655 .xword 0x2ecfa578380f5276
42656 .xword 0x165973c413fe6632
42657 .xword 0x44978074b05ae0bc
42658 .xword 0x88e69875c2410853
42659 .xword 0x00332ce9ce4995db
42660 .xword 0x15fd8d14867cf5a2
42661 .xword 0x9087c1917a39442d
42662 .xword 0xc971ee6f99b98b7f
42663 .xword 0x332b90acc0c69de9
42664 .xword 0x15c3a53da85a6a8f
42665 .xword 0x589532eddb6afacb
42666 .xword 0x638ce893e905ec59
42667 .xword 0x402e6e2b9b70b9db
42668 .xword 0x61a734f9eda90fd5
42669 .xword 0x51aff88bfa963bab
42670 .xword 0x98e9d050c05971da
42671 .xword 0x1c2b5e14271ed608
42672 .xword 0xcd7e6d4e56eefd8f
42673 .xword 0x5e858f43908486dd
42674 .xword 0xf74b79801d956f2f
42675 .xword 0x71ab2fffa0d22b28
42676 .xword 0x41505ea48125d3ef
42677 .xword 0x360e375b621e07d4
42678 .xword 0x40884e5747b6e7b9
42679 .xword 0xdd6d68420ebdead9
42680 .xword 0x68eedee0276f402d
42681 .xword 0x0ca2956651672ca1
42682 .xword 0x390e96b77d958f03
42683 .xword 0xed4cb14a51d55b3d
42684 .xword 0x8653e246375c59eb
42685 .xword 0x23821e5931d260c0
42686 .xword 0x7a97ff7482324d0a
42687 .xword 0x72964343cf82aed6
42688 .xword 0xfac22ac83b7ac780
42689 .xword 0x189b5902476ee1f4
42690 .xword 0xc5259b3ec4079399
42691 .xword 0x5350c8dd842cf646
42692 .xword 0x9d0eaeba6bc876fc
42693 .xword 0xd23c1e121acd6f54
42694 .xword 0xeb26089ed639fa63
42695 .xword 0x4f1bb398a6467a3b
42696 .xword 0x134a9cd78a033a81
42697 .xword 0x70aa6a2864ffbd54
42698 .xword 0xb3469b1b0532a7ec
42699 .xword 0xc611878f687d8dfd
42700 .xword 0x06f64d8f9cc97a10
42701 .xword 0xe91248a5107a46a1
42702 .xword 0x2bbfe91179c05ba2
42703 .xword 0x60b70d4098ee0564
42704 .xword 0x44210911267f335a
42705 .xword 0x3377d0188112a499
42706 .xword 0x81e17c08f528ab2b
42707 .xword 0x3a49e2e8dde87575
42708 .xword 0xb91ff82f1eaa4b0d
42709 .xword 0x09d7707c254c4ffa
42710 .xword 0x9cf57c8051cb3c8b
42711 .xword 0x1b906f43d246ebfa
42712 .xword 0x794f999001e8e161
42713 .xword 0xc98c530f9aa9a37e
42714 .xword 0xc8e29c13b82ccd53
42715 .xword 0x633f453f77abfc0e
42716 .xword 0x18443bdbe1807804
42717 .xword 0x1a3b6e3b8c2b2ab6
42718 .xword 0xa874b6cc270ed3a9
42719 .xword 0x4640fe816ef5a25b
42720 .xword 0x73bcffd793446d18
42721 .xword 0xed451b00936cd52a
42722 .xword 0x5684e86d32b88183
42723 .xword 0x1789e77e982ba2bd
42724 .xword 0x9eb2a92de528a1af
42725 .xword 0x71fcdb61bd24ea0b
42726 .xword 0x605d03c3693c10ca
42727 .xword 0x1892de2cbc43eac7
42728 .xword 0xeb396d4d7ccfba54
42729 .xword 0x7e9410ee42ec540c
42730 .xword 0x32bce2fb883b3e41
42731 .xword 0x1d1f18c49f045a6d
42732 .xword 0x24bfc692ce0a2cbf
42733 .xword 0xbd5445ca5af7c190
42734 .xword 0xe1d56a29fac12069
42735 .xword 0xc688d11488cf90e0
42736 .xword 0x10ba4e3029fbb886
42737 .xword 0x646c677f4db6c18a
42738 .xword 0x7ba39d14b09e72b8
42739 .xword 0xa7fba6e95e47ee46
42740 .xword 0x904d79bce9916ff3
42741 .xword 0xaef6ef6a3bb64afa
42742 .xword 0x8026291ed8be6a02
42743 .xword 0xc083a55258766433
42744 .xword 0xd023a1040de3c5d6
42745 .xword 0x0d2e71ecf1bf3457
42746 .xword 0x5bc3e95aca96beb3
42747 .xword 0x72705067692a5ebf
42748 .xword 0x48158fd7b584675e
42749 .xword 0x32a224c470f71d7b
42750 .xword 0x530cd76c52c579b2
42751 .xword 0x768bb01c789531be
42752 .xword 0x88c1af7826abaade
42753 .xword 0x5737a25609344b72
42754 .xword 0xf1c764c71ac03ebe
42755 .xword 0x3e906b00a9a7ec8b
42756 .xword 0xeaa475befbe2872a
42757 .xword 0xe11f8fc76f0d8aa0
42758 .xword 0x5bebb5764cb95841
42759 .xword 0x837046af26d89941
42760 .xword 0x5c160f48d9281c3d
42761 .xword 0x921b8906be5de0b6
42762 .xword 0xae315e9f9ba97bf0
42763 .xword 0x60d71d1c4d91d2f3
42764 .xword 0x461c88cb049879e0
42765 .xword 0x1f8ea4e7cf7ff117
42766 .xword 0x6f65778fa7508de0
42767 .xword 0x2176e2c759c8249e
42768 .xword 0x2bd63faa600537b7
42769 .xword 0xcee0f27eebca354f
42770 .xword 0x2ad3a8ddd2b2036d
42771 .xword 0xc522d3017c5988b5
42772 .xword 0xb3cc12ad83abe09d
42773 .xword 0x49fdd6d45793ebc1
42774 .xword 0x84b56fdb7cc76f87
42775 .xword 0x747bdd66aab432c8
42776 .xword 0x51b81b10e3bdeda2
42777 .xword 0x3c7a9c2024189d59
42778 .xword 0x501396e6bf6812bf
42779 .xword 0xff83a957ff0453be
42780 .xword 0xbb00fdd7da49da19
42781 .xword 0x7700655f1ca70d6f
42782 .xword 0x74b8a47a3d59b005
42783 .xword 0x9f3ce19d83419fbd
42784 .xword 0xad051eab2175abfa
42785 .xword 0x1fe910f868613a30
42786 .xword 0x1a2eadf98c7b07c9
42787 .xword 0x8ac1fe53bc0f521f
42788 .xword 0xdca0cb9db7e2784c
42789 .xword 0x0680bda1e7278b96
42790 .xword 0xbd67833ac53059d3
42791 .xword 0x8bc1eb0340efd557
42792 .xword 0x50b52b593823956a
42793 .xword 0xa43c1e90568b4af3
42794 .xword 0x6aada8977a50e2c3
42795 .xword 0xba53b54f94432ed6
42796 .xword 0x48f1185765afda2a
42797 .xword 0x3af0e538796629df
42798 .xword 0x1fa4c04d744f9a9a
42799 .xword 0x7efdbaa572d297b9
42800 .xword 0x882d192c9da1a037
42801 .xword 0x4b0b6c3027a850f1
42802 .xword 0x62dd58571025f349
42803 .xword 0x64d11391368f46ac
42804 .xword 0xf36e4d332c03b3b3
42805 .xword 0x7a0aa4da4b743442
42806 .xword 0xc0268c9c5e0529e7
42807 .xword 0x734d05403e054f4f
42808 .xword 0x4a1a783077c73b46
42809 .xword 0x5005342d1aa46a36
42810 .xword 0x0fab5d8b385429d5
42811 .xword 0x5e1a2716f193466b
42812 .xword 0x4924471db494f971
42813 .xword 0x22237ef14fb790c4
42814 .xword 0xa048a8ffb659997d
42815 .xword 0x8bb23175778cd12f
42816 .xword 0x7b446d763a2f90ca
42817 .xword 0x9f7f9495e98163b5
42818 .xword 0xd14f5c09c4614d21
42819 .xword 0x5280d5c95b83b30a
42820 .xword 0xfcde923aaf5dee69
42821 .xword 0x265912bfa41f6859
42822 .xword 0x72ba327405b59680
42823 .xword 0x2c4f09a653b1dfa0
42824 .xword 0x8d25b59b381bb15a
42825 .xword 0x306e9381533bd605
42826 .xword 0xfd84cc61988128bf
42827 .xword 0x3bf7d3da683f4de9
42828 .xword 0xb7f6876c7e0f4d1b
42829 .xword 0x2454196a2e8952e7
42830 .xword 0xd5998da476c5c9e9
42831 .xword 0x5c01490242c12d8e
42832 .xword 0x282dfe4d1898b83b
42833 .xword 0x150ca3f7e8c02a29
42834 .xword 0xc042a9c8edfb9974
42835 .xword 0x7ddc9686e4f92948
42836 .xword 0x7a14a23e159fa143
42837 .xword 0xd77ae213aaa46252
42838 .xword 0x086f5a16d2a5b9fd
42839 .xword 0x8c08defafb8880ec
42840 .xword 0xde1ff454739f9e0b
42841 .xword 0x9b90c8167ee44e48
42842 .xword 0x3adeb041eeaec85b
42843 .xword 0xca5947ca8916556e
42844 .xword 0x10ce51f71d4de994
42845 .xword 0xaa39713d27500f6a
42846 .xword 0xbdb0de425d80874f
42847 .xword 0x59840f3a07c2f414
42848 .xword 0x5a54206cb2bef589
42849 .xword 0x58764db55c835e3d
42850 .xword 0xa4816ce6f6b19d99
42851 .xword 0x96e39cd0bb2e5dde
42852_t1_hash_dest:
42853 .xword 0xDEADBEEFDEADBEEF
42854 .xword 0xDEADBEEFDEADBEEF
42855 .xword 0xDEADBEEFDEADBEEF
42856 .xword 0xDEADBEEFDEADBEEF
42857 .xword 0xDEADBEEFDEADBEEF
42858 .xword 0xDEADBEEFDEADBEEF
42859 .xword 0xDEADBEEFDEADBEEF
42860 .xword 0xDEADBEEFDEADBEEF
42861 .xword 0xDEADBEEFDEADBEEF
42862 .xword 0xDEADBEEFDEADBEEF
42863 .xword 0xDEADBEEFDEADBEEF
42864 .xword 0xDEADBEEFDEADBEEF
42865 .xword 0xDEADBEEFDEADBEEF
42866 .xword 0xDEADBEEFDEADBEEF
42867 .xword 0xDEADBEEFDEADBEEF
42868 .xword 0xDEADBEEFDEADBEEF
42869 .xword 0xDEADBEEFDEADBEEF
42870 .xword 0xDEADBEEFDEADBEEF
42871 .xword 0xDEADBEEFDEADBEEF
42872 .xword 0xDEADBEEFDEADBEEF
42873 .xword 0xDEADBEEFDEADBEEF
42874 .xword 0xDEADBEEFDEADBEEF
42875 .xword 0xDEADBEEFDEADBEEF
42876 .xword 0xDEADBEEFDEADBEEF
42877 .xword 0xDEADBEEFDEADBEEF
42878 .xword 0xDEADBEEFDEADBEEF
42879 .xword 0xDEADBEEFDEADBEEF
42880 .xword 0xDEADBEEFDEADBEEF
42881 .xword 0xDEADBEEFDEADBEEF
42882 .xword 0xDEADBEEFDEADBEEF
42883 .xword 0xDEADBEEFDEADBEEF
42884 .xword 0xDEADBEEFDEADBEEF
42885 .xword 0xDEADBEEFDEADBEEF
42886 .xword 0xDEADBEEFDEADBEEF
42887 .xword 0xDEADBEEFDEADBEEF
42888 .xword 0xDEADBEEFDEADBEEF
42889 .xword 0xDEADBEEFDEADBEEF
42890 .xword 0xDEADBEEFDEADBEEF
42891 .xword 0xDEADBEEFDEADBEEF
42892 .xword 0xDEADBEEFDEADBEEF
42893 .xword 0xDEADBEEFDEADBEEF
42894 .xword 0xDEADBEEFDEADBEEF
42895 .xword 0xDEADBEEFDEADBEEF
42896 .xword 0xDEADBEEFDEADBEEF
42897 .xword 0xDEADBEEFDEADBEEF
42898 .xword 0xDEADBEEFDEADBEEF
42899 .xword 0xDEADBEEFDEADBEEF
42900 .xword 0xDEADBEEFDEADBEEF
42901 .xword 0xDEADBEEFDEADBEEF
42902 .xword 0xDEADBEEFDEADBEEF
42903 .xword 0xDEADBEEFDEADBEEF
42904 .xword 0xDEADBEEFDEADBEEF
42905 .xword 0xDEADBEEFDEADBEEF
42906 .xword 0xDEADBEEFDEADBEEF
42907 .xword 0xDEADBEEFDEADBEEF
42908 .xword 0xDEADBEEFDEADBEEF
42909 .xword 0xDEADBEEFDEADBEEF
42910 .xword 0xDEADBEEFDEADBEEF
42911 .xword 0xDEADBEEFDEADBEEF
42912 .xword 0xDEADBEEFDEADBEEF
42913 .xword 0xDEADBEEFDEADBEEF
42914 .xword 0xDEADBEEFDEADBEEF
42915 .xword 0xDEADBEEFDEADBEEF
42916 .xword 0xDEADBEEFDEADBEEF
42917 .xword 0xDEADBEEFDEADBEEF
42918 .xword 0xDEADBEEFDEADBEEF
42919 .xword 0xDEADBEEFDEADBEEF
42920 .xword 0xDEADBEEFDEADBEEF
42921 .xword 0xDEADBEEFDEADBEEF
42922 .xword 0xDEADBEEFDEADBEEF
42923 .xword 0xDEADBEEFDEADBEEF
42924 .xword 0xDEADBEEFDEADBEEF
42925 .xword 0xDEADBEEFDEADBEEF
42926 .xword 0xDEADBEEFDEADBEEF
42927 .xword 0xDEADBEEFDEADBEEF
42928 .xword 0xDEADBEEFDEADBEEF
42929 .xword 0xDEADBEEFDEADBEEF
42930 .xword 0xDEADBEEFDEADBEEF
42931 .xword 0xDEADBEEFDEADBEEF
42932 .xword 0xDEADBEEFDEADBEEF
42933 .xword 0xDEADBEEFDEADBEEF
42934 .xword 0xDEADBEEFDEADBEEF
42935 .xword 0xDEADBEEFDEADBEEF
42936 .xword 0xDEADBEEFDEADBEEF
42937 .xword 0xDEADBEEFDEADBEEF
42938 .xword 0xDEADBEEFDEADBEEF
42939 .xword 0xDEADBEEFDEADBEEF
42940 .xword 0xDEADBEEFDEADBEEF
42941 .xword 0xDEADBEEFDEADBEEF
42942 .xword 0xDEADBEEFDEADBEEF
42943 .xword 0xDEADBEEFDEADBEEF
42944 .xword 0xDEADBEEFDEADBEEF
42945 .xword 0xDEADBEEFDEADBEEF
42946 .xword 0xDEADBEEFDEADBEEF
42947 .xword 0xDEADBEEFDEADBEEF
42948 .xword 0xDEADBEEFDEADBEEF
42949 .xword 0xDEADBEEFDEADBEEF
42950 .xword 0xDEADBEEFDEADBEEF
42951 .xword 0xDEADBEEFDEADBEEF
42952 .xword 0xDEADBEEFDEADBEEF
42953 .xword 0xDEADBEEFDEADBEEF
42954 .xword 0xDEADBEEFDEADBEEF
42955 .xword 0xDEADBEEFDEADBEEF
42956 .xword 0xDEADBEEFDEADBEEF
42957 .xword 0xDEADBEEFDEADBEEF
42958 .xword 0xDEADBEEFDEADBEEF
42959 .xword 0xDEADBEEFDEADBEEF
42960 .xword 0xDEADBEEFDEADBEEF
42961 .xword 0xDEADBEEFDEADBEEF
42962 .xword 0xDEADBEEFDEADBEEF
42963 .xword 0xDEADBEEFDEADBEEF
42964 .xword 0xDEADBEEFDEADBEEF
42965 .xword 0xDEADBEEFDEADBEEF
42966 .xword 0xDEADBEEFDEADBEEF
42967 .xword 0xDEADBEEFDEADBEEF
42968 .xword 0xDEADBEEFDEADBEEF
42969 .xword 0xDEADBEEFDEADBEEF
42970 .xword 0xDEADBEEFDEADBEEF
42971 .xword 0xDEADBEEFDEADBEEF
42972 .xword 0xDEADBEEFDEADBEEF
42973 .xword 0xDEADBEEFDEADBEEF
42974 .xword 0xDEADBEEFDEADBEEF
42975 .xword 0xDEADBEEFDEADBEEF
42976 .xword 0xDEADBEEFDEADBEEF
42977 .xword 0xDEADBEEFDEADBEEF
42978 .xword 0xDEADBEEFDEADBEEF
42979 .xword 0xDEADBEEFDEADBEEF
42980 .xword 0xDEADBEEFDEADBEEF
42981 .xword 0xDEADBEEFDEADBEEF
42982 .xword 0xDEADBEEFDEADBEEF
42983 .xword 0xDEADBEEFDEADBEEF
42984 .xword 0xDEADBEEFDEADBEEF
42985 .xword 0xDEADBEEFDEADBEEF
42986 .xword 0xDEADBEEFDEADBEEF
42987 .xword 0xDEADBEEFDEADBEEF
42988 .xword 0xDEADBEEFDEADBEEF
42989 .xword 0xDEADBEEFDEADBEEF
42990 .xword 0xDEADBEEFDEADBEEF
42991 .xword 0xDEADBEEFDEADBEEF
42992 .xword 0xDEADBEEFDEADBEEF
42993 .xword 0xDEADBEEFDEADBEEF
42994 .xword 0xDEADBEEFDEADBEEF
42995 .xword 0xDEADBEEFDEADBEEF
42996 .xword 0xDEADBEEFDEADBEEF
42997 .xword 0xDEADBEEFDEADBEEF
42998 .xword 0xDEADBEEFDEADBEEF
42999 .xword 0xDEADBEEFDEADBEEF
43000 .xword 0xDEADBEEFDEADBEEF
43001 .xword 0xDEADBEEFDEADBEEF
43002 .xword 0xDEADBEEFDEADBEEF
43003 .xword 0xDEADBEEFDEADBEEF
43004 .xword 0xDEADBEEFDEADBEEF
43005 .xword 0xDEADBEEFDEADBEEF
43006 .xword 0xDEADBEEFDEADBEEF
43007 .xword 0xDEADBEEFDEADBEEF
43008 .xword 0xDEADBEEFDEADBEEF
43009 .xword 0xDEADBEEFDEADBEEF
43010 .xword 0xDEADBEEFDEADBEEF
43011 .xword 0xDEADBEEFDEADBEEF
43012 .xword 0xDEADBEEFDEADBEEF
43013 .xword 0xDEADBEEFDEADBEEF
43014 .xword 0xDEADBEEFDEADBEEF
43015 .xword 0xDEADBEEFDEADBEEF
43016 .xword 0xDEADBEEFDEADBEEF
43017 .xword 0xDEADBEEFDEADBEEF
43018 .xword 0xDEADBEEFDEADBEEF
43019 .xword 0xDEADBEEFDEADBEEF
43020 .xword 0xDEADBEEFDEADBEEF
43021 .xword 0xDEADBEEFDEADBEEF
43022 .xword 0xDEADBEEFDEADBEEF
43023 .xword 0xDEADBEEFDEADBEEF
43024 .xword 0xDEADBEEFDEADBEEF
43025 .xword 0xDEADBEEFDEADBEEF
43026 .xword 0xDEADBEEFDEADBEEF
43027 .xword 0xDEADBEEFDEADBEEF
43028 .xword 0xDEADBEEFDEADBEEF
43029 .xword 0xDEADBEEFDEADBEEF
43030 .xword 0xDEADBEEFDEADBEEF
43031 .xword 0xDEADBEEFDEADBEEF
43032 .xword 0xDEADBEEFDEADBEEF
43033 .xword 0xDEADBEEFDEADBEEF
43034 .xword 0xDEADBEEFDEADBEEF
43035 .xword 0xDEADBEEFDEADBEEF
43036 .xword 0xDEADBEEFDEADBEEF
43037 .xword 0xDEADBEEFDEADBEEF
43038 .xword 0xDEADBEEFDEADBEEF
43039 .xword 0xDEADBEEFDEADBEEF
43040 .xword 0xDEADBEEFDEADBEEF
43041 .xword 0xDEADBEEFDEADBEEF
43042 .xword 0xDEADBEEFDEADBEEF
43043 .xword 0xDEADBEEFDEADBEEF
43044 .xword 0xDEADBEEFDEADBEEF
43045 .xword 0xDEADBEEFDEADBEEF
43046 .xword 0xDEADBEEFDEADBEEF
43047 .xword 0xDEADBEEFDEADBEEF
43048 .xword 0xDEADBEEFDEADBEEF
43049 .xword 0xDEADBEEFDEADBEEF
43050 .xword 0xDEADBEEFDEADBEEF
43051 .xword 0xDEADBEEFDEADBEEF
43052 .xword 0xDEADBEEFDEADBEEF
43053 .xword 0xDEADBEEFDEADBEEF
43054 .xword 0xDEADBEEFDEADBEEF
43055 .xword 0xDEADBEEFDEADBEEF
43056 .xword 0xDEADBEEFDEADBEEF
43057 .xword 0xDEADBEEFDEADBEEF
43058 .xword 0xDEADBEEFDEADBEEF
43059 .xword 0xDEADBEEFDEADBEEF
43060 .xword 0xDEADBEEFDEADBEEF
43061 .xword 0xDEADBEEFDEADBEEF
43062 .xword 0xDEADBEEFDEADBEEF
43063 .xword 0xDEADBEEFDEADBEEF
43064 .xword 0xDEADBEEFDEADBEEF
43065 .xword 0xDEADBEEFDEADBEEF
43066 .xword 0xDEADBEEFDEADBEEF
43067 .xword 0xDEADBEEFDEADBEEF
43068 .xword 0xDEADBEEFDEADBEEF
43069 .xword 0xDEADBEEFDEADBEEF
43070 .xword 0xDEADBEEFDEADBEEF
43071 .xword 0xDEADBEEFDEADBEEF
43072 .xword 0xDEADBEEFDEADBEEF
43073 .xword 0xDEADBEEFDEADBEEF
43074 .xword 0xDEADBEEFDEADBEEF
43075 .xword 0xDEADBEEFDEADBEEF
43076 .xword 0xDEADBEEFDEADBEEF
43077 .xword 0xDEADBEEFDEADBEEF
43078 .xword 0xDEADBEEFDEADBEEF
43079 .xword 0xDEADBEEFDEADBEEF
43080 .xword 0xDEADBEEFDEADBEEF
43081 .xword 0xDEADBEEFDEADBEEF
43082 .xword 0xDEADBEEFDEADBEEF
43083 .xword 0xDEADBEEFDEADBEEF
43084 .xword 0xDEADBEEFDEADBEEF
43085 .xword 0xDEADBEEFDEADBEEF
43086 .xword 0xDEADBEEFDEADBEEF
43087 .xword 0xDEADBEEFDEADBEEF
43088 .xword 0xDEADBEEFDEADBEEF
43089 .xword 0xDEADBEEFDEADBEEF
43090 .xword 0xDEADBEEFDEADBEEF
43091 .xword 0xDEADBEEFDEADBEEF
43092 .xword 0xDEADBEEFDEADBEEF
43093 .xword 0xDEADBEEFDEADBEEF
43094 .xword 0xDEADBEEFDEADBEEF
43095 .xword 0xDEADBEEFDEADBEEF
43096 .xword 0xDEADBEEFDEADBEEF
43097 .xword 0xDEADBEEFDEADBEEF
43098 .xword 0xDEADBEEFDEADBEEF
43099 .xword 0xDEADBEEFDEADBEEF
43100 .xword 0xDEADBEEFDEADBEEF
43101 .xword 0xDEADBEEFDEADBEEF
43102 .xword 0xDEADBEEFDEADBEEF
43103 .xword 0xDEADBEEFDEADBEEF
43104 .xword 0xDEADBEEFDEADBEEF
43105 .xword 0xDEADBEEFDEADBEEF
43106 .xword 0xDEADBEEFDEADBEEF
43107 .xword 0xDEADBEEFDEADBEEF
43108 .xword 0xDEADBEEFDEADBEEF
43109 .xword 0xDEADBEEFDEADBEEF
43110 .xword 0xDEADBEEFDEADBEEF
43111 .xword 0xDEADBEEFDEADBEEF
43112 .xword 0xDEADBEEFDEADBEEF
43113 .xword 0xDEADBEEFDEADBEEF
43114 .xword 0xDEADBEEFDEADBEEF
43115 .xword 0xDEADBEEFDEADBEEF
43116 .xword 0xDEADBEEFDEADBEEF
43117 .xword 0xDEADBEEFDEADBEEF
43118 .xword 0xDEADBEEFDEADBEEF
43119 .xword 0xDEADBEEFDEADBEEF
43120 .xword 0xDEADBEEFDEADBEEF
43121 .xword 0xDEADBEEFDEADBEEF
43122 .xword 0xDEADBEEFDEADBEEF
43123 .xword 0xDEADBEEFDEADBEEF
43124 .xword 0xDEADBEEFDEADBEEF
43125 .xword 0xDEADBEEFDEADBEEF
43126 .xword 0xDEADBEEFDEADBEEF
43127 .xword 0xDEADBEEFDEADBEEF
43128_t1_hash_auth_key:
43129 .xword 0x691ce3b5d74c4214
43130 .xword 0x476e31c40534a22b
43131 .xword 0xa496f69652f756cb
43132 .xword 0xd5e1cfdedfcd6362
43133 .xword 0x5ddd62dae415fd9b
43134 .xword 0xaf624f21394950d0
43135 .xword 0x7cb57ead862d2cb0
43136 .xword 0xe9583f103cc11d7c
43137 .xword 0x6e5102c6a1574726
43138 .xword 0x09e52285d9507574
43139 .xword 0x12af3c45319b119a
43140 .xword 0x3c8fe145d4dcc485
43141 .xword 0xd2339457a7136145
43142 .xword 0x155f2c94a62fe01e
43143 .xword 0x277aede02eb86c52
43144 .xword 0x74f33b34efc9bb68
43145 .xword 0xbf38f3f2630fffa3
43146 .xword 0x167a2a17983d376b
43147 .xword 0xdfb27973a6c3e9c9
43148 .xword 0x6929e6294e042923
43149 .xword 0x1ea135ac91f5ba82
43150 .xword 0x6be2ba7fe1058664
43151 .xword 0xd4f15db99df806a0
43152_t1_hash_auth_iv:
43153 .xword 0x328e7ca401ca79ba
43154 .xword 0xb2103c446e4f82d2
43155 .xword 0x7a220494a683c131
43156 .xword 0x8b28046c1a6428c4
43157 .xword 0xb64c8df2493565d4
43158 .xword 0x89cbe3dbf5bd979c
43159 .xword 0x69bc85c7639146d3
43160 .xword 0xff02704a36fdee93
43161 .xword 0xa776f8d486f5bb8a
43162 .xword 0x60837b8d13bef0de
43163 .xword 0x817d37a90fdba3b8
43164 .xword 0x0a324b66f5b277bb
43165 .xword 0x7e2519f44fee19c1
43166 .xword 0xe35be0b0c9b5fa42
43167 .xword 0x1e2df1972e03e31d
43168 .xword 0x05f26424f313687e
43169 .xword 0xf67406f5f76a49b3
43170 .xword 0x5b037ad659f9e065
43171 .xword 0xd250a3529d5553f5
43172 .xword 0xe89b115ccbdcccab
43173 .xword 0xe13a4bf6ae5e282c
43174 .xword 0x1e1ff3163374bb4c
43175 .xword 0x0d4913db60ea7148
43176_t1_hash_fas_result:
43177 .xword 0xDEADBEEFDEADBEEF
43178 .xword 0xDEADBEEFDEADBEEF
43179 .xword 0xDEADBEEFDEADBEEF
43180 .xword 0xDEADBEEFDEADBEEF
43181 .xword 0xDEADBEEFDEADBEEF
43182 .xword 0xDEADBEEFDEADBEEF
43183 .xword 0xDEADBEEFDEADBEEF
43184 .xword 0xDEADBEEFDEADBEEF
43185 .xword 0xDEADBEEFDEADBEEF
43186 .xword 0xDEADBEEFDEADBEEF
43187 .xword 0xDEADBEEFDEADBEEF
43188 .xword 0xDEADBEEFDEADBEEF
43189 .xword 0xDEADBEEFDEADBEEF
43190 .xword 0xDEADBEEFDEADBEEF
43191 .xword 0xDEADBEEFDEADBEEF
43192 .xword 0xDEADBEEFDEADBEEF
43193 .xword 0xDEADBEEFDEADBEEF
43194 .xword 0xDEADBEEFDEADBEEF
43195 .xword 0xDEADBEEFDEADBEEF
43196 .xword 0xDEADBEEFDEADBEEF
43197 .xword 0xDEADBEEFDEADBEEF
43198 .xword 0xDEADBEEFDEADBEEF
43199 .xword 0xDEADBEEFDEADBEEF
43200_t1_hmac_key_array:
43201 .xword 0x47b5a6051e44e4e5
43202 .xword 0xd7113127249f2c47
43203 .xword 0xc3acb830ced228f9
43204 .xword 0x6e2e3473993aa8f3
43205 .xword 0xe5115c24e08f1134
43206 .xword 0x4bf4044ea0c2df4c
43207 .xword 0x789ce0ffdad49600
43208 .xword 0x480ea1b3fe7fcd56
43209 .xword 0x905b5e57537e485e
43210 .xword 0xdda7b926852f48d1
43211 .xword 0xb5a67565f9a220d2
43212 .xword 0x0d97eaaa6e163dc4
43213 .xword 0x2b7ffebc5b123cf2
43214 .xword 0x9c5b530fddf749fa
43215 .xword 0x8203088633df4c6a
43216 .xword 0x31a813bf70e0c8a5
43217 .xword 0xd8961d48e070ffaf
43218 .xword 0x1235ba44a5e957c4
43219 .xword 0xc3a648dff422999b
43220 .xword 0x06e5ae156ae04c34
43221 .xword 0x04ed7af7a6cee889
43222 .xword 0xf74888c5a5192c5f
43223 .xword 0x30ddf6bdd59bf4e5
43224 .xword 0x6c867f3c54910d3e
43225 .xword 0x35a2b8eb11d600dd
43226 .xword 0x6201b1df737bada5
43227 .xword 0x1867e60d7291f1ff
43228 .xword 0x6829bac942ff6314
43229 .xword 0x445311dd1dd5522f
43230 .xword 0x5290178b635d8ac0
43231 .xword 0x67dc1a949f413b15
43232 .xword 0x030152572b0e6d89
43233 .xword 0xaf9846460fe666e0
43234 .xword 0x051d9a4da6422952
43235 .xword 0xf7157920d94edfe0
43236 .xword 0xdaa35a0b6fd2b204
43237 .xword 0x9e07fbf1866711be
43238 .xword 0x62aa6884ea8e6054
43239 .xword 0x6ec1dfb06bad296e
43240 .xword 0xd1c48d834001cbb0
43241 .xword 0xfd559d4e26f3d116
43242 .xword 0x727dbafb1fe23c0a
43243 .xword 0xa481037f46f48355
43244 .xword 0xeff570befe8b7fca
43245 .xword 0x1bfefa2ffbae7ac3
43246 .xword 0xd6f5adc71037e07a
43247 .xword 0xf6663f85bb584bae
43248 .xword 0xb3374d1a948e3fc8
43249 .xword 0x87e41e4651cfe033
43250 .xword 0x95f0b6f50a82f276
43251 .xword 0x814051a4e0411f43
43252_t1_hmac_iv_array:
43253 .xword 0x518c78c458b6bff8
43254 .xword 0x66243f01afc70053
43255 .xword 0x9fbfbbf9f7ec4213
43256 .xword 0xbb777d4b16b13aae
43257 .xword 0xa74d9c17c745221f
43258 .xword 0xc757894a855201a7
43259 .xword 0xf71d756498eb920b
43260 .xword 0x3e30ada0345cd419
43261 .xword 0xd8b46c70e3625747
43262 .xword 0xc942d27472960630
43263 .xword 0xfb4ea2a0422773b4
43264 .xword 0xe646411a2c4717ef
43265 .xword 0xbe06c690c601a637
43266 .xword 0xa2997ff63a912a6e
43267 .xword 0xaa6a2e1869efdf98
43268 .xword 0x6b0d2fcc03176e66
43269 .xword 0x4c6a9ebf028b9919
43270 .xword 0x690c928e4e54c0d4
43271 .xword 0x56d4e12e5b799cf2
43272 .xword 0xf232e26c164673aa
43273 .xword 0x58ce380afd1aa0aa
43274 .xword 0x4ec1d2a832546632
43275 .xword 0x6ecbbd02da2ca5fe
43276 .xword 0xf0538666631406cc
43277 .xword 0x19ddbe618f721e74
43278 .xword 0x2f5662f2ca2bb638
43279 .xword 0xcf4236c6605bac4d
43280 .xword 0xc2afd1bd2f195763
43281 .xword 0x8e70e7bfa4bd0dda
43282 .xword 0x3b7e6c6822b7db1e
43283 .xword 0x863980aa490bc598
43284 .xword 0xff7b97d951bf6f49
43285 .xword 0x8c15079e38927825
43286 .xword 0x36f8c4e4b4181fca
43287 .xword 0x9c667bf16976ced0
43288 .xword 0x8ac272a59e242388
43289 .xword 0xc9f8be99556af960
43290 .xword 0x4d2a8bc7928f625c
43291 .xword 0x733269b4f0c0816a
43292 .xword 0x44a1a730c22f562d
43293 .xword 0xc8e7a74e3f5b49b5
43294 .xword 0xb335955eb31de4b3
43295 .xword 0xeee536b8fd6eb030
43296 .xword 0xb14ec59aef1609b7
43297 .xword 0xbf4f340201632b7a
43298_t1_hmac_alignment_array:
43299 .xword 5
43300 .xword 11
43301 .xword 1
43302 .xword 10
43303 .xword 10
43304 .xword 5
43305 .xword 0
43306 .xword 6
43307 .xword 6
43308 .xword 6
43309 .xword 5
43310 .xword 0
43311 .xword 11
43312 .xword 14
43313 .xword 1
43314 .xword 12
43315 .xword 14
43316 .xword 13
43317 .xword 10
43318 .xword 12
43319 .xword 15
43320 .xword 13
43321 .xword 9
43322 .xword 1
43323 .xword 13
43324 .xword 9
43325 .xword 4
43326 .xword 5
43327 .xword 4
43328 .xword 13
43329 .xword 7
43330 .xword 8
43331 .xword 0
43332 .xword 12
43333 .xword 1
43334 .xword 6
43335 .xword 8
43336 .xword 14
43337 .xword 11
43338 .xword 2
43339 .xword 8
43340 .xword 11
43341 .xword 10
43342 .xword 15
43343 .xword 14
43344 .xword 0
43345 .xword 6
43346 .xword 3
43347 .xword 6
43348 .xword 0
43349 .xword 4
43350 .xword 1
43351 .xword 10
43352 .xword 6
43353 .xword 9
43354 .xword 4
43355 .xword 3
43356 .xword 15
43357 .xword 11
43358 .xword 13
43359 .xword 2
43360 .xword 9
43361 .xword 13
43362 .xword 14
43363 .xword 1
43364 .xword 4
43365 .xword 8
43366 .xword 0
43367 .xword 6
43368 .xword 8
43369 .xword 5
43370 .xword 1
43371 .xword 15
43372 .xword 15
43373 .xword 10
43374 .xword 12
43375 .xword 11
43376 .xword 4
43377 .xword 15
43378 .xword 8
43379 .xword 11
43380 .xword 7
43381 .xword 3
43382 .xword 1
43383 .xword 6
43384 .xword 1
43385 .xword 7
43386 .xword 15
43387 .xword 10
43388 .xword 10
43389 .xword 8
43390 .xword 1
43391 .xword 8
43392 .xword 2
43393 .xword 12
43394 .xword 6
43395 .xword 9
43396 .xword 14
43397 .xword 7
43398 .xword 4
43399 .xword 9
43400 .xword 1
43401 .xword 0
43402 .xword 6
43403 .xword 7
43404_t1_hmac_src:
43405 .xword 0x9e89b47a03b0c10d
43406 .xword 0xfb898ca748f857cf
43407 .xword 0x3797d83b8c4a216a
43408 .xword 0x34698bf3996cb4cf
43409 .xword 0x812fbe71e9223abd
43410 .xword 0x962e68d8516ef201
43411 .xword 0x674e7dd4dbb252d9
43412 .xword 0xab7b6e2526e12ebd
43413 .xword 0xec4e71466088c127
43414 .xword 0xf750327bf81bb885
43415 .xword 0xb6c03b4c3cf8ab0a
43416 .xword 0x056415b4bef0ec43
43417 .xword 0x67ffac0865b08cdf
43418 .xword 0x59dec1faf95616a6
43419 .xword 0x0bd7c82b8e38dbdb
43420 .xword 0xd12099613a27bb36
43421 .xword 0xdba944f2bb462e14
43422 .xword 0x4fb63fa9cf24bab5
43423 .xword 0xd51c2dfca4e63ee4
43424 .xword 0x7cd52c03183d7741
43425 .xword 0xb5abb13390962eb9
43426 .xword 0x66674d9a4950762d
43427 .xword 0xe2870ae6e6952eff
43428 .xword 0x73e4a6ffc76b9b67
43429 .xword 0x726016b2e4a88564
43430 .xword 0x33996bcb4b2abc41
43431 .xword 0x3e63dbb0f85bcd25
43432 .xword 0x40849b5d5a264fb6
43433 .xword 0x605cafd8ecac7fd8
43434 .xword 0x7dea05a5f2c43536
43435 .xword 0x71fb41709a434a71
43436 .xword 0xbe6494f2f5ace04d
43437 .xword 0xb099c82f94b534d0
43438 .xword 0xccbe1c220dda325a
43439 .xword 0x3285cabae7680d84
43440 .xword 0x0cf7771df62b0115
43441 .xword 0x4c0a0fe949171eb3
43442 .xword 0x935506fc9ca98e4f
43443 .xword 0x8698e3a25552b441
43444 .xword 0x25e4253b07d6ba3e
43445 .xword 0xb54cbaa8e8fc1a48
43446 .xword 0xa3c21aeffc032085
43447 .xword 0x38656672a51f83f4
43448 .xword 0x4b0eeee52b0c124e
43449 .xword 0x0bdc16b2ff15a5d5
43450 .xword 0x3c96af8c49d52ad7
43451 .xword 0xad120ab03255155f
43452 .xword 0x6031280094b7e466
43453 .xword 0x45ab7558accfc432
43454 .xword 0x1f24dd6c6b90a436
43455 .xword 0xd1af2c69b09ad33f
43456 .xword 0x1e01482dea76badc
43457 .xword 0x8766704c4cea95cf
43458 .xword 0xbf0277396e2710a6
43459 .xword 0xa773f380a89072ac
43460 .xword 0x1aa0384066b78e36
43461 .xword 0x65c75fbdefe494be
43462 .xword 0x8dbed0cab5c7d2fc
43463 .xword 0xeefee541b330a78c
43464 .xword 0x67750cc7ce50ec5e
43465 .xword 0x429696eb0c0ee8e8
43466 .xword 0x87358cb4d99c0b8f
43467 .xword 0x2c77b64bd623d48f
43468 .xword 0x5e9073ac953976f2
43469 .xword 0xc81e6c9e6004a425
43470 .xword 0x3950a75d675adae7
43471 .xword 0xde8fa7cb12fefb18
43472 .xword 0x08c1f78fee0bab8f
43473 .xword 0x75dce50eb0b477af
43474 .xword 0xe04a5cfba8559797
43475 .xword 0xba4a225e078ec2e3
43476 .xword 0x00ed98617e2c60eb
43477 .xword 0xf51c7c18c3dfec2b
43478 .xword 0x3206831cd7b86b48
43479 .xword 0x8c08ff169de76815
43480 .xword 0xa26150f83c1aa2a6
43481 .xword 0x7e99ade6237b58fc
43482 .xword 0xd8788a1c5ca0f34d
43483 .xword 0xbf85e7298bff6e20
43484 .xword 0xfa0105bb78958252
43485 .xword 0x89a7bdcbc9fb9342
43486 .xword 0x4dfe046ee5b45b40
43487 .xword 0x92f9b37c3b748a93
43488 .xword 0xbc19805028fdebe7
43489 .xword 0xf1b8d906942c4be0
43490 .xword 0x4116b8c656317201
43491 .xword 0xd78141996f626a92
43492 .xword 0x94d7c5175c724621
43493 .xword 0x2db468f74306ac5e
43494 .xword 0xe38fddc25308ab8f
43495 .xword 0x3e30495a9c0d698c
43496 .xword 0x0deac682dcbbfb4f
43497 .xword 0x2cb4b1af890d630e
43498 .xword 0xb5df5e5bb9f1f0d2
43499 .xword 0x10e254730cf10dd4
43500 .xword 0x38e029ffc54f14e7
43501 .xword 0x72cf1c698fb59f05
43502 .xword 0xc65cacba5ca987d8
43503 .xword 0xab9a0e39e7d0369f
43504 .xword 0x0f760961626fd0aa
43505 .xword 0x7439fc84c06b22e3
43506 .xword 0x457195835f3ab6df
43507 .xword 0xb0127b4ac8330e9d
43508 .xword 0x5100cf12444db761
43509 .xword 0x0dbc63c0d1670d5e
43510 .xword 0xeaed1858a53e96a7
43511 .xword 0xf898be0c1b13f856
43512 .xword 0x312f4e4fff8bf931
43513 .xword 0x37e27f209ee6940e
43514 .xword 0xa0a54fc95ac4028a
43515 .xword 0xb8b12a9348bfdd53
43516 .xword 0x605a1c908a949ff1
43517 .xword 0xe1ffc938230b3a09
43518 .xword 0x064b19249573d0f2
43519 .xword 0x1275407a4f053a81
43520 .xword 0x0f216081dcddbb5d
43521 .xword 0xaffa8a51708eac8a
43522 .xword 0x452f1f5202e53643
43523 .xword 0x338712628b974e97
43524 .xword 0x806e49e26f7c76ad
43525 .xword 0x99c80456db424e84
43526 .xword 0xe49a2b907833a141
43527 .xword 0x153c06375121e741
43528 .xword 0x50906e9a07663603
43529 .xword 0x87fd0e02bd971d86
43530 .xword 0xf850bfaf290a427e
43531 .xword 0x8f8944597c62d13f
43532 .xword 0x680a7d2aab9b0b57
43533 .xword 0xaca9793c3cf47c8c
43534 .xword 0xa9a9095d6af6d9e5
43535 .xword 0x1adbcc8316f7ab6b
43536 .xword 0xf832a3df9b800e9d
43537 .xword 0xa23f7faf7e8ea02a
43538 .xword 0x8d1505381d0289ee
43539 .xword 0xa3f955e6bb76a8b5
43540 .xword 0x7105ee8c761b0146
43541 .xword 0x474088349582cab2
43542 .xword 0x8aefc210d22c63b8
43543 .xword 0xb122c4d834ddb65f
43544 .xword 0xb672189642bacd8f
43545 .xword 0xb541176ff0824068
43546 .xword 0x95fd91e23cb3e118
43547 .xword 0xa146b88ebd7a71a0
43548 .xword 0x9be5dc2cc213b4bf
43549 .xword 0x3ba0003ef153b60b
43550 .xword 0x2057ac77359bf16a
43551 .xword 0x7e111092daf87cf7
43552 .xword 0xbfcb474f4f92a8fb
43553 .xword 0x47c9fbd8040da834
43554 .xword 0x94e1470ddb0f2334
43555 .xword 0x2353a60b1b4b1e71
43556 .xword 0x0e8281445a54d8b5
43557 .xword 0x36effe0417acfbf2
43558 .xword 0x11f6db88a9ff9ce3
43559 .xword 0xe82e9839f531f5d2
43560 .xword 0x52b5fa81bc74941f
43561 .xword 0xcc881d249675c174
43562 .xword 0x9733e2f859bbc476
43563 .xword 0xceaafdc060d70510
43564 .xword 0xc06ef0991d65a7e2
43565 .xword 0x6c7abbfcb79726be
43566 .xword 0x279b934411d87b70
43567 .xword 0x33d7c3f08992f9fb
43568 .xword 0xece79d0dfc32e299
43569 .xword 0x2345ced3ecd403ad
43570 .xword 0x54ba14dcf9099311
43571 .xword 0x100b40a09b6ba7f2
43572 .xword 0x4ada914d14c9e580
43573 .xword 0x5a60cc2400165af0
43574 .xword 0x44d7e3e8db4a88b4
43575 .xword 0xf123d89cf4750dfc
43576 .xword 0xb1000c4d612fce7f
43577 .xword 0x34c66cc800662622
43578 .xword 0xb361849aa40e6c5c
43579 .xword 0x3aa1b35a6878c7f1
43580 .xword 0x5f49e09413cb21f8
43581 .xword 0x3deb8c409bdbe54e
43582 .xword 0x3a41f78ee98e9e17
43583 .xword 0x8ed1c4b08c9a2a2a
43584 .xword 0x43d9965a2c39e74f
43585 .xword 0x47b6c7635c353714
43586 .xword 0xd07dc99286200c19
43587 .xword 0x889a1e9d131126f1
43588 .xword 0xefa4227af7202c8d
43589 .xword 0x5a5ff24ab6ca29b2
43590 .xword 0xcb7addaeb7561b6c
43591 .xword 0xd2de06731eb1bd65
43592 .xword 0xfb560d284d284754
43593 .xword 0xc875f05039d07c65
43594 .xword 0xcc163123eddf3bfa
43595 .xword 0x36f2bd8c575571a4
43596 .xword 0xf60118d69fe9c773
43597 .xword 0x72a72b0a2b35cf33
43598 .xword 0x16dafa9f2f38f2a8
43599 .xword 0x1ce14b61569df12c
43600 .xword 0xdb624c6605ad496c
43601 .xword 0x9447c5beb18ace3a
43602 .xword 0x7b3d1f379423103c
43603 .xword 0x446e26e16714fe9c
43604 .xword 0x8cd410dbb7b098cd
43605 .xword 0x718acd1e0606a0db
43606 .xword 0xc4d0cabf46251b04
43607 .xword 0x4074a02febd0a63d
43608 .xword 0xd7c32703eb955c46
43609 .xword 0x01cdaeccb8207aae
43610 .xword 0xe7de91ff269f7865
43611 .xword 0xc4d6788a24471237
43612 .xword 0x5b37bae93736d60f
43613 .xword 0x90bdcada8aca19a1
43614 .xword 0x3dd52a2a61361eda
43615 .xword 0xd848780fa674549e
43616 .xword 0xf9b84ee48a92dae8
43617 .xword 0xf9becc87f2e53849
43618 .xword 0x00f8e5e959680681
43619 .xword 0xbf165ebecaad6b6e
43620 .xword 0xaddb0495d45f79f8
43621 .xword 0x75ed6cf1389a1c56
43622 .xword 0x527e392ad6dcfdf2
43623 .xword 0x03bcd442d6ac7d05
43624 .xword 0x1aa16be58824eb39
43625 .xword 0x71c79037cad6bc87
43626 .xword 0x89d6d6c00c93fafd
43627 .xword 0x8ac32193c0191eb6
43628 .xword 0x28442611fd050560
43629 .xword 0x6e9876fa9d54250f
43630 .xword 0x6f2dc2cb9827132a
43631 .xword 0x5f0a84861ab8cc1a
43632 .xword 0xe21b1f8129ff14ca
43633 .xword 0x0c50dd438aa0fcba
43634 .xword 0x0c53bbf429aa1358
43635 .xword 0xee3f2c3ce49f8224
43636 .xword 0x1546664d074ac511
43637 .xword 0x1ed579b28ee81ca9
43638 .xword 0x1c7b57ca25389bfa
43639 .xword 0xb016a479f66793fc
43640 .xword 0x87b1c00830bea449
43641 .xword 0x0abd374d258daa75
43642 .xword 0x08d93833caf1a99f
43643 .xword 0x5701606fa1a3f436
43644 .xword 0x0a082d4def7bc62a
43645 .xword 0x1ca3ea0e14328f05
43646 .xword 0x3af22730f049cbc0
43647 .xword 0xc10d6b8d633c0ed1
43648 .xword 0x8ba48c9d235ab2ae
43649 .xword 0xbc62ce16df9a5307
43650 .xword 0x342d1e9f8bacfc5c
43651 .xword 0xa4612c33e6e68f63
43652 .xword 0x010edb52e14bb3b7
43653 .xword 0xe74d32137e478d87
43654 .xword 0xd73b6b5a4179d5fc
43655 .xword 0x1aaed7943a9a3a45
43656 .xword 0xadf1d639a73b0214
43657 .xword 0x9ae0f9410856b368
43658 .xword 0x3b81cb0c322c49a3
43659 .xword 0x845d0137d670556c
43660 .xword 0x241da06a00417f34
43661 .xword 0x9a674409d9e34bb1
43662 .xword 0xe6be7fd788600843
43663 .xword 0x35fa348742e59e3c
43664 .xword 0x9fa346cd527241e8
43665 .xword 0xe4a5b65abd7b07f6
43666 .xword 0x239ed209c1f7f207
43667 .xword 0x0cd3b5b96323829a
43668 .xword 0xceb7e8be3f1061e0
43669 .xword 0x04c6800a33afd259
43670 .xword 0x48afac20fd7786cb
43671 .xword 0x1d70a3c43c6707fe
43672 .xword 0x7c1435a04b29d58f
43673 .xword 0x3277311f6617c57e
43674 .xword 0xf0c4a3a334b5a6cc
43675 .xword 0x3ffaaf7f4a4af7e9
43676 .xword 0x108b5db2aecdce6a
43677 .xword 0x33346cce067b551b
43678 .xword 0xc12a08a6aab7718e
43679 .xword 0x10b0d56648fc8bd1
43680_t1_hmac_dest:
43681 .xword 0xDEADBEEFDEADBEEF
43682 .xword 0xDEADBEEFDEADBEEF
43683 .xword 0xDEADBEEFDEADBEEF
43684 .xword 0xDEADBEEFDEADBEEF
43685 .xword 0xDEADBEEFDEADBEEF
43686 .xword 0xDEADBEEFDEADBEEF
43687 .xword 0xDEADBEEFDEADBEEF
43688 .xword 0xDEADBEEFDEADBEEF
43689 .xword 0xDEADBEEFDEADBEEF
43690 .xword 0xDEADBEEFDEADBEEF
43691 .xword 0xDEADBEEFDEADBEEF
43692 .xword 0xDEADBEEFDEADBEEF
43693 .xword 0xDEADBEEFDEADBEEF
43694 .xword 0xDEADBEEFDEADBEEF
43695 .xword 0xDEADBEEFDEADBEEF
43696 .xword 0xDEADBEEFDEADBEEF
43697 .xword 0xDEADBEEFDEADBEEF
43698 .xword 0xDEADBEEFDEADBEEF
43699 .xword 0xDEADBEEFDEADBEEF
43700 .xword 0xDEADBEEFDEADBEEF
43701 .xword 0xDEADBEEFDEADBEEF
43702 .xword 0xDEADBEEFDEADBEEF
43703 .xword 0xDEADBEEFDEADBEEF
43704 .xword 0xDEADBEEFDEADBEEF
43705 .xword 0xDEADBEEFDEADBEEF
43706 .xword 0xDEADBEEFDEADBEEF
43707 .xword 0xDEADBEEFDEADBEEF
43708 .xword 0xDEADBEEFDEADBEEF
43709 .xword 0xDEADBEEFDEADBEEF
43710 .xword 0xDEADBEEFDEADBEEF
43711 .xword 0xDEADBEEFDEADBEEF
43712 .xword 0xDEADBEEFDEADBEEF
43713 .xword 0xDEADBEEFDEADBEEF
43714 .xword 0xDEADBEEFDEADBEEF
43715 .xword 0xDEADBEEFDEADBEEF
43716 .xword 0xDEADBEEFDEADBEEF
43717 .xword 0xDEADBEEFDEADBEEF
43718 .xword 0xDEADBEEFDEADBEEF
43719 .xword 0xDEADBEEFDEADBEEF
43720 .xword 0xDEADBEEFDEADBEEF
43721 .xword 0xDEADBEEFDEADBEEF
43722 .xword 0xDEADBEEFDEADBEEF
43723 .xword 0xDEADBEEFDEADBEEF
43724 .xword 0xDEADBEEFDEADBEEF
43725 .xword 0xDEADBEEFDEADBEEF
43726 .xword 0xDEADBEEFDEADBEEF
43727 .xword 0xDEADBEEFDEADBEEF
43728 .xword 0xDEADBEEFDEADBEEF
43729 .xword 0xDEADBEEFDEADBEEF
43730 .xword 0xDEADBEEFDEADBEEF
43731 .xword 0xDEADBEEFDEADBEEF
43732 .xword 0xDEADBEEFDEADBEEF
43733 .xword 0xDEADBEEFDEADBEEF
43734 .xword 0xDEADBEEFDEADBEEF
43735 .xword 0xDEADBEEFDEADBEEF
43736 .xword 0xDEADBEEFDEADBEEF
43737 .xword 0xDEADBEEFDEADBEEF
43738 .xword 0xDEADBEEFDEADBEEF
43739 .xword 0xDEADBEEFDEADBEEF
43740 .xword 0xDEADBEEFDEADBEEF
43741 .xword 0xDEADBEEFDEADBEEF
43742 .xword 0xDEADBEEFDEADBEEF
43743 .xword 0xDEADBEEFDEADBEEF
43744 .xword 0xDEADBEEFDEADBEEF
43745 .xword 0xDEADBEEFDEADBEEF
43746 .xword 0xDEADBEEFDEADBEEF
43747 .xword 0xDEADBEEFDEADBEEF
43748 .xword 0xDEADBEEFDEADBEEF
43749 .xword 0xDEADBEEFDEADBEEF
43750 .xword 0xDEADBEEFDEADBEEF
43751 .xword 0xDEADBEEFDEADBEEF
43752 .xword 0xDEADBEEFDEADBEEF
43753 .xword 0xDEADBEEFDEADBEEF
43754 .xword 0xDEADBEEFDEADBEEF
43755 .xword 0xDEADBEEFDEADBEEF
43756 .xword 0xDEADBEEFDEADBEEF
43757 .xword 0xDEADBEEFDEADBEEF
43758 .xword 0xDEADBEEFDEADBEEF
43759 .xword 0xDEADBEEFDEADBEEF
43760 .xword 0xDEADBEEFDEADBEEF
43761 .xword 0xDEADBEEFDEADBEEF
43762 .xword 0xDEADBEEFDEADBEEF
43763 .xword 0xDEADBEEFDEADBEEF
43764 .xword 0xDEADBEEFDEADBEEF
43765 .xword 0xDEADBEEFDEADBEEF
43766 .xword 0xDEADBEEFDEADBEEF
43767 .xword 0xDEADBEEFDEADBEEF
43768 .xword 0xDEADBEEFDEADBEEF
43769 .xword 0xDEADBEEFDEADBEEF
43770 .xword 0xDEADBEEFDEADBEEF
43771 .xword 0xDEADBEEFDEADBEEF
43772 .xword 0xDEADBEEFDEADBEEF
43773 .xword 0xDEADBEEFDEADBEEF
43774 .xword 0xDEADBEEFDEADBEEF
43775 .xword 0xDEADBEEFDEADBEEF
43776 .xword 0xDEADBEEFDEADBEEF
43777 .xword 0xDEADBEEFDEADBEEF
43778 .xword 0xDEADBEEFDEADBEEF
43779 .xword 0xDEADBEEFDEADBEEF
43780 .xword 0xDEADBEEFDEADBEEF
43781 .xword 0xDEADBEEFDEADBEEF
43782 .xword 0xDEADBEEFDEADBEEF
43783 .xword 0xDEADBEEFDEADBEEF
43784 .xword 0xDEADBEEFDEADBEEF
43785 .xword 0xDEADBEEFDEADBEEF
43786 .xword 0xDEADBEEFDEADBEEF
43787 .xword 0xDEADBEEFDEADBEEF
43788 .xword 0xDEADBEEFDEADBEEF
43789 .xword 0xDEADBEEFDEADBEEF
43790 .xword 0xDEADBEEFDEADBEEF
43791 .xword 0xDEADBEEFDEADBEEF
43792 .xword 0xDEADBEEFDEADBEEF
43793 .xword 0xDEADBEEFDEADBEEF
43794 .xword 0xDEADBEEFDEADBEEF
43795 .xword 0xDEADBEEFDEADBEEF
43796 .xword 0xDEADBEEFDEADBEEF
43797 .xword 0xDEADBEEFDEADBEEF
43798 .xword 0xDEADBEEFDEADBEEF
43799 .xword 0xDEADBEEFDEADBEEF
43800 .xword 0xDEADBEEFDEADBEEF
43801 .xword 0xDEADBEEFDEADBEEF
43802 .xword 0xDEADBEEFDEADBEEF
43803 .xword 0xDEADBEEFDEADBEEF
43804 .xword 0xDEADBEEFDEADBEEF
43805 .xword 0xDEADBEEFDEADBEEF
43806 .xword 0xDEADBEEFDEADBEEF
43807 .xword 0xDEADBEEFDEADBEEF
43808 .xword 0xDEADBEEFDEADBEEF
43809 .xword 0xDEADBEEFDEADBEEF
43810 .xword 0xDEADBEEFDEADBEEF
43811 .xword 0xDEADBEEFDEADBEEF
43812 .xword 0xDEADBEEFDEADBEEF
43813 .xword 0xDEADBEEFDEADBEEF
43814 .xword 0xDEADBEEFDEADBEEF
43815 .xword 0xDEADBEEFDEADBEEF
43816 .xword 0xDEADBEEFDEADBEEF
43817 .xword 0xDEADBEEFDEADBEEF
43818 .xword 0xDEADBEEFDEADBEEF
43819 .xword 0xDEADBEEFDEADBEEF
43820 .xword 0xDEADBEEFDEADBEEF
43821 .xword 0xDEADBEEFDEADBEEF
43822 .xword 0xDEADBEEFDEADBEEF
43823 .xword 0xDEADBEEFDEADBEEF
43824 .xword 0xDEADBEEFDEADBEEF
43825 .xword 0xDEADBEEFDEADBEEF
43826 .xword 0xDEADBEEFDEADBEEF
43827 .xword 0xDEADBEEFDEADBEEF
43828 .xword 0xDEADBEEFDEADBEEF
43829 .xword 0xDEADBEEFDEADBEEF
43830 .xword 0xDEADBEEFDEADBEEF
43831 .xword 0xDEADBEEFDEADBEEF
43832 .xword 0xDEADBEEFDEADBEEF
43833 .xword 0xDEADBEEFDEADBEEF
43834 .xword 0xDEADBEEFDEADBEEF
43835 .xword 0xDEADBEEFDEADBEEF
43836 .xword 0xDEADBEEFDEADBEEF
43837 .xword 0xDEADBEEFDEADBEEF
43838 .xword 0xDEADBEEFDEADBEEF
43839 .xword 0xDEADBEEFDEADBEEF
43840 .xword 0xDEADBEEFDEADBEEF
43841 .xword 0xDEADBEEFDEADBEEF
43842 .xword 0xDEADBEEFDEADBEEF
43843 .xword 0xDEADBEEFDEADBEEF
43844 .xword 0xDEADBEEFDEADBEEF
43845 .xword 0xDEADBEEFDEADBEEF
43846 .xword 0xDEADBEEFDEADBEEF
43847 .xword 0xDEADBEEFDEADBEEF
43848 .xword 0xDEADBEEFDEADBEEF
43849 .xword 0xDEADBEEFDEADBEEF
43850 .xword 0xDEADBEEFDEADBEEF
43851 .xword 0xDEADBEEFDEADBEEF
43852 .xword 0xDEADBEEFDEADBEEF
43853 .xword 0xDEADBEEFDEADBEEF
43854 .xword 0xDEADBEEFDEADBEEF
43855 .xword 0xDEADBEEFDEADBEEF
43856 .xword 0xDEADBEEFDEADBEEF
43857 .xword 0xDEADBEEFDEADBEEF
43858 .xword 0xDEADBEEFDEADBEEF
43859 .xword 0xDEADBEEFDEADBEEF
43860 .xword 0xDEADBEEFDEADBEEF
43861 .xword 0xDEADBEEFDEADBEEF
43862 .xword 0xDEADBEEFDEADBEEF
43863 .xword 0xDEADBEEFDEADBEEF
43864 .xword 0xDEADBEEFDEADBEEF
43865 .xword 0xDEADBEEFDEADBEEF
43866 .xword 0xDEADBEEFDEADBEEF
43867 .xword 0xDEADBEEFDEADBEEF
43868 .xword 0xDEADBEEFDEADBEEF
43869 .xword 0xDEADBEEFDEADBEEF
43870 .xword 0xDEADBEEFDEADBEEF
43871 .xword 0xDEADBEEFDEADBEEF
43872 .xword 0xDEADBEEFDEADBEEF
43873 .xword 0xDEADBEEFDEADBEEF
43874 .xword 0xDEADBEEFDEADBEEF
43875 .xword 0xDEADBEEFDEADBEEF
43876 .xword 0xDEADBEEFDEADBEEF
43877 .xword 0xDEADBEEFDEADBEEF
43878 .xword 0xDEADBEEFDEADBEEF
43879 .xword 0xDEADBEEFDEADBEEF
43880 .xword 0xDEADBEEFDEADBEEF
43881 .xword 0xDEADBEEFDEADBEEF
43882 .xword 0xDEADBEEFDEADBEEF
43883 .xword 0xDEADBEEFDEADBEEF
43884 .xword 0xDEADBEEFDEADBEEF
43885 .xword 0xDEADBEEFDEADBEEF
43886 .xword 0xDEADBEEFDEADBEEF
43887 .xword 0xDEADBEEFDEADBEEF
43888 .xword 0xDEADBEEFDEADBEEF
43889 .xword 0xDEADBEEFDEADBEEF
43890 .xword 0xDEADBEEFDEADBEEF
43891 .xword 0xDEADBEEFDEADBEEF
43892 .xword 0xDEADBEEFDEADBEEF
43893 .xword 0xDEADBEEFDEADBEEF
43894 .xword 0xDEADBEEFDEADBEEF
43895 .xword 0xDEADBEEFDEADBEEF
43896 .xword 0xDEADBEEFDEADBEEF
43897 .xword 0xDEADBEEFDEADBEEF
43898 .xword 0xDEADBEEFDEADBEEF
43899 .xword 0xDEADBEEFDEADBEEF
43900 .xword 0xDEADBEEFDEADBEEF
43901 .xword 0xDEADBEEFDEADBEEF
43902 .xword 0xDEADBEEFDEADBEEF
43903 .xword 0xDEADBEEFDEADBEEF
43904 .xword 0xDEADBEEFDEADBEEF
43905 .xword 0xDEADBEEFDEADBEEF
43906 .xword 0xDEADBEEFDEADBEEF
43907 .xword 0xDEADBEEFDEADBEEF
43908 .xword 0xDEADBEEFDEADBEEF
43909 .xword 0xDEADBEEFDEADBEEF
43910 .xword 0xDEADBEEFDEADBEEF
43911 .xword 0xDEADBEEFDEADBEEF
43912 .xword 0xDEADBEEFDEADBEEF
43913 .xword 0xDEADBEEFDEADBEEF
43914 .xword 0xDEADBEEFDEADBEEF
43915 .xword 0xDEADBEEFDEADBEEF
43916 .xword 0xDEADBEEFDEADBEEF
43917 .xword 0xDEADBEEFDEADBEEF
43918 .xword 0xDEADBEEFDEADBEEF
43919 .xword 0xDEADBEEFDEADBEEF
43920 .xword 0xDEADBEEFDEADBEEF
43921 .xword 0xDEADBEEFDEADBEEF
43922 .xword 0xDEADBEEFDEADBEEF
43923 .xword 0xDEADBEEFDEADBEEF
43924 .xword 0xDEADBEEFDEADBEEF
43925 .xword 0xDEADBEEFDEADBEEF
43926 .xword 0xDEADBEEFDEADBEEF
43927 .xword 0xDEADBEEFDEADBEEF
43928 .xword 0xDEADBEEFDEADBEEF
43929 .xword 0xDEADBEEFDEADBEEF
43930 .xword 0xDEADBEEFDEADBEEF
43931 .xword 0xDEADBEEFDEADBEEF
43932 .xword 0xDEADBEEFDEADBEEF
43933 .xword 0xDEADBEEFDEADBEEF
43934 .xword 0xDEADBEEFDEADBEEF
43935 .xword 0xDEADBEEFDEADBEEF
43936 .xword 0xDEADBEEFDEADBEEF
43937 .xword 0xDEADBEEFDEADBEEF
43938 .xword 0xDEADBEEFDEADBEEF
43939 .xword 0xDEADBEEFDEADBEEF
43940 .xword 0xDEADBEEFDEADBEEF
43941 .xword 0xDEADBEEFDEADBEEF
43942 .xword 0xDEADBEEFDEADBEEF
43943 .xword 0xDEADBEEFDEADBEEF
43944 .xword 0xDEADBEEFDEADBEEF
43945 .xword 0xDEADBEEFDEADBEEF
43946 .xword 0xDEADBEEFDEADBEEF
43947 .xword 0xDEADBEEFDEADBEEF
43948 .xword 0xDEADBEEFDEADBEEF
43949 .xword 0xDEADBEEFDEADBEEF
43950 .xword 0xDEADBEEFDEADBEEF
43951 .xword 0xDEADBEEFDEADBEEF
43952 .xword 0xDEADBEEFDEADBEEF
43953 .xword 0xDEADBEEFDEADBEEF
43954 .xword 0xDEADBEEFDEADBEEF
43955 .xword 0xDEADBEEFDEADBEEF
43956_t1_hmac_auth_key:
43957 .xword 0xbf93a6caab042ef2
43958 .xword 0x7836f216cdb7672d
43959 .xword 0x46c27035b62fd0a3
43960 .xword 0x9ba79ba0de02d349
43961 .xword 0x5c0ec359a4d80b98
43962 .xword 0x7cdf45b64b3bf78a
43963 .xword 0xce28449bbc85a01e
43964 .xword 0xc527d4d93a5eb909
43965 .xword 0x10a9e138603990c8
43966 .xword 0x273847053d194a6c
43967 .xword 0xe7d8a15af8030200
43968 .xword 0xba7ce033f70ca1b5
43969 .xword 0xbca7249e813f7155
43970 .xword 0x172249efe1a5819a
43971 .xword 0x4f24ce0d2fbdeb5e
43972 .xword 0xf5e9e251a7c1604c
43973 .xword 0xb425e50a6fdf55c0
43974 .xword 0x4961fad47a63ae51
43975 .xword 0x10f53efe368bffce
43976 .xword 0x785aebd4dd72d527
43977 .xword 0xc590bd8a03beaf8e
43978 .xword 0x080502f58a7fae8c
43979 .xword 0x8124f776ac792f67
43980_t1_hmac_auth_iv:
43981 .xword 0x73fb41807a8de6fb
43982 .xword 0x8af2c6ede331cdd7
43983 .xword 0xd94c30a6daf58a3a
43984 .xword 0x2a032c3d588f0c8a
43985 .xword 0x686dba92941cb443
43986 .xword 0xe0edd954a267ab29
43987 .xword 0xdc680f7c51f08e9e
43988 .xword 0xa13510cfe60ba19c
43989 .xword 0x13ba15d1fddb741c
43990 .xword 0x8e4cfa4183c9ce37
43991 .xword 0xd9e6491fc62d5bff
43992 .xword 0x9886551477e07ae5
43993 .xword 0x714ffd772029bd20
43994 .xword 0x3b63bc4e50db098d
43995 .xword 0x8d7aa8424b0e06de
43996 .xword 0xabfc96285ae017fb
43997 .xword 0x21ec0fecbb3ac802
43998 .xword 0x586a1c47eaf12a3b
43999 .xword 0x0e1348df0ffccc2c
44000 .xword 0x8f77f2d21621fc0c
44001 .xword 0x024b797b27f6ce56
44002 .xword 0x78a87ab135549605
44003 .xword 0xdbffdbbb0d414b3c
44004_t1_hmac_fas_result:
44005 .xword 0xDEADBEEFDEADBEEF
44006 .xword 0xDEADBEEFDEADBEEF
44007 .xword 0xDEADBEEFDEADBEEF
44008 .xword 0xDEADBEEFDEADBEEF
44009 .xword 0xDEADBEEFDEADBEEF
44010 .xword 0xDEADBEEFDEADBEEF
44011 .xword 0xDEADBEEFDEADBEEF
44012 .xword 0xDEADBEEFDEADBEEF
44013 .xword 0xDEADBEEFDEADBEEF
44014 .xword 0xDEADBEEFDEADBEEF
44015 .xword 0xDEADBEEFDEADBEEF
44016 .xword 0xDEADBEEFDEADBEEF
44017 .xword 0xDEADBEEFDEADBEEF
44018 .xword 0xDEADBEEFDEADBEEF
44019 .xword 0xDEADBEEFDEADBEEF
44020 .xword 0xDEADBEEFDEADBEEF
44021 .xword 0xDEADBEEFDEADBEEF
44022 .xword 0xDEADBEEFDEADBEEF
44023 .xword 0xDEADBEEFDEADBEEF
44024 .xword 0xDEADBEEFDEADBEEF
44025 .xword 0xDEADBEEFDEADBEEF
44026 .xword 0xDEADBEEFDEADBEEF
44027 .xword 0xDEADBEEFDEADBEEF
44028_t1_rc4_key_array:
44029 .xword 0x3cdc42d7dd088e9d
44030 .xword 0xdff990cda77be237
44031 .xword 0x564f40c3106bd37b
44032 .xword 0xdc80f522cd0465ec
44033 .xword 0x067763c27db7d1e2
44034 .xword 0x15184e55238f3612
44035 .xword 0xb2d36d5789f82950
44036 .xword 0xa468b14dc49f3aa0
44037 .xword 0xec8ed0ed74c29f2a
44038 .xword 0x7f5733a8e8b7f0ab
44039 .xword 0xa7063988d469f9c1
44040 .xword 0x52c0e8c8aee98fb1
44041 .xword 0x34e5db35deb6f430
44042 .xword 0x01a81575441103a3
44043 .xword 0x391387a8738cb9d3
44044 .xword 0x66a291d16112f03b
44045 .xword 0x64a73bb617f788d8
44046 .xword 0xd41098f45c00a751
44047 .xword 0x32c74beba5f44641
44048 .xword 0x4bf50682ae7f04be
44049 .xword 0x212ddf0a1e76aa08
44050 .xword 0x05e387e2d1d96de2
44051 .xword 0xa9965340d34296c4
44052 .xword 0x4f18cc543d63129c
44053 .xword 0x92781d6fba965d24
44054 .xword 0x6306780e8625c435
44055 .xword 0x3c3aec07443a9c8c
44056 .xword 0x963f8a67ca00f1e5
44057 .xword 0x97c6518170337f9f
44058 .xword 0x30395256673b3ca7
44059 .xword 0xf16e03fc86af939e
44060 .xword 0x157674bb5d3f407a
44061 .xword 0x8acef5d493b7303d
44062 .xword 0xc3e3141cb11ec90c
44063 .xword 0x6fe60118314625ed
44064 .xword 0xe34a64cd9691b147
44065 .xword 0xe8ef623f1a10e497
44066 .xword 0x30193f0982dbf547
44067 .xword 0x0b21e51ee334af74
44068 .xword 0x2de1151e69c0ffa2
44069 .xword 0x2661cbd64dce5186
44070 .xword 0x4bdb4f4f468a8e24
44071 .xword 0xe1677442a428eaca
44072 .xword 0x0618870896479566
44073 .xword 0xd0d4398858dacf04
44074 .xword 0xddae4c4c09cb9d54
44075 .xword 0xd3b6730e43c4fe34
44076 .xword 0x4a7e533b3af5c717
44077 .xword 0x133488a2db013bfa
44078 .xword 0x47fb0ec381111b2c
44079 .xword 0x22a7590bdf798dc8
44080_t1_rc4_iv_array:
44081 .xword 0x0bbc63f927e100aa
44082 .xword 0x3183aa709f7f6feb
44083 .xword 0xfc0f9a86d8c534b7
44084 .xword 0xb31105061b3099a4
44085 .xword 0x2ed65241ad1604e5
44086 .xword 0x66c945a853c68b78
44087 .xword 0x5191d059f42b7416
44088 .xword 0x0745647b52d64e36
44089 .xword 0x0917a77a978f2011
44090 .xword 0xf25e26af5e0809c6
44091 .xword 0xb7b451b0580d02e0
44092 .xword 0x8b7bcb57b9769b35
44093 .xword 0xb84cc9bbb28cd025
44094 .xword 0xc48a1905b86f9186
44095 .xword 0xfe781a7b09bbc74f
44096 .xword 0x91e5ffa292a8ee31
44097 .xword 0xd597407d06880a59
44098 .xword 0xdc49b1904f815344
44099 .xword 0x00388fd131272e79
44100 .xword 0x01efbc57506cb0f2
44101 .xword 0x3c8617bbf924cc79
44102 .xword 0x7db124bdbab107bc
44103 .xword 0x0433decac96a3cab
44104 .xword 0x449da5f8547140f0
44105 .xword 0x19a5534dc0b41031
44106 .xword 0x53d9f9664cb6f3aa
44107 .xword 0x8dd566e4d85522b1
44108 .xword 0x7a10eca831e756ed
44109 .xword 0x8a4c3e082b10ee60
44110 .xword 0xa3c716041fff85ba
44111 .xword 0x0299ccd287eca9f0
44112 .xword 0x196d88b65be96e05
44113 .xword 0x4de67dd23ae9cc09
44114 .xword 0x905e719706e17db4
44115 .xword 0x17861dc210096656
44116 .xword 0x59163d0775c52bd1
44117 .xword 0xcf0693e7aaf09886
44118 .xword 0x561887545e3f859a
44119 .xword 0x7bfb527df0a5268d
44120 .xword 0x4d360dec8b0a8151
44121 .xword 0x87f41f1829d08997
44122 .xword 0xdfcfa7fe7c13ebbc
44123 .xword 0x7ee86bf8677d78b2
44124 .xword 0x90a5567461d320d7
44125 .xword 0x6a5b99b72d5f1640
44126_t1_rc4_alignment_array:
44127 .xword 13
44128 .xword 3
44129 .xword 14
44130 .xword 6
44131 .xword 0
44132 .xword 7
44133 .xword 11
44134 .xword 5
44135 .xword 13
44136 .xword 0
44137 .xword 1
44138 .xword 4
44139 .xword 14
44140 .xword 2
44141 .xword 4
44142 .xword 7
44143 .xword 14
44144 .xword 13
44145 .xword 5
44146 .xword 15
44147 .xword 10
44148 .xword 15
44149 .xword 2
44150 .xword 14
44151 .xword 3
44152 .xword 13
44153 .xword 1
44154 .xword 12
44155 .xword 1
44156 .xword 13
44157 .xword 8
44158 .xword 2
44159 .xword 10
44160 .xword 13
44161 .xword 8
44162 .xword 7
44163 .xword 1
44164 .xword 10
44165 .xword 9
44166 .xword 0
44167 .xword 11
44168 .xword 2
44169 .xword 11
44170 .xword 13
44171 .xword 15
44172 .xword 8
44173 .xword 10
44174 .xword 12
44175 .xword 2
44176 .xword 11
44177 .xword 5
44178 .xword 6
44179 .xword 8
44180 .xword 2
44181 .xword 10
44182 .xword 14
44183 .xword 4
44184 .xword 6
44185 .xword 7
44186 .xword 15
44187 .xword 8
44188 .xword 8
44189 .xword 15
44190 .xword 13
44191 .xword 10
44192 .xword 1
44193 .xword 15
44194 .xword 11
44195 .xword 3
44196 .xword 4
44197 .xword 0
44198 .xword 5
44199 .xword 7
44200 .xword 8
44201 .xword 8
44202 .xword 6
44203 .xword 6
44204 .xword 2
44205 .xword 13
44206 .xword 4
44207 .xword 14
44208 .xword 3
44209 .xword 5
44210 .xword 10
44211 .xword 10
44212 .xword 6
44213 .xword 15
44214 .xword 11
44215 .xword 0
44216 .xword 8
44217 .xword 14
44218 .xword 6
44219 .xword 5
44220 .xword 8
44221 .xword 10
44222 .xword 5
44223 .xword 8
44224 .xword 14
44225 .xword 13
44226 .xword 13
44227 .xword 10
44228 .xword 5
44229 .xword 8
44230 .xword 8
44231 .xword 6
44232_t1_rc4_src:
44233 .xword 0xf900955e7078c15c
44234 .xword 0x68d8326df51cf806
44235 .xword 0x438c36129199ce19
44236 .xword 0x4bf8d4cfeff82aeb
44237 .xword 0x87de3dc72edfe9db
44238 .xword 0x8af4b6a8ae752e35
44239 .xword 0xd7a023ba2d613767
44240 .xword 0xde1d63822153b203
44241 .xword 0x801af97e9d7cfd60
44242 .xword 0xd161e1515a4bf266
44243 .xword 0xb2a7e5f0bb1bc41a
44244 .xword 0xfb9b02eff3efb9a2
44245 .xword 0x58c3f36f8f186635
44246 .xword 0xe3708cdc24035273
44247 .xword 0xd45ed125fc40a82b
44248 .xword 0xed5f3d4d818e72d0
44249 .xword 0x91bcbf42921d2f3b
44250 .xword 0xac212aec0aa8db9d
44251 .xword 0x4f8a11f31c07f869
44252 .xword 0x2e7db528e8a05296
44253 .xword 0x59adf5aec58b404b
44254 .xword 0xa445a594bcacf30a
44255 .xword 0xc885bb36b5f0a48e
44256 .xword 0x10c5190eeea4d938
44257 .xword 0x295ac90644321b2d
44258 .xword 0x8d38f9f22b9bb9e9
44259 .xword 0x012d863d096d8ef3
44260 .xword 0xc2043b9b5850c021
44261 .xword 0xd7f289048d9d792f
44262 .xword 0x6778a083f682218e
44263 .xword 0x61bb9a04b10618a3
44264 .xword 0xdf8cfad381d27bd6
44265 .xword 0x93b32cf609c3d682
44266 .xword 0x400de1cb54d20db5
44267 .xword 0x5e3c6f0faa131337
44268 .xword 0x541f8e431772117f
44269 .xword 0x3afc2efec47fa9a3
44270 .xword 0x07265f2ec7b4f3fc
44271 .xword 0x54874a0352760ddf
44272 .xword 0xce94fee5d2750c72
44273 .xword 0xc683c6a22930f20d
44274 .xword 0xb964b852182926eb
44275 .xword 0xcd2025610294746e
44276 .xword 0x947ecafa0fbbaedd
44277 .xword 0xdc276c9f14f42e61
44278 .xword 0xe874eaec31a64956
44279 .xword 0x1b8cde572f074eb0
44280 .xword 0x51ef74f286696361
44281 .xword 0x50b5662eb8316a98
44282 .xword 0x1ab46427f199a6e4
44283 .xword 0xea3a75ebfbda63bd
44284 .xword 0xf2594ac41443b5f4
44285 .xword 0x8cb7db0fa78c9d08
44286 .xword 0x4cb82e01e6da1403
44287 .xword 0x5803d555e3fa4528
44288 .xword 0x6dff157c98e7c1cf
44289 .xword 0x8d165a22d4697f78
44290 .xword 0x7f6335fff67d4eeb
44291 .xword 0x461eb91e808ed4c0
44292 .xword 0x1f1100e1e0a5e579
44293 .xword 0xcd1ede73bcf591fc
44294 .xword 0x3c650b265eae0005
44295 .xword 0xc4bdbc6520cddf63
44296 .xword 0xf5cfd4fc8af392fd
44297 .xword 0xab74c865179430ab
44298 .xword 0x091130067996a4d8
44299 .xword 0x4f7772f08730881f
44300 .xword 0xfee5231f49555fb3
44301 .xword 0x5909065838561006
44302 .xword 0x7b868d0141c5fb0f
44303 .xword 0xd95a0dc04ac01393
44304 .xword 0x4ee7ad41b79d9347
44305 .xword 0xab07c434b0570919
44306 .xword 0x0192bdd33349fe11
44307 .xword 0x0df45e9cf780804a
44308 .xword 0xc1f533deeca35ead
44309 .xword 0xd65172fcc387f232
44310 .xword 0x93483df5080a188b
44311 .xword 0xfabe6964d1efd2c7
44312 .xword 0x8d65a1bc4f269010
44313 .xword 0x0c6cc361c6fbab20
44314 .xword 0xabe21c9e66cd788a
44315 .xword 0x169f6fe9ba9c5e70
44316 .xword 0xd5e4c339fd0c1fcf
44317 .xword 0x374fc6a6bdc64e56
44318 .xword 0x0d5977660c9a651d
44319 .xword 0xcb8b1cfd3e1f49f3
44320 .xword 0x45856695ed2f53c5
44321 .xword 0xc31487f3200b372a
44322 .xword 0xedc5448c6a478f80
44323 .xword 0x0e211420cf0a5724
44324 .xword 0x936c68f05c570c43
44325 .xword 0xf67b7fdd2debb5eb
44326 .xword 0x897781f136d0ed72
44327 .xword 0x88a46460340f866c
44328 .xword 0x934d36f39dfd32da
44329 .xword 0x94b17e9cd69e886c
44330 .xword 0xdd10f8eff438f190
44331 .xword 0x90acb15a6431c3fa
44332 .xword 0xa7374a1f9a0e4685
44333 .xword 0x4fa7336304685c01
44334 .xword 0x5d68ddd5538260b0
44335 .xword 0x58e5d0060bf59ab6
44336 .xword 0x4d97e311ccb70acd
44337 .xword 0x6c238cfb4da4a743
44338 .xword 0x6467f03ef53e0dd6
44339 .xword 0x9d9c2c385e92c073
44340 .xword 0xf1af46dafcb51ebe
44341 .xword 0x6c4edc723f1f3ba0
44342 .xword 0x7a2a6d2aec44f9fa
44343 .xword 0x3ee3e12777741a73
44344 .xword 0x9cf99f0a6437c92b
44345 .xword 0x93776ed0a7976345
44346 .xword 0xaa4f68027b11d758
44347 .xword 0x11187ef5e06b2553
44348 .xword 0xd1e30b0bd79853ec
44349 .xword 0xab94d4cd6e4a8551
44350 .xword 0x9be4ef6bfd79074e
44351 .xword 0x50024e88171caa9a
44352 .xword 0xc9385bd4113663dd
44353 .xword 0x268d320d4111d9ec
44354 .xword 0xbc354a2be7589cff
44355 .xword 0x9c01e4622d3e5c13
44356 .xword 0x3398283fd3894a87
44357 .xword 0xa89637adec7a7e0e
44358 .xword 0x42c09566c08a24d0
44359 .xword 0x25c744c7a1714398
44360 .xword 0x64987149b9ac99a3
44361 .xword 0x4f3a770c0ed1a750
44362 .xword 0x2bfa8e511924c6df
44363 .xword 0x0ee9deaf9de917e5
44364 .xword 0x03c225fba0de8632
44365 .xword 0xfc580aa4ecbcce1e
44366 .xword 0x3c1c73f7f286d592
44367 .xword 0x7093dc00a499ba0b
44368 .xword 0xdb3cc61e0a56583d
44369 .xword 0xb651b865a82842f6
44370 .xword 0x4236cc4851178eb7
44371 .xword 0x93ab610bf9bd3d72
44372 .xword 0x587f71a31d35f5d9
44373 .xword 0x86994b2bfd033f6f
44374 .xword 0xce2e13b818501465
44375 .xword 0x0dd2f53e73e28a6c
44376 .xword 0x3ec0f6921bc3f516
44377 .xword 0x7feb8d9d835de3b6
44378 .xword 0x9eb390c99520f365
44379 .xword 0x9fdfbbccca7895d2
44380 .xword 0xe5341890131a1d6a
44381 .xword 0xd3f964ea0ca43da8
44382 .xword 0x7f80e8e2edb73bd5
44383 .xword 0xdc9391b6d2ba7fc1
44384 .xword 0xbbba989d6a97ee86
44385 .xword 0x2dd8dcabd9df1ea5
44386 .xword 0x278862e8049d133e
44387 .xword 0xf8f47bd3e73674a9
44388 .xword 0xfdede1a1a9b46edc
44389 .xword 0xe7e52d7c6ed23ee6
44390 .xword 0xb68bbcf908d9deb6
44391 .xword 0xd48ff4788cb0f062
44392 .xword 0x808fa1108ec88c6c
44393 .xword 0xcc796ae9eb4be308
44394 .xword 0xc5f1e841d64f3234
44395 .xword 0xe20edadda4c04d8b
44396 .xword 0x5af5cd63310a9e42
44397 .xword 0x5488aade44f2dafe
44398 .xword 0x3c72a30e9aa27672
44399 .xword 0x6763d96c72a5d382
44400 .xword 0x2516071a6ea4bd42
44401 .xword 0xe9d4489c618d67cc
44402 .xword 0xfdcadca139075d1f
44403 .xword 0x67b05b75ef703486
44404 .xword 0x64f698098d877694
44405 .xword 0x8879a1d79a64f522
44406 .xword 0x6576ebe2ec2d6d16
44407 .xword 0x74414e0271db868f
44408 .xword 0x89b76ed67c0bbb0c
44409 .xword 0x0a0d616bdf9b8ceb
44410 .xword 0xa6ec869682777638
44411 .xword 0x0bf3d0662f218b0a
44412 .xword 0x615a8fb26e1efa1d
44413 .xword 0x0fa18cbcc4d6c125
44414 .xword 0xdd076b867516be6d
44415 .xword 0xda9d443c59e84139
44416 .xword 0x5541709207e32af3
44417 .xword 0xe33256b340850400
44418 .xword 0x7a6f3c5094cadd5a
44419 .xword 0x9f385378f20facc7
44420 .xword 0x442b5726d9c881c9
44421 .xword 0x7f866a7a239e6fed
44422 .xword 0x6ed4a6ddc3674b2a
44423 .xword 0x231c749a1b6ecdd7
44424 .xword 0xed57c028e5aa3470
44425 .xword 0x01f0597973752b43
44426 .xword 0xe444c4740df9929b
44427 .xword 0x110f7ba8ed6f559d
44428 .xword 0x2a1af6c9801e3ed4
44429 .xword 0x1daad1e7b2cd3f3e
44430 .xword 0xf58b8b0d65ea3a35
44431 .xword 0xc883d0e0efb679f9
44432 .xword 0x7b640321bded8ae4
44433 .xword 0x1513101a1d9de4e1
44434 .xword 0xfa4d1be1c723e2e6
44435 .xword 0x179bb43e935b97fa
44436 .xword 0x8af3bda4e4e4b5ff
44437 .xword 0xcf050ccbbfc81613
44438 .xword 0x716ea4feee05fa6e
44439 .xword 0x6753e09c712ff478
44440 .xword 0xbfa7f960f5d772fc
44441 .xword 0x473a8c503448ae7d
44442 .xword 0x8dbf0df5291237fe
44443 .xword 0x7e32445e9a3bd54f
44444 .xword 0x0bb7358f853f546f
44445 .xword 0xc16165db180182d3
44446 .xword 0x201d7821f65a5d57
44447 .xword 0x0fc5667a051bcd5c
44448 .xword 0xe2f4f78b5872807a
44449 .xword 0x884db7aa9ec13f6d
44450 .xword 0x23f8d5f581a593a8
44451 .xword 0xeae86c407528aa97
44452 .xword 0xda8073aa9ae6ac07
44453 .xword 0xeead86f3d5e07406
44454 .xword 0x7cef186f77daab3e
44455 .xword 0x432c90daacee174e
44456 .xword 0xd22108bd232fc7c2
44457 .xword 0x729dcaf8e7edb3f7
44458 .xword 0x9d842682d30b4a0d
44459 .xword 0x17943c77211dbf00
44460 .xword 0x96a0c854ca89d6a4
44461 .xword 0xfa0513ce3b166c09
44462 .xword 0xcce96773ea714771
44463 .xword 0x6a07bad59dd0b5fc
44464 .xword 0x15fa34c79741b02e
44465 .xword 0x8f512bd6fd9e2348
44466 .xword 0x0e72b212bed2771e
44467 .xword 0xb383c4b36604eeec
44468 .xword 0xa4c5c0b5186d4faa
44469 .xword 0x0e08b235558f2e68
44470 .xword 0x1352d1ad990c3d0e
44471 .xword 0x532487cae79722f7
44472 .xword 0x7a961cbd744cc74a
44473 .xword 0x1adf1b8c473179dd
44474 .xword 0x73694000229d2434
44475 .xword 0xe2ddf2cc75aee756
44476 .xword 0x1f6a76e9df37e30e
44477 .xword 0xf8b2759410adb7ce
44478 .xword 0x7366df8635582a9f
44479 .xword 0xbc897c5998fa9a13
44480 .xword 0xa1d6f837b204bf47
44481 .xword 0x99deb9acc7d18390
44482 .xword 0xf411e3292d06b67f
44483 .xword 0x36e1bde47a73722e
44484 .xword 0x90203a5f6a6c6938
44485 .xword 0x22e6f31a86d61c4b
44486 .xword 0x90f6e88e78f4740c
44487 .xword 0x3fb9849dca206595
44488 .xword 0x0cc98a5cd1f75700
44489 .xword 0x6074ba0ea8fe18c1
44490 .xword 0x588058c91b6b65bc
44491 .xword 0x3658d1f9d48a78e1
44492 .xword 0x095bc82fc6dcee0f
44493 .xword 0x010356d460fc92dd
44494 .xword 0xc80891f0f708124b
44495 .xword 0x0e8a0dc46b9cccae
44496 .xword 0x247e30dfa5977a11
44497 .xword 0x40f0ca8753edc9ac
44498 .xword 0x27db43f6c4ce6d2f
44499 .xword 0x58f9bf83d117b24d
44500 .xword 0xd359562d6a50ffec
44501 .xword 0xab0dd27dd996b1d7
44502 .xword 0xeec01d4bb6acaaa8
44503 .xword 0xe8aa3e0d6e71dc96
44504 .xword 0x97dfd3444246e1af
44505 .xword 0x5f464f7b00423476
44506 .xword 0xfc3199141320b04e
44507 .xword 0xa1f7bf09ec7c7163
44508_t1_rc4_dest:
44509 .xword 0xDEADBEEFDEADBEEF
44510 .xword 0xDEADBEEFDEADBEEF
44511 .xword 0xDEADBEEFDEADBEEF
44512 .xword 0xDEADBEEFDEADBEEF
44513 .xword 0xDEADBEEFDEADBEEF
44514 .xword 0xDEADBEEFDEADBEEF
44515 .xword 0xDEADBEEFDEADBEEF
44516 .xword 0xDEADBEEFDEADBEEF
44517 .xword 0xDEADBEEFDEADBEEF
44518 .xword 0xDEADBEEFDEADBEEF
44519 .xword 0xDEADBEEFDEADBEEF
44520 .xword 0xDEADBEEFDEADBEEF
44521 .xword 0xDEADBEEFDEADBEEF
44522 .xword 0xDEADBEEFDEADBEEF
44523 .xword 0xDEADBEEFDEADBEEF
44524 .xword 0xDEADBEEFDEADBEEF
44525 .xword 0xDEADBEEFDEADBEEF
44526 .xword 0xDEADBEEFDEADBEEF
44527 .xword 0xDEADBEEFDEADBEEF
44528 .xword 0xDEADBEEFDEADBEEF
44529 .xword 0xDEADBEEFDEADBEEF
44530 .xword 0xDEADBEEFDEADBEEF
44531 .xword 0xDEADBEEFDEADBEEF
44532 .xword 0xDEADBEEFDEADBEEF
44533 .xword 0xDEADBEEFDEADBEEF
44534 .xword 0xDEADBEEFDEADBEEF
44535 .xword 0xDEADBEEFDEADBEEF
44536 .xword 0xDEADBEEFDEADBEEF
44537 .xword 0xDEADBEEFDEADBEEF
44538 .xword 0xDEADBEEFDEADBEEF
44539 .xword 0xDEADBEEFDEADBEEF
44540 .xword 0xDEADBEEFDEADBEEF
44541 .xword 0xDEADBEEFDEADBEEF
44542 .xword 0xDEADBEEFDEADBEEF
44543 .xword 0xDEADBEEFDEADBEEF
44544 .xword 0xDEADBEEFDEADBEEF
44545 .xword 0xDEADBEEFDEADBEEF
44546 .xword 0xDEADBEEFDEADBEEF
44547 .xword 0xDEADBEEFDEADBEEF
44548 .xword 0xDEADBEEFDEADBEEF
44549 .xword 0xDEADBEEFDEADBEEF
44550 .xword 0xDEADBEEFDEADBEEF
44551 .xword 0xDEADBEEFDEADBEEF
44552 .xword 0xDEADBEEFDEADBEEF
44553 .xword 0xDEADBEEFDEADBEEF
44554 .xword 0xDEADBEEFDEADBEEF
44555 .xword 0xDEADBEEFDEADBEEF
44556 .xword 0xDEADBEEFDEADBEEF
44557 .xword 0xDEADBEEFDEADBEEF
44558 .xword 0xDEADBEEFDEADBEEF
44559 .xword 0xDEADBEEFDEADBEEF
44560 .xword 0xDEADBEEFDEADBEEF
44561 .xword 0xDEADBEEFDEADBEEF
44562 .xword 0xDEADBEEFDEADBEEF
44563 .xword 0xDEADBEEFDEADBEEF
44564 .xword 0xDEADBEEFDEADBEEF
44565 .xword 0xDEADBEEFDEADBEEF
44566 .xword 0xDEADBEEFDEADBEEF
44567 .xword 0xDEADBEEFDEADBEEF
44568 .xword 0xDEADBEEFDEADBEEF
44569 .xword 0xDEADBEEFDEADBEEF
44570 .xword 0xDEADBEEFDEADBEEF
44571 .xword 0xDEADBEEFDEADBEEF
44572 .xword 0xDEADBEEFDEADBEEF
44573 .xword 0xDEADBEEFDEADBEEF
44574 .xword 0xDEADBEEFDEADBEEF
44575 .xword 0xDEADBEEFDEADBEEF
44576 .xword 0xDEADBEEFDEADBEEF
44577 .xword 0xDEADBEEFDEADBEEF
44578 .xword 0xDEADBEEFDEADBEEF
44579 .xword 0xDEADBEEFDEADBEEF
44580 .xword 0xDEADBEEFDEADBEEF
44581 .xword 0xDEADBEEFDEADBEEF
44582 .xword 0xDEADBEEFDEADBEEF
44583 .xword 0xDEADBEEFDEADBEEF
44584 .xword 0xDEADBEEFDEADBEEF
44585 .xword 0xDEADBEEFDEADBEEF
44586 .xword 0xDEADBEEFDEADBEEF
44587 .xword 0xDEADBEEFDEADBEEF
44588 .xword 0xDEADBEEFDEADBEEF
44589 .xword 0xDEADBEEFDEADBEEF
44590 .xword 0xDEADBEEFDEADBEEF
44591 .xword 0xDEADBEEFDEADBEEF
44592 .xword 0xDEADBEEFDEADBEEF
44593 .xword 0xDEADBEEFDEADBEEF
44594 .xword 0xDEADBEEFDEADBEEF
44595 .xword 0xDEADBEEFDEADBEEF
44596 .xword 0xDEADBEEFDEADBEEF
44597 .xword 0xDEADBEEFDEADBEEF
44598 .xword 0xDEADBEEFDEADBEEF
44599 .xword 0xDEADBEEFDEADBEEF
44600 .xword 0xDEADBEEFDEADBEEF
44601 .xword 0xDEADBEEFDEADBEEF
44602 .xword 0xDEADBEEFDEADBEEF
44603 .xword 0xDEADBEEFDEADBEEF
44604 .xword 0xDEADBEEFDEADBEEF
44605 .xword 0xDEADBEEFDEADBEEF
44606 .xword 0xDEADBEEFDEADBEEF
44607 .xword 0xDEADBEEFDEADBEEF
44608 .xword 0xDEADBEEFDEADBEEF
44609 .xword 0xDEADBEEFDEADBEEF
44610 .xword 0xDEADBEEFDEADBEEF
44611 .xword 0xDEADBEEFDEADBEEF
44612 .xword 0xDEADBEEFDEADBEEF
44613 .xword 0xDEADBEEFDEADBEEF
44614 .xword 0xDEADBEEFDEADBEEF
44615 .xword 0xDEADBEEFDEADBEEF
44616 .xword 0xDEADBEEFDEADBEEF
44617 .xword 0xDEADBEEFDEADBEEF
44618 .xword 0xDEADBEEFDEADBEEF
44619 .xword 0xDEADBEEFDEADBEEF
44620 .xword 0xDEADBEEFDEADBEEF
44621 .xword 0xDEADBEEFDEADBEEF
44622 .xword 0xDEADBEEFDEADBEEF
44623 .xword 0xDEADBEEFDEADBEEF
44624 .xword 0xDEADBEEFDEADBEEF
44625 .xword 0xDEADBEEFDEADBEEF
44626 .xword 0xDEADBEEFDEADBEEF
44627 .xword 0xDEADBEEFDEADBEEF
44628 .xword 0xDEADBEEFDEADBEEF
44629 .xword 0xDEADBEEFDEADBEEF
44630 .xword 0xDEADBEEFDEADBEEF
44631 .xword 0xDEADBEEFDEADBEEF
44632 .xword 0xDEADBEEFDEADBEEF
44633 .xword 0xDEADBEEFDEADBEEF
44634 .xword 0xDEADBEEFDEADBEEF
44635 .xword 0xDEADBEEFDEADBEEF
44636 .xword 0xDEADBEEFDEADBEEF
44637 .xword 0xDEADBEEFDEADBEEF
44638 .xword 0xDEADBEEFDEADBEEF
44639 .xword 0xDEADBEEFDEADBEEF
44640 .xword 0xDEADBEEFDEADBEEF
44641 .xword 0xDEADBEEFDEADBEEF
44642 .xword 0xDEADBEEFDEADBEEF
44643 .xword 0xDEADBEEFDEADBEEF
44644 .xword 0xDEADBEEFDEADBEEF
44645 .xword 0xDEADBEEFDEADBEEF
44646 .xword 0xDEADBEEFDEADBEEF
44647 .xword 0xDEADBEEFDEADBEEF
44648 .xword 0xDEADBEEFDEADBEEF
44649 .xword 0xDEADBEEFDEADBEEF
44650 .xword 0xDEADBEEFDEADBEEF
44651 .xword 0xDEADBEEFDEADBEEF
44652 .xword 0xDEADBEEFDEADBEEF
44653 .xword 0xDEADBEEFDEADBEEF
44654 .xword 0xDEADBEEFDEADBEEF
44655 .xword 0xDEADBEEFDEADBEEF
44656 .xword 0xDEADBEEFDEADBEEF
44657 .xword 0xDEADBEEFDEADBEEF
44658 .xword 0xDEADBEEFDEADBEEF
44659 .xword 0xDEADBEEFDEADBEEF
44660 .xword 0xDEADBEEFDEADBEEF
44661 .xword 0xDEADBEEFDEADBEEF
44662 .xword 0xDEADBEEFDEADBEEF
44663 .xword 0xDEADBEEFDEADBEEF
44664 .xword 0xDEADBEEFDEADBEEF
44665 .xword 0xDEADBEEFDEADBEEF
44666 .xword 0xDEADBEEFDEADBEEF
44667 .xword 0xDEADBEEFDEADBEEF
44668 .xword 0xDEADBEEFDEADBEEF
44669 .xword 0xDEADBEEFDEADBEEF
44670 .xword 0xDEADBEEFDEADBEEF
44671 .xword 0xDEADBEEFDEADBEEF
44672 .xword 0xDEADBEEFDEADBEEF
44673 .xword 0xDEADBEEFDEADBEEF
44674 .xword 0xDEADBEEFDEADBEEF
44675 .xword 0xDEADBEEFDEADBEEF
44676 .xword 0xDEADBEEFDEADBEEF
44677 .xword 0xDEADBEEFDEADBEEF
44678 .xword 0xDEADBEEFDEADBEEF
44679 .xword 0xDEADBEEFDEADBEEF
44680 .xword 0xDEADBEEFDEADBEEF
44681 .xword 0xDEADBEEFDEADBEEF
44682 .xword 0xDEADBEEFDEADBEEF
44683 .xword 0xDEADBEEFDEADBEEF
44684 .xword 0xDEADBEEFDEADBEEF
44685 .xword 0xDEADBEEFDEADBEEF
44686 .xword 0xDEADBEEFDEADBEEF
44687 .xword 0xDEADBEEFDEADBEEF
44688 .xword 0xDEADBEEFDEADBEEF
44689 .xword 0xDEADBEEFDEADBEEF
44690 .xword 0xDEADBEEFDEADBEEF
44691 .xword 0xDEADBEEFDEADBEEF
44692 .xword 0xDEADBEEFDEADBEEF
44693 .xword 0xDEADBEEFDEADBEEF
44694 .xword 0xDEADBEEFDEADBEEF
44695 .xword 0xDEADBEEFDEADBEEF
44696 .xword 0xDEADBEEFDEADBEEF
44697 .xword 0xDEADBEEFDEADBEEF
44698 .xword 0xDEADBEEFDEADBEEF
44699 .xword 0xDEADBEEFDEADBEEF
44700 .xword 0xDEADBEEFDEADBEEF
44701 .xword 0xDEADBEEFDEADBEEF
44702 .xword 0xDEADBEEFDEADBEEF
44703 .xword 0xDEADBEEFDEADBEEF
44704 .xword 0xDEADBEEFDEADBEEF
44705 .xword 0xDEADBEEFDEADBEEF
44706 .xword 0xDEADBEEFDEADBEEF
44707 .xword 0xDEADBEEFDEADBEEF
44708 .xword 0xDEADBEEFDEADBEEF
44709 .xword 0xDEADBEEFDEADBEEF
44710 .xword 0xDEADBEEFDEADBEEF
44711 .xword 0xDEADBEEFDEADBEEF
44712 .xword 0xDEADBEEFDEADBEEF
44713 .xword 0xDEADBEEFDEADBEEF
44714 .xword 0xDEADBEEFDEADBEEF
44715 .xword 0xDEADBEEFDEADBEEF
44716 .xword 0xDEADBEEFDEADBEEF
44717 .xword 0xDEADBEEFDEADBEEF
44718 .xword 0xDEADBEEFDEADBEEF
44719 .xword 0xDEADBEEFDEADBEEF
44720 .xword 0xDEADBEEFDEADBEEF
44721 .xword 0xDEADBEEFDEADBEEF
44722 .xword 0xDEADBEEFDEADBEEF
44723 .xword 0xDEADBEEFDEADBEEF
44724 .xword 0xDEADBEEFDEADBEEF
44725 .xword 0xDEADBEEFDEADBEEF
44726 .xword 0xDEADBEEFDEADBEEF
44727 .xword 0xDEADBEEFDEADBEEF
44728 .xword 0xDEADBEEFDEADBEEF
44729 .xword 0xDEADBEEFDEADBEEF
44730 .xword 0xDEADBEEFDEADBEEF
44731 .xword 0xDEADBEEFDEADBEEF
44732 .xword 0xDEADBEEFDEADBEEF
44733 .xword 0xDEADBEEFDEADBEEF
44734 .xword 0xDEADBEEFDEADBEEF
44735 .xword 0xDEADBEEFDEADBEEF
44736 .xword 0xDEADBEEFDEADBEEF
44737 .xword 0xDEADBEEFDEADBEEF
44738 .xword 0xDEADBEEFDEADBEEF
44739 .xword 0xDEADBEEFDEADBEEF
44740 .xword 0xDEADBEEFDEADBEEF
44741 .xword 0xDEADBEEFDEADBEEF
44742 .xword 0xDEADBEEFDEADBEEF
44743 .xword 0xDEADBEEFDEADBEEF
44744 .xword 0xDEADBEEFDEADBEEF
44745 .xword 0xDEADBEEFDEADBEEF
44746 .xword 0xDEADBEEFDEADBEEF
44747 .xword 0xDEADBEEFDEADBEEF
44748 .xword 0xDEADBEEFDEADBEEF
44749 .xword 0xDEADBEEFDEADBEEF
44750 .xword 0xDEADBEEFDEADBEEF
44751 .xword 0xDEADBEEFDEADBEEF
44752 .xword 0xDEADBEEFDEADBEEF
44753 .xword 0xDEADBEEFDEADBEEF
44754 .xword 0xDEADBEEFDEADBEEF
44755 .xword 0xDEADBEEFDEADBEEF
44756 .xword 0xDEADBEEFDEADBEEF
44757 .xword 0xDEADBEEFDEADBEEF
44758 .xword 0xDEADBEEFDEADBEEF
44759 .xword 0xDEADBEEFDEADBEEF
44760 .xword 0xDEADBEEFDEADBEEF
44761 .xword 0xDEADBEEFDEADBEEF
44762 .xword 0xDEADBEEFDEADBEEF
44763 .xword 0xDEADBEEFDEADBEEF
44764 .xword 0xDEADBEEFDEADBEEF
44765 .xword 0xDEADBEEFDEADBEEF
44766 .xword 0xDEADBEEFDEADBEEF
44767 .xword 0xDEADBEEFDEADBEEF
44768 .xword 0xDEADBEEFDEADBEEF
44769 .xword 0xDEADBEEFDEADBEEF
44770 .xword 0xDEADBEEFDEADBEEF
44771 .xword 0xDEADBEEFDEADBEEF
44772 .xword 0xDEADBEEFDEADBEEF
44773 .xword 0xDEADBEEFDEADBEEF
44774 .xword 0xDEADBEEFDEADBEEF
44775 .xword 0xDEADBEEFDEADBEEF
44776 .xword 0xDEADBEEFDEADBEEF
44777 .xword 0xDEADBEEFDEADBEEF
44778 .xword 0xDEADBEEFDEADBEEF
44779 .xword 0xDEADBEEFDEADBEEF
44780 .xword 0xDEADBEEFDEADBEEF
44781 .xword 0xDEADBEEFDEADBEEF
44782 .xword 0xDEADBEEFDEADBEEF
44783 .xword 0xDEADBEEFDEADBEEF
44784_t1_rc4_auth_key:
44785 .xword 0x59b3b9c9fefdd6b9
44786 .xword 0xeff726fb15f1b8f6
44787 .xword 0x46b66abcc92566de
44788 .xword 0x4463ca650078266b
44789 .xword 0xe53842d87b74ebe9
44790 .xword 0xa1fd1751b83b4a8b
44791 .xword 0x996edb5ce030ef0a
44792 .xword 0x32bdd2c9007f3f12
44793 .xword 0x2b3fb6c490aa2ac9
44794 .xword 0x1e5f5151b4f7d851
44795 .xword 0x072ae43d9c61ccd0
44796 .xword 0x2849278d889b4a0e
44797 .xword 0xd82856862175ed2c
44798 .xword 0x0334b998129b569d
44799 .xword 0x6b30a6d1c1c22575
44800 .xword 0x1b28e77bcde5cb30
44801 .xword 0x425a62ff2dbaca7b
44802 .xword 0xebe37b76372ec342
44803 .xword 0x66b360f9984c4282
44804 .xword 0x35b2048bb625cb7d
44805 .xword 0xe392279d5c466c21
44806 .xword 0x51f057dfc4193d4d
44807 .xword 0xf74525d1412005b9
44808_t1_rc4_auth_iv:
44809 .xword 0x7115fe8a85ea23dc
44810 .xword 0x75c30a526f9deacd
44811 .xword 0xba967fa1bf129341
44812 .xword 0xdc220824e6a6b647
44813 .xword 0x56e91e8a1f2bb98b
44814 .xword 0x5dc1e5ad00ca51d0
44815 .xword 0x1b0cbf6390b1357b
44816 .xword 0x94221b2adc73d054
44817 .xword 0x208f4a5051a994d9
44818 .xword 0x419fb41ac589854a
44819 .xword 0xe773baf7bfb967a0
44820 .xword 0x438766635a405b91
44821 .xword 0x0213de458883c015
44822 .xword 0xce849ee0432ce3c6
44823 .xword 0xbf35465338a9d2ef
44824 .xword 0x793f190ec84f5e3f
44825 .xword 0xe4031c393697d9b2
44826 .xword 0x7572130c47e5f27c
44827 .xword 0xe1f026d7f87672bf
44828 .xword 0xf478bd7187a1e865
44829 .xword 0xec6dab71902d3985
44830 .xword 0xf8dd0b6703fe699c
44831 .xword 0x41cc2ec63bdafb24
44832_t1_rc4_fas_result:
44833 .xword 0xDEADBEEFDEADBEEF
44834 .xword 0xDEADBEEFDEADBEEF
44835 .xword 0xDEADBEEFDEADBEEF
44836 .xword 0xDEADBEEFDEADBEEF
44837 .xword 0xDEADBEEFDEADBEEF
44838 .xword 0xDEADBEEFDEADBEEF
44839 .xword 0xDEADBEEFDEADBEEF
44840 .xword 0xDEADBEEFDEADBEEF
44841 .xword 0xDEADBEEFDEADBEEF
44842 .xword 0xDEADBEEFDEADBEEF
44843 .xword 0xDEADBEEFDEADBEEF
44844 .xword 0xDEADBEEFDEADBEEF
44845 .xword 0xDEADBEEFDEADBEEF
44846 .xword 0xDEADBEEFDEADBEEF
44847 .xword 0xDEADBEEFDEADBEEF
44848 .xword 0xDEADBEEFDEADBEEF
44849 .xword 0xDEADBEEFDEADBEEF
44850 .xword 0xDEADBEEFDEADBEEF
44851 .xword 0xDEADBEEFDEADBEEF
44852 .xword 0xDEADBEEFDEADBEEF
44853 .xword 0xDEADBEEFDEADBEEF
44854 .xword 0xDEADBEEFDEADBEEF
44855 .xword 0xDEADBEEFDEADBEEF
44856_t1_sslkey_key_array:
44857 .xword 0x6edfbdb05c9602bb
44858 .xword 0x2ac29d3070142969
44859 .xword 0xc29090e71f68438a
44860 .xword 0x3d3ee28ab1e4b5b1
44861 .xword 0x4cbc361961699f06
44862 .xword 0xd4ca7b6e39ef0802
44863 .xword 0xb3c4ddd354b14c83
44864 .xword 0x8454903fafba91c5
44865 .xword 0x32685c7f022619b3
44866 .xword 0x2222213b5bc950ce
44867 .xword 0x6f38fec1cb04edfc
44868 .xword 0x40c0910f2b0cb43f
44869 .xword 0x9d45a7871b9d7f3e
44870 .xword 0xcf866f893057275b
44871 .xword 0xd48514341c20667b
44872 .xword 0xa18d49f3edfa6778
44873 .xword 0xc2408f9b96b4ad13
44874 .xword 0x50928aab12f208e0
44875 .xword 0xd1d4c40a48747c56
44876 .xword 0x66080744d4590931
44877 .xword 0xc163741d4bed9324
44878 .xword 0x9ef5d42a628a6efc
44879 .xword 0x844e147665d3f0fa
44880 .xword 0x4bad9c27771d252c
44881 .xword 0x40f166c64b26b115
44882 .xword 0x4825e484f48fef67
44883 .xword 0x7b46e6ec7302b2a7
44884 .xword 0x838d681bae390281
44885 .xword 0x051480bb3e1f6bb3
44886 .xword 0x91100072ce891b8c
44887 .xword 0x03dd698299243818
44888 .xword 0xbd770b2723f8d965
44889 .xword 0x6c0bd88a63134666
44890 .xword 0x6cf2eaa6f8bf5a75
44891 .xword 0x844ab1f0236f67be
44892 .xword 0xdedc997b80f40ea8
44893 .xword 0xfcc89121b2db7746
44894 .xword 0x95e14602bfe6822e
44895 .xword 0x869e28dca1172092
44896 .xword 0xe279b85255220d1b
44897 .xword 0x22ac9b6641215773
44898 .xword 0x7b04dc1287faab17
44899 .xword 0xb09be74f866e701e
44900 .xword 0x6da1da330744e923
44901 .xword 0xaa3b248253768fe6
44902 .xword 0x30cccc5d2ec6f355
44903 .xword 0x34163b3631719626
44904 .xword 0xc2d2b31991916c0f
44905 .xword 0x2c1570cefb44ee03
44906 .xword 0xc3a63dc925d4c902
44907 .xword 0x84631328496ec70a
44908_t1_sslkey_iv_array:
44909 .xword 0xa135e42e89050c0c
44910 .xword 0xff0ae397cbab61fb
44911 .xword 0xcbdabf05f0458801
44912 .xword 0x4a8fa83cbfaaa365
44913 .xword 0x5a30895746d83576
44914 .xword 0x86f8527f75cfa315
44915 .xword 0x16dde8db1c107ae2
44916 .xword 0xedb49d35eed9b611
44917 .xword 0x9148c551083fb02c
44918 .xword 0x46f7adf241f21d6b
44919 .xword 0xa5c278ff8504400f
44920 .xword 0x346d6ab46fb9b7ae
44921 .xword 0x78cfeabba904ef92
44922 .xword 0xb05d164d301e4fc5
44923 .xword 0xb9d4e9e89ea715c9
44924 .xword 0xa6701b95f15f7b57
44925 .xword 0x6de96efe97a21e36
44926 .xword 0x1c6c72a36cf3c110
44927 .xword 0xf62bb2fc93e0b519
44928 .xword 0xc259f31fe4f2b765
44929 .xword 0xa3b313579f76f98e
44930 .xword 0x4f76be64f155a38a
44931 .xword 0x2483cd8c4cea9ed6
44932 .xword 0x78c9b505d15d7f87
44933 .xword 0x94c5a3e61f9af4db
44934 .xword 0x0fd3f75383f6cf28
44935 .xword 0xde10266eb24db634
44936 .xword 0xf7e4eeed98987870
44937 .xword 0x60237f8fb5505c54
44938 .xword 0x730bdf65414d3dd7
44939 .xword 0x23245d1a076dc82b
44940 .xword 0xb4c7ba7e51c5ea4f
44941 .xword 0x286aadfeefb8a2d8
44942 .xword 0x030a799fb85c9a0a
44943 .xword 0xfb8f5cd51917d184
44944 .xword 0x07209cb3edc3db62
44945 .xword 0x301cf7f17629d6cf
44946 .xword 0xcdfdeab1983f71ae
44947 .xword 0x7ccc71a2d6f904ce
44948 .xword 0xd896f5fcaccff1eb
44949 .xword 0x55340dfbda277d68
44950 .xword 0x8fe712708285ace5
44951 .xword 0xb48bf9a7943af3bf
44952 .xword 0x432d99047ea9d33c
44953 .xword 0x3f0c1b9359171ade
44954_t1_sslkey_alignment_array:
44955 .xword 0
44956 .xword 0
44957 .xword 0
44958 .xword 0
44959 .xword 0
44960 .xword 0
44961 .xword 0
44962 .xword 0
44963 .xword 0
44964 .xword 0
44965 .xword 0
44966 .xword 0
44967 .xword 0
44968 .xword 0
44969 .xword 0
44970 .xword 0
44971 .xword 0
44972 .xword 0
44973 .xword 0
44974 .xword 0
44975 .xword 0
44976 .xword 0
44977 .xword 0
44978 .xword 0
44979 .xword 0
44980 .xword 0
44981 .xword 0
44982 .xword 0
44983 .xword 0
44984 .xword 0
44985 .xword 0
44986 .xword 0
44987 .xword 0
44988 .xword 0
44989 .xword 0
44990 .xword 0
44991 .xword 0
44992 .xword 0
44993 .xword 0
44994 .xword 0
44995 .xword 0
44996 .xword 0
44997 .xword 0
44998 .xword 0
44999 .xword 0
45000 .xword 0
45001 .xword 0
45002 .xword 0
45003 .xword 0
45004 .xword 0
45005 .xword 0
45006 .xword 0
45007 .xword 0
45008 .xword 0
45009 .xword 0
45010 .xword 0
45011 .xword 0
45012 .xword 0
45013 .xword 0
45014 .xword 0
45015 .xword 0
45016 .xword 0
45017 .xword 0
45018 .xword 0
45019 .xword 0
45020 .xword 0
45021 .xword 0
45022 .xword 0
45023 .xword 0
45024 .xword 0
45025 .xword 0
45026 .xword 0
45027 .xword 0
45028 .xword 0
45029 .xword 0
45030 .xword 0
45031 .xword 0
45032 .xword 0
45033 .xword 0
45034 .xword 0
45035 .xword 0
45036 .xword 0
45037 .xword 0
45038 .xword 0
45039 .xword 0
45040 .xword 0
45041 .xword 0
45042 .xword 0
45043 .xword 0
45044 .xword 0
45045 .xword 0
45046 .xword 0
45047 .xword 0
45048 .xword 0
45049 .xword 0
45050 .xword 0
45051 .xword 0
45052 .xword 0
45053 .xword 0
45054 .xword 0
45055 .xword 0
45056 .xword 0
45057 .xword 0
45058 .xword 0
45059 .xword 0
45060_t1_sslkey_src:
45061 .xword 0x2592fae6d50ed762
45062 .xword 0x0f0fdc3deaa32bff
45063 .xword 0x18d41d2beb229233
45064 .xword 0xe159d614e331f912
45065 .xword 0x9c2471bc32d19a4e
45066 .xword 0x5c6bf29a23d9f180
45067 .xword 0x7d4d36cedd454041
45068 .xword 0xed768b7cc0eee241
45069 .xword 0x09cdefe7b8944827
45070 .xword 0xc3181646b1b34deb
45071 .xword 0xab1f89009ad3d48c
45072 .xword 0x5ec26e5d218bc497
45073 .xword 0xdd6e029d7548328e
45074 .xword 0xc4c0a359546f76ef
45075 .xword 0x8552c9f557728d19
45076 .xword 0xa021c29b914c1680
45077 .xword 0x25322952f5f6bb5b
45078 .xword 0x748ecfde29408e9f
45079 .xword 0x5a22eddc5464bb0e
45080 .xword 0x729fd1db5e4e5165
45081 .xword 0x842a818771b444de
45082 .xword 0xca02d12d74386309
45083 .xword 0x629bfe5c23e7d99c
45084 .xword 0xfa50f1b1d7a43c5b
45085 .xword 0xa66a633d8e0b21ae
45086 .xword 0x577a3a8518057a03
45087 .xword 0x0b71703e782a695e
45088 .xword 0x1684b08f2ffdd070
45089 .xword 0x5ed1bb8011ac795f
45090 .xword 0x8c46ff2624b7bdfc
45091 .xword 0x82f179c8dd82fa68
45092 .xword 0x7193a261f4a117f7
45093 .xword 0x78140ad4f2161523
45094 .xword 0x9335c08319bf3831
45095 .xword 0x5be160d654ba9953
45096 .xword 0xf9879a135015a654
45097 .xword 0x32dc243ca6662f85
45098 .xword 0x3041d3e9633596ed
45099 .xword 0x721d7c0a5eea13da
45100 .xword 0x8561860046f04b3c
45101 .xword 0x0201cbc1683a537a
45102 .xword 0x9b44080f26bf960b
45103 .xword 0x5b601ec0f3f03e7b
45104 .xword 0x770f6e26b1f4234e
45105 .xword 0xfaf5b16ef73cc26a
45106 .xword 0xb42584ee8be1cc5f
45107 .xword 0xbd29a4b438d5e1ef
45108 .xword 0xc3282feb3a7f1fd1
45109 .xword 0x3b08e99488a614e9
45110 .xword 0x28c838bb1db85907
45111 .xword 0x0c0d5bcfbbcc81fd
45112 .xword 0x1dcd7198ff25a484
45113 .xword 0x0a641f8b7e8067db
45114 .xword 0xdb9b352726b3f0f1
45115 .xword 0xf80b816874a87de6
45116 .xword 0x629ca5b8265caa94
45117 .xword 0x260ecd585be367d3
45118 .xword 0xde6e6e38ec6a151d
45119 .xword 0x761bb8257224c7ac
45120 .xword 0x52d89fa66e4cbc8a
45121 .xword 0x87fcfa733686bb4b
45122 .xword 0xebba855200b81f06
45123 .xword 0x64840749a387372c
45124 .xword 0x85c76ebd960a79f7
45125 .xword 0x910a3ed54b702204
45126 .xword 0xa436c6f21f842a6a
45127 .xword 0xf8c66b20932fe2f2
45128 .xword 0x2c14ab4c44a03aab
45129 .xword 0xfdd8c75c4b0ad8ab
45130 .xword 0xc0d82f3ca7253e86
45131 .xword 0xc0df083c579e970e
45132 .xword 0x488beac11cf44f9f
45133 .xword 0x84e4877e15d68ca2
45134 .xword 0xd701c8feb8c3912a
45135 .xword 0xd589330cd66b742b
45136 .xword 0x59426c3466a8d42b
45137 .xword 0x15a3b7e4eaad1dee
45138 .xword 0xc8eb4a30d28a7bba
45139 .xword 0x1eb421aece43c1ef
45140 .xword 0x452780032d713f92
45141 .xword 0xa0a1d5106456fba6
45142 .xword 0xf896de7efd49f552
45143 .xword 0x01a2a7fbb82f59a3
45144 .xword 0xe67c59a872188dd9
45145 .xword 0x9319a185b6544104
45146 .xword 0xd5334ff8609ee102
45147 .xword 0x1a6e853b0edc8800
45148 .xword 0xc9d54865b2a833fd
45149 .xword 0xabfc539b96084a16
45150 .xword 0x56635ebfd36ff9be
45151 .xword 0x9ec40f6177b5f6d8
45152 .xword 0x2fd1b36c3c0ac8fa
45153 .xword 0xf976860c02a6a985
45154 .xword 0xe76f5274b51d6f19
45155 .xword 0xeee9c5dc6c468f31
45156 .xword 0x00d46ee11ab17d4f
45157 .xword 0x84aa0f74717db65d
45158 .xword 0x4ea0a1369d78396e
45159 .xword 0xca0331f19dc960a6
45160 .xword 0x28b46371d72a5d0c
45161 .xword 0x7cbe8e8a758bfaea
45162 .xword 0xfe7e4a8ebacaf3d5
45163 .xword 0xdf028d1b74843950
45164 .xword 0x842dd0ef1ce4dc7f
45165 .xword 0xf810fc2659b04ff2
45166 .xword 0xd08e9a704094247d
45167 .xword 0x3df6a7be96481809
45168 .xword 0x64e7e87efc17a55c
45169 .xword 0x6f4242b9e1d4cd01
45170 .xword 0x64d2c82dfc41fccb
45171 .xword 0x8f1836832f358818
45172 .xword 0xbb3c92f7b6658371
45173 .xword 0xc64f8d893863251c
45174 .xword 0x7b3ed3597568ac1a
45175 .xword 0xe7dddef67cca1a5f
45176 .xword 0xcf8f80ce10de12f8
45177 .xword 0x9b342c5e438b4386
45178 .xword 0x64dc39ef199178ad
45179 .xword 0xc34c020ae32fd6e1
45180 .xword 0xecdb41d0b7855bbb
45181 .xword 0x6f7e712e0449231e
45182 .xword 0xdb8fa3132f9ee6b1
45183 .xword 0xaa70c79817a12347
45184 .xword 0xfae64d89e7317a48
45185 .xword 0x98c12d152537d8fb
45186 .xword 0x3050965a1726c832
45187 .xword 0x3b0f38fd1fce3f9c
45188 .xword 0x0c03b7ce42f447eb
45189 .xword 0x608d09873f9be4cb
45190 .xword 0x7145f6220e43f58c
45191 .xword 0x6f4fa9b3f8e9b781
45192 .xword 0xa9b4ebf4afc3c26b
45193 .xword 0xa8c71b7c401631e6
45194 .xword 0xcafa321680a81863
45195 .xword 0x8299bb8d6b4e80ec
45196 .xword 0x7c3fe9ea9876084f
45197 .xword 0x5f1f508af5076c83
45198 .xword 0x234eefd9f145f1f1
45199 .xword 0xd9f5474336dfeb34
45200 .xword 0xab4d83e10eb9bf72
45201 .xword 0x0fea8c38b3d728b5
45202 .xword 0xca1c57d20e2a75f0
45203 .xword 0x57abe8bb43ce8fbc
45204 .xword 0x1c97d5e26325e353
45205 .xword 0xfb6973622fa9cef8
45206 .xword 0x8ba6930be0bbe5e9
45207 .xword 0xd83daf5d25dec869
45208 .xword 0x56a14f00e0297443
45209 .xword 0x8f8aae8437a13d64
45210 .xword 0x85ff9d4e95f50ca3
45211 .xword 0x66981cf5c26008fb
45212 .xword 0x81e7d511493720e9
45213 .xword 0xc5e60c28b7cd2276
45214 .xword 0xddf5255123f0bdb0
45215 .xword 0x865a6f2253ae3005
45216 .xword 0x4002b97eff33c1a2
45217 .xword 0xc48c2784d968ba48
45218 .xword 0xc43e6b34935ea08b
45219 .xword 0xfaf998ab8d3f0733
45220 .xword 0x209a058c380b1954
45221 .xword 0x12b234d4b08be47c
45222 .xword 0xbb198a1851060ddc
45223 .xword 0x01cf952c9612f096
45224 .xword 0xb73194fdb7ae6460
45225 .xword 0x3bc679e476b368a1
45226 .xword 0x88fee41bd106091e
45227 .xword 0x843458f6092a2fa7
45228 .xword 0x5956f3cd8ed5639b
45229 .xword 0xeaa25537cc6a6728
45230 .xword 0x9a7623b019dc058a
45231 .xword 0xfe6924a993f352b6
45232 .xword 0x2bc95589cb073727
45233 .xword 0x5cc40b314bf97d73
45234 .xword 0x2bab75fd7ae5b2a9
45235 .xword 0x53a04055db34afbf
45236 .xword 0x68fc44d0b2d4561d
45237 .xword 0x7cfb7c9ee8e0778c
45238 .xword 0xf01160532b8c88b1
45239 .xword 0xd52427f1fb5f2581
45240 .xword 0xe7ca8adbded7c33d
45241 .xword 0x2b7ab7567bc70809
45242 .xword 0x5ffbe65f47b911ea
45243 .xword 0x00109bba2ad3ac0e
45244 .xword 0xc1d5248c07910643
45245 .xword 0xb43142573a4eaf14
45246 .xword 0xcc381a6a94dbd6da
45247 .xword 0xc522b63cab9310d0
45248 .xword 0xfa9251dbf3c13a21
45249 .xword 0x0f0f0a6dc5d9e7f1
45250 .xword 0x6e056e520729865c
45251 .xword 0x914ecedc8e99c87b
45252 .xword 0xdef98cb8d29e9a7b
45253 .xword 0xb7815c0f38abf183
45254 .xword 0x5ebe24d7836c56f0
45255 .xword 0xc0ba2c9b58f2515a
45256 .xword 0x1ba9a4e2384aa2d7
45257 .xword 0x46524cd64f9e9854
45258 .xword 0x488544d753a5018d
45259 .xword 0x51231327ef72f7e3
45260 .xword 0xa30effa5597820b0
45261 .xword 0x4e982180b3186bdd
45262 .xword 0x72c9c0b88be20bbb
45263 .xword 0x68b02e66db69d417
45264 .xword 0xffc2405f66226a93
45265 .xword 0x0c65ea5f6885e6b2
45266 .xword 0x04c290349f112c2c
45267 .xword 0x42f25accc27d3cae
45268 .xword 0x6e39a5d11e9f4bda
45269 .xword 0x4da6be936400411e
45270 .xword 0xe7b329874c21ee3a
45271 .xword 0xb08e2be833754869
45272 .xword 0x3c3c617b73048e0d
45273 .xword 0xe7339ff3c9354f57
45274 .xword 0x81de4cec075cae72
45275 .xword 0x4bfedebd83ac938d
45276 .xword 0x1cad1b03782e7d53
45277 .xword 0x72cd2b72eb178384
45278 .xword 0x3e0a88fb9054b40f
45279 .xword 0xff8c80f927d45f0e
45280 .xword 0x42e0c551309df875
45281 .xword 0xcfad415ede65451a
45282 .xword 0xbd213e759130916a
45283 .xword 0xc5e4ad45b222b838
45284 .xword 0x557481f46fbfbdf0
45285 .xword 0x242ca65d39d9ff2c
45286 .xword 0x328ba392d3461f89
45287 .xword 0x48b28dcd770fedd4
45288 .xword 0x39f522227a32f832
45289 .xword 0x37f6f7a8034052cf
45290 .xword 0x00a7aba68741c730
45291 .xword 0xebf48fe1764d1b90
45292 .xword 0x249f9f109f1162d6
45293 .xword 0x1fe403fdf51d2528
45294 .xword 0xfb91d17063b64ab2
45295 .xword 0x43b75a3b8888ac58
45296 .xword 0x1aaebdfa82b9c6da
45297 .xword 0x7ad5703864339dbc
45298 .xword 0x56d9c203cd97a60a
45299 .xword 0x36f62b62814b04c8
45300 .xword 0xe50e252e6dea7985
45301 .xword 0xf54b350cc09fd05a
45302 .xword 0xe4895b9ba0709ea0
45303 .xword 0xadc07de3849e9d18
45304 .xword 0x19747e528800a9a6
45305 .xword 0x334b8ba78829c4bf
45306 .xword 0x94fb3c9a4d3dc3dd
45307 .xword 0xda998f95115f8e55
45308 .xword 0x81c2fd9faf42f325
45309 .xword 0xbee4ecc7918d7647
45310 .xword 0x1f290d084b469415
45311 .xword 0x58325ab42aeb4867
45312 .xword 0xa0efec83c541c4b0
45313 .xword 0xc7fa9f9126995c4b
45314 .xword 0xb1275088a4f230bc
45315 .xword 0xaee466cbb9a96063
45316 .xword 0x85bed989e9d6e560
45317 .xword 0xd2bbdc7fc63ff303
45318 .xword 0x936f33ab41ab93f3
45319 .xword 0x8c5e93aa48782e18
45320 .xword 0x1330bf8db1978514
45321 .xword 0x934085aa729854c2
45322 .xword 0x372d77c98b0cf65b
45323 .xword 0xe871d4070892cb92
45324 .xword 0x1b9731750f43f803
45325 .xword 0xc5e037aa204bb982
45326 .xword 0x545071086bcaa9c0
45327 .xword 0x83a8cfe5c62deac0
45328 .xword 0x9d84be71b0fef6d6
45329 .xword 0x49a75b7ceb1bc1d3
45330 .xword 0x9ba5c21f7ab1dc54
45331 .xword 0x880d6e8abe3558a5
45332 .xword 0x2ac0b50f23d94881
45333 .xword 0xab1a8d191388b962
45334 .xword 0x9f92b1ccc3e82cd3
45335 .xword 0xcadee49d6b1c6eb6
45336_t1_sslkey_dest:
45337 .xword 0xDEADBEEFDEADBEEF
45338 .xword 0xDEADBEEFDEADBEEF
45339 .xword 0xDEADBEEFDEADBEEF
45340 .xword 0xDEADBEEFDEADBEEF
45341 .xword 0xDEADBEEFDEADBEEF
45342 .xword 0xDEADBEEFDEADBEEF
45343 .xword 0xDEADBEEFDEADBEEF
45344 .xword 0xDEADBEEFDEADBEEF
45345 .xword 0xDEADBEEFDEADBEEF
45346 .xword 0xDEADBEEFDEADBEEF
45347 .xword 0xDEADBEEFDEADBEEF
45348 .xword 0xDEADBEEFDEADBEEF
45349 .xword 0xDEADBEEFDEADBEEF
45350 .xword 0xDEADBEEFDEADBEEF
45351 .xword 0xDEADBEEFDEADBEEF
45352 .xword 0xDEADBEEFDEADBEEF
45353 .xword 0xDEADBEEFDEADBEEF
45354 .xword 0xDEADBEEFDEADBEEF
45355 .xword 0xDEADBEEFDEADBEEF
45356 .xword 0xDEADBEEFDEADBEEF
45357 .xword 0xDEADBEEFDEADBEEF
45358 .xword 0xDEADBEEFDEADBEEF
45359 .xword 0xDEADBEEFDEADBEEF
45360 .xword 0xDEADBEEFDEADBEEF
45361 .xword 0xDEADBEEFDEADBEEF
45362 .xword 0xDEADBEEFDEADBEEF
45363 .xword 0xDEADBEEFDEADBEEF
45364 .xword 0xDEADBEEFDEADBEEF
45365 .xword 0xDEADBEEFDEADBEEF
45366 .xword 0xDEADBEEFDEADBEEF
45367 .xword 0xDEADBEEFDEADBEEF
45368 .xword 0xDEADBEEFDEADBEEF
45369 .xword 0xDEADBEEFDEADBEEF
45370 .xword 0xDEADBEEFDEADBEEF
45371 .xword 0xDEADBEEFDEADBEEF
45372 .xword 0xDEADBEEFDEADBEEF
45373 .xword 0xDEADBEEFDEADBEEF
45374 .xword 0xDEADBEEFDEADBEEF
45375 .xword 0xDEADBEEFDEADBEEF
45376 .xword 0xDEADBEEFDEADBEEF
45377 .xword 0xDEADBEEFDEADBEEF
45378 .xword 0xDEADBEEFDEADBEEF
45379 .xword 0xDEADBEEFDEADBEEF
45380 .xword 0xDEADBEEFDEADBEEF
45381 .xword 0xDEADBEEFDEADBEEF
45382 .xword 0xDEADBEEFDEADBEEF
45383 .xword 0xDEADBEEFDEADBEEF
45384 .xword 0xDEADBEEFDEADBEEF
45385 .xword 0xDEADBEEFDEADBEEF
45386 .xword 0xDEADBEEFDEADBEEF
45387 .xword 0xDEADBEEFDEADBEEF
45388 .xword 0xDEADBEEFDEADBEEF
45389 .xword 0xDEADBEEFDEADBEEF
45390 .xword 0xDEADBEEFDEADBEEF
45391 .xword 0xDEADBEEFDEADBEEF
45392 .xword 0xDEADBEEFDEADBEEF
45393 .xword 0xDEADBEEFDEADBEEF
45394 .xword 0xDEADBEEFDEADBEEF
45395 .xword 0xDEADBEEFDEADBEEF
45396 .xword 0xDEADBEEFDEADBEEF
45397 .xword 0xDEADBEEFDEADBEEF
45398 .xword 0xDEADBEEFDEADBEEF
45399 .xword 0xDEADBEEFDEADBEEF
45400 .xword 0xDEADBEEFDEADBEEF
45401 .xword 0xDEADBEEFDEADBEEF
45402 .xword 0xDEADBEEFDEADBEEF
45403 .xword 0xDEADBEEFDEADBEEF
45404 .xword 0xDEADBEEFDEADBEEF
45405 .xword 0xDEADBEEFDEADBEEF
45406 .xword 0xDEADBEEFDEADBEEF
45407 .xword 0xDEADBEEFDEADBEEF
45408 .xword 0xDEADBEEFDEADBEEF
45409 .xword 0xDEADBEEFDEADBEEF
45410 .xword 0xDEADBEEFDEADBEEF
45411 .xword 0xDEADBEEFDEADBEEF
45412 .xword 0xDEADBEEFDEADBEEF
45413 .xword 0xDEADBEEFDEADBEEF
45414 .xword 0xDEADBEEFDEADBEEF
45415 .xword 0xDEADBEEFDEADBEEF
45416 .xword 0xDEADBEEFDEADBEEF
45417 .xword 0xDEADBEEFDEADBEEF
45418 .xword 0xDEADBEEFDEADBEEF
45419 .xword 0xDEADBEEFDEADBEEF
45420 .xword 0xDEADBEEFDEADBEEF
45421 .xword 0xDEADBEEFDEADBEEF
45422 .xword 0xDEADBEEFDEADBEEF
45423 .xword 0xDEADBEEFDEADBEEF
45424 .xword 0xDEADBEEFDEADBEEF
45425 .xword 0xDEADBEEFDEADBEEF
45426 .xword 0xDEADBEEFDEADBEEF
45427 .xword 0xDEADBEEFDEADBEEF
45428 .xword 0xDEADBEEFDEADBEEF
45429 .xword 0xDEADBEEFDEADBEEF
45430 .xword 0xDEADBEEFDEADBEEF
45431 .xword 0xDEADBEEFDEADBEEF
45432 .xword 0xDEADBEEFDEADBEEF
45433 .xword 0xDEADBEEFDEADBEEF
45434 .xword 0xDEADBEEFDEADBEEF
45435 .xword 0xDEADBEEFDEADBEEF
45436 .xword 0xDEADBEEFDEADBEEF
45437 .xword 0xDEADBEEFDEADBEEF
45438 .xword 0xDEADBEEFDEADBEEF
45439 .xword 0xDEADBEEFDEADBEEF
45440 .xword 0xDEADBEEFDEADBEEF
45441 .xword 0xDEADBEEFDEADBEEF
45442 .xword 0xDEADBEEFDEADBEEF
45443 .xword 0xDEADBEEFDEADBEEF
45444 .xword 0xDEADBEEFDEADBEEF
45445 .xword 0xDEADBEEFDEADBEEF
45446 .xword 0xDEADBEEFDEADBEEF
45447 .xword 0xDEADBEEFDEADBEEF
45448 .xword 0xDEADBEEFDEADBEEF
45449 .xword 0xDEADBEEFDEADBEEF
45450 .xword 0xDEADBEEFDEADBEEF
45451 .xword 0xDEADBEEFDEADBEEF
45452 .xword 0xDEADBEEFDEADBEEF
45453 .xword 0xDEADBEEFDEADBEEF
45454 .xword 0xDEADBEEFDEADBEEF
45455 .xword 0xDEADBEEFDEADBEEF
45456 .xword 0xDEADBEEFDEADBEEF
45457 .xword 0xDEADBEEFDEADBEEF
45458 .xword 0xDEADBEEFDEADBEEF
45459 .xword 0xDEADBEEFDEADBEEF
45460 .xword 0xDEADBEEFDEADBEEF
45461 .xword 0xDEADBEEFDEADBEEF
45462 .xword 0xDEADBEEFDEADBEEF
45463 .xword 0xDEADBEEFDEADBEEF
45464 .xword 0xDEADBEEFDEADBEEF
45465 .xword 0xDEADBEEFDEADBEEF
45466 .xword 0xDEADBEEFDEADBEEF
45467 .xword 0xDEADBEEFDEADBEEF
45468 .xword 0xDEADBEEFDEADBEEF
45469 .xword 0xDEADBEEFDEADBEEF
45470 .xword 0xDEADBEEFDEADBEEF
45471 .xword 0xDEADBEEFDEADBEEF
45472 .xword 0xDEADBEEFDEADBEEF
45473 .xword 0xDEADBEEFDEADBEEF
45474 .xword 0xDEADBEEFDEADBEEF
45475 .xword 0xDEADBEEFDEADBEEF
45476 .xword 0xDEADBEEFDEADBEEF
45477 .xword 0xDEADBEEFDEADBEEF
45478 .xword 0xDEADBEEFDEADBEEF
45479 .xword 0xDEADBEEFDEADBEEF
45480 .xword 0xDEADBEEFDEADBEEF
45481 .xword 0xDEADBEEFDEADBEEF
45482 .xword 0xDEADBEEFDEADBEEF
45483 .xword 0xDEADBEEFDEADBEEF
45484 .xword 0xDEADBEEFDEADBEEF
45485 .xword 0xDEADBEEFDEADBEEF
45486 .xword 0xDEADBEEFDEADBEEF
45487 .xword 0xDEADBEEFDEADBEEF
45488 .xword 0xDEADBEEFDEADBEEF
45489 .xword 0xDEADBEEFDEADBEEF
45490 .xword 0xDEADBEEFDEADBEEF
45491 .xword 0xDEADBEEFDEADBEEF
45492 .xword 0xDEADBEEFDEADBEEF
45493 .xword 0xDEADBEEFDEADBEEF
45494 .xword 0xDEADBEEFDEADBEEF
45495 .xword 0xDEADBEEFDEADBEEF
45496 .xword 0xDEADBEEFDEADBEEF
45497 .xword 0xDEADBEEFDEADBEEF
45498 .xword 0xDEADBEEFDEADBEEF
45499 .xword 0xDEADBEEFDEADBEEF
45500 .xword 0xDEADBEEFDEADBEEF
45501 .xword 0xDEADBEEFDEADBEEF
45502 .xword 0xDEADBEEFDEADBEEF
45503 .xword 0xDEADBEEFDEADBEEF
45504 .xword 0xDEADBEEFDEADBEEF
45505 .xword 0xDEADBEEFDEADBEEF
45506 .xword 0xDEADBEEFDEADBEEF
45507 .xword 0xDEADBEEFDEADBEEF
45508 .xword 0xDEADBEEFDEADBEEF
45509 .xword 0xDEADBEEFDEADBEEF
45510 .xword 0xDEADBEEFDEADBEEF
45511 .xword 0xDEADBEEFDEADBEEF
45512 .xword 0xDEADBEEFDEADBEEF
45513 .xword 0xDEADBEEFDEADBEEF
45514 .xword 0xDEADBEEFDEADBEEF
45515 .xword 0xDEADBEEFDEADBEEF
45516 .xword 0xDEADBEEFDEADBEEF
45517 .xword 0xDEADBEEFDEADBEEF
45518 .xword 0xDEADBEEFDEADBEEF
45519 .xword 0xDEADBEEFDEADBEEF
45520 .xword 0xDEADBEEFDEADBEEF
45521 .xword 0xDEADBEEFDEADBEEF
45522 .xword 0xDEADBEEFDEADBEEF
45523 .xword 0xDEADBEEFDEADBEEF
45524 .xword 0xDEADBEEFDEADBEEF
45525 .xword 0xDEADBEEFDEADBEEF
45526 .xword 0xDEADBEEFDEADBEEF
45527 .xword 0xDEADBEEFDEADBEEF
45528 .xword 0xDEADBEEFDEADBEEF
45529 .xword 0xDEADBEEFDEADBEEF
45530 .xword 0xDEADBEEFDEADBEEF
45531 .xword 0xDEADBEEFDEADBEEF
45532 .xword 0xDEADBEEFDEADBEEF
45533 .xword 0xDEADBEEFDEADBEEF
45534 .xword 0xDEADBEEFDEADBEEF
45535 .xword 0xDEADBEEFDEADBEEF
45536 .xword 0xDEADBEEFDEADBEEF
45537 .xword 0xDEADBEEFDEADBEEF
45538 .xword 0xDEADBEEFDEADBEEF
45539 .xword 0xDEADBEEFDEADBEEF
45540 .xword 0xDEADBEEFDEADBEEF
45541 .xword 0xDEADBEEFDEADBEEF
45542 .xword 0xDEADBEEFDEADBEEF
45543 .xword 0xDEADBEEFDEADBEEF
45544 .xword 0xDEADBEEFDEADBEEF
45545 .xword 0xDEADBEEFDEADBEEF
45546 .xword 0xDEADBEEFDEADBEEF
45547 .xword 0xDEADBEEFDEADBEEF
45548 .xword 0xDEADBEEFDEADBEEF
45549 .xword 0xDEADBEEFDEADBEEF
45550 .xword 0xDEADBEEFDEADBEEF
45551 .xword 0xDEADBEEFDEADBEEF
45552 .xword 0xDEADBEEFDEADBEEF
45553 .xword 0xDEADBEEFDEADBEEF
45554 .xword 0xDEADBEEFDEADBEEF
45555 .xword 0xDEADBEEFDEADBEEF
45556 .xword 0xDEADBEEFDEADBEEF
45557 .xword 0xDEADBEEFDEADBEEF
45558 .xword 0xDEADBEEFDEADBEEF
45559 .xword 0xDEADBEEFDEADBEEF
45560 .xword 0xDEADBEEFDEADBEEF
45561 .xword 0xDEADBEEFDEADBEEF
45562 .xword 0xDEADBEEFDEADBEEF
45563 .xword 0xDEADBEEFDEADBEEF
45564 .xword 0xDEADBEEFDEADBEEF
45565 .xword 0xDEADBEEFDEADBEEF
45566 .xword 0xDEADBEEFDEADBEEF
45567 .xword 0xDEADBEEFDEADBEEF
45568 .xword 0xDEADBEEFDEADBEEF
45569 .xword 0xDEADBEEFDEADBEEF
45570 .xword 0xDEADBEEFDEADBEEF
45571 .xword 0xDEADBEEFDEADBEEF
45572 .xword 0xDEADBEEFDEADBEEF
45573 .xword 0xDEADBEEFDEADBEEF
45574 .xword 0xDEADBEEFDEADBEEF
45575 .xword 0xDEADBEEFDEADBEEF
45576 .xword 0xDEADBEEFDEADBEEF
45577 .xword 0xDEADBEEFDEADBEEF
45578 .xword 0xDEADBEEFDEADBEEF
45579 .xword 0xDEADBEEFDEADBEEF
45580 .xword 0xDEADBEEFDEADBEEF
45581 .xword 0xDEADBEEFDEADBEEF
45582 .xword 0xDEADBEEFDEADBEEF
45583 .xword 0xDEADBEEFDEADBEEF
45584 .xword 0xDEADBEEFDEADBEEF
45585 .xword 0xDEADBEEFDEADBEEF
45586 .xword 0xDEADBEEFDEADBEEF
45587 .xword 0xDEADBEEFDEADBEEF
45588 .xword 0xDEADBEEFDEADBEEF
45589 .xword 0xDEADBEEFDEADBEEF
45590 .xword 0xDEADBEEFDEADBEEF
45591 .xword 0xDEADBEEFDEADBEEF
45592 .xword 0xDEADBEEFDEADBEEF
45593 .xword 0xDEADBEEFDEADBEEF
45594 .xword 0xDEADBEEFDEADBEEF
45595 .xword 0xDEADBEEFDEADBEEF
45596 .xword 0xDEADBEEFDEADBEEF
45597 .xword 0xDEADBEEFDEADBEEF
45598 .xword 0xDEADBEEFDEADBEEF
45599 .xword 0xDEADBEEFDEADBEEF
45600 .xword 0xDEADBEEFDEADBEEF
45601 .xword 0xDEADBEEFDEADBEEF
45602 .xword 0xDEADBEEFDEADBEEF
45603 .xword 0xDEADBEEFDEADBEEF
45604 .xword 0xDEADBEEFDEADBEEF
45605 .xword 0xDEADBEEFDEADBEEF
45606 .xword 0xDEADBEEFDEADBEEF
45607 .xword 0xDEADBEEFDEADBEEF
45608 .xword 0xDEADBEEFDEADBEEF
45609 .xword 0xDEADBEEFDEADBEEF
45610 .xword 0xDEADBEEFDEADBEEF
45611 .xword 0xDEADBEEFDEADBEEF
45612_t1_sslkey_auth_key:
45613 .xword 0xb8dd9891b09a2eae
45614 .xword 0x8e3739e28d25561c
45615 .xword 0x88be244223a7777b
45616 .xword 0xac2690f07023b344
45617 .xword 0x80ad8aa80b12f6e5
45618 .xword 0x08a9ef9200fb5279
45619 .xword 0xfb9eae02576a4998
45620 .xword 0x216b3dbac819a62e
45621 .xword 0xf04e83a7bea6b0f0
45622 .xword 0x08d06d81953eada0
45623 .xword 0x6b65512ee7363468
45624 .xword 0x93b9a4a51b971c75
45625 .xword 0x66c5209aa88c5400
45626 .xword 0x9855f3df08b4df6b
45627 .xword 0x7aca5417e3aa946e
45628 .xword 0x4b8ca718efb356f4
45629 .xword 0x90c8af4cefd07d0a
45630 .xword 0xaa03a1c9d2375c2c
45631 .xword 0x9eb0a264e8a10387
45632 .xword 0x06fd5f5fd1a1f9ca
45633 .xword 0x8696af9cf400e3f1
45634 .xword 0x3c4e2f5a538989da
45635 .xword 0x2ebbf43388e2a446
45636_t1_sslkey_auth_iv:
45637 .xword 0xb1df201da4b1f28c
45638 .xword 0xa0a944804dc10a39
45639 .xword 0x2dbe32eae7d75041
45640 .xword 0x15959a92cf6586b0
45641 .xword 0xae134cca55821b29
45642 .xword 0x6c87cde7c54c596e
45643 .xword 0x659c9ef9815d3a23
45644 .xword 0x18f3e3c48eec089d
45645 .xword 0x0484d1c82982d7bd
45646 .xword 0x73675c280df0129f
45647 .xword 0x5ac748539b265186
45648 .xword 0xabafba8edd7dba3a
45649 .xword 0xc941471bd085a0ec
45650 .xword 0x62b0c2fbbf5a04ba
45651 .xword 0xe88cf7dc68a83b37
45652 .xword 0x29d99135d7d0613f
45653 .xword 0xa409579559d5e9ce
45654 .xword 0xde5fac043813982a
45655 .xword 0x2dd719fb0eaf3a71
45656 .xword 0x5b93c03cb0ec8070
45657 .xword 0xac090397a6ed94e7
45658 .xword 0x2eb7ba363b3d08f1
45659 .xword 0xa99b1851da2b030e
45660_t1_sslkey_fas_result:
45661 .xword 0xDEADBEEFDEADBEEF
45662 .xword 0xDEADBEEFDEADBEEF
45663 .xword 0xDEADBEEFDEADBEEF
45664 .xword 0xDEADBEEFDEADBEEF
45665 .xword 0xDEADBEEFDEADBEEF
45666 .xword 0xDEADBEEFDEADBEEF
45667 .xword 0xDEADBEEFDEADBEEF
45668 .xword 0xDEADBEEFDEADBEEF
45669 .xword 0xDEADBEEFDEADBEEF
45670 .xword 0xDEADBEEFDEADBEEF
45671 .xword 0xDEADBEEFDEADBEEF
45672 .xword 0xDEADBEEFDEADBEEF
45673 .xword 0xDEADBEEFDEADBEEF
45674 .xword 0xDEADBEEFDEADBEEF
45675 .xword 0xDEADBEEFDEADBEEF
45676 .xword 0xDEADBEEFDEADBEEF
45677 .xword 0xDEADBEEFDEADBEEF
45678 .xword 0xDEADBEEFDEADBEEF
45679 .xword 0xDEADBEEFDEADBEEF
45680 .xword 0xDEADBEEFDEADBEEF
45681 .xword 0xDEADBEEFDEADBEEF
45682 .xword 0xDEADBEEFDEADBEEF
45683 .xword 0xDEADBEEFDEADBEEF
45684_t1_aes_toc:
45685 .xword _t1_aes_cwd_array
45686 .xword _t1_aes_src
45687 .xword _t1_aes_auth_key
45688 .xword _t1_aes_auth_iv
45689 .xword _t1_aes_fas_result
45690 .xword _t1_aes_key_array
45691 .xword _t1_aes_iv_array
45692 .xword _t1_aes_dest
45693 .xword _t1_aes_alignment_array
45694_t1_des_toc:
45695 .xword _t1_des_cwd_array
45696 .xword _t1_des_src
45697 .xword _t1_des_auth_key
45698 .xword _t1_des_auth_iv
45699 .xword _t1_des_fas_result
45700 .xword _t1_des_key_array
45701 .xword _t1_des_iv_array
45702 .xword _t1_des_dest
45703 .xword _t1_des_alignment_array
45704_t1_copy_toc:
45705 .xword _t1_copy_cwd_array
45706 .xword _t1_copy_src
45707 .xword _t1_copy_auth_key
45708 .xword _t1_copy_auth_iv
45709 .xword _t1_copy_fas_result
45710 .xword _t1_copy_key_array
45711 .xword _t1_copy_iv_array
45712 .xword _t1_copy_dest
45713 .xword _t1_copy_alignment_array
45714_t1_crc_toc:
45715 .xword _t1_crc_cwd_array
45716 .xword _t1_crc_src
45717 .xword _t1_crc_auth_key
45718 .xword _t1_crc_auth_iv
45719 .xword _t1_crc_fas_result
45720 .xword _t1_crc_key_array
45721 .xword _t1_crc_iv_array
45722 .xword _t1_crc_dest
45723 .xword _t1_crc_alignment_array
45724_t1_hash_toc:
45725 .xword _t1_hash_cwd_array
45726 .xword _t1_hash_src
45727 .xword _t1_hash_auth_key
45728 .xword _t1_hash_auth_iv
45729 .xword _t1_hash_fas_result
45730 .xword _t1_hash_key_array
45731 .xword _t1_hash_iv_array
45732 .xword _t1_hash_dest
45733 .xword _t1_hash_alignment_array
45734_t1_hmac_toc:
45735 .xword _t1_hmac_cwd_array
45736 .xword _t1_hmac_src
45737 .xword _t1_hmac_auth_key
45738 .xword _t1_hmac_auth_iv
45739 .xword _t1_hmac_fas_result
45740 .xword _t1_hmac_key_array
45741 .xword _t1_hmac_iv_array
45742 .xword _t1_hmac_dest
45743 .xword _t1_hmac_alignment_array
45744_t1_rc4_toc:
45745 .xword _t1_rc4_cwd_array
45746 .xword _t1_rc4_src
45747 .xword _t1_rc4_auth_key
45748 .xword _t1_rc4_auth_iv
45749 .xword _t1_rc4_fas_result
45750 .xword _t1_rc4_key_array
45751 .xword _t1_rc4_iv_array
45752 .xword _t1_rc4_dest
45753 .xword _t1_rc4_alignment_array
45754_t1_sslkey_toc:
45755 .xword _t1_sslkey_cwd_array
45756 .xword _t1_sslkey_src
45757 .xword _t1_sslkey_auth_key
45758 .xword _t1_sslkey_auth_iv
45759 .xword _t1_sslkey_fas_result
45760 .xword _t1_sslkey_key_array
45761 .xword _t1_sslkey_iv_array
45762 .xword _t1_sslkey_dest
45763 .xword _t1_sslkey_alignment_array
45764_t1_table_of_context3:
45765 .xword _t1_aes_toc
45766 .xword _t1_des_toc
45767 .xword _t1_copy_toc
45768 .xword _t1_crc_toc
45769 .xword _t1_hash_toc
45770 .xword _t1_hmac_toc
45771 .xword _t1_rc4_toc
45772 .xword _t1_sslkey_toc
45773
45774!# CWQ data area, set aside 512 CW's worth
45775!# 512*8*8 = 32KB
45776.align 32*1024
45777_t1_cwq_base3:
45778 .xword 0xAAAAAAAAAAAAAAA
45779 .xword 0xAAAAAAAAAAAAAAA
45780 .xword 0xAAAAAAAAAAAAAAA
45781 .xword 0xAAAAAAAAAAAAAAA
45782 .xword 0xAAAAAAAAAAAAAAA
45783 .xword 0xAAAAAAAAAAAAAAA
45784 .xword 0xAAAAAAAAAAAAAAA
45785 .xword 0xAAAAAAAAAAAAAAA
45786.align 32*1024
45787_t1_cwq_last3:
45788
45789SECTION ._t1_T_CWQ_DATA4 DATA_VA=289406976
45790attr_data {
45791 Name = ._t1_T_CWQ_DATA4
45792 hypervisor
45793}
45794 .data
45795_t1_user_data_start4:
45796_t1_scratch_area4:
45797
45798.align 16
45799_t1_spu_op_array4:
45800 .xword 6
45801 .xword 0
45802 .xword 7
45803 .xword 0
45804 .xword 0
45805 .xword 4
45806 .xword 5
45807 .xword 7
45808 .xword 7
45809 .xword 2
45810 .xword 0
45811 .xword 2
45812 .xword 2
45813 .xword 6
45814 .xword 6
45815_t1_aes_cwd_array4:
45816 .xword 0x40e000001800001f
45817 .xword 0xc0e000401300003f
45818 .xword 0x40e100001800001f
45819 .xword 0xc0e100a01800002f
45820 .xword 0x40e000601800000f
45821 .xword 0x40e000401800000f
45822 .xword 0x406000601300001f
45823 .xword 0x40e000401800000f
45824 .xword 0x406100401900001f
45825 .xword 0xc06100e01700003f
45826 .xword 0x40e000001100001f
45827 .xword 0xc0e100801400001f
45828 .xword 0xc06000a01b00001f
45829 .xword 0xc0e100e01b00000f
45830 .xword 0x406100c01300001f
45831_t1_des_cwd_array4:
45832 .xword 0x406100e00800001f
45833 .xword 0xc06000600c00001f
45834 .xword 0xc0e000400800001f
45835 .xword 0x40e000800900001f
45836 .xword 0x40e000200e000007
45837 .xword 0xc06100800d00001f
45838 .xword 0x40e000e00d00001f
45839 .xword 0x40e100e00c000007
45840 .xword 0xc0e0006008000017
45841 .xword 0xc0e000000900000f
45842 .xword 0x406100c009000017
45843 .xword 0x406000200800000f
45844 .xword 0xc06100600d00001f
45845 .xword 0xc06100c00e00001f
45846 .xword 0xc06000c00a000017
45847_t1_copy_cwd_array4:
45848 .xword 0xa061004000000003
45849 .xword 0x206100a00000000c
45850 .xword 0xa06100e000000008
45851 .xword 0xa06100c00000000a
45852 .xword 0xa06100000000000e
45853 .xword 0xa06100800000000b
45854 .xword 0x2061008000000000
45855 .xword 0x2061002000000007
45856 .xword 0x206100600000000f
45857 .xword 0xa060000000000000
45858 .xword 0x2061008000000006
45859 .xword 0xa06000a000000004
45860 .xword 0x206100e000000002
45861 .xword 0xa061002000000004
45862 .xword 0x206100200000000f
45863_t1_crc_cwd_array4:
45864 .xword 0x4160038400000009
45865 .xword 0x4160018800000007
45866 .xword 0xc160038400000006
45867 .xword 0xc16101280000000c
45868 .xword 0xc16303e40000000d
45869 .xword 0x416301480000000b
45870 .xword 0x4161030400000003
45871 .xword 0x416101c80000000d
45872 .xword 0xc161036400000002
45873 .xword 0xc16201a800000006
45874 .xword 0x416003e400000004
45875 .xword 0x416001880000000d
45876 .xword 0x4162034400000001
45877 .xword 0xc16001680000000d
45878_t1_hash_cwd_array4:
45879 .xword 0xc16103410000002f
45880 .xword 0xc16206010000002c
45881 .xword 0xc16209e100000013
45882 .xword 0x41610fa10000002b
45883 .xword 0xc16006e100000008
45884 .xword 0xc16216230000000b
45885 .xword 0x4163070100000037
45886 .xword 0xc160012300000018
45887 .xword 0xc16308e100000003
45888 .xword 0x416305a10000003b
45889 .xword 0xc16305e20000001e
45890 .xword 0x416003c300000024
45891 .xword 0x416007a20000000f
45892 .xword 0xc1610d6100000000
45893 .xword 0xc160056100000037
45894_t1_hmac_cwd_array4:
45895 .xword 0xc16304e5000f0016
45896 .xword 0x41600969000f0027
45897 .xword 0x41620de9000f000d
45898 .xword 0xc1600925000f000c
45899 .xword 0xc1601167001f0035
45900 .xword 0xc16101460013000c
45901 .xword 0x416003e9000f001f
45902 .xword 0xc16304e9000f002e
45903 .xword 0x41620985000f0025
45904 .xword 0x41610049000f0009
45905 .xword 0xc1610d49000f0039
45906 .xword 0x41610045000f0004
45907 .xword 0xc1630589000f001a
45908 .xword 0xc1620249000f0000
45909 .xword 0xc1620329000f002c
45910_t1_rc4_cwd_array4:
45911 .xword 0xc0e100800000000d
45912 .xword 0x40e100c004000002
45913 .xword 0x40e100600400000a
45914 .xword 0x40e0006000000003
45915 .xword 0x40e100c000000005
45916 .xword 0x40e0008004000006
45917 .xword 0xc0e100a000000008
45918 .xword 0xc0e0004004000005
45919 .xword 0xc0e000e000000004
45920 .xword 0xc0e1000000000008
45921 .xword 0x40e000800000000f
45922 .xword 0xc0e0002000000002
45923 .xword 0x40e0004000000003
45924 .xword 0x40e100a000000004
45925 .xword 0x40e100e00000000b
45926_t1_sslkey_cwd_array4:
45927 .xword 0x106023a000000000, 0
45928 .xword 0x90603f0000000000, 0
45929 .xword 0x10603ca000000000, 0
45930 .xword 0x9060180000000000, 0
45931 .xword 0x1060328000000000, 0
45932 .xword 0x10603d2000000000, 0
45933 .xword 0x90602b8000000000, 0
45934 .xword 0x1060262000000000, 0
45935 .xword 0x906040c000000000, 0
45936 .xword 0x1060372000000000, 0
45937 .xword 0x10600c4000000000, 0
45938 .xword 0x90602a2000000000, 0
45939 .xword 0x9060062000000000, 0
45940 .xword 0x1060288000000000, 0
45941 .xword 0x10601ba000000000, 0
45942_t1_aes_key_array:
45943 .xword 0xf936333693b15842
45944 .xword 0x9b6a4dcbebbea092
45945 .xword 0x27dd91fbb85bdbcb
45946 .xword 0x4d5154e8041a1c00
45947 .xword 0x0e445dd7ffdcf6bb
45948 .xword 0x3cd61c8634bdd3db
45949 .xword 0xfc31fe204daf25a2
45950 .xword 0x926db6af6cdd6828
45951 .xword 0x94ec512d728621f8
45952 .xword 0x44a2e1b7dd5dc6c7
45953 .xword 0x1aeb6c925007663e
45954 .xword 0x9e13ac3783ef8e2d
45955 .xword 0x10710c63008c56e3
45956 .xword 0x9e32601eb1655d07
45957 .xword 0x1a0ddc1e14c2aa6c
45958 .xword 0xa9e895447102df40
45959 .xword 0x569e042b9df0628f
45960 .xword 0xd61083c6adf2919d
45961 .xword 0x77b9a6a77a274abb
45962 .xword 0x634dd38c20e70500
45963 .xword 0x71aef4e5cd818ddd
45964 .xword 0x9a83249b1264c52f
45965 .xword 0x0d954eb3112d35b6
45966 .xword 0x60b81bf606401642
45967 .xword 0xebaf2276cc163514
45968 .xword 0x9b08bab2639a729f
45969 .xword 0xc8e5259d8b0c91ab
45970 .xword 0xf38de4224d9fdd5d
45971 .xword 0x8307c62462b0a359
45972 .xword 0x4cd0b9d02e197600
45973 .xword 0xaed3c57d088e974a
45974 .xword 0x0acfad94c400a12c
45975 .xword 0x35c1d9ef80d5dd19
45976 .xword 0x1e8097548ad29019
45977 .xword 0x677f681fed453d18
45978 .xword 0xd3a764f4666abd99
45979 .xword 0x755593a8629c5623
45980 .xword 0xd2d62fe25d722dab
45981 .xword 0x1e86c24cc855cbda
45982 .xword 0xa49c2f76ec8a8f4e
45983 .xword 0xfeb7609135c9ce99
45984 .xword 0x5bb5e2ca9df44138
45985 .xword 0xf7142663f2a30fa7
45986 .xword 0xe7acb52491bd9731
45987 .xword 0xd90cb9866da59871
45988 .xword 0x9cef5d7922b58798
45989 .xword 0xbdf8393a75894c15
45990 .xword 0x63ff9710c4c2fc57
45991 .xword 0x705f2df077424d14
45992 .xword 0x66a8499b074b135c
45993 .xword 0x4dbf105047224e01
45994_t1_aes_iv_array:
45995 .xword 0x0b587dc59ac819f7
45996 .xword 0xcd48421d8f6f2311
45997 .xword 0x6b5acd1429f94904
45998 .xword 0x30d6ba71e18e220e
45999 .xword 0x5c147833eb5652d1
46000 .xword 0x4923856824b5b941
46001 .xword 0x71a2e576b9b76ae6
46002 .xword 0xff3f9e48dab88a06
46003 .xword 0x67352119b177f703
46004 .xword 0x26f19faffebccd3d
46005 .xword 0xadd6e81cacbff702
46006 .xword 0x2e78ee479a21ec96
46007 .xword 0x47a738608605a39b
46008 .xword 0xb2ebd7e1316d5f3e
46009 .xword 0x1d3ceb4185255bd0
46010 .xword 0xb70e5e6c16458838
46011 .xword 0xcce44e3b967ff0c3
46012 .xword 0x6f93f3fd6645a05d
46013 .xword 0x9f0703ec9e855384
46014 .xword 0x4dbae524f16d6951
46015 .xword 0x6cd43d25a5618461
46016 .xword 0x93a8150b80f5194a
46017 .xword 0xea0ac2d8748685e8
46018 .xword 0x1640c0403a58a273
46019 .xword 0xa334674b563e79a8
46020 .xword 0x079406027de322a6
46021 .xword 0xcaa7cb642465da80
46022 .xword 0x09045cc7a6e9a692
46023 .xword 0x6caccc4af89cd5ca
46024 .xword 0xfe1d4e0553c6a200
46025 .xword 0x9c355724e9119435
46026 .xword 0x9baae37a39d656df
46027 .xword 0xb789419a4bb88fd8
46028 .xword 0x74589877136bb70e
46029 .xword 0xeece58bfb5fb8dfb
46030 .xword 0x182b25e79c3a9136
46031 .xword 0x972d9240ffdc2c71
46032 .xword 0x4a1d701483b33675
46033 .xword 0x7f6ea36b49321344
46034 .xword 0x8dfd9104b2edb582
46035 .xword 0xa7a69e5e790a1744
46036 .xword 0x847dbf2a4c63b6fa
46037 .xword 0xfce4ce009e63145f
46038 .xword 0x508c72b6f6d11af4
46039 .xword 0x0da3cd2726d1148d
46040_t1_aes_alignment_array:
46041 .xword 4
46042 .xword 10
46043 .xword 10
46044 .xword 2
46045 .xword 5
46046 .xword 14
46047 .xword 9
46048 .xword 9
46049 .xword 14
46050 .xword 12
46051 .xword 12
46052 .xword 11
46053 .xword 7
46054 .xword 6
46055 .xword 8
46056 .xword 12
46057 .xword 1
46058 .xword 1
46059 .xword 13
46060 .xword 9
46061 .xword 10
46062 .xword 2
46063 .xword 2
46064 .xword 1
46065 .xword 0
46066 .xword 13
46067 .xword 8
46068 .xword 11
46069 .xword 7
46070 .xword 15
46071 .xword 8
46072 .xword 1
46073 .xword 3
46074 .xword 7
46075 .xword 13
46076 .xword 9
46077 .xword 11
46078 .xword 4
46079 .xword 14
46080 .xword 11
46081 .xword 6
46082 .xword 11
46083 .xword 7
46084 .xword 12
46085 .xword 4
46086 .xword 8
46087 .xword 8
46088 .xword 15
46089 .xword 6
46090 .xword 5
46091 .xword 6
46092 .xword 7
46093 .xword 0
46094 .xword 10
46095 .xword 0
46096 .xword 10
46097 .xword 15
46098 .xword 8
46099 .xword 7
46100 .xword 7
46101 .xword 10
46102 .xword 5
46103 .xword 0
46104 .xword 3
46105 .xword 15
46106 .xword 8
46107 .xword 11
46108 .xword 10
46109 .xword 5
46110 .xword 3
46111 .xword 4
46112 .xword 3
46113 .xword 14
46114 .xword 0
46115 .xword 15
46116 .xword 7
46117 .xword 5
46118 .xword 13
46119 .xword 3
46120 .xword 14
46121 .xword 14
46122 .xword 14
46123 .xword 4
46124 .xword 4
46125 .xword 3
46126 .xword 0
46127 .xword 2
46128 .xword 15
46129 .xword 14
46130 .xword 8
46131 .xword 1
46132 .xword 10
46133 .xword 4
46134 .xword 15
46135 .xword 11
46136 .xword 5
46137 .xword 5
46138 .xword 1
46139 .xword 2
46140 .xword 11
46141 .xword 1
46142 .xword 8
46143 .xword 15
46144 .xword 8
46145 .xword 13
46146_t1_aes_src:
46147 .xword 0x30c7ab98c897711a
46148 .xword 0xc68abc355d8fb751
46149 .xword 0x2238de9c5f0f8e9b
46150 .xword 0xfd86bf428856ac48
46151 .xword 0x511ce3f0895d52b4
46152 .xword 0xc5832b37e031263a
46153 .xword 0xa80e04216e55ba20
46154 .xword 0xb87b18276bf5ae66
46155 .xword 0xd79814d13f32e978
46156 .xword 0x6f704d513ecdea94
46157 .xword 0xf59a976b03961392
46158 .xword 0xb68a88046ed57040
46159 .xword 0x6301f37724b85460
46160 .xword 0x92374a0953f6d8a3
46161 .xword 0x8bdc81e485f2339f
46162 .xword 0x8846c0e2c6bc8ada
46163 .xword 0x0e525ad86ef71d40
46164 .xword 0xbc57acbbb0093790
46165 .xword 0x3e50c8e99fd5bd77
46166 .xword 0xae3979aa303c5695
46167 .xword 0xe66fa8984451bf98
46168 .xword 0xb0d0b8a3bd01fe3d
46169 .xword 0xfce302dd074d5516
46170 .xword 0x4b09912dac150b6e
46171 .xword 0xc243be8467ccb11e
46172 .xword 0xe292969be1b71817
46173 .xword 0x1e87262ce6b4379f
46174 .xword 0x49abed141f1ecf25
46175 .xword 0xe42893a095bc4379
46176 .xword 0x6b7e2256c3544ba3
46177 .xword 0xd483e3aea5d55967
46178 .xword 0x218eea7fc3485557
46179 .xword 0x065ec9560120e40b
46180 .xword 0xa97aa388b4028256
46181 .xword 0xe3c3e5678128792f
46182 .xword 0x0a3d3d38ba8affaa
46183 .xword 0xf7d1966c37d356b3
46184 .xword 0x16ae89868b41db70
46185 .xword 0x13807da4458e54f3
46186 .xword 0x9fa600389cce0e9c
46187 .xword 0x092ae1c91090ff41
46188 .xword 0x4258e64156c1c35e
46189 .xword 0xbcdc43a49f44529c
46190 .xword 0x3c8f5a655887b74c
46191 .xword 0x9c61bffd6833485f
46192 .xword 0x69e671721d040d0b
46193 .xword 0xfded8430dca47c89
46194 .xword 0xff29dd1cd451c9be
46195 .xword 0xa703e9c0209efafa
46196 .xword 0x3afe4e2b3cfacb05
46197 .xword 0x0edf4d53b0893ce9
46198 .xword 0x00c5f0badf68931d
46199 .xword 0xfc53f607cbdbe41c
46200 .xword 0xf6e68e4f5b0d4f25
46201 .xword 0xd3f2f4945886b029
46202 .xword 0x407289d825f343a1
46203 .xword 0x85a4eed84be709b2
46204 .xword 0xb021034c7fcd1515
46205 .xword 0x0fe23da6b981e348
46206 .xword 0xd7aa8cd76c9d457e
46207 .xword 0x69bcd8318dc21784
46208 .xword 0x665780b704d52957
46209 .xword 0xf168ab1f3486d471
46210 .xword 0x1108d7af227931a4
46211 .xword 0x16053905948640b1
46212 .xword 0xa9064557b4f701c6
46213 .xword 0x8f871f475dafd825
46214 .xword 0x0ddcd326355a09ed
46215 .xword 0xc550c14887837ef6
46216 .xword 0x43ef6ec229c4e22b
46217 .xword 0x2834b9e657d856d8
46218 .xword 0x1476c54a589cd5bc
46219 .xword 0x3586ad00de315d0a
46220 .xword 0xa7ed816bee812b26
46221 .xword 0x9019cb01838df11a
46222 .xword 0xf77e6bd885a3d5ca
46223 .xword 0x26f75d6ebb08ef48
46224 .xword 0x3268b627c86c743d
46225 .xword 0xe36fd1fda75d45ad
46226 .xword 0xdd79c3e413f4d512
46227 .xword 0xd404dfa8308c2d52
46228 .xword 0xf0e417a9448c34b5
46229 .xword 0x3c047cceaaf70c27
46230 .xword 0x598229df3f876c12
46231 .xword 0xe719033dc663c61d
46232 .xword 0xa218a74d202264e0
46233 .xword 0x523c9b307dbfc08b
46234 .xword 0xa28402c2fd384da6
46235 .xword 0x9b074c2da4faf90b
46236 .xword 0x200f0a741a187224
46237 .xword 0xc7821b6530a461d8
46238 .xword 0x3e72b647d1abac4b
46239 .xword 0xe2ce1fce6a84c146
46240 .xword 0xfc128fe6c155aadb
46241 .xword 0x0ca6dc9b06c54cba
46242 .xword 0x9ef3dbbf21ebaf7e
46243 .xword 0xdf23a6d198aea1a5
46244 .xword 0x7b59c8fc988e9b72
46245 .xword 0x3f325652854547ca
46246 .xword 0x10aee1fec85d730f
46247 .xword 0x0c98d4a2dbb36f27
46248 .xword 0x7abfccd46164b9f2
46249 .xword 0xc5ff3631b1ed717e
46250 .xword 0xb8b8e778706d323c
46251 .xword 0x5e1a4f446fff2bf9
46252 .xword 0x3b94a060b3ee3bba
46253 .xword 0x5bb2b9bd862daafa
46254 .xword 0x132086f01ca7c9e3
46255 .xword 0xa6018cd521b764a8
46256 .xword 0x99533e945543cdd4
46257 .xword 0xb357f0ef88bd7d28
46258 .xword 0x103066607bff8bb7
46259 .xword 0xde1e1e017bbf5b2e
46260 .xword 0x382cf1e9a2abc698
46261 .xword 0x6cd85e1d7eac02ac
46262 .xword 0x4fb79765a277df6c
46263 .xword 0xa574910e1f1e1a98
46264 .xword 0x8fe2996a690822b3
46265 .xword 0xd4bf42003b726fd8
46266 .xword 0x70909047fd0a5dce
46267 .xword 0xc280eedc7f925051
46268 .xword 0x4dfa9cb8ee0cb0ae
46269 .xword 0x2dc1ea0a1621d9bf
46270 .xword 0xe84fc68bbb787a58
46271 .xword 0x138b3c0571c7c381
46272 .xword 0xddfaea279b1d07e2
46273 .xword 0x76d5a5b9cf38f859
46274 .xword 0xe8dc341d5c7226b1
46275 .xword 0x8d3b4e0f700a00b3
46276 .xword 0x5242fd93dc9c6ab0
46277 .xword 0x9db9a912a7c532f0
46278 .xword 0x5aa76802427db03c
46279 .xword 0xf6d713fc4f8c106f
46280 .xword 0xa9bee1bf5670bbd3
46281 .xword 0xa1a247c5ddf70c92
46282 .xword 0x1fdb2ffbe7a0348a
46283 .xword 0xb886a2faf21b192c
46284 .xword 0x4e50b37645ec9b88
46285 .xword 0xf57a2358f8ec38a7
46286 .xword 0x83892c1e5724d4aa
46287 .xword 0x15e0a565bc369558
46288 .xword 0x4340ecd9e0e5bbba
46289 .xword 0xe894992e4f87bb92
46290 .xword 0x197a8c71f566e201
46291 .xword 0x5c9622d49d7ee03a
46292 .xword 0xc362a02dfc9c7ed2
46293 .xword 0x3b040bf4a66f9f2c
46294 .xword 0x3eedf36c78e71678
46295 .xword 0x8e2826994a7f33bb
46296 .xword 0xe23b1c3a599c73e0
46297 .xword 0xd8d36ea03141702a
46298 .xword 0x198cbdf3d78f91b8
46299 .xword 0x8cdcfd6e829aae73
46300 .xword 0xacfd533f6e000fbe
46301 .xword 0x3bec1a44172c4896
46302 .xword 0x34a25353dabe0dc7
46303 .xword 0x174edd5d30c5ad73
46304 .xword 0x107cb3f8926c515b
46305 .xword 0x5b41db32ae6de54f
46306 .xword 0x0c11b16b4ab0de6f
46307 .xword 0xfc471ec621c68696
46308 .xword 0xcf02f3c2e216a324
46309 .xword 0xd754ee7ab3b6e0e7
46310 .xword 0xfa811b5420cd2b55
46311 .xword 0xba6596ef0703f5df
46312 .xword 0xce6dc8356c27bbfb
46313 .xword 0xb5bd001ada02ebbc
46314 .xword 0xc37cdd6b2502a738
46315 .xword 0xcb55797821e87c31
46316 .xword 0x81bc1090c50c32b5
46317 .xword 0x558a4503b0f89b05
46318 .xword 0x901495e88b19cb96
46319 .xword 0x4347a0ca6084ef29
46320 .xword 0x7697b9e2f45c6154
46321 .xword 0x2c885ec5f65c8969
46322 .xword 0xfc2cedc703b72ddf
46323 .xword 0xe49b70c87f324520
46324 .xword 0xfad1263b7d7f852b
46325 .xword 0xd1f43f23669c986a
46326 .xword 0x4ff6526a414f936b
46327 .xword 0x669ab69326d51822
46328 .xword 0xb969adf8efbd904e
46329 .xword 0x8fdf52b9e79f41a7
46330 .xword 0xedd9844fd8fe6f46
46331 .xword 0x304fe9b48aeca7c7
46332 .xword 0xaf3de76426e4a0e9
46333 .xword 0x8da89a8773f9a988
46334 .xword 0x7a486b8292bb0e9c
46335 .xword 0x3067d9352f94a7d6
46336 .xword 0xae35f1a7a839b05a
46337 .xword 0xbefa0ece695ff94e
46338 .xword 0xb81c1b56a977db84
46339 .xword 0x333f2f942b7efa4f
46340 .xword 0xf059459b2c7976a2
46341 .xword 0x690665620cfc339b
46342 .xword 0x3438fdc05baff1de
46343 .xword 0x9337667c2dd7bd8a
46344 .xword 0x5ea04ac2bca243b3
46345 .xword 0x15cc74ef2257b177
46346 .xword 0xe6818ace17cf0e04
46347 .xword 0xf1c51ab9304537ac
46348 .xword 0xc0ab9aa80f32d261
46349 .xword 0x3516033c83b83cfd
46350 .xword 0xdb14eceed92d42eb
46351 .xword 0x6205599dc2eb1897
46352 .xword 0x2ba418dadc7ccc79
46353 .xword 0x832d58730710e85d
46354 .xword 0x9e58ae384af3b71d
46355 .xword 0xef1e70a5cafb40df
46356 .xword 0xf75b4f00420e6764
46357 .xword 0x27417fda834de220
46358 .xword 0xc2a39aed5d55bb83
46359 .xword 0x1f5a578424c5de7e
46360 .xword 0xcc24ee28c2c68e81
46361 .xword 0x1854485a07555620
46362 .xword 0xd405ffa692304db5
46363 .xword 0x15bd34293aca5ca5
46364 .xword 0x56e20d681cb59243
46365 .xword 0x5b061f80de66b5be
46366 .xword 0xf6330a6eb2ce2f6b
46367 .xword 0x4dee7e70ab007985
46368 .xword 0x9e9015fe5d4a9616
46369 .xword 0x92e956f5eec4a2e1
46370 .xword 0x3f86275a54de5d89
46371 .xword 0xcf36599ef1aa6971
46372 .xword 0x72ee90751446e481
46373 .xword 0x026e4469d16547c8
46374 .xword 0xc8ff9fa96db13c2f
46375 .xword 0x563eb855e6735159
46376 .xword 0x713248c56f55e300
46377 .xword 0x37242eff16d29f73
46378 .xword 0x747b5bca1c1cdd0e
46379 .xword 0x4220fcfc714fd838
46380 .xword 0x667be0e3ca375487
46381 .xword 0x23ee18ff9932a6dc
46382 .xword 0x0df5934fc33e7379
46383 .xword 0x3245b4fd761b5c93
46384 .xword 0xe5e3c2e2afb102a1
46385 .xword 0x5697b06f60d27259
46386 .xword 0x41cf95dea5af49f4
46387 .xword 0x296c69ec600263f4
46388 .xword 0x2b5e7d47358a3aa0
46389 .xword 0x259581ab6d045510
46390 .xword 0x4dff958316e813db
46391 .xword 0x2b5d77b696efa0be
46392 .xword 0xc73973a1ce17327e
46393 .xword 0x4d0c37f9e6caa394
46394 .xword 0xac9d9f60e54d024d
46395 .xword 0x99efd581f160688d
46396 .xword 0x06758f2950a9fdfa
46397 .xword 0x1bf7f9e5ca51b986
46398 .xword 0xf7da6c7b7969fc08
46399 .xword 0x2d781912618107f9
46400 .xword 0xc0206a90225dc2f9
46401 .xword 0x6eecd7a6431fb68e
46402 .xword 0x0db283c84f2c91a1
46403 .xword 0x300f71baecc1d2a2
46404 .xword 0xf22cd9f72cec59f4
46405 .xword 0xa6bbc94b1218a549
46406 .xword 0xcb94fd76dbd5a8c3
46407 .xword 0x30c15ab9c50f8e6e
46408 .xword 0x6109646168718bb4
46409 .xword 0x131ae2b476ab823c
46410 .xword 0xdefdada3db888c01
46411 .xword 0xcb2ab6d56ec4c0e0
46412 .xword 0x253bfaa049dbcb97
46413 .xword 0x79328688e3dbaae4
46414 .xword 0x443f7bba5e68f41f
46415 .xword 0xef2aec20d9f7deb9
46416 .xword 0x7c51ee190a2faf5a
46417 .xword 0x5496c86033edf177
46418 .xword 0xf6dd0a9f767eeb56
46419 .xword 0xf2af09d54117216b
46420 .xword 0xa337ceebeb143a5f
46421 .xword 0x49e4c8f50878f824
46422_t1_aes_dest:
46423 .xword 0xDEADBEEFDEADBEEF
46424 .xword 0xDEADBEEFDEADBEEF
46425 .xword 0xDEADBEEFDEADBEEF
46426 .xword 0xDEADBEEFDEADBEEF
46427 .xword 0xDEADBEEFDEADBEEF
46428 .xword 0xDEADBEEFDEADBEEF
46429 .xword 0xDEADBEEFDEADBEEF
46430 .xword 0xDEADBEEFDEADBEEF
46431 .xword 0xDEADBEEFDEADBEEF
46432 .xword 0xDEADBEEFDEADBEEF
46433 .xword 0xDEADBEEFDEADBEEF
46434 .xword 0xDEADBEEFDEADBEEF
46435 .xword 0xDEADBEEFDEADBEEF
46436 .xword 0xDEADBEEFDEADBEEF
46437 .xword 0xDEADBEEFDEADBEEF
46438 .xword 0xDEADBEEFDEADBEEF
46439 .xword 0xDEADBEEFDEADBEEF
46440 .xword 0xDEADBEEFDEADBEEF
46441 .xword 0xDEADBEEFDEADBEEF
46442 .xword 0xDEADBEEFDEADBEEF
46443 .xword 0xDEADBEEFDEADBEEF
46444 .xword 0xDEADBEEFDEADBEEF
46445 .xword 0xDEADBEEFDEADBEEF
46446 .xword 0xDEADBEEFDEADBEEF
46447 .xword 0xDEADBEEFDEADBEEF
46448 .xword 0xDEADBEEFDEADBEEF
46449 .xword 0xDEADBEEFDEADBEEF
46450 .xword 0xDEADBEEFDEADBEEF
46451 .xword 0xDEADBEEFDEADBEEF
46452 .xword 0xDEADBEEFDEADBEEF
46453 .xword 0xDEADBEEFDEADBEEF
46454 .xword 0xDEADBEEFDEADBEEF
46455 .xword 0xDEADBEEFDEADBEEF
46456 .xword 0xDEADBEEFDEADBEEF
46457 .xword 0xDEADBEEFDEADBEEF
46458 .xword 0xDEADBEEFDEADBEEF
46459 .xword 0xDEADBEEFDEADBEEF
46460 .xword 0xDEADBEEFDEADBEEF
46461 .xword 0xDEADBEEFDEADBEEF
46462 .xword 0xDEADBEEFDEADBEEF
46463 .xword 0xDEADBEEFDEADBEEF
46464 .xword 0xDEADBEEFDEADBEEF
46465 .xword 0xDEADBEEFDEADBEEF
46466 .xword 0xDEADBEEFDEADBEEF
46467 .xword 0xDEADBEEFDEADBEEF
46468 .xword 0xDEADBEEFDEADBEEF
46469 .xword 0xDEADBEEFDEADBEEF
46470 .xword 0xDEADBEEFDEADBEEF
46471 .xword 0xDEADBEEFDEADBEEF
46472 .xword 0xDEADBEEFDEADBEEF
46473 .xword 0xDEADBEEFDEADBEEF
46474 .xword 0xDEADBEEFDEADBEEF
46475 .xword 0xDEADBEEFDEADBEEF
46476 .xword 0xDEADBEEFDEADBEEF
46477 .xword 0xDEADBEEFDEADBEEF
46478 .xword 0xDEADBEEFDEADBEEF
46479 .xword 0xDEADBEEFDEADBEEF
46480 .xword 0xDEADBEEFDEADBEEF
46481 .xword 0xDEADBEEFDEADBEEF
46482 .xword 0xDEADBEEFDEADBEEF
46483 .xword 0xDEADBEEFDEADBEEF
46484 .xword 0xDEADBEEFDEADBEEF
46485 .xword 0xDEADBEEFDEADBEEF
46486 .xword 0xDEADBEEFDEADBEEF
46487 .xword 0xDEADBEEFDEADBEEF
46488 .xword 0xDEADBEEFDEADBEEF
46489 .xword 0xDEADBEEFDEADBEEF
46490 .xword 0xDEADBEEFDEADBEEF
46491 .xword 0xDEADBEEFDEADBEEF
46492 .xword 0xDEADBEEFDEADBEEF
46493 .xword 0xDEADBEEFDEADBEEF
46494 .xword 0xDEADBEEFDEADBEEF
46495 .xword 0xDEADBEEFDEADBEEF
46496 .xword 0xDEADBEEFDEADBEEF
46497 .xword 0xDEADBEEFDEADBEEF
46498 .xword 0xDEADBEEFDEADBEEF
46499 .xword 0xDEADBEEFDEADBEEF
46500 .xword 0xDEADBEEFDEADBEEF
46501 .xword 0xDEADBEEFDEADBEEF
46502 .xword 0xDEADBEEFDEADBEEF
46503 .xword 0xDEADBEEFDEADBEEF
46504 .xword 0xDEADBEEFDEADBEEF
46505 .xword 0xDEADBEEFDEADBEEF
46506 .xword 0xDEADBEEFDEADBEEF
46507 .xword 0xDEADBEEFDEADBEEF
46508 .xword 0xDEADBEEFDEADBEEF
46509 .xword 0xDEADBEEFDEADBEEF
46510 .xword 0xDEADBEEFDEADBEEF
46511 .xword 0xDEADBEEFDEADBEEF
46512 .xword 0xDEADBEEFDEADBEEF
46513 .xword 0xDEADBEEFDEADBEEF
46514 .xword 0xDEADBEEFDEADBEEF
46515 .xword 0xDEADBEEFDEADBEEF
46516 .xword 0xDEADBEEFDEADBEEF
46517 .xword 0xDEADBEEFDEADBEEF
46518 .xword 0xDEADBEEFDEADBEEF
46519 .xword 0xDEADBEEFDEADBEEF
46520 .xword 0xDEADBEEFDEADBEEF
46521 .xword 0xDEADBEEFDEADBEEF
46522 .xword 0xDEADBEEFDEADBEEF
46523 .xword 0xDEADBEEFDEADBEEF
46524 .xword 0xDEADBEEFDEADBEEF
46525 .xword 0xDEADBEEFDEADBEEF
46526 .xword 0xDEADBEEFDEADBEEF
46527 .xword 0xDEADBEEFDEADBEEF
46528 .xword 0xDEADBEEFDEADBEEF
46529 .xword 0xDEADBEEFDEADBEEF
46530 .xword 0xDEADBEEFDEADBEEF
46531 .xword 0xDEADBEEFDEADBEEF
46532 .xword 0xDEADBEEFDEADBEEF
46533 .xword 0xDEADBEEFDEADBEEF
46534 .xword 0xDEADBEEFDEADBEEF
46535 .xword 0xDEADBEEFDEADBEEF
46536 .xword 0xDEADBEEFDEADBEEF
46537 .xword 0xDEADBEEFDEADBEEF
46538 .xword 0xDEADBEEFDEADBEEF
46539 .xword 0xDEADBEEFDEADBEEF
46540 .xword 0xDEADBEEFDEADBEEF
46541 .xword 0xDEADBEEFDEADBEEF
46542 .xword 0xDEADBEEFDEADBEEF
46543 .xword 0xDEADBEEFDEADBEEF
46544 .xword 0xDEADBEEFDEADBEEF
46545 .xword 0xDEADBEEFDEADBEEF
46546 .xword 0xDEADBEEFDEADBEEF
46547 .xword 0xDEADBEEFDEADBEEF
46548 .xword 0xDEADBEEFDEADBEEF
46549 .xword 0xDEADBEEFDEADBEEF
46550 .xword 0xDEADBEEFDEADBEEF
46551 .xword 0xDEADBEEFDEADBEEF
46552 .xword 0xDEADBEEFDEADBEEF
46553 .xword 0xDEADBEEFDEADBEEF
46554 .xword 0xDEADBEEFDEADBEEF
46555 .xword 0xDEADBEEFDEADBEEF
46556 .xword 0xDEADBEEFDEADBEEF
46557 .xword 0xDEADBEEFDEADBEEF
46558 .xword 0xDEADBEEFDEADBEEF
46559 .xword 0xDEADBEEFDEADBEEF
46560 .xword 0xDEADBEEFDEADBEEF
46561 .xword 0xDEADBEEFDEADBEEF
46562 .xword 0xDEADBEEFDEADBEEF
46563 .xword 0xDEADBEEFDEADBEEF
46564 .xword 0xDEADBEEFDEADBEEF
46565 .xword 0xDEADBEEFDEADBEEF
46566 .xword 0xDEADBEEFDEADBEEF
46567 .xword 0xDEADBEEFDEADBEEF
46568 .xword 0xDEADBEEFDEADBEEF
46569 .xword 0xDEADBEEFDEADBEEF
46570 .xword 0xDEADBEEFDEADBEEF
46571 .xword 0xDEADBEEFDEADBEEF
46572 .xword 0xDEADBEEFDEADBEEF
46573 .xword 0xDEADBEEFDEADBEEF
46574 .xword 0xDEADBEEFDEADBEEF
46575 .xword 0xDEADBEEFDEADBEEF
46576 .xword 0xDEADBEEFDEADBEEF
46577 .xword 0xDEADBEEFDEADBEEF
46578 .xword 0xDEADBEEFDEADBEEF
46579 .xword 0xDEADBEEFDEADBEEF
46580 .xword 0xDEADBEEFDEADBEEF
46581 .xword 0xDEADBEEFDEADBEEF
46582 .xword 0xDEADBEEFDEADBEEF
46583 .xword 0xDEADBEEFDEADBEEF
46584 .xword 0xDEADBEEFDEADBEEF
46585 .xword 0xDEADBEEFDEADBEEF
46586 .xword 0xDEADBEEFDEADBEEF
46587 .xword 0xDEADBEEFDEADBEEF
46588 .xword 0xDEADBEEFDEADBEEF
46589 .xword 0xDEADBEEFDEADBEEF
46590 .xword 0xDEADBEEFDEADBEEF
46591 .xword 0xDEADBEEFDEADBEEF
46592 .xword 0xDEADBEEFDEADBEEF
46593 .xword 0xDEADBEEFDEADBEEF
46594 .xword 0xDEADBEEFDEADBEEF
46595 .xword 0xDEADBEEFDEADBEEF
46596 .xword 0xDEADBEEFDEADBEEF
46597 .xword 0xDEADBEEFDEADBEEF
46598 .xword 0xDEADBEEFDEADBEEF
46599 .xword 0xDEADBEEFDEADBEEF
46600 .xword 0xDEADBEEFDEADBEEF
46601 .xword 0xDEADBEEFDEADBEEF
46602 .xword 0xDEADBEEFDEADBEEF
46603 .xword 0xDEADBEEFDEADBEEF
46604 .xword 0xDEADBEEFDEADBEEF
46605 .xword 0xDEADBEEFDEADBEEF
46606 .xword 0xDEADBEEFDEADBEEF
46607 .xword 0xDEADBEEFDEADBEEF
46608 .xword 0xDEADBEEFDEADBEEF
46609 .xword 0xDEADBEEFDEADBEEF
46610 .xword 0xDEADBEEFDEADBEEF
46611 .xword 0xDEADBEEFDEADBEEF
46612 .xword 0xDEADBEEFDEADBEEF
46613 .xword 0xDEADBEEFDEADBEEF
46614 .xword 0xDEADBEEFDEADBEEF
46615 .xword 0xDEADBEEFDEADBEEF
46616 .xword 0xDEADBEEFDEADBEEF
46617 .xword 0xDEADBEEFDEADBEEF
46618 .xword 0xDEADBEEFDEADBEEF
46619 .xword 0xDEADBEEFDEADBEEF
46620 .xword 0xDEADBEEFDEADBEEF
46621 .xword 0xDEADBEEFDEADBEEF
46622 .xword 0xDEADBEEFDEADBEEF
46623 .xword 0xDEADBEEFDEADBEEF
46624 .xword 0xDEADBEEFDEADBEEF
46625 .xword 0xDEADBEEFDEADBEEF
46626 .xword 0xDEADBEEFDEADBEEF
46627 .xword 0xDEADBEEFDEADBEEF
46628 .xword 0xDEADBEEFDEADBEEF
46629 .xword 0xDEADBEEFDEADBEEF
46630 .xword 0xDEADBEEFDEADBEEF
46631 .xword 0xDEADBEEFDEADBEEF
46632 .xword 0xDEADBEEFDEADBEEF
46633 .xword 0xDEADBEEFDEADBEEF
46634 .xword 0xDEADBEEFDEADBEEF
46635 .xword 0xDEADBEEFDEADBEEF
46636 .xword 0xDEADBEEFDEADBEEF
46637 .xword 0xDEADBEEFDEADBEEF
46638 .xword 0xDEADBEEFDEADBEEF
46639 .xword 0xDEADBEEFDEADBEEF
46640 .xword 0xDEADBEEFDEADBEEF
46641 .xword 0xDEADBEEFDEADBEEF
46642 .xword 0xDEADBEEFDEADBEEF
46643 .xword 0xDEADBEEFDEADBEEF
46644 .xword 0xDEADBEEFDEADBEEF
46645 .xword 0xDEADBEEFDEADBEEF
46646 .xword 0xDEADBEEFDEADBEEF
46647 .xword 0xDEADBEEFDEADBEEF
46648 .xword 0xDEADBEEFDEADBEEF
46649 .xword 0xDEADBEEFDEADBEEF
46650 .xword 0xDEADBEEFDEADBEEF
46651 .xword 0xDEADBEEFDEADBEEF
46652 .xword 0xDEADBEEFDEADBEEF
46653 .xword 0xDEADBEEFDEADBEEF
46654 .xword 0xDEADBEEFDEADBEEF
46655 .xword 0xDEADBEEFDEADBEEF
46656 .xword 0xDEADBEEFDEADBEEF
46657 .xword 0xDEADBEEFDEADBEEF
46658 .xword 0xDEADBEEFDEADBEEF
46659 .xword 0xDEADBEEFDEADBEEF
46660 .xword 0xDEADBEEFDEADBEEF
46661 .xword 0xDEADBEEFDEADBEEF
46662 .xword 0xDEADBEEFDEADBEEF
46663 .xword 0xDEADBEEFDEADBEEF
46664 .xword 0xDEADBEEFDEADBEEF
46665 .xword 0xDEADBEEFDEADBEEF
46666 .xword 0xDEADBEEFDEADBEEF
46667 .xword 0xDEADBEEFDEADBEEF
46668 .xword 0xDEADBEEFDEADBEEF
46669 .xword 0xDEADBEEFDEADBEEF
46670 .xword 0xDEADBEEFDEADBEEF
46671 .xword 0xDEADBEEFDEADBEEF
46672 .xword 0xDEADBEEFDEADBEEF
46673 .xword 0xDEADBEEFDEADBEEF
46674 .xword 0xDEADBEEFDEADBEEF
46675 .xword 0xDEADBEEFDEADBEEF
46676 .xword 0xDEADBEEFDEADBEEF
46677 .xword 0xDEADBEEFDEADBEEF
46678 .xword 0xDEADBEEFDEADBEEF
46679 .xword 0xDEADBEEFDEADBEEF
46680 .xword 0xDEADBEEFDEADBEEF
46681 .xword 0xDEADBEEFDEADBEEF
46682 .xword 0xDEADBEEFDEADBEEF
46683 .xword 0xDEADBEEFDEADBEEF
46684 .xword 0xDEADBEEFDEADBEEF
46685 .xword 0xDEADBEEFDEADBEEF
46686 .xword 0xDEADBEEFDEADBEEF
46687 .xword 0xDEADBEEFDEADBEEF
46688 .xword 0xDEADBEEFDEADBEEF
46689 .xword 0xDEADBEEFDEADBEEF
46690 .xword 0xDEADBEEFDEADBEEF
46691 .xword 0xDEADBEEFDEADBEEF
46692 .xword 0xDEADBEEFDEADBEEF
46693 .xword 0xDEADBEEFDEADBEEF
46694 .xword 0xDEADBEEFDEADBEEF
46695 .xword 0xDEADBEEFDEADBEEF
46696 .xword 0xDEADBEEFDEADBEEF
46697 .xword 0xDEADBEEFDEADBEEF
46698_t1_aes_auth_key:
46699 .xword 0xb019fc25d61496be
46700 .xword 0xf8cc3acc7c5ff310
46701 .xword 0x1ca6b629abc4cf0c
46702 .xword 0x3392ada9d4a236dd
46703 .xword 0xd13f65e2cac06a27
46704 .xword 0xa49895c8bcbfcc2a
46705 .xword 0x07da861af10ca22b
46706 .xword 0xeeca6697dadcdb39
46707 .xword 0xbe758da4ea2989b9
46708 .xword 0x8aa885c718084c3a
46709 .xword 0x99f6ae40dff41a50
46710 .xword 0x97bd4da1dccab83c
46711 .xword 0xd2617c3058074835
46712 .xword 0xe50857bca5861e12
46713 .xword 0x236214ea78de5c19
46714 .xword 0xd8d2d60c7e42a227
46715 .xword 0xe80fbe03336505e4
46716 .xword 0x99fd1ba3bed4965d
46717 .xword 0x0e06989b8aba2beb
46718 .xword 0x0a321659e322296d
46719 .xword 0x71e5cb9cf1a033b1
46720 .xword 0xb9d0386bd7e26b9f
46721 .xword 0xa0b96ef2f88aa75e
46722_t1_aes_auth_iv:
46723 .xword 0xe8371caca07dbbab
46724 .xword 0xffb1930207237d5d
46725 .xword 0x162cb1ea9cccdc00
46726 .xword 0x0d20db56bf591ad2
46727 .xword 0x49da72eba87f6b34
46728 .xword 0x397a6ed606b161af
46729 .xword 0xa662b6531c060422
46730 .xword 0x05a4d3548d4e2ea6
46731 .xword 0x7493e527c60f2bc4
46732 .xword 0xa6b1c2601ba3d23d
46733 .xword 0xc8f3df3b485bef5b
46734 .xword 0x6a5ab1c0d3f493ae
46735 .xword 0x1f509dcffe7c4819
46736 .xword 0x5dcc3f5f33ef1491
46737 .xword 0x22b20573496e7e89
46738 .xword 0x4bde405abd4711ea
46739 .xword 0xcdf2e0e90d5e4978
46740 .xword 0xe6368ef75fad8bd9
46741 .xword 0x0afd0b20e30fef8e
46742 .xword 0xb23f0346baa87f06
46743 .xword 0x191986548cf512f9
46744 .xword 0x292853c635651e7e
46745 .xword 0x00807785118a014b
46746_t1_aes_fas_result:
46747 .xword 0xDEADBEEFDEADBEEF
46748 .xword 0xDEADBEEFDEADBEEF
46749 .xword 0xDEADBEEFDEADBEEF
46750 .xword 0xDEADBEEFDEADBEEF
46751 .xword 0xDEADBEEFDEADBEEF
46752 .xword 0xDEADBEEFDEADBEEF
46753 .xword 0xDEADBEEFDEADBEEF
46754 .xword 0xDEADBEEFDEADBEEF
46755 .xword 0xDEADBEEFDEADBEEF
46756 .xword 0xDEADBEEFDEADBEEF
46757 .xword 0xDEADBEEFDEADBEEF
46758 .xword 0xDEADBEEFDEADBEEF
46759 .xword 0xDEADBEEFDEADBEEF
46760 .xword 0xDEADBEEFDEADBEEF
46761 .xword 0xDEADBEEFDEADBEEF
46762 .xword 0xDEADBEEFDEADBEEF
46763 .xword 0xDEADBEEFDEADBEEF
46764 .xword 0xDEADBEEFDEADBEEF
46765 .xword 0xDEADBEEFDEADBEEF
46766 .xword 0xDEADBEEFDEADBEEF
46767 .xword 0xDEADBEEFDEADBEEF
46768 .xword 0xDEADBEEFDEADBEEF
46769 .xword 0xDEADBEEFDEADBEEF
46770_t1_des_key_array:
46771 .xword 0xba2301a3556e0e62
46772 .xword 0x7dc7ea145195009a
46773 .xword 0xb97567b23881676b
46774 .xword 0xd1301bf6b1c49354
46775 .xword 0x8b9c1e46c37597be
46776 .xword 0xc1e61fa0bb9c7ac2
46777 .xword 0xb6da0aeb8ad4c6e5
46778 .xword 0xc1609012450430fb
46779 .xword 0x3460eba0543b5589
46780 .xword 0x9f684248e1b6b3c6
46781 .xword 0x82f1a91528fbc7d0
46782 .xword 0x5433ba2f8c1ee351
46783 .xword 0xf6e443ed4690ec22
46784 .xword 0xea446e1c064fb2e8
46785 .xword 0x26d2135dcc5f11b0
46786 .xword 0x12336cf03e1bcb17
46787 .xword 0xded7185f0b9f9bb3
46788 .xword 0x2dc4ac6e470b06ee
46789 .xword 0x8969956155ecc37e
46790 .xword 0x81ac4eb566a2b303
46791 .xword 0x0c0f694cec4e2889
46792 .xword 0xecc36d156dcb0662
46793 .xword 0xbc6d47e65f1c6de0
46794 .xword 0xe79dfe3f485a9976
46795 .xword 0x269ffdcfa20b7312
46796 .xword 0x4faec8291dfe5703
46797 .xword 0x18133df51572c302
46798 .xword 0x5fb34ea8b0aa831b
46799 .xword 0x04b155fec1a82111
46800 .xword 0x6f4d091093fb8a5b
46801 .xword 0xc511a9d34edb2a3e
46802 .xword 0x9f41d12506328761
46803 .xword 0x3abf96e8b39bb050
46804 .xword 0x70c71c16702aeffa
46805 .xword 0xd0b60d93a7d5b989
46806 .xword 0xda9ed979a37e36b4
46807 .xword 0xf6bbd0c5531b9ec5
46808 .xword 0x04224e3be46d5688
46809 .xword 0xff85bf7679aac6e9
46810 .xword 0xfe55f2ce1cc8f43d
46811 .xword 0x6bb930dc2c95d898
46812 .xword 0x6e5bc776ccbd0ecb
46813 .xword 0xa84de4daab2140cf
46814 .xword 0x721b7e9d485a09b3
46815 .xword 0x44c819fce2806468
46816 .xword 0x4fe4a96d34ed3b55
46817 .xword 0xa5f083a9128f2256
46818 .xword 0x20f4ef66c1d209dc
46819 .xword 0x31a4d5f5736443f6
46820 .xword 0x9194860c4caa6d13
46821 .xword 0xaa1838251a219208
46822_t1_des_iv_array:
46823 .xword 0x371159257db6e2fa
46824 .xword 0xe5d299b84711810a
46825 .xword 0x41547536575612d0
46826 .xword 0xaf9bc9064172808b
46827 .xword 0x4d70e28af3ea1a34
46828 .xword 0x9835ba3ba0f453a1
46829 .xword 0x0d7f75295f19f49c
46830 .xword 0x089c17c531fad317
46831 .xword 0xd67c0d380c3b65a9
46832 .xword 0x81b5e630e2a3d774
46833 .xword 0x3d7472e535e0c7a6
46834 .xword 0x4821c98d98b9dc64
46835 .xword 0xfb61d7e32596cae2
46836 .xword 0xf1ec4b12bdb5b4fd
46837 .xword 0xf33b5aee0bcaea0e
46838 .xword 0x5f73576334d76174
46839 .xword 0x81fe54efcf079f1f
46840 .xword 0x88045463f1ef90bc
46841 .xword 0xd494066348eeac97
46842 .xword 0x20c311b73c75481c
46843 .xword 0xe2c3250a31e38c17
46844 .xword 0x5ffc46fbe82d30d7
46845 .xword 0xfff35c4a26814d5e
46846 .xword 0x8d617caff62a69e7
46847 .xword 0x4a3e6d7fc3aeb718
46848 .xword 0x30ca1a68ab123a67
46849 .xword 0x8d96a256e4655aac
46850 .xword 0x66390920612c58ff
46851 .xword 0xbff7cc90a64f00be
46852 .xword 0x13f9a50a04d6b3b4
46853 .xword 0x72db79c1cf0d21e1
46854 .xword 0x6639b64dc03a2dd7
46855 .xword 0x2bb538a194b229fb
46856 .xword 0xbe0388c3e0cebf33
46857 .xword 0x6ad0e027363ccd94
46858 .xword 0xc9f327a9dc751e97
46859 .xword 0x7f1b0f0efc27d406
46860 .xword 0xe090e4340499ac3f
46861 .xword 0x40cd8981d31a8691
46862 .xword 0x917641e32610e831
46863 .xword 0x3d62a3d638e6a507
46864 .xword 0x87d29c935d4a4eec
46865 .xword 0x500ae6ebbc0f548b
46866 .xword 0x608a81fe1a78666a
46867 .xword 0x05572a8eec49335d
46868_t1_des_alignment_array:
46869 .xword 15
46870 .xword 9
46871 .xword 7
46872 .xword 14
46873 .xword 10
46874 .xword 1
46875 .xword 4
46876 .xword 3
46877 .xword 2
46878 .xword 1
46879 .xword 9
46880 .xword 10
46881 .xword 8
46882 .xword 2
46883 .xword 14
46884 .xword 14
46885 .xword 9
46886 .xword 9
46887 .xword 14
46888 .xword 8
46889 .xword 4
46890 .xword 9
46891 .xword 10
46892 .xword 2
46893 .xword 4
46894 .xword 8
46895 .xword 10
46896 .xword 4
46897 .xword 4
46898 .xword 8
46899 .xword 3
46900 .xword 15
46901 .xword 7
46902 .xword 4
46903 .xword 13
46904 .xword 6
46905 .xword 14
46906 .xword 1
46907 .xword 13
46908 .xword 11
46909 .xword 8
46910 .xword 4
46911 .xword 7
46912 .xword 3
46913 .xword 12
46914 .xword 7
46915 .xword 4
46916 .xword 4
46917 .xword 4
46918 .xword 13
46919 .xword 5
46920 .xword 9
46921 .xword 6
46922 .xword 14
46923 .xword 1
46924 .xword 13
46925 .xword 0
46926 .xword 0
46927 .xword 13
46928 .xword 0
46929 .xword 8
46930 .xword 2
46931 .xword 3
46932 .xword 6
46933 .xword 2
46934 .xword 4
46935 .xword 0
46936 .xword 7
46937 .xword 1
46938 .xword 8
46939 .xword 15
46940 .xword 0
46941 .xword 12
46942 .xword 2
46943 .xword 11
46944 .xword 11
46945 .xword 13
46946 .xword 15
46947 .xword 2
46948 .xword 10
46949 .xword 4
46950 .xword 11
46951 .xword 2
46952 .xword 1
46953 .xword 3
46954 .xword 3
46955 .xword 12
46956 .xword 4
46957 .xword 15
46958 .xword 9
46959 .xword 0
46960 .xword 11
46961 .xword 14
46962 .xword 0
46963 .xword 8
46964 .xword 2
46965 .xword 5
46966 .xword 11
46967 .xword 11
46968 .xword 0
46969 .xword 2
46970 .xword 6
46971 .xword 15
46972 .xword 7
46973 .xword 5
46974_t1_des_src:
46975 .xword 0xeeb84a0742b8ffee
46976 .xword 0xbb1b89672cb62f05
46977 .xword 0xbf97882c37e9a6a6
46978 .xword 0xb81329620c50641f
46979 .xword 0x9229a84e391a2c43
46980 .xword 0xebf955caccb3d22b
46981 .xword 0xe7a6723e8990dcf9
46982 .xword 0x1eeecd113f9e44f9
46983 .xword 0x5be7cbbbdd20dd18
46984 .xword 0xe5e05e5fc7d5cbb1
46985 .xword 0x5262ca499a9b6edb
46986 .xword 0xdb29033e9f1ada19
46987 .xword 0x7cbef6f1ccece6fa
46988 .xword 0x817f6176c306ff40
46989 .xword 0x76a3a223a3537848
46990 .xword 0x87f8a464c1da8620
46991 .xword 0xfcdf098e202fdc76
46992 .xword 0xbd0d854b785260b1
46993 .xword 0x2a0be437a473594c
46994 .xword 0xb63be91b3d3393c1
46995 .xword 0xa67562cf038d7efd
46996 .xword 0x306da808792032f3
46997 .xword 0x39fb750b7d313bcc
46998 .xword 0x8a9687da6c948708
46999 .xword 0x20a0312ef84ce4fa
47000 .xword 0x0fd8e696f1d2b65c
47001 .xword 0xd56f6df640f657f2
47002 .xword 0xd10297f4f601c7a2
47003 .xword 0xd8f0ebfc947695cd
47004 .xword 0xb9a758edc4b45b96
47005 .xword 0x7e7eae19ce8a8c3f
47006 .xword 0xa594e8fb3f4dc513
47007 .xword 0xf27cd51afa1e4699
47008 .xword 0x1bf15112eef802e9
47009 .xword 0x81e6ce9482569d00
47010 .xword 0x560fddbde464b6f0
47011 .xword 0xa78fc75693f8f9d4
47012 .xword 0x1071dfdfcf876f1f
47013 .xword 0x90b59dab9eb08536
47014 .xword 0x7d871f85de8e148d
47015 .xword 0x48cce6295547d291
47016 .xword 0xc661814e86889887
47017 .xword 0xe67d6fe1861fe21d
47018 .xword 0xb01833722fe92d4c
47019 .xword 0x2d07d980a0e5db18
47020 .xword 0x238bac5ca6c7bae9
47021 .xword 0xa7ce836e4222bd52
47022 .xword 0xcd6fbf720824cc37
47023 .xword 0xbf3836325dfb6ed3
47024 .xword 0xa657781195d90d9e
47025 .xword 0xdfc5808463281fac
47026 .xword 0x94d3c507afa953ed
47027 .xword 0x6ab733b6e8e4af6e
47028 .xword 0x5ea5cdbe78dd8e75
47029 .xword 0x282d4a4da2456930
47030 .xword 0xed1fbeb975132d2c
47031 .xword 0x0854f54bf60ce634
47032 .xword 0x3389edf380eb4fac
47033 .xword 0x2368b63f13b1e1bf
47034 .xword 0x6065cbd573207958
47035 .xword 0xa43d60cbea25bed5
47036 .xword 0xa494158804b05de2
47037 .xword 0x193bc697d55880ca
47038 .xword 0x89fbd22f2ea446b7
47039 .xword 0xdccc8c48c2fcc062
47040 .xword 0x6d68d548f1f15cdf
47041 .xword 0x8c5f1b6ffd52ff30
47042 .xword 0xfe58fd5599295721
47043 .xword 0x025a166774fbf5c7
47044 .xword 0x670b70cdf01b779b
47045 .xword 0xff6ddf6e25caad16
47046 .xword 0xff358c127e387afe
47047 .xword 0xc51917eda41ad51e
47048 .xword 0xe138bf35c8f07618
47049 .xword 0x185ec17b77cfb3c1
47050 .xword 0x88d1104dc4c28a87
47051 .xword 0x1fc30258fe03dc4e
47052 .xword 0x490882ff3cea6926
47053 .xword 0x454e2db0746f723f
47054 .xword 0x6452ba7a7f5044ea
47055 .xword 0xa75ce84906ffb1a2
47056 .xword 0x9a716cea8b35997a
47057 .xword 0xf9c016f608912fd0
47058 .xword 0xc357c8aaafe8ecba
47059 .xword 0x39414d147548d32e
47060 .xword 0xef3960097abe4c7c
47061 .xword 0xe315a8e4f1731a21
47062 .xword 0x8d3b94af26593cb2
47063 .xword 0x931684af617140ac
47064 .xword 0x0b05d203e3cba4b7
47065 .xword 0xd080c1c1fee5b1fd
47066 .xword 0x5083efac2d5718d6
47067 .xword 0xbbbe1c9a7c71bbd5
47068 .xword 0x69ad282d840ded87
47069 .xword 0xa0779adbd84cb8c3
47070 .xword 0x12ecd2740c846c87
47071 .xword 0xd081c2e241d6f6db
47072 .xword 0xe9fb7b882ca70a35
47073 .xword 0x7852f01c3e888ecb
47074 .xword 0x1d518faaa37c2409
47075 .xword 0x61c3df2952779d51
47076 .xword 0x8371633ca18a4a94
47077 .xword 0xfc0b98babf2f0037
47078 .xword 0xcdd26ab0d9913a46
47079 .xword 0xa795a2ed73b21f69
47080 .xword 0x73abd76587b32879
47081 .xword 0xcef452324a5b6b45
47082 .xword 0x8e0dc0ce43448f45
47083 .xword 0xa6860b89fe2aca2a
47084 .xword 0xe2e2e234001c1575
47085 .xword 0xa4504430612715ab
47086 .xword 0x13348b5374b6fd6a
47087 .xword 0xe3020756358321ce
47088 .xword 0xe1f081438e778afc
47089 .xword 0x50f045af41473020
47090 .xword 0x9d1ebda1d69c2567
47091 .xword 0xf24ae315dba01941
47092 .xword 0x36d7100ec9fe8e3d
47093 .xword 0xd27210f4d194ac5e
47094 .xword 0x2332bab99e640e10
47095 .xword 0xe36b8f6944d1fbc8
47096 .xword 0xdda5ba550cde9924
47097 .xword 0xb08ad606306ae5c7
47098 .xword 0x56ce55b77a7df89d
47099 .xword 0x6f3fc3ff9e80c0a5
47100 .xword 0xf3b7871bdc1c46ba
47101 .xword 0x1dfb1344691747b8
47102 .xword 0x0a364583be455d83
47103 .xword 0x847f5a0a153fed54
47104 .xword 0xfa9b824a4fdfa49b
47105 .xword 0xfc771c7e8c10d92e
47106 .xword 0xe3f88074f6ff6b1b
47107 .xword 0xc808cb5239005f94
47108 .xword 0x9729c7db8bb96b60
47109 .xword 0x8d41632ee1d2eecd
47110 .xword 0xbfe088d5137abdd5
47111 .xword 0x20c136ff2fd157ff
47112 .xword 0x176edbfbb1d64d22
47113 .xword 0xeb780ae4d2363c99
47114 .xword 0xcc1546f70ba1d0c3
47115 .xword 0xb5eefda5c99a8f61
47116 .xword 0xdb35ffa6b57ffeae
47117 .xword 0xd0af6878bf465897
47118 .xword 0x3d5f2d15a0d5fdba
47119 .xword 0x40a71b3fd4787a76
47120 .xword 0x0e2f8fb576dfe84f
47121 .xword 0x30b10b579b8f64af
47122 .xword 0xc50a97f243fa0e3e
47123 .xword 0xa7122c2b7db60c2c
47124 .xword 0x25d1fd3e1b3cb254
47125 .xword 0x577df15b6cdce879
47126 .xword 0x5ec16a380a5f2934
47127 .xword 0x6010e4f387d92b39
47128 .xword 0x398b68efbeaa98db
47129 .xword 0x01ff46881e40402f
47130 .xword 0x36748eb056515d0b
47131 .xword 0x16d49d370139f039
47132 .xword 0xb84919ec6d8e8f5c
47133 .xword 0xac5f877252476ca5
47134 .xword 0x4bc45a54eacda034
47135 .xword 0x1e690f04330fe75a
47136 .xword 0x83e6a4e3287fdee0
47137 .xword 0xc09687dc48df1f51
47138 .xword 0xdb8622c9eee10f9d
47139 .xword 0x6689d5157d24f4c0
47140 .xword 0x49b93ce782bd8ad3
47141 .xword 0xeb020d267d2e3832
47142 .xword 0x164a2b8b0f027377
47143 .xword 0x1dedbbad1992a56d
47144 .xword 0x64d041a6e37a8b45
47145 .xword 0x2fe158ec56b0a8b6
47146 .xword 0x139d663b0e3600e3
47147 .xword 0x573e93cfaa8bd004
47148 .xword 0x8056d3e0e7f011a6
47149 .xword 0x4e710689248413a6
47150 .xword 0xe4baa82b974ac56d
47151 .xword 0x22842d55a66f2eda
47152 .xword 0xd452f61b1c5157ee
47153 .xword 0x9bec344403001e50
47154 .xword 0x9e6ade67ffd16e65
47155 .xword 0xb66c3f3786369c90
47156 .xword 0xc7b2b3ca4649f72d
47157 .xword 0x48e75c1079381d85
47158 .xword 0x0b634eee6c00f203
47159 .xword 0x12225971114fc962
47160 .xword 0x6bd5edbe872cc7dc
47161 .xword 0x3bbe810aa1d1f3a0
47162 .xword 0xcf653e49499ef12f
47163 .xword 0xd0b805ee932ed296
47164 .xword 0x7fec35f715762fe7
47165 .xword 0x7dbf7d0fb94c9d36
47166 .xword 0xd457aabe12b158c0
47167 .xword 0x3115ac024e6de206
47168 .xword 0x6e6224d8844f28e9
47169 .xword 0x653933e8ca3c7e4e
47170 .xword 0x93e5f60d6d25aa71
47171 .xword 0x46b67ebb74701e39
47172 .xword 0x8a5865b16c4fba22
47173 .xword 0x50b935679e48821a
47174 .xword 0x9185a191ab419c37
47175 .xword 0x7b7138783187afae
47176 .xword 0x656ae467d552f0b7
47177 .xword 0x258220c875d047e8
47178 .xword 0x182ed297547f3c2d
47179 .xword 0xa9e762a983062532
47180 .xword 0x7383db7eebbcd99a
47181 .xword 0xede977975dd1f035
47182 .xword 0x90961314b9691c98
47183 .xword 0x943784835fd468f2
47184 .xword 0xd36a6740e6c6c2d7
47185 .xword 0xce016597ecc3df29
47186 .xword 0xfc1ad548a9020933
47187 .xword 0x835a99d6979b6202
47188 .xword 0x55f930231e91aef2
47189 .xword 0x647ebe4c13513b22
47190 .xword 0x5b2e71a65cb6b321
47191 .xword 0x4aa31bceaa676464
47192 .xword 0xd0a60bfa5bcd4448
47193 .xword 0x6c8cf51bac5ac651
47194 .xword 0x7310372d126c54c0
47195 .xword 0xb1818ce15a6985ca
47196 .xword 0x0959056778f1c690
47197 .xword 0x1b83c9e2f308643d
47198 .xword 0x0b6587fbe6ee443f
47199 .xword 0x4b9b039083c82de8
47200 .xword 0xbea6ad206bdc2607
47201 .xword 0x44e69b16548ac2dc
47202 .xword 0x38d23fd8b961d333
47203 .xword 0xe7df5cfe95af8780
47204 .xword 0xa0c350951f82887b
47205 .xword 0x427f3029ff835ee7
47206 .xword 0x252826832f1f710e
47207 .xword 0x66059cc26ba6edfc
47208 .xword 0x87cdf8d88055aaab
47209 .xword 0x745ac24ccf1588dd
47210 .xword 0xb22096d73af296ee
47211 .xword 0xfca0b8d9f1d21e3a
47212 .xword 0xf9cc06580ece6b9c
47213 .xword 0xab82e841f2fa6593
47214 .xword 0x95d211781d17ffd4
47215 .xword 0x216b0f1506dda87c
47216 .xword 0x7809085c75b5ce52
47217 .xword 0x148a39d144c62abf
47218 .xword 0xd63a5a8d3844d25f
47219 .xword 0x361c889be077ffe9
47220 .xword 0x8f5603b18c948927
47221 .xword 0x782b0394b84b063a
47222 .xword 0x8db87817a323ec15
47223 .xword 0xf9a8572c7b7671a9
47224 .xword 0x8f873e69342937bc
47225 .xword 0xb9d864f58c906214
47226 .xword 0x0acb5cd6515d4bb1
47227 .xword 0xdf3da15e78710db9
47228 .xword 0x89013a5f9a8a75b9
47229 .xword 0xd0cf08cec3b9882a
47230 .xword 0x1023ea4f27dd946c
47231 .xword 0x92786c15d02980a7
47232 .xword 0xf200a302b9fbddad
47233 .xword 0xa4ed70c35d544e6c
47234 .xword 0x66976d650da472af
47235 .xword 0x1c48f54834d09feb
47236 .xword 0x5cb5fcd22ebc3a4a
47237 .xword 0xb954c8583cbdffc3
47238 .xword 0xf69f5edb09f55f7e
47239 .xword 0x76e01eb748001195
47240 .xword 0x16732824d0faa44e
47241 .xword 0x7867fe5cb1992fa2
47242 .xword 0xbf9af00ba1bbc472
47243 .xword 0xf15a1ae5179a3516
47244 .xword 0x887c340233aa03eb
47245 .xword 0x8b8d51ec544a5c94
47246 .xword 0x33bf0b916ab90c98
47247 .xword 0xb98495234810b7ed
47248 .xword 0xf050f0fd77a2cdc7
47249 .xword 0x14cf0cc0d973bd53
47250_t1_des_dest:
47251 .xword 0xDEADBEEFDEADBEEF
47252 .xword 0xDEADBEEFDEADBEEF
47253 .xword 0xDEADBEEFDEADBEEF
47254 .xword 0xDEADBEEFDEADBEEF
47255 .xword 0xDEADBEEFDEADBEEF
47256 .xword 0xDEADBEEFDEADBEEF
47257 .xword 0xDEADBEEFDEADBEEF
47258 .xword 0xDEADBEEFDEADBEEF
47259 .xword 0xDEADBEEFDEADBEEF
47260 .xword 0xDEADBEEFDEADBEEF
47261 .xword 0xDEADBEEFDEADBEEF
47262 .xword 0xDEADBEEFDEADBEEF
47263 .xword 0xDEADBEEFDEADBEEF
47264 .xword 0xDEADBEEFDEADBEEF
47265 .xword 0xDEADBEEFDEADBEEF
47266 .xword 0xDEADBEEFDEADBEEF
47267 .xword 0xDEADBEEFDEADBEEF
47268 .xword 0xDEADBEEFDEADBEEF
47269 .xword 0xDEADBEEFDEADBEEF
47270 .xword 0xDEADBEEFDEADBEEF
47271 .xword 0xDEADBEEFDEADBEEF
47272 .xword 0xDEADBEEFDEADBEEF
47273 .xword 0xDEADBEEFDEADBEEF
47274 .xword 0xDEADBEEFDEADBEEF
47275 .xword 0xDEADBEEFDEADBEEF
47276 .xword 0xDEADBEEFDEADBEEF
47277 .xword 0xDEADBEEFDEADBEEF
47278 .xword 0xDEADBEEFDEADBEEF
47279 .xword 0xDEADBEEFDEADBEEF
47280 .xword 0xDEADBEEFDEADBEEF
47281 .xword 0xDEADBEEFDEADBEEF
47282 .xword 0xDEADBEEFDEADBEEF
47283 .xword 0xDEADBEEFDEADBEEF
47284 .xword 0xDEADBEEFDEADBEEF
47285 .xword 0xDEADBEEFDEADBEEF
47286 .xword 0xDEADBEEFDEADBEEF
47287 .xword 0xDEADBEEFDEADBEEF
47288 .xword 0xDEADBEEFDEADBEEF
47289 .xword 0xDEADBEEFDEADBEEF
47290 .xword 0xDEADBEEFDEADBEEF
47291 .xword 0xDEADBEEFDEADBEEF
47292 .xword 0xDEADBEEFDEADBEEF
47293 .xword 0xDEADBEEFDEADBEEF
47294 .xword 0xDEADBEEFDEADBEEF
47295 .xword 0xDEADBEEFDEADBEEF
47296 .xword 0xDEADBEEFDEADBEEF
47297 .xword 0xDEADBEEFDEADBEEF
47298 .xword 0xDEADBEEFDEADBEEF
47299 .xword 0xDEADBEEFDEADBEEF
47300 .xword 0xDEADBEEFDEADBEEF
47301 .xword 0xDEADBEEFDEADBEEF
47302 .xword 0xDEADBEEFDEADBEEF
47303 .xword 0xDEADBEEFDEADBEEF
47304 .xword 0xDEADBEEFDEADBEEF
47305 .xword 0xDEADBEEFDEADBEEF
47306 .xword 0xDEADBEEFDEADBEEF
47307 .xword 0xDEADBEEFDEADBEEF
47308 .xword 0xDEADBEEFDEADBEEF
47309 .xword 0xDEADBEEFDEADBEEF
47310 .xword 0xDEADBEEFDEADBEEF
47311 .xword 0xDEADBEEFDEADBEEF
47312 .xword 0xDEADBEEFDEADBEEF
47313 .xword 0xDEADBEEFDEADBEEF
47314 .xword 0xDEADBEEFDEADBEEF
47315 .xword 0xDEADBEEFDEADBEEF
47316 .xword 0xDEADBEEFDEADBEEF
47317 .xword 0xDEADBEEFDEADBEEF
47318 .xword 0xDEADBEEFDEADBEEF
47319 .xword 0xDEADBEEFDEADBEEF
47320 .xword 0xDEADBEEFDEADBEEF
47321 .xword 0xDEADBEEFDEADBEEF
47322 .xword 0xDEADBEEFDEADBEEF
47323 .xword 0xDEADBEEFDEADBEEF
47324 .xword 0xDEADBEEFDEADBEEF
47325 .xword 0xDEADBEEFDEADBEEF
47326 .xword 0xDEADBEEFDEADBEEF
47327 .xword 0xDEADBEEFDEADBEEF
47328 .xword 0xDEADBEEFDEADBEEF
47329 .xword 0xDEADBEEFDEADBEEF
47330 .xword 0xDEADBEEFDEADBEEF
47331 .xword 0xDEADBEEFDEADBEEF
47332 .xword 0xDEADBEEFDEADBEEF
47333 .xword 0xDEADBEEFDEADBEEF
47334 .xword 0xDEADBEEFDEADBEEF
47335 .xword 0xDEADBEEFDEADBEEF
47336 .xword 0xDEADBEEFDEADBEEF
47337 .xword 0xDEADBEEFDEADBEEF
47338 .xword 0xDEADBEEFDEADBEEF
47339 .xword 0xDEADBEEFDEADBEEF
47340 .xword 0xDEADBEEFDEADBEEF
47341 .xword 0xDEADBEEFDEADBEEF
47342 .xword 0xDEADBEEFDEADBEEF
47343 .xword 0xDEADBEEFDEADBEEF
47344 .xword 0xDEADBEEFDEADBEEF
47345 .xword 0xDEADBEEFDEADBEEF
47346 .xword 0xDEADBEEFDEADBEEF
47347 .xword 0xDEADBEEFDEADBEEF
47348 .xword 0xDEADBEEFDEADBEEF
47349 .xword 0xDEADBEEFDEADBEEF
47350 .xword 0xDEADBEEFDEADBEEF
47351 .xword 0xDEADBEEFDEADBEEF
47352 .xword 0xDEADBEEFDEADBEEF
47353 .xword 0xDEADBEEFDEADBEEF
47354 .xword 0xDEADBEEFDEADBEEF
47355 .xword 0xDEADBEEFDEADBEEF
47356 .xword 0xDEADBEEFDEADBEEF
47357 .xword 0xDEADBEEFDEADBEEF
47358 .xword 0xDEADBEEFDEADBEEF
47359 .xword 0xDEADBEEFDEADBEEF
47360 .xword 0xDEADBEEFDEADBEEF
47361 .xword 0xDEADBEEFDEADBEEF
47362 .xword 0xDEADBEEFDEADBEEF
47363 .xword 0xDEADBEEFDEADBEEF
47364 .xword 0xDEADBEEFDEADBEEF
47365 .xword 0xDEADBEEFDEADBEEF
47366 .xword 0xDEADBEEFDEADBEEF
47367 .xword 0xDEADBEEFDEADBEEF
47368 .xword 0xDEADBEEFDEADBEEF
47369 .xword 0xDEADBEEFDEADBEEF
47370 .xword 0xDEADBEEFDEADBEEF
47371 .xword 0xDEADBEEFDEADBEEF
47372 .xword 0xDEADBEEFDEADBEEF
47373 .xword 0xDEADBEEFDEADBEEF
47374 .xword 0xDEADBEEFDEADBEEF
47375 .xword 0xDEADBEEFDEADBEEF
47376 .xword 0xDEADBEEFDEADBEEF
47377 .xword 0xDEADBEEFDEADBEEF
47378 .xword 0xDEADBEEFDEADBEEF
47379 .xword 0xDEADBEEFDEADBEEF
47380 .xword 0xDEADBEEFDEADBEEF
47381 .xword 0xDEADBEEFDEADBEEF
47382 .xword 0xDEADBEEFDEADBEEF
47383 .xword 0xDEADBEEFDEADBEEF
47384 .xword 0xDEADBEEFDEADBEEF
47385 .xword 0xDEADBEEFDEADBEEF
47386 .xword 0xDEADBEEFDEADBEEF
47387 .xword 0xDEADBEEFDEADBEEF
47388 .xword 0xDEADBEEFDEADBEEF
47389 .xword 0xDEADBEEFDEADBEEF
47390 .xword 0xDEADBEEFDEADBEEF
47391 .xword 0xDEADBEEFDEADBEEF
47392 .xword 0xDEADBEEFDEADBEEF
47393 .xword 0xDEADBEEFDEADBEEF
47394 .xword 0xDEADBEEFDEADBEEF
47395 .xword 0xDEADBEEFDEADBEEF
47396 .xword 0xDEADBEEFDEADBEEF
47397 .xword 0xDEADBEEFDEADBEEF
47398 .xword 0xDEADBEEFDEADBEEF
47399 .xword 0xDEADBEEFDEADBEEF
47400 .xword 0xDEADBEEFDEADBEEF
47401 .xword 0xDEADBEEFDEADBEEF
47402 .xword 0xDEADBEEFDEADBEEF
47403 .xword 0xDEADBEEFDEADBEEF
47404 .xword 0xDEADBEEFDEADBEEF
47405 .xword 0xDEADBEEFDEADBEEF
47406 .xword 0xDEADBEEFDEADBEEF
47407 .xword 0xDEADBEEFDEADBEEF
47408 .xword 0xDEADBEEFDEADBEEF
47409 .xword 0xDEADBEEFDEADBEEF
47410 .xword 0xDEADBEEFDEADBEEF
47411 .xword 0xDEADBEEFDEADBEEF
47412 .xword 0xDEADBEEFDEADBEEF
47413 .xword 0xDEADBEEFDEADBEEF
47414 .xword 0xDEADBEEFDEADBEEF
47415 .xword 0xDEADBEEFDEADBEEF
47416 .xword 0xDEADBEEFDEADBEEF
47417 .xword 0xDEADBEEFDEADBEEF
47418 .xword 0xDEADBEEFDEADBEEF
47419 .xword 0xDEADBEEFDEADBEEF
47420 .xword 0xDEADBEEFDEADBEEF
47421 .xword 0xDEADBEEFDEADBEEF
47422 .xword 0xDEADBEEFDEADBEEF
47423 .xword 0xDEADBEEFDEADBEEF
47424 .xword 0xDEADBEEFDEADBEEF
47425 .xword 0xDEADBEEFDEADBEEF
47426 .xword 0xDEADBEEFDEADBEEF
47427 .xword 0xDEADBEEFDEADBEEF
47428 .xword 0xDEADBEEFDEADBEEF
47429 .xword 0xDEADBEEFDEADBEEF
47430 .xword 0xDEADBEEFDEADBEEF
47431 .xword 0xDEADBEEFDEADBEEF
47432 .xword 0xDEADBEEFDEADBEEF
47433 .xword 0xDEADBEEFDEADBEEF
47434 .xword 0xDEADBEEFDEADBEEF
47435 .xword 0xDEADBEEFDEADBEEF
47436 .xword 0xDEADBEEFDEADBEEF
47437 .xword 0xDEADBEEFDEADBEEF
47438 .xword 0xDEADBEEFDEADBEEF
47439 .xword 0xDEADBEEFDEADBEEF
47440 .xword 0xDEADBEEFDEADBEEF
47441 .xword 0xDEADBEEFDEADBEEF
47442 .xword 0xDEADBEEFDEADBEEF
47443 .xword 0xDEADBEEFDEADBEEF
47444 .xword 0xDEADBEEFDEADBEEF
47445 .xword 0xDEADBEEFDEADBEEF
47446 .xword 0xDEADBEEFDEADBEEF
47447 .xword 0xDEADBEEFDEADBEEF
47448 .xword 0xDEADBEEFDEADBEEF
47449 .xword 0xDEADBEEFDEADBEEF
47450 .xword 0xDEADBEEFDEADBEEF
47451 .xword 0xDEADBEEFDEADBEEF
47452 .xword 0xDEADBEEFDEADBEEF
47453 .xword 0xDEADBEEFDEADBEEF
47454 .xword 0xDEADBEEFDEADBEEF
47455 .xword 0xDEADBEEFDEADBEEF
47456 .xword 0xDEADBEEFDEADBEEF
47457 .xword 0xDEADBEEFDEADBEEF
47458 .xword 0xDEADBEEFDEADBEEF
47459 .xword 0xDEADBEEFDEADBEEF
47460 .xword 0xDEADBEEFDEADBEEF
47461 .xword 0xDEADBEEFDEADBEEF
47462 .xword 0xDEADBEEFDEADBEEF
47463 .xword 0xDEADBEEFDEADBEEF
47464 .xword 0xDEADBEEFDEADBEEF
47465 .xword 0xDEADBEEFDEADBEEF
47466 .xword 0xDEADBEEFDEADBEEF
47467 .xword 0xDEADBEEFDEADBEEF
47468 .xword 0xDEADBEEFDEADBEEF
47469 .xword 0xDEADBEEFDEADBEEF
47470 .xword 0xDEADBEEFDEADBEEF
47471 .xword 0xDEADBEEFDEADBEEF
47472 .xword 0xDEADBEEFDEADBEEF
47473 .xword 0xDEADBEEFDEADBEEF
47474 .xword 0xDEADBEEFDEADBEEF
47475 .xword 0xDEADBEEFDEADBEEF
47476 .xword 0xDEADBEEFDEADBEEF
47477 .xword 0xDEADBEEFDEADBEEF
47478 .xword 0xDEADBEEFDEADBEEF
47479 .xword 0xDEADBEEFDEADBEEF
47480 .xword 0xDEADBEEFDEADBEEF
47481 .xword 0xDEADBEEFDEADBEEF
47482 .xword 0xDEADBEEFDEADBEEF
47483 .xword 0xDEADBEEFDEADBEEF
47484 .xword 0xDEADBEEFDEADBEEF
47485 .xword 0xDEADBEEFDEADBEEF
47486 .xword 0xDEADBEEFDEADBEEF
47487 .xword 0xDEADBEEFDEADBEEF
47488 .xword 0xDEADBEEFDEADBEEF
47489 .xword 0xDEADBEEFDEADBEEF
47490 .xword 0xDEADBEEFDEADBEEF
47491 .xword 0xDEADBEEFDEADBEEF
47492 .xword 0xDEADBEEFDEADBEEF
47493 .xword 0xDEADBEEFDEADBEEF
47494 .xword 0xDEADBEEFDEADBEEF
47495 .xword 0xDEADBEEFDEADBEEF
47496 .xword 0xDEADBEEFDEADBEEF
47497 .xword 0xDEADBEEFDEADBEEF
47498 .xword 0xDEADBEEFDEADBEEF
47499 .xword 0xDEADBEEFDEADBEEF
47500 .xword 0xDEADBEEFDEADBEEF
47501 .xword 0xDEADBEEFDEADBEEF
47502 .xword 0xDEADBEEFDEADBEEF
47503 .xword 0xDEADBEEFDEADBEEF
47504 .xword 0xDEADBEEFDEADBEEF
47505 .xword 0xDEADBEEFDEADBEEF
47506 .xword 0xDEADBEEFDEADBEEF
47507 .xword 0xDEADBEEFDEADBEEF
47508 .xword 0xDEADBEEFDEADBEEF
47509 .xword 0xDEADBEEFDEADBEEF
47510 .xword 0xDEADBEEFDEADBEEF
47511 .xword 0xDEADBEEFDEADBEEF
47512 .xword 0xDEADBEEFDEADBEEF
47513 .xword 0xDEADBEEFDEADBEEF
47514 .xword 0xDEADBEEFDEADBEEF
47515 .xword 0xDEADBEEFDEADBEEF
47516 .xword 0xDEADBEEFDEADBEEF
47517 .xword 0xDEADBEEFDEADBEEF
47518 .xword 0xDEADBEEFDEADBEEF
47519 .xword 0xDEADBEEFDEADBEEF
47520 .xword 0xDEADBEEFDEADBEEF
47521 .xword 0xDEADBEEFDEADBEEF
47522 .xword 0xDEADBEEFDEADBEEF
47523 .xword 0xDEADBEEFDEADBEEF
47524 .xword 0xDEADBEEFDEADBEEF
47525 .xword 0xDEADBEEFDEADBEEF
47526_t1_des_auth_key:
47527 .xword 0x2a02a95b0dc201f3
47528 .xword 0xdd647caaf63e0916
47529 .xword 0x7777edf998589e0d
47530 .xword 0x0903c6a7f45c94c7
47531 .xword 0x197741d7ff8d10f8
47532 .xword 0x7522b7fee9239692
47533 .xword 0x734a01fcd388a0cc
47534 .xword 0xfcd003ec754c72ba
47535 .xword 0xf0cf1eeac050548d
47536 .xword 0x3f8b25cb57f8a84c
47537 .xword 0x8bb13acdea3c4788
47538 .xword 0x3a6f1410d9f4d742
47539 .xword 0xf0fd7f53b1a68f01
47540 .xword 0x0e59b93c8e736850
47541 .xword 0x9fdbcbf2bef3d750
47542 .xword 0x262edd874e046c84
47543 .xword 0xdfe5751cbcd84c1e
47544 .xword 0x9afc127cbd2b49c6
47545 .xword 0x296e6549c24377a7
47546 .xword 0x05764264b5eab93b
47547 .xword 0x45d647f5cd7f46e8
47548 .xword 0x8f68f5bbeed32a1f
47549 .xword 0xea38c8090b104b58
47550_t1_des_auth_iv:
47551 .xword 0x50519ac960f9ac5d
47552 .xword 0xed325a8065d99f62
47553 .xword 0xc26f2aec2fce3aee
47554 .xword 0x2fd1f0463b7095f6
47555 .xword 0x4a25635635145e23
47556 .xword 0x9148876ad9a15228
47557 .xword 0x6f85e3dd84b7c95a
47558 .xword 0x2b5440c05c78d109
47559 .xword 0xb360a0626600d6fb
47560 .xword 0x08419e7b0bd0e72d
47561 .xword 0x519183de299814cb
47562 .xword 0x6ce24834c7c36b65
47563 .xword 0xa73d7829b7787343
47564 .xword 0x2be7c9738e6da7b0
47565 .xword 0x78aa7ce658195fcc
47566 .xword 0x40276ce5a00fd4c7
47567 .xword 0xa429980e8ec3dc30
47568 .xword 0x663d1e9def534753
47569 .xword 0x2ddf65257faec21a
47570 .xword 0xd8ffb3391186e6e7
47571 .xword 0x0eafc654b74a9bed
47572 .xword 0xa96962be0e6752f1
47573 .xword 0x604560322fbca907
47574_t1_des_fas_result:
47575 .xword 0xDEADBEEFDEADBEEF
47576 .xword 0xDEADBEEFDEADBEEF
47577 .xword 0xDEADBEEFDEADBEEF
47578 .xword 0xDEADBEEFDEADBEEF
47579 .xword 0xDEADBEEFDEADBEEF
47580 .xword 0xDEADBEEFDEADBEEF
47581 .xword 0xDEADBEEFDEADBEEF
47582 .xword 0xDEADBEEFDEADBEEF
47583 .xword 0xDEADBEEFDEADBEEF
47584 .xword 0xDEADBEEFDEADBEEF
47585 .xword 0xDEADBEEFDEADBEEF
47586 .xword 0xDEADBEEFDEADBEEF
47587 .xword 0xDEADBEEFDEADBEEF
47588 .xword 0xDEADBEEFDEADBEEF
47589 .xword 0xDEADBEEFDEADBEEF
47590 .xword 0xDEADBEEFDEADBEEF
47591 .xword 0xDEADBEEFDEADBEEF
47592 .xword 0xDEADBEEFDEADBEEF
47593 .xword 0xDEADBEEFDEADBEEF
47594 .xword 0xDEADBEEFDEADBEEF
47595 .xword 0xDEADBEEFDEADBEEF
47596 .xword 0xDEADBEEFDEADBEEF
47597 .xword 0xDEADBEEFDEADBEEF
47598_t1_copy_key_array:
47599 .xword 0x4382c35ba11b7ff7
47600 .xword 0x497c960f2102c899
47601 .xword 0xafca0206dc5d8ecc
47602 .xword 0x9213c12d08766380
47603 .xword 0x0434751a38e2a3b8
47604 .xword 0xa32c09263a15ad12
47605 .xword 0xf34d37b1dc0e13b5
47606 .xword 0x308102b26ecc5422
47607 .xword 0xf084d3ba163cf438
47608 .xword 0xeb13a3aeaed6af94
47609 .xword 0xaf0c90905f61aacb
47610 .xword 0xd9d74553ccbc9127
47611 .xword 0x3bc888bfd5715d62
47612 .xword 0x3cf770f3316ad1ad
47613 .xword 0x654d0055f1da3497
47614 .xword 0xa7a16bfa8aa76998
47615 .xword 0x856547438f526000
47616 .xword 0xd8586626518deee6
47617 .xword 0x6123c7191e0f1d7f
47618 .xword 0x463a9ab82f691e3f
47619 .xword 0xb74578e6542db212
47620 .xword 0x6873ab8d59af165c
47621 .xword 0x6e6b1e8a1c48bc15
47622 .xword 0xf8af5557cc0b6411
47623 .xword 0x4c84594b7839b222
47624 .xword 0x05499c9776ca762a
47625 .xword 0x4096128ff001b09c
47626 .xword 0xc526abe35e8a0c7f
47627 .xword 0x2e1ebb59f48650a9
47628 .xword 0x5b9e22821a9cdff5
47629 .xword 0x95665994ac5e9297
47630 .xword 0x701057293dfc7a93
47631 .xword 0x13b33a0bf6c598c8
47632 .xword 0x230a1134d401e7dd
47633 .xword 0xad5dee65b1623a02
47634 .xword 0x86f721ceeff9b2c5
47635 .xword 0xc052fab7b1093a42
47636 .xword 0x59c484f60691e348
47637 .xword 0xe99583ed2758a0ed
47638 .xword 0x5e773e88c77e3e37
47639 .xword 0xef42b4660174bb8b
47640 .xword 0xd93e6c268a8ed722
47641 .xword 0xc8f930d908bb179c
47642 .xword 0xa01d7379bccc755a
47643 .xword 0xf65e77ed77cca1dd
47644 .xword 0xadb0e57317cd06e3
47645 .xword 0xc9fd9dd4b6a9e95f
47646 .xword 0x33a50ea02416d08b
47647 .xword 0xb43e48ce6bb65d18
47648 .xword 0x2d4640236793afbc
47649 .xword 0x6616df60615fe700
47650_t1_copy_iv_array:
47651 .xword 0x07ccf0958d085eda
47652 .xword 0xf7fe6914fb400f5a
47653 .xword 0xc6653f4f02160a81
47654 .xword 0xe8ad89a491b4c5dc
47655 .xword 0x3428d89ae0944f31
47656 .xword 0xdf96648b0c3174b9
47657 .xword 0xc8b5ae0f47d39026
47658 .xword 0x7473216b1158e889
47659 .xword 0x1e393b2a35d86899
47660 .xword 0xfdcef9c9f71adfa5
47661 .xword 0x18b6109ce06a44d2
47662 .xword 0x25374442efc0ef9b
47663 .xword 0x8537cc00c587f18c
47664 .xword 0x9287eac94a649fad
47665 .xword 0xa7ce5c26b45cbf45
47666 .xword 0xff2682230f7cf534
47667 .xword 0x32bd7eabbc1ca3f6
47668 .xword 0xe2e1efaaf22c0db7
47669 .xword 0xcd379de88ab25f5d
47670 .xword 0xe03950c81fbfcddc
47671 .xword 0xaf38a9ae14121324
47672 .xword 0x31e26d7cfd2e2f27
47673 .xword 0xa43ad2925e397053
47674 .xword 0xd81046c81c4b6275
47675 .xword 0x8a111b4bb980f272
47676 .xword 0x98c99d17ffa23e0f
47677 .xword 0x8a9dc28c7784e6f6
47678 .xword 0xf781e6443bb57300
47679 .xword 0xefc232aa4e6ccd3b
47680 .xword 0x2fac8b5f93a939b5
47681 .xword 0xefa67b178dba3b57
47682 .xword 0xc0b88004d9a307e4
47683 .xword 0xa6ed0ef836b8f5a6
47684 .xword 0x9baf6b8401c2893f
47685 .xword 0xcaea845f6b80db7e
47686 .xword 0x301fa6ee3dbb4322
47687 .xword 0xa0be0a00f5a142d5
47688 .xword 0x7f9f98a19f338d11
47689 .xword 0x613a18be7c662d17
47690 .xword 0x252bd9b2aeb9e2c6
47691 .xword 0x32c819ba88ff5730
47692 .xword 0x373e97a8d2b443d2
47693 .xword 0x9b455fb4e737a731
47694 .xword 0x06cbb2af4a5d2492
47695 .xword 0x50578afa56e391c8
47696_t1_copy_alignment_array:
47697 .xword 7
47698 .xword 1
47699 .xword 12
47700 .xword 12
47701 .xword 7
47702 .xword 9
47703 .xword 6
47704 .xword 6
47705 .xword 15
47706 .xword 13
47707 .xword 14
47708 .xword 3
47709 .xword 12
47710 .xword 8
47711 .xword 14
47712 .xword 0
47713 .xword 6
47714 .xword 14
47715 .xword 10
47716 .xword 0
47717 .xword 1
47718 .xword 3
47719 .xword 12
47720 .xword 10
47721 .xword 12
47722 .xword 11
47723 .xword 13
47724 .xword 6
47725 .xword 6
47726 .xword 7
47727 .xword 9
47728 .xword 1
47729 .xword 5
47730 .xword 13
47731 .xword 14
47732 .xword 10
47733 .xword 7
47734 .xword 0
47735 .xword 14
47736 .xword 12
47737 .xword 9
47738 .xword 13
47739 .xword 5
47740 .xword 0
47741 .xword 7
47742 .xword 7
47743 .xword 2
47744 .xword 1
47745 .xword 15
47746 .xword 11
47747 .xword 1
47748 .xword 13
47749 .xword 0
47750 .xword 8
47751 .xword 7
47752 .xword 7
47753 .xword 10
47754 .xword 0
47755 .xword 9
47756 .xword 2
47757 .xword 15
47758 .xword 15
47759 .xword 15
47760 .xword 12
47761 .xword 9
47762 .xword 12
47763 .xword 8
47764 .xword 1
47765 .xword 3
47766 .xword 5
47767 .xword 3
47768 .xword 5
47769 .xword 8
47770 .xword 10
47771 .xword 13
47772 .xword 0
47773 .xword 1
47774 .xword 0
47775 .xword 15
47776 .xword 10
47777 .xword 13
47778 .xword 8
47779 .xword 8
47780 .xword 1
47781 .xword 5
47782 .xword 11
47783 .xword 8
47784 .xword 2
47785 .xword 3
47786 .xword 14
47787 .xword 9
47788 .xword 6
47789 .xword 5
47790 .xword 11
47791 .xword 4
47792 .xword 9
47793 .xword 0
47794 .xword 12
47795 .xword 5
47796 .xword 0
47797 .xword 15
47798 .xword 6
47799 .xword 12
47800 .xword 4
47801 .xword 9
47802_t1_copy_src:
47803 .xword 0xc3b5b3c7a95362c3
47804 .xword 0xfc86c85c7457c2ab
47805 .xword 0xc77c2c196d63f7f4
47806 .xword 0x0b5c192691d478ab
47807 .xword 0x68f415682bb4eecd
47808 .xword 0x34795fa620ffc8fe
47809 .xword 0x923634dd36c38ee2
47810 .xword 0x479ac6b77c35e96e
47811 .xword 0xe701ecf62852e9d3
47812 .xword 0x8e7a36ae75cfb05b
47813 .xword 0xc49b4e1645b1aa28
47814 .xword 0x7fd4631336097f78
47815 .xword 0x8b017d27fba45664
47816 .xword 0xe69270f88a967c5c
47817 .xword 0x7981f604d2fe1cc8
47818 .xword 0x4493473dae2359f5
47819 .xword 0x3da090aef0a098c2
47820 .xword 0x9d597688fe5bbf31
47821 .xword 0x78f96ddcd858c669
47822 .xword 0x406c6e74285e5607
47823 .xword 0x2fa59371e516df37
47824 .xword 0xb5ecadedf1ff17b6
47825 .xword 0x2beed4473308d0c5
47826 .xword 0x4d4048fafbd69c64
47827 .xword 0xaf8a0473762a3d72
47828 .xword 0x69ee60e4fe91ed5f
47829 .xword 0x2ee3838bfa5bcbc0
47830 .xword 0x68baad39dbf3cf74
47831 .xword 0xe1b0cad048465947
47832 .xword 0x11651988e3ee6c8f
47833 .xword 0xc95d82c7636d49fc
47834 .xword 0x412588cd616fe34c
47835 .xword 0x101a65b7f286a18f
47836 .xword 0x73fe1ba6f3b763ca
47837 .xword 0x9cc9e09d8fca006a
47838 .xword 0xf821042ddc587d8e
47839 .xword 0xeeb0ac4edf6da9db
47840 .xword 0x3c113becaf9004a2
47841 .xword 0x2ca3fa6022230d2e
47842 .xword 0x0bd6d7b57f8a81f7
47843 .xword 0xaade49d7b8dc9c0f
47844 .xword 0x600e0fd763e2f338
47845 .xword 0x599040465c37a122
47846 .xword 0xd7d6b9c3f683a5bb
47847 .xword 0x425d0ba1a17db2a8
47848 .xword 0x0bd9ebf73410154d
47849 .xword 0xcc3003feca0e97f2
47850 .xword 0x1ad9e67972d5cc2a
47851 .xword 0xaee539b64a6cee70
47852 .xword 0x0a75e5e8ce0f2c63
47853 .xword 0x4238dcac26eb0de6
47854 .xword 0x66bfdc30e61adbb1
47855 .xword 0xe1f10c6e163400f7
47856 .xword 0xb4ae496d6f163f6b
47857 .xword 0xd3a966be3f746045
47858 .xword 0x605bb5893af19a96
47859 .xword 0xbaa32a67f0ccef48
47860 .xword 0xe752e37067ebe7cc
47861 .xword 0x65a478866c1e8cf8
47862 .xword 0xb1ddb4aa278287a1
47863 .xword 0x76a7721e9220b943
47864 .xword 0xee37749d19eaa691
47865 .xword 0x15eb78b9032decbe
47866 .xword 0x3109c4e161aef1e3
47867 .xword 0x5f948b0244904ba6
47868 .xword 0xe1975368e0131358
47869 .xword 0xd06a39b17d517c6a
47870 .xword 0xc85a4194d3bc5ac9
47871 .xword 0x6623b0f335af818d
47872 .xword 0x12c431c27de117ad
47873 .xword 0x74db68bcc0b395de
47874 .xword 0x27474f3b1ea63f45
47875 .xword 0xbd543b9194a809cf
47876 .xword 0x2b233b04d51ee0d8
47877 .xword 0x54357cbc8792328c
47878 .xword 0x28f103964f4e1eef
47879 .xword 0x6e10bf92f9ef1a9e
47880 .xword 0x406e283d04c1c4fb
47881 .xword 0xb27eb41f53badb69
47882 .xword 0xdcb4e3dedc0be92e
47883 .xword 0xafad6f0ced3aecf3
47884 .xword 0xab8309a8a30e2c8c
47885 .xword 0x108413786181347f
47886 .xword 0x3750112547cb1cde
47887 .xword 0x2b0a8a8d35cdf9b0
47888 .xword 0x57848dd2aa06fb39
47889 .xword 0xc56ae04e937653d2
47890 .xword 0xda582b6c8169e9ff
47891 .xword 0xd7d20c7dfb805046
47892 .xword 0x2879ed784ad635b3
47893 .xword 0x739407a9941d5d02
47894 .xword 0x5b6c7896ae52babc
47895 .xword 0x81754d6fbb6fb4ad
47896 .xword 0x475fc59adffa91d3
47897 .xword 0x821af9b2879be4ec
47898 .xword 0xfaeed2d5a24662a7
47899 .xword 0xa214c80cd35dfafb
47900 .xword 0xcd427a1c7f5c234e
47901 .xword 0xa69d8d32da0d9085
47902 .xword 0xb21e671c099b10e6
47903 .xword 0x98741ce5b63a5ab2
47904 .xword 0x3439d5aa3b18dbd2
47905 .xword 0x430f6110b7d78d0e
47906 .xword 0xb5ec37bd909ca11a
47907 .xword 0x273bcf7fd177df01
47908 .xword 0xf0073eb1b53f9bd7
47909 .xword 0x6ecaa7f510cbbcaf
47910 .xword 0x214d1acd947fdd11
47911 .xword 0xda51e84c96c8cd56
47912 .xword 0xf8e1cfe315ef48d8
47913 .xword 0x28d7d58328deab87
47914 .xword 0x67b299905f2897d0
47915 .xword 0xa070cb08150679d0
47916 .xword 0x331ca8813ce6cf7d
47917 .xword 0xd8f52ea4540e6298
47918 .xword 0x6ccf683292ebddac
47919 .xword 0x8c4e3b962ff5051d
47920 .xword 0x7d90098636ca12b0
47921 .xword 0xf0e8b09551f0b6a0
47922 .xword 0x78bdb52433b1e880
47923 .xword 0x4e8e4969914812e3
47924 .xword 0xd4957afbd651d8e3
47925 .xword 0xca73872c34550086
47926 .xword 0xa39c0742158dbf43
47927 .xword 0x3facfe47c5c9c185
47928 .xword 0xd66154c25e1bf220
47929 .xword 0x9086ef51979bd1c8
47930 .xword 0x8acd9fa6414706b5
47931 .xword 0xba9e711149183746
47932 .xword 0xbdc6739a22ed7cc4
47933 .xword 0x4463afcbd3092f18
47934 .xword 0x1f37af7f19bafa89
47935 .xword 0xfd939295d58c5a92
47936 .xword 0x4fce1b5fbcd942cd
47937 .xword 0x212b2bdc1feebcaf
47938 .xword 0x7b79f3309eee0066
47939 .xword 0x4322337d202cc1a0
47940 .xword 0xc8180e55dfc1411e
47941 .xword 0xdd250011aefeb8e5
47942 .xword 0x5b2eadb6ea13faf7
47943 .xword 0x68c2b984379fbdbc
47944 .xword 0x750e3d85efa2bc1f
47945 .xword 0x828ccb7d8e8917e8
47946 .xword 0x78c5c6ebdeefbe70
47947 .xword 0x0b30015bd2f04e17
47948 .xword 0x2cf25677b355d715
47949 .xword 0x9cc33533b224ef92
47950 .xword 0x0397f1d075bdea68
47951 .xword 0xde29187f09165e2b
47952 .xword 0xa100ece9a42a333d
47953 .xword 0x4dbbe6a825c84ce4
47954 .xword 0xa1aaee2596d15ace
47955 .xword 0xcec6a8940522a8ff
47956 .xword 0x85f1bd84ae75aec3
47957 .xword 0x541fc2cbdc9d8a1c
47958 .xword 0x9a7dca4c7332214c
47959 .xword 0x62995d02378a908a
47960 .xword 0x408def03450fcbba
47961 .xword 0xe7c235b37c82eb85
47962 .xword 0xae340a2e1068520d
47963 .xword 0x0c345e834c154295
47964 .xword 0x2c833ab6c9404bbf
47965 .xword 0x7b64b9d0c74eb4ad
47966 .xword 0xffb894248d386049
47967 .xword 0x55f05c0df7819237
47968 .xword 0x2d5f3936068cb7e4
47969 .xword 0x4a78a755fee28562
47970 .xword 0xffa3c73d274ddcc5
47971 .xword 0x57d954c7bdc596ac
47972 .xword 0xba721b87777dfc26
47973 .xword 0xeb970d919c5bd938
47974 .xword 0x5a79e6eabb4dd085
47975 .xword 0xda1ff3daea58b8af
47976 .xword 0x3c0a921022724e28
47977 .xword 0xab09543a4129ea97
47978 .xword 0x748278a8c13bb19f
47979 .xword 0x53b86aded75fc53f
47980 .xword 0x87aa10a8bc964ce2
47981 .xword 0xfec2f11a31aaec2b
47982 .xword 0xb4445fec4c6fac53
47983 .xword 0x6cd34fa1774d827b
47984 .xword 0x622ba64c2c828c93
47985 .xword 0x7b02b8b5382b92f9
47986 .xword 0x58c419d0ceddef4f
47987 .xword 0x5869ea900ea4071c
47988 .xword 0xfbc454df2b8fe468
47989 .xword 0x8d0153e2131dc54e
47990 .xword 0x743d777ba1c36c86
47991 .xword 0x6d7f6e4705fe015c
47992 .xword 0xa0271e9cf4fb7539
47993 .xword 0x9f80ad95c75fa9ec
47994 .xword 0xbdd98317b9e0957a
47995 .xword 0xde51fb52e694e531
47996 .xword 0x9f1f68e8ce3b6f81
47997 .xword 0x2c48e258c7c76df1
47998 .xword 0x5ecfbffc64a82cc1
47999 .xword 0x290fa267d34d305c
48000 .xword 0xdbdc5bc30059117b
48001 .xword 0x881b204e279d449f
48002 .xword 0x72749fd61262a002
48003 .xword 0xdab83d56b7f28a0e
48004 .xword 0x6e8a7205c4820d8a
48005 .xword 0x261602eae238febf
48006 .xword 0x91e3b668d12e40aa
48007 .xword 0xa163cccb6b4db802
48008 .xword 0xc95b0d8ad0a4a4cc
48009 .xword 0x2174c5b023b07e93
48010 .xword 0xab90a0a12a543954
48011 .xword 0x92e53ad48b662a80
48012 .xword 0x8fa2190558a5a6e8
48013 .xword 0x4d4ba2193115c166
48014 .xword 0x75fcf4044d4f3c51
48015 .xword 0x2ec6b80a3f9b53dd
48016 .xword 0xeab96929898c0d20
48017 .xword 0x35e4de4331014633
48018 .xword 0xbbfef8348df84d54
48019 .xword 0xe9f07f4d8233cea6
48020 .xword 0xace7325aaf7cff22
48021 .xword 0xb1ed41da06e0dcc2
48022 .xword 0xfe10e6fbfab2519c
48023 .xword 0x588e8cc778e499e3
48024 .xword 0x873f3c7a070e6e9a
48025 .xword 0xb313136dbbe8fa8d
48026 .xword 0x0bb6a4dd98b702d2
48027 .xword 0x1889e5f018a28196
48028 .xword 0xa1512eb904a5d03b
48029 .xword 0x8c89234b284c8d2f
48030 .xword 0x8460746a81d6d0c9
48031 .xword 0x2255ce9bc95e8db2
48032 .xword 0x35204db23047aca0
48033 .xword 0x547ae7e67696b020
48034 .xword 0xbbc29331cbdbf230
48035 .xword 0xe1bc5c323cca7f94
48036 .xword 0xb11b030249d09763
48037 .xword 0xddc5b9b6383a5020
48038 .xword 0xfd8420fb6a34bbbf
48039 .xword 0x46e93001c3994f86
48040 .xword 0x0bb59971c5002008
48041 .xword 0xd1b970437927e865
48042 .xword 0x69f71478eb2186d4
48043 .xword 0x55b668e470fc88fd
48044 .xword 0x0f9c73f3c9e6182c
48045 .xword 0xd0bbfb380fe0fd57
48046 .xword 0xe7d038e7c52474d6
48047 .xword 0xc251464927259bcf
48048 .xword 0x7b616c4b51c68ede
48049 .xword 0x0124c07dd2cdc9af
48050 .xword 0x873fb5d3f9145566
48051 .xword 0x5085164d0318daeb
48052 .xword 0x9c9eb13ae8f0192b
48053 .xword 0x5d5cca14610918e6
48054 .xword 0xbe8446bdecb5b49b
48055 .xword 0x4ba632bae37d0e33
48056 .xword 0xac8c7480609cc8be
48057 .xword 0x098e1993091f87b5
48058 .xword 0xdbc7b17f327d5dda
48059 .xword 0x4521e855c337ebb9
48060 .xword 0xb13f5c0c14766ab4
48061 .xword 0x8844fc85c26ef7a5
48062 .xword 0xd9a26302c18f9b03
48063 .xword 0x6df241f4f8357357
48064 .xword 0xbc6a2f0f8b3d1dee
48065 .xword 0x8d16644983a86301
48066 .xword 0x574c46f9782f2346
48067 .xword 0x11fc11210a1000ca
48068 .xword 0xcaf188208d3271cb
48069 .xword 0x499d9c63da4861f0
48070 .xword 0x279bc584b3ae1385
48071 .xword 0x2f591c2e8376e937
48072 .xword 0xb991ef97f2d4df99
48073 .xword 0x507e836523ea5f2f
48074 .xword 0xfff51ed26496ffe5
48075 .xword 0xf66f34d5e2e5f840
48076 .xword 0xa8402e9a42907ec0
48077 .xword 0x0aff669107234ac6
48078_t1_copy_dest:
48079 .xword 0xDEADBEEFDEADBEEF
48080 .xword 0xDEADBEEFDEADBEEF
48081 .xword 0xDEADBEEFDEADBEEF
48082 .xword 0xDEADBEEFDEADBEEF
48083 .xword 0xDEADBEEFDEADBEEF
48084 .xword 0xDEADBEEFDEADBEEF
48085 .xword 0xDEADBEEFDEADBEEF
48086 .xword 0xDEADBEEFDEADBEEF
48087 .xword 0xDEADBEEFDEADBEEF
48088 .xword 0xDEADBEEFDEADBEEF
48089 .xword 0xDEADBEEFDEADBEEF
48090 .xword 0xDEADBEEFDEADBEEF
48091 .xword 0xDEADBEEFDEADBEEF
48092 .xword 0xDEADBEEFDEADBEEF
48093 .xword 0xDEADBEEFDEADBEEF
48094 .xword 0xDEADBEEFDEADBEEF
48095 .xword 0xDEADBEEFDEADBEEF
48096 .xword 0xDEADBEEFDEADBEEF
48097 .xword 0xDEADBEEFDEADBEEF
48098 .xword 0xDEADBEEFDEADBEEF
48099 .xword 0xDEADBEEFDEADBEEF
48100 .xword 0xDEADBEEFDEADBEEF
48101 .xword 0xDEADBEEFDEADBEEF
48102 .xword 0xDEADBEEFDEADBEEF
48103 .xword 0xDEADBEEFDEADBEEF
48104 .xword 0xDEADBEEFDEADBEEF
48105 .xword 0xDEADBEEFDEADBEEF
48106 .xword 0xDEADBEEFDEADBEEF
48107 .xword 0xDEADBEEFDEADBEEF
48108 .xword 0xDEADBEEFDEADBEEF
48109 .xword 0xDEADBEEFDEADBEEF
48110 .xword 0xDEADBEEFDEADBEEF
48111 .xword 0xDEADBEEFDEADBEEF
48112 .xword 0xDEADBEEFDEADBEEF
48113 .xword 0xDEADBEEFDEADBEEF
48114 .xword 0xDEADBEEFDEADBEEF
48115 .xword 0xDEADBEEFDEADBEEF
48116 .xword 0xDEADBEEFDEADBEEF
48117 .xword 0xDEADBEEFDEADBEEF
48118 .xword 0xDEADBEEFDEADBEEF
48119 .xword 0xDEADBEEFDEADBEEF
48120 .xword 0xDEADBEEFDEADBEEF
48121 .xword 0xDEADBEEFDEADBEEF
48122 .xword 0xDEADBEEFDEADBEEF
48123 .xword 0xDEADBEEFDEADBEEF
48124 .xword 0xDEADBEEFDEADBEEF
48125 .xword 0xDEADBEEFDEADBEEF
48126 .xword 0xDEADBEEFDEADBEEF
48127 .xword 0xDEADBEEFDEADBEEF
48128 .xword 0xDEADBEEFDEADBEEF
48129 .xword 0xDEADBEEFDEADBEEF
48130 .xword 0xDEADBEEFDEADBEEF
48131 .xword 0xDEADBEEFDEADBEEF
48132 .xword 0xDEADBEEFDEADBEEF
48133 .xword 0xDEADBEEFDEADBEEF
48134 .xword 0xDEADBEEFDEADBEEF
48135 .xword 0xDEADBEEFDEADBEEF
48136 .xword 0xDEADBEEFDEADBEEF
48137 .xword 0xDEADBEEFDEADBEEF
48138 .xword 0xDEADBEEFDEADBEEF
48139 .xword 0xDEADBEEFDEADBEEF
48140 .xword 0xDEADBEEFDEADBEEF
48141 .xword 0xDEADBEEFDEADBEEF
48142 .xword 0xDEADBEEFDEADBEEF
48143 .xword 0xDEADBEEFDEADBEEF
48144 .xword 0xDEADBEEFDEADBEEF
48145 .xword 0xDEADBEEFDEADBEEF
48146 .xword 0xDEADBEEFDEADBEEF
48147 .xword 0xDEADBEEFDEADBEEF
48148 .xword 0xDEADBEEFDEADBEEF
48149 .xword 0xDEADBEEFDEADBEEF
48150 .xword 0xDEADBEEFDEADBEEF
48151 .xword 0xDEADBEEFDEADBEEF
48152 .xword 0xDEADBEEFDEADBEEF
48153 .xword 0xDEADBEEFDEADBEEF
48154 .xword 0xDEADBEEFDEADBEEF
48155 .xword 0xDEADBEEFDEADBEEF
48156 .xword 0xDEADBEEFDEADBEEF
48157 .xword 0xDEADBEEFDEADBEEF
48158 .xword 0xDEADBEEFDEADBEEF
48159 .xword 0xDEADBEEFDEADBEEF
48160 .xword 0xDEADBEEFDEADBEEF
48161 .xword 0xDEADBEEFDEADBEEF
48162 .xword 0xDEADBEEFDEADBEEF
48163 .xword 0xDEADBEEFDEADBEEF
48164 .xword 0xDEADBEEFDEADBEEF
48165 .xword 0xDEADBEEFDEADBEEF
48166 .xword 0xDEADBEEFDEADBEEF
48167 .xword 0xDEADBEEFDEADBEEF
48168 .xword 0xDEADBEEFDEADBEEF
48169 .xword 0xDEADBEEFDEADBEEF
48170 .xword 0xDEADBEEFDEADBEEF
48171 .xword 0xDEADBEEFDEADBEEF
48172 .xword 0xDEADBEEFDEADBEEF
48173 .xword 0xDEADBEEFDEADBEEF
48174 .xword 0xDEADBEEFDEADBEEF
48175 .xword 0xDEADBEEFDEADBEEF
48176 .xword 0xDEADBEEFDEADBEEF
48177 .xword 0xDEADBEEFDEADBEEF
48178 .xword 0xDEADBEEFDEADBEEF
48179 .xword 0xDEADBEEFDEADBEEF
48180 .xword 0xDEADBEEFDEADBEEF
48181 .xword 0xDEADBEEFDEADBEEF
48182 .xword 0xDEADBEEFDEADBEEF
48183 .xword 0xDEADBEEFDEADBEEF
48184 .xword 0xDEADBEEFDEADBEEF
48185 .xword 0xDEADBEEFDEADBEEF
48186 .xword 0xDEADBEEFDEADBEEF
48187 .xword 0xDEADBEEFDEADBEEF
48188 .xword 0xDEADBEEFDEADBEEF
48189 .xword 0xDEADBEEFDEADBEEF
48190 .xword 0xDEADBEEFDEADBEEF
48191 .xword 0xDEADBEEFDEADBEEF
48192 .xword 0xDEADBEEFDEADBEEF
48193 .xword 0xDEADBEEFDEADBEEF
48194 .xword 0xDEADBEEFDEADBEEF
48195 .xword 0xDEADBEEFDEADBEEF
48196 .xword 0xDEADBEEFDEADBEEF
48197 .xword 0xDEADBEEFDEADBEEF
48198 .xword 0xDEADBEEFDEADBEEF
48199 .xword 0xDEADBEEFDEADBEEF
48200 .xword 0xDEADBEEFDEADBEEF
48201 .xword 0xDEADBEEFDEADBEEF
48202 .xword 0xDEADBEEFDEADBEEF
48203 .xword 0xDEADBEEFDEADBEEF
48204 .xword 0xDEADBEEFDEADBEEF
48205 .xword 0xDEADBEEFDEADBEEF
48206 .xword 0xDEADBEEFDEADBEEF
48207 .xword 0xDEADBEEFDEADBEEF
48208 .xword 0xDEADBEEFDEADBEEF
48209 .xword 0xDEADBEEFDEADBEEF
48210 .xword 0xDEADBEEFDEADBEEF
48211 .xword 0xDEADBEEFDEADBEEF
48212 .xword 0xDEADBEEFDEADBEEF
48213 .xword 0xDEADBEEFDEADBEEF
48214 .xword 0xDEADBEEFDEADBEEF
48215 .xword 0xDEADBEEFDEADBEEF
48216 .xword 0xDEADBEEFDEADBEEF
48217 .xword 0xDEADBEEFDEADBEEF
48218 .xword 0xDEADBEEFDEADBEEF
48219 .xword 0xDEADBEEFDEADBEEF
48220 .xword 0xDEADBEEFDEADBEEF
48221 .xword 0xDEADBEEFDEADBEEF
48222 .xword 0xDEADBEEFDEADBEEF
48223 .xword 0xDEADBEEFDEADBEEF
48224 .xword 0xDEADBEEFDEADBEEF
48225 .xword 0xDEADBEEFDEADBEEF
48226 .xword 0xDEADBEEFDEADBEEF
48227 .xword 0xDEADBEEFDEADBEEF
48228 .xword 0xDEADBEEFDEADBEEF
48229 .xword 0xDEADBEEFDEADBEEF
48230 .xword 0xDEADBEEFDEADBEEF
48231 .xword 0xDEADBEEFDEADBEEF
48232 .xword 0xDEADBEEFDEADBEEF
48233 .xword 0xDEADBEEFDEADBEEF
48234 .xword 0xDEADBEEFDEADBEEF
48235 .xword 0xDEADBEEFDEADBEEF
48236 .xword 0xDEADBEEFDEADBEEF
48237 .xword 0xDEADBEEFDEADBEEF
48238 .xword 0xDEADBEEFDEADBEEF
48239 .xword 0xDEADBEEFDEADBEEF
48240 .xword 0xDEADBEEFDEADBEEF
48241 .xword 0xDEADBEEFDEADBEEF
48242 .xword 0xDEADBEEFDEADBEEF
48243 .xword 0xDEADBEEFDEADBEEF
48244 .xword 0xDEADBEEFDEADBEEF
48245 .xword 0xDEADBEEFDEADBEEF
48246 .xword 0xDEADBEEFDEADBEEF
48247 .xword 0xDEADBEEFDEADBEEF
48248 .xword 0xDEADBEEFDEADBEEF
48249 .xword 0xDEADBEEFDEADBEEF
48250 .xword 0xDEADBEEFDEADBEEF
48251 .xword 0xDEADBEEFDEADBEEF
48252 .xword 0xDEADBEEFDEADBEEF
48253 .xword 0xDEADBEEFDEADBEEF
48254 .xword 0xDEADBEEFDEADBEEF
48255 .xword 0xDEADBEEFDEADBEEF
48256 .xword 0xDEADBEEFDEADBEEF
48257 .xword 0xDEADBEEFDEADBEEF
48258 .xword 0xDEADBEEFDEADBEEF
48259 .xword 0xDEADBEEFDEADBEEF
48260 .xword 0xDEADBEEFDEADBEEF
48261 .xword 0xDEADBEEFDEADBEEF
48262 .xword 0xDEADBEEFDEADBEEF
48263 .xword 0xDEADBEEFDEADBEEF
48264 .xword 0xDEADBEEFDEADBEEF
48265 .xword 0xDEADBEEFDEADBEEF
48266 .xword 0xDEADBEEFDEADBEEF
48267 .xword 0xDEADBEEFDEADBEEF
48268 .xword 0xDEADBEEFDEADBEEF
48269 .xword 0xDEADBEEFDEADBEEF
48270 .xword 0xDEADBEEFDEADBEEF
48271 .xword 0xDEADBEEFDEADBEEF
48272 .xword 0xDEADBEEFDEADBEEF
48273 .xword 0xDEADBEEFDEADBEEF
48274 .xword 0xDEADBEEFDEADBEEF
48275 .xword 0xDEADBEEFDEADBEEF
48276 .xword 0xDEADBEEFDEADBEEF
48277 .xword 0xDEADBEEFDEADBEEF
48278 .xword 0xDEADBEEFDEADBEEF
48279 .xword 0xDEADBEEFDEADBEEF
48280 .xword 0xDEADBEEFDEADBEEF
48281 .xword 0xDEADBEEFDEADBEEF
48282 .xword 0xDEADBEEFDEADBEEF
48283 .xword 0xDEADBEEFDEADBEEF
48284 .xword 0xDEADBEEFDEADBEEF
48285 .xword 0xDEADBEEFDEADBEEF
48286 .xword 0xDEADBEEFDEADBEEF
48287 .xword 0xDEADBEEFDEADBEEF
48288 .xword 0xDEADBEEFDEADBEEF
48289 .xword 0xDEADBEEFDEADBEEF
48290 .xword 0xDEADBEEFDEADBEEF
48291 .xword 0xDEADBEEFDEADBEEF
48292 .xword 0xDEADBEEFDEADBEEF
48293 .xword 0xDEADBEEFDEADBEEF
48294 .xword 0xDEADBEEFDEADBEEF
48295 .xword 0xDEADBEEFDEADBEEF
48296 .xword 0xDEADBEEFDEADBEEF
48297 .xword 0xDEADBEEFDEADBEEF
48298 .xword 0xDEADBEEFDEADBEEF
48299 .xword 0xDEADBEEFDEADBEEF
48300 .xword 0xDEADBEEFDEADBEEF
48301 .xword 0xDEADBEEFDEADBEEF
48302 .xword 0xDEADBEEFDEADBEEF
48303 .xword 0xDEADBEEFDEADBEEF
48304 .xword 0xDEADBEEFDEADBEEF
48305 .xword 0xDEADBEEFDEADBEEF
48306 .xword 0xDEADBEEFDEADBEEF
48307 .xword 0xDEADBEEFDEADBEEF
48308 .xword 0xDEADBEEFDEADBEEF
48309 .xword 0xDEADBEEFDEADBEEF
48310 .xword 0xDEADBEEFDEADBEEF
48311 .xword 0xDEADBEEFDEADBEEF
48312 .xword 0xDEADBEEFDEADBEEF
48313 .xword 0xDEADBEEFDEADBEEF
48314 .xword 0xDEADBEEFDEADBEEF
48315 .xword 0xDEADBEEFDEADBEEF
48316 .xword 0xDEADBEEFDEADBEEF
48317 .xword 0xDEADBEEFDEADBEEF
48318 .xword 0xDEADBEEFDEADBEEF
48319 .xword 0xDEADBEEFDEADBEEF
48320 .xword 0xDEADBEEFDEADBEEF
48321 .xword 0xDEADBEEFDEADBEEF
48322 .xword 0xDEADBEEFDEADBEEF
48323 .xword 0xDEADBEEFDEADBEEF
48324 .xword 0xDEADBEEFDEADBEEF
48325 .xword 0xDEADBEEFDEADBEEF
48326 .xword 0xDEADBEEFDEADBEEF
48327 .xword 0xDEADBEEFDEADBEEF
48328 .xword 0xDEADBEEFDEADBEEF
48329 .xword 0xDEADBEEFDEADBEEF
48330 .xword 0xDEADBEEFDEADBEEF
48331 .xword 0xDEADBEEFDEADBEEF
48332 .xword 0xDEADBEEFDEADBEEF
48333 .xword 0xDEADBEEFDEADBEEF
48334 .xword 0xDEADBEEFDEADBEEF
48335 .xword 0xDEADBEEFDEADBEEF
48336 .xword 0xDEADBEEFDEADBEEF
48337 .xword 0xDEADBEEFDEADBEEF
48338 .xword 0xDEADBEEFDEADBEEF
48339 .xword 0xDEADBEEFDEADBEEF
48340 .xword 0xDEADBEEFDEADBEEF
48341 .xword 0xDEADBEEFDEADBEEF
48342 .xword 0xDEADBEEFDEADBEEF
48343 .xword 0xDEADBEEFDEADBEEF
48344 .xword 0xDEADBEEFDEADBEEF
48345 .xword 0xDEADBEEFDEADBEEF
48346 .xword 0xDEADBEEFDEADBEEF
48347 .xword 0xDEADBEEFDEADBEEF
48348 .xword 0xDEADBEEFDEADBEEF
48349 .xword 0xDEADBEEFDEADBEEF
48350 .xword 0xDEADBEEFDEADBEEF
48351 .xword 0xDEADBEEFDEADBEEF
48352 .xword 0xDEADBEEFDEADBEEF
48353 .xword 0xDEADBEEFDEADBEEF
48354_t1_copy_auth_key:
48355 .xword 0x1d78481e98f854c5
48356 .xword 0x71f6447caed054d5
48357 .xword 0x355da0d77dca7843
48358 .xword 0x4c22ae09644ca7c2
48359 .xword 0x4bc643664e8ad580
48360 .xword 0xcead4b013412ea4a
48361 .xword 0x58fea9d5ba55a219
48362 .xword 0x49f135b7b4fd8d50
48363 .xword 0x7a7466c2ec48522f
48364 .xword 0xccaf65093c46253a
48365 .xword 0x590ac1ef530e5bec
48366 .xword 0xc58c0f0a70552362
48367 .xword 0x0b6e1e860975d9a2
48368 .xword 0xa89b50835529b991
48369 .xword 0x2845c3fca919d445
48370 .xword 0x0b9efb5b45617db4
48371 .xword 0x9e96861919dda467
48372 .xword 0xf312ed06b57eefbb
48373 .xword 0x26640cf165fc5a56
48374 .xword 0x219a8f5b88b4434a
48375 .xword 0x4b1dd06536aec811
48376 .xword 0x8e47ba98d05a1ca7
48377 .xword 0xa53bc15daa1829db
48378_t1_copy_auth_iv:
48379 .xword 0x29ed434ef81c9c3a
48380 .xword 0x00a1f10a46e32cc9
48381 .xword 0x9dfa707bcc1977cd
48382 .xword 0x32e9a7feabd38693
48383 .xword 0x9c79022778a36d93
48384 .xword 0x502acc2df9861f6d
48385 .xword 0x65c34883f16237cd
48386 .xword 0x00cfba8ed22b42af
48387 .xword 0xd4f14a3a1942b323
48388 .xword 0xa539958198d5a0aa
48389 .xword 0x1a4a00aa82c916ec
48390 .xword 0xe669930762cfb3a1
48391 .xword 0xdd8270bac12d4aa6
48392 .xword 0xbad875133b3a61a3
48393 .xword 0x889dfde9c3e921f6
48394 .xword 0x7f9c24aade6c474f
48395 .xword 0x3fc520d300e420a9
48396 .xword 0xa8e56ba21b12dc5e
48397 .xword 0xd40c39fa08f63068
48398 .xword 0xb3ebe8be9f85d657
48399 .xword 0xb01bee713e131ef9
48400 .xword 0x2bd40be7179b53a2
48401 .xword 0x9f875aa65835d19e
48402_t1_copy_fas_result:
48403 .xword 0xDEADBEEFDEADBEEF
48404 .xword 0xDEADBEEFDEADBEEF
48405 .xword 0xDEADBEEFDEADBEEF
48406 .xword 0xDEADBEEFDEADBEEF
48407 .xword 0xDEADBEEFDEADBEEF
48408 .xword 0xDEADBEEFDEADBEEF
48409 .xword 0xDEADBEEFDEADBEEF
48410 .xword 0xDEADBEEFDEADBEEF
48411 .xword 0xDEADBEEFDEADBEEF
48412 .xword 0xDEADBEEFDEADBEEF
48413 .xword 0xDEADBEEFDEADBEEF
48414 .xword 0xDEADBEEFDEADBEEF
48415 .xword 0xDEADBEEFDEADBEEF
48416 .xword 0xDEADBEEFDEADBEEF
48417 .xword 0xDEADBEEFDEADBEEF
48418 .xword 0xDEADBEEFDEADBEEF
48419 .xword 0xDEADBEEFDEADBEEF
48420 .xword 0xDEADBEEFDEADBEEF
48421 .xword 0xDEADBEEFDEADBEEF
48422 .xword 0xDEADBEEFDEADBEEF
48423 .xword 0xDEADBEEFDEADBEEF
48424 .xword 0xDEADBEEFDEADBEEF
48425 .xword 0xDEADBEEFDEADBEEF
48426_t1_crc_key_array:
48427 .xword 0xb89310e0185f0f06
48428 .xword 0xd236b69c6415535a
48429 .xword 0x5f96c5cd33665b05
48430 .xword 0xe4478905830406da
48431 .xword 0x42577c835f82bea2
48432 .xword 0xcee4577391211bbd
48433 .xword 0x265c6d17f301d882
48434 .xword 0x9d6ad3e751f9f4a2
48435 .xword 0x54449bba96685b97
48436 .xword 0xb1536bafd4a56d1e
48437 .xword 0x8c0e721ff574f29e
48438 .xword 0xcb19d9400bcff02c
48439 .xword 0xad2d0af857a1b608
48440 .xword 0xd65a013f41c8fb43
48441 .xword 0x6c9d023564198331
48442 .xword 0x72b903748b9e937e
48443 .xword 0x1a0ebe5d780035f3
48444 .xword 0x1452bf9232c58b06
48445 .xword 0x4dbe9cd89239295f
48446 .xword 0x7c77a3a28ffcd5f8
48447 .xword 0x2128b0f030f8aeee
48448 .xword 0x2318570eaa09113a
48449 .xword 0x26eba533f3a27f07
48450 .xword 0x48a5229fdb265e01
48451 .xword 0x485d73cc6bace164
48452 .xword 0xf4eea28a810a9a6e
48453 .xword 0xf7e4d289145d4209
48454 .xword 0x0541f80d44e3813b
48455 .xword 0x0984723e453bd6b7
48456 .xword 0x0527c0e2e5411461
48457 .xword 0x9c4dc1cd4479c667
48458 .xword 0x5fda5d02b44e3cdd
48459 .xword 0x2cf534a50e83f9a2
48460 .xword 0x31ad62beb90bf32a
48461 .xword 0xf3aab5c2c63c60cd
48462 .xword 0x57d51045927f0caf
48463 .xword 0xad97873227cef9f9
48464 .xword 0x967cc2bb76acd039
48465 .xword 0xc17eba5f4b58190c
48466 .xword 0xf987d8182ea99003
48467 .xword 0x00c60d78cca061ad
48468 .xword 0xb365a1f3d4452a83
48469 .xword 0x8b5687ea8247c735
48470 .xword 0x1ed96890d37ecad8
48471 .xword 0xb89ff451f67f277e
48472 .xword 0xb3b13312e95dc196
48473 .xword 0x57a4b932b2d8b53e
48474 .xword 0x4cc7c854aa8e21bb
48475 .xword 0xb5270b57c6a0eb74
48476 .xword 0x6b61b1c96d02f341
48477 .xword 0x38ec5e8b87c1285b
48478_t1_crc_iv_array:
48479 .xword 0xa6425643d44b9c3f
48480 .xword 0xd6b0895b21ea2f0b
48481 .xword 0x3d2c11d2c47b2fbd
48482 .xword 0x08a7451a7d7f622b
48483 .xword 0x33f4c198a400dc1d
48484 .xword 0x98e6f3abf3f63809
48485 .xword 0xbc7daa3322cce76f
48486 .xword 0x2257c332fa634b44
48487 .xword 0x537a7217f3425de0
48488 .xword 0x97b75d92fb34efc2
48489 .xword 0x055e8943753aedcf
48490 .xword 0x04c4130652bdfd3c
48491 .xword 0xffeec9d1dbb63c5e
48492 .xword 0x1975cd6c3cb317c1
48493 .xword 0xf1cfd3b7249cedf6
48494 .xword 0x2ca7ebe085877dcb
48495 .xword 0x21ca6be3e7363ce2
48496 .xword 0xfce940f722dd980d
48497 .xword 0xbfa00888dda79eba
48498 .xword 0xdbe5eb5624543552
48499 .xword 0xda810befa6dcf4fa
48500 .xword 0xbf0ffcb9a4523fe1
48501 .xword 0x91859598cb06cc22
48502 .xword 0x9b9fef58e45dea4e
48503 .xword 0x8b12cd865d9adf99
48504 .xword 0xdb7e6f4446d9c010
48505 .xword 0xecdb44fdd81b5cbb
48506 .xword 0x54fc5fe2ca30c257
48507 .xword 0x74417041e9f52fe0
48508 .xword 0x285c2c92919c512f
48509 .xword 0x70508de1b228926c
48510 .xword 0x3235aafafecc3537
48511 .xword 0x44a9a738d8028560
48512 .xword 0x2d5d84acc74a3bf7
48513 .xword 0x46ea6678b52d5436
48514 .xword 0x3799b4e8f798c84e
48515 .xword 0xb4eaf09c4252422d
48516 .xword 0x691a8f738198b8ce
48517 .xword 0xdc6f463ba05c111c
48518 .xword 0x0b36baca8ea01e8b
48519 .xword 0x85ab8092beedf489
48520 .xword 0xcd53499526d1677a
48521 .xword 0x4ecf47b2510377a6
48522 .xword 0xdea4a691d8978d49
48523 .xword 0x6b4609f37f07d40f
48524_t1_crc_alignment_array:
48525 .xword 13
48526 .xword 3
48527 .xword 15
48528 .xword 6
48529 .xword 15
48530 .xword 13
48531 .xword 7
48532 .xword 12
48533 .xword 1
48534 .xword 6
48535 .xword 0
48536 .xword 14
48537 .xword 10
48538 .xword 4
48539 .xword 8
48540 .xword 9
48541 .xword 7
48542 .xword 10
48543 .xword 8
48544 .xword 10
48545 .xword 6
48546 .xword 9
48547 .xword 15
48548 .xword 4
48549 .xword 3
48550 .xword 15
48551 .xword 13
48552 .xword 9
48553 .xword 0
48554 .xword 2
48555 .xword 7
48556 .xword 9
48557 .xword 1
48558 .xword 15
48559 .xword 12
48560 .xword 0
48561 .xword 14
48562 .xword 5
48563 .xword 2
48564 .xword 7
48565 .xword 13
48566 .xword 5
48567 .xword 2
48568 .xword 15
48569 .xword 15
48570 .xword 8
48571 .xword 9
48572 .xword 8
48573 .xword 6
48574 .xword 11
48575 .xword 3
48576 .xword 1
48577 .xword 9
48578 .xword 8
48579 .xword 7
48580 .xword 8
48581 .xword 0
48582 .xword 4
48583 .xword 0
48584 .xword 0
48585 .xword 1
48586 .xword 13
48587 .xword 7
48588 .xword 0
48589 .xword 13
48590 .xword 0
48591 .xword 6
48592 .xword 10
48593 .xword 12
48594 .xword 14
48595 .xword 2
48596 .xword 13
48597 .xword 11
48598 .xword 14
48599 .xword 14
48600 .xword 0
48601 .xword 8
48602 .xword 8
48603 .xword 1
48604 .xword 0
48605 .xword 4
48606 .xword 8
48607 .xword 0
48608 .xword 8
48609 .xword 4
48610 .xword 15
48611 .xword 4
48612 .xword 15
48613 .xword 4
48614 .xword 8
48615 .xword 1
48616 .xword 5
48617 .xword 15
48618 .xword 5
48619 .xword 4
48620 .xword 14
48621 .xword 15
48622 .xword 0
48623 .xword 10
48624 .xword 14
48625 .xword 15
48626 .xword 11
48627 .xword 13
48628 .xword 2
48629 .xword 8
48630_t1_crc_src:
48631 .xword 0x0fa26918ebd6e964
48632 .xword 0x9ade66d4bd052263
48633 .xword 0xe49d6d75e95acdc5
48634 .xword 0xb8a306a540f103f3
48635 .xword 0xa4ed0c5dfa84cc44
48636 .xword 0x7119b902f09116aa
48637 .xword 0x2f18875ca15620de
48638 .xword 0x10b047e43596cf90
48639 .xword 0x2839a2cb45ea8e2a
48640 .xword 0x0ee9775be477ea1f
48641 .xword 0xe150b7b5c5ef9c60
48642 .xword 0xf5b8b8260550ce70
48643 .xword 0x8285407d7649dd22
48644 .xword 0x175ceaf9223c5b08
48645 .xword 0x60fa4abb1042a285
48646 .xword 0x5eae7315d6bfecb6
48647 .xword 0xdb0fccea2ac497e4
48648 .xword 0x8bdec3d2f5912986
48649 .xword 0x27a28e3e70583543
48650 .xword 0x3dd933c9099e7f33
48651 .xword 0x51d3cc2c0c2244c8
48652 .xword 0x46b9e88ba7ac72a1
48653 .xword 0x25f8c5bb741d6a38
48654 .xword 0x4abb710b4b8fb497
48655 .xword 0x691bc6e7eb7e5de9
48656 .xword 0x385896816bc5a16b
48657 .xword 0x67ff0cfa69554370
48658 .xword 0x3398904b9ba67ae0
48659 .xword 0xcbf3a8e92eaddee3
48660 .xword 0xcb86c3bfef242b31
48661 .xword 0xf8f8760d36f9550b
48662 .xword 0x100f015f8dcef4c2
48663 .xword 0x8ea7e3a9fa2dc50d
48664 .xword 0x95f9e20ec9b7daa6
48665 .xword 0x5074663e61b391c4
48666 .xword 0x791d48f5252506eb
48667 .xword 0x1115fd503325f6c9
48668 .xword 0x00775cdc813e8d28
48669 .xword 0xdf04bdd07a609de8
48670 .xword 0xfa2309736e26997a
48671 .xword 0x00692348e3a51b13
48672 .xword 0xf792a0223c376c01
48673 .xword 0x7c0275c51ad09d21
48674 .xword 0x5e927e3ba1a75c8f
48675 .xword 0x3375cd64e270d095
48676 .xword 0x24c212d690896781
48677 .xword 0x529002a45927fc25
48678 .xword 0xa1b0962efee53d33
48679 .xword 0x326d4dcb796a4a29
48680 .xword 0x7510e2dfa22dd0c8
48681 .xword 0x62205b66a61d848a
48682 .xword 0x531b0fa736431d6f
48683 .xword 0xf3a4978ba94a76f7
48684 .xword 0x4fd3fae62e4d7871
48685 .xword 0xfedf1e3c36c15186
48686 .xword 0xb3cac418b61ea432
48687 .xword 0x5b9a5997f8707331
48688 .xword 0xd3cde03390362d80
48689 .xword 0xb0e9a52749dc3725
48690 .xword 0xdab8542ccf97ec9e
48691 .xword 0xce35468ff5a5b63a
48692 .xword 0x4609c6bbab23d9ae
48693 .xword 0x69ab3809275f3283
48694 .xword 0x05caee78642e743e
48695 .xword 0xf58367a5cfe05fa7
48696 .xword 0xc5252334e4bd2dcc
48697 .xword 0x91da94fd8f679357
48698 .xword 0xcc58896960eaa59a
48699 .xword 0xd9426aa345bd2f39
48700 .xword 0x467120a61d375989
48701 .xword 0xd6feb03d35429a47
48702 .xword 0xdc727d944285c120
48703 .xword 0x43cd167c8661ad94
48704 .xword 0xff64899801e5f1e9
48705 .xword 0x50cf0b0f92ce009f
48706 .xword 0xaad5388eb569c01e
48707 .xword 0xa76a260078fef7f5
48708 .xword 0xfb23066b39f4ce57
48709 .xword 0x401777798bb1a0e2
48710 .xword 0x25ef445acae1c739
48711 .xword 0x0bc5129049bb6004
48712 .xword 0x4425eaef0725be9f
48713 .xword 0x607e076752fcf823
48714 .xword 0x5866364dae00df51
48715 .xword 0xb813743a5d1dd9c8
48716 .xword 0xd9df2e7a1e9ed246
48717 .xword 0x79fa32be6fd8ea12
48718 .xword 0xc7b8c9eb4da0c23f
48719 .xword 0x1fe4feaf8f8e48d5
48720 .xword 0x41633f660b034f98
48721 .xword 0xe11f5243a1f8c250
48722 .xword 0x61c421b3658ee488
48723 .xword 0x118f85ba24a64c49
48724 .xword 0x0660890ad5ec2916
48725 .xword 0x32e87ebd4323315b
48726 .xword 0x87335b794ec88f48
48727 .xword 0xc6bd2cac676f4cc6
48728 .xword 0xffcc01d102fb7a3d
48729 .xword 0x992fa0a86d137106
48730 .xword 0xd9a7e82abdb148f0
48731 .xword 0xc34f53813d5b24e7
48732 .xword 0xc9df60a316909a87
48733 .xword 0xd9ecee011bc35831
48734 .xword 0x4f4a7c9d353a44f8
48735 .xword 0x9a241b0039e15356
48736 .xword 0xa22d87cf45280a36
48737 .xword 0x47d066d069fb4ca2
48738 .xword 0x42d650f8ed506437
48739 .xword 0xccf3b1ddf3b87004
48740 .xword 0x6f93dbe75dae37a2
48741 .xword 0xfd13d511e7adf02c
48742 .xword 0xff6b485934082a8a
48743 .xword 0x609c7a3f03179f47
48744 .xword 0x20be088498cf00ee
48745 .xword 0x25788867ad37c56b
48746 .xword 0x1d6b218d5fa66d8f
48747 .xword 0xb86c5d3c8883b60a
48748 .xword 0xd8567d1be405b7b6
48749 .xword 0x6e13be1104fc398c
48750 .xword 0xdb05d8f5c33ed157
48751 .xword 0x7db37cefb666c112
48752 .xword 0xa11cfed47a0df1cb
48753 .xword 0x599b51aad1db91fc
48754 .xword 0xf90cc544c2ff894a
48755 .xword 0xb734ed7b69473e17
48756 .xword 0x8d72837124a8ab8d
48757 .xword 0x4d3eea0225e0ce6b
48758 .xword 0xd7c9e918bdd6834e
48759 .xword 0x454b256819b93338
48760 .xword 0x0c2c1f1179582273
48761 .xword 0x531ecf60772fb08e
48762 .xword 0x2836600291017043
48763 .xword 0x2dca8cd8ff0e38ef
48764 .xword 0xa4781fe953d74d6d
48765 .xword 0x532ae63f83b28fd8
48766 .xword 0x830b3057dc660291
48767 .xword 0x5e0f3501ac144f71
48768 .xword 0x1a4f29fb4a6ed37c
48769 .xword 0xd152770053cef57b
48770 .xword 0x5fb11f9991f80cc2
48771 .xword 0x084ab29d056eb0a1
48772 .xword 0x18d052a8c38150b1
48773 .xword 0x1c35d1abb9107be7
48774 .xword 0x27cb328735bb6c27
48775 .xword 0xea68bad28f91ce2d
48776 .xword 0xcc058918c3039b8e
48777 .xword 0x53c0d6876c788a63
48778 .xword 0xb86b0531f8b9dc6d
48779 .xword 0x86b3670f95b5b9fd
48780 .xword 0x27f63b1e7147b2a9
48781 .xword 0x8908b496c2196884
48782 .xword 0xad635bf00f1dafb2
48783 .xword 0xa27b6da24170caf3
48784 .xword 0x28d14b4d823cd460
48785 .xword 0x139cebbe06820db8
48786 .xword 0x6aad838780eb2c89
48787 .xword 0xa7fb41535ec33556
48788 .xword 0xbff3fa0eeca68ce1
48789 .xword 0x9cb3ad4350db3360
48790 .xword 0x2dc20eaa13b61cc5
48791 .xword 0xd1bcfb506de0639d
48792 .xword 0x163f297581b32e1f
48793 .xword 0x8041100aa78ccd59
48794 .xword 0xe3fb2e34e10ccc28
48795 .xword 0x038c9b80dbb1cc26
48796 .xword 0x59d53f79854aa565
48797 .xword 0x361b4ea4e8ceb7a4
48798 .xword 0x0f84885b47c68a38
48799 .xword 0xdbaed292316cee24
48800 .xword 0xa87865dded0d4ece
48801 .xword 0xf7d4015cefe770be
48802 .xword 0xb9bfe36aec6dbf1c
48803 .xword 0xf12ffd3198264a53
48804 .xword 0xbc1bad44e0f6ccc3
48805 .xword 0x101c1d7ed71020b2
48806 .xword 0xc31706c032b6a526
48807 .xword 0x2371a84a68a0567e
48808 .xword 0x8bf4234456caed9d
48809 .xword 0x44c2442ebe53dd4f
48810 .xword 0xf0ad5f1584387d3e
48811 .xword 0xb68ab49d2d15a687
48812 .xword 0x202e7990ea0bfcb0
48813 .xword 0x962e69bd6c6deb38
48814 .xword 0x246d5e4b2a0a3972
48815 .xword 0x5f43de07cec66b68
48816 .xword 0xc93bbb9d278b6fef
48817 .xword 0xe090c5e43cb0b212
48818 .xword 0x643b25eb0fca5af7
48819 .xword 0xf2707dbdef3b3d70
48820 .xword 0x89156f25d3f5b07b
48821 .xword 0xd68be58419656953
48822 .xword 0x3cc1f89df7f274c9
48823 .xword 0x073dba682833cb59
48824 .xword 0xc368fefcfac3abcb
48825 .xword 0x4154fb221e8a1424
48826 .xword 0xf19347d33d3e8e25
48827 .xword 0x413fc1dc5f62dd0b
48828 .xword 0xd772c62e619cedf6
48829 .xword 0x2da2b318d36dce7f
48830 .xword 0x76530b2c39364bfe
48831 .xword 0x47c218a816b6ca98
48832 .xword 0xea17920adfd5ab9d
48833 .xword 0xe6f3038356acd78f
48834 .xword 0x84c2a8a00dd0acb0
48835 .xword 0x399062493b7039cb
48836 .xword 0xea8e496fb811e4ae
48837 .xword 0x572fe78bbb871126
48838 .xword 0xc1f39433cd1c3845
48839 .xword 0x07c1933c135d813e
48840 .xword 0x518856a27b7bb087
48841 .xword 0x735459a1d249a55e
48842 .xword 0xede0039e4efba727
48843 .xword 0xd44f3155fddb8145
48844 .xword 0xee554eb5b538a2b9
48845 .xword 0x8287c5acf0fd45df
48846 .xword 0xc2ae642d49508d08
48847 .xword 0x1835ac5fe3d8e7a7
48848 .xword 0xa8d5362cbec25bc7
48849 .xword 0x5886248614af0afe
48850 .xword 0x14284ad12dceeae7
48851 .xword 0x22b1293d932c866c
48852 .xword 0xb764ee94645db3d4
48853 .xword 0x5043062e90602f83
48854 .xword 0xa448439dd11baac6
48855 .xword 0x867753aed978c23a
48856 .xword 0x6d60d2185bb4cc5b
48857 .xword 0xb1b5c0ccdcdb3f6a
48858 .xword 0x07da1360938ca2c3
48859 .xword 0xd55e6951f517a7ec
48860 .xword 0xd227680af1f22265
48861 .xword 0xba5e21996b853e3c
48862 .xword 0xe689fb2b6d17d893
48863 .xword 0xfa36b739d155bdef
48864 .xword 0x8e8df86fa0f29e37
48865 .xword 0x7aed29760958ab69
48866 .xword 0x89ef34179d0c7e2e
48867 .xword 0x068ec3e28dc9ee14
48868 .xword 0xd682dae6e009962d
48869 .xword 0xadcf6ed3605c668b
48870 .xword 0x68e01c8222a62872
48871 .xword 0xcae454302bc2d44a
48872 .xword 0x9edc926c9670e0f8
48873 .xword 0x6e853ae209792274
48874 .xword 0xe3264c513e94d156
48875 .xword 0x4c53d9220748fd5e
48876 .xword 0x496948a403eae0cb
48877 .xword 0xd064b7af2d16e36a
48878 .xword 0xc6bae81005f289f7
48879 .xword 0xa31f7ca93fa8d04a
48880 .xword 0x867425e5425f0d87
48881 .xword 0xbf77322157171555
48882 .xword 0x1d13f875b6bf8a90
48883 .xword 0x2b2dc7900318e149
48884 .xword 0x7afe0f8100b1a539
48885 .xword 0x7becd55ff2b1a268
48886 .xword 0x3b3af33bad713841
48887 .xword 0x596cad60e86bdaa9
48888 .xword 0x2b77000e59f8d951
48889 .xword 0x905063f1915b1b1e
48890 .xword 0x04517212fcdc1519
48891 .xword 0x09d87dbdab25c7a7
48892 .xword 0x83cd878fbbc2ce2c
48893 .xword 0xa48f378671d8c2f4
48894 .xword 0x1cd582e31353a664
48895 .xword 0x4f9f8fc6f041d66a
48896 .xword 0x54da017f79ebd52d
48897 .xword 0x3250f0fe67f79c4a
48898 .xword 0x2bd7d0bde5a106f7
48899 .xword 0x78976e19a344db19
48900 .xword 0x1bab33a251606e0c
48901 .xword 0xfba2e5c4f7c1327a
48902 .xword 0xf5aee7db53072f28
48903 .xword 0x00421c6509783d4a
48904 .xword 0xc8aa550a82e72f67
48905 .xword 0x5e0714e235cb60bc
48906_t1_crc_dest:
48907 .xword 0xDEADBEEFDEADBEEF
48908 .xword 0xDEADBEEFDEADBEEF
48909 .xword 0xDEADBEEFDEADBEEF
48910 .xword 0xDEADBEEFDEADBEEF
48911 .xword 0xDEADBEEFDEADBEEF
48912 .xword 0xDEADBEEFDEADBEEF
48913 .xword 0xDEADBEEFDEADBEEF
48914 .xword 0xDEADBEEFDEADBEEF
48915 .xword 0xDEADBEEFDEADBEEF
48916 .xword 0xDEADBEEFDEADBEEF
48917 .xword 0xDEADBEEFDEADBEEF
48918 .xword 0xDEADBEEFDEADBEEF
48919 .xword 0xDEADBEEFDEADBEEF
48920 .xword 0xDEADBEEFDEADBEEF
48921 .xword 0xDEADBEEFDEADBEEF
48922 .xword 0xDEADBEEFDEADBEEF
48923 .xword 0xDEADBEEFDEADBEEF
48924 .xword 0xDEADBEEFDEADBEEF
48925 .xword 0xDEADBEEFDEADBEEF
48926 .xword 0xDEADBEEFDEADBEEF
48927 .xword 0xDEADBEEFDEADBEEF
48928 .xword 0xDEADBEEFDEADBEEF
48929 .xword 0xDEADBEEFDEADBEEF
48930 .xword 0xDEADBEEFDEADBEEF
48931 .xword 0xDEADBEEFDEADBEEF
48932 .xword 0xDEADBEEFDEADBEEF
48933 .xword 0xDEADBEEFDEADBEEF
48934 .xword 0xDEADBEEFDEADBEEF
48935 .xword 0xDEADBEEFDEADBEEF
48936 .xword 0xDEADBEEFDEADBEEF
48937 .xword 0xDEADBEEFDEADBEEF
48938 .xword 0xDEADBEEFDEADBEEF
48939 .xword 0xDEADBEEFDEADBEEF
48940 .xword 0xDEADBEEFDEADBEEF
48941 .xword 0xDEADBEEFDEADBEEF
48942 .xword 0xDEADBEEFDEADBEEF
48943 .xword 0xDEADBEEFDEADBEEF
48944 .xword 0xDEADBEEFDEADBEEF
48945 .xword 0xDEADBEEFDEADBEEF
48946 .xword 0xDEADBEEFDEADBEEF
48947 .xword 0xDEADBEEFDEADBEEF
48948 .xword 0xDEADBEEFDEADBEEF
48949 .xword 0xDEADBEEFDEADBEEF
48950 .xword 0xDEADBEEFDEADBEEF
48951 .xword 0xDEADBEEFDEADBEEF
48952 .xword 0xDEADBEEFDEADBEEF
48953 .xword 0xDEADBEEFDEADBEEF
48954 .xword 0xDEADBEEFDEADBEEF
48955 .xword 0xDEADBEEFDEADBEEF
48956 .xword 0xDEADBEEFDEADBEEF
48957 .xword 0xDEADBEEFDEADBEEF
48958 .xword 0xDEADBEEFDEADBEEF
48959 .xword 0xDEADBEEFDEADBEEF
48960 .xword 0xDEADBEEFDEADBEEF
48961 .xword 0xDEADBEEFDEADBEEF
48962 .xword 0xDEADBEEFDEADBEEF
48963 .xword 0xDEADBEEFDEADBEEF
48964 .xword 0xDEADBEEFDEADBEEF
48965 .xword 0xDEADBEEFDEADBEEF
48966 .xword 0xDEADBEEFDEADBEEF
48967 .xword 0xDEADBEEFDEADBEEF
48968 .xword 0xDEADBEEFDEADBEEF
48969 .xword 0xDEADBEEFDEADBEEF
48970 .xword 0xDEADBEEFDEADBEEF
48971 .xword 0xDEADBEEFDEADBEEF
48972 .xword 0xDEADBEEFDEADBEEF
48973 .xword 0xDEADBEEFDEADBEEF
48974 .xword 0xDEADBEEFDEADBEEF
48975 .xword 0xDEADBEEFDEADBEEF
48976 .xword 0xDEADBEEFDEADBEEF
48977 .xword 0xDEADBEEFDEADBEEF
48978 .xword 0xDEADBEEFDEADBEEF
48979 .xword 0xDEADBEEFDEADBEEF
48980 .xword 0xDEADBEEFDEADBEEF
48981 .xword 0xDEADBEEFDEADBEEF
48982 .xword 0xDEADBEEFDEADBEEF
48983 .xword 0xDEADBEEFDEADBEEF
48984 .xword 0xDEADBEEFDEADBEEF
48985 .xword 0xDEADBEEFDEADBEEF
48986 .xword 0xDEADBEEFDEADBEEF
48987 .xword 0xDEADBEEFDEADBEEF
48988 .xword 0xDEADBEEFDEADBEEF
48989 .xword 0xDEADBEEFDEADBEEF
48990 .xword 0xDEADBEEFDEADBEEF
48991 .xword 0xDEADBEEFDEADBEEF
48992 .xword 0xDEADBEEFDEADBEEF
48993 .xword 0xDEADBEEFDEADBEEF
48994 .xword 0xDEADBEEFDEADBEEF
48995 .xword 0xDEADBEEFDEADBEEF
48996 .xword 0xDEADBEEFDEADBEEF
48997 .xword 0xDEADBEEFDEADBEEF
48998 .xword 0xDEADBEEFDEADBEEF
48999 .xword 0xDEADBEEFDEADBEEF
49000 .xword 0xDEADBEEFDEADBEEF
49001 .xword 0xDEADBEEFDEADBEEF
49002 .xword 0xDEADBEEFDEADBEEF
49003 .xword 0xDEADBEEFDEADBEEF
49004 .xword 0xDEADBEEFDEADBEEF
49005 .xword 0xDEADBEEFDEADBEEF
49006 .xword 0xDEADBEEFDEADBEEF
49007 .xword 0xDEADBEEFDEADBEEF
49008 .xword 0xDEADBEEFDEADBEEF
49009 .xword 0xDEADBEEFDEADBEEF
49010 .xword 0xDEADBEEFDEADBEEF
49011 .xword 0xDEADBEEFDEADBEEF
49012 .xword 0xDEADBEEFDEADBEEF
49013 .xword 0xDEADBEEFDEADBEEF
49014 .xword 0xDEADBEEFDEADBEEF
49015 .xword 0xDEADBEEFDEADBEEF
49016 .xword 0xDEADBEEFDEADBEEF
49017 .xword 0xDEADBEEFDEADBEEF
49018 .xword 0xDEADBEEFDEADBEEF
49019 .xword 0xDEADBEEFDEADBEEF
49020 .xword 0xDEADBEEFDEADBEEF
49021 .xword 0xDEADBEEFDEADBEEF
49022 .xword 0xDEADBEEFDEADBEEF
49023 .xword 0xDEADBEEFDEADBEEF
49024 .xword 0xDEADBEEFDEADBEEF
49025 .xword 0xDEADBEEFDEADBEEF
49026 .xword 0xDEADBEEFDEADBEEF
49027 .xword 0xDEADBEEFDEADBEEF
49028 .xword 0xDEADBEEFDEADBEEF
49029 .xword 0xDEADBEEFDEADBEEF
49030 .xword 0xDEADBEEFDEADBEEF
49031 .xword 0xDEADBEEFDEADBEEF
49032 .xword 0xDEADBEEFDEADBEEF
49033 .xword 0xDEADBEEFDEADBEEF
49034 .xword 0xDEADBEEFDEADBEEF
49035 .xword 0xDEADBEEFDEADBEEF
49036 .xword 0xDEADBEEFDEADBEEF
49037 .xword 0xDEADBEEFDEADBEEF
49038 .xword 0xDEADBEEFDEADBEEF
49039 .xword 0xDEADBEEFDEADBEEF
49040 .xword 0xDEADBEEFDEADBEEF
49041 .xword 0xDEADBEEFDEADBEEF
49042 .xword 0xDEADBEEFDEADBEEF
49043 .xword 0xDEADBEEFDEADBEEF
49044 .xword 0xDEADBEEFDEADBEEF
49045 .xword 0xDEADBEEFDEADBEEF
49046 .xword 0xDEADBEEFDEADBEEF
49047 .xword 0xDEADBEEFDEADBEEF
49048 .xword 0xDEADBEEFDEADBEEF
49049 .xword 0xDEADBEEFDEADBEEF
49050 .xword 0xDEADBEEFDEADBEEF
49051 .xword 0xDEADBEEFDEADBEEF
49052 .xword 0xDEADBEEFDEADBEEF
49053 .xword 0xDEADBEEFDEADBEEF
49054 .xword 0xDEADBEEFDEADBEEF
49055 .xword 0xDEADBEEFDEADBEEF
49056 .xword 0xDEADBEEFDEADBEEF
49057 .xword 0xDEADBEEFDEADBEEF
49058 .xword 0xDEADBEEFDEADBEEF
49059 .xword 0xDEADBEEFDEADBEEF
49060 .xword 0xDEADBEEFDEADBEEF
49061 .xword 0xDEADBEEFDEADBEEF
49062 .xword 0xDEADBEEFDEADBEEF
49063 .xword 0xDEADBEEFDEADBEEF
49064 .xword 0xDEADBEEFDEADBEEF
49065 .xword 0xDEADBEEFDEADBEEF
49066 .xword 0xDEADBEEFDEADBEEF
49067 .xword 0xDEADBEEFDEADBEEF
49068 .xword 0xDEADBEEFDEADBEEF
49069 .xword 0xDEADBEEFDEADBEEF
49070 .xword 0xDEADBEEFDEADBEEF
49071 .xword 0xDEADBEEFDEADBEEF
49072 .xword 0xDEADBEEFDEADBEEF
49073 .xword 0xDEADBEEFDEADBEEF
49074 .xword 0xDEADBEEFDEADBEEF
49075 .xword 0xDEADBEEFDEADBEEF
49076 .xword 0xDEADBEEFDEADBEEF
49077 .xword 0xDEADBEEFDEADBEEF
49078 .xword 0xDEADBEEFDEADBEEF
49079 .xword 0xDEADBEEFDEADBEEF
49080 .xword 0xDEADBEEFDEADBEEF
49081 .xword 0xDEADBEEFDEADBEEF
49082 .xword 0xDEADBEEFDEADBEEF
49083 .xword 0xDEADBEEFDEADBEEF
49084 .xword 0xDEADBEEFDEADBEEF
49085 .xword 0xDEADBEEFDEADBEEF
49086 .xword 0xDEADBEEFDEADBEEF
49087 .xword 0xDEADBEEFDEADBEEF
49088 .xword 0xDEADBEEFDEADBEEF
49089 .xword 0xDEADBEEFDEADBEEF
49090 .xword 0xDEADBEEFDEADBEEF
49091 .xword 0xDEADBEEFDEADBEEF
49092 .xword 0xDEADBEEFDEADBEEF
49093 .xword 0xDEADBEEFDEADBEEF
49094 .xword 0xDEADBEEFDEADBEEF
49095 .xword 0xDEADBEEFDEADBEEF
49096 .xword 0xDEADBEEFDEADBEEF
49097 .xword 0xDEADBEEFDEADBEEF
49098 .xword 0xDEADBEEFDEADBEEF
49099 .xword 0xDEADBEEFDEADBEEF
49100 .xword 0xDEADBEEFDEADBEEF
49101 .xword 0xDEADBEEFDEADBEEF
49102 .xword 0xDEADBEEFDEADBEEF
49103 .xword 0xDEADBEEFDEADBEEF
49104 .xword 0xDEADBEEFDEADBEEF
49105 .xword 0xDEADBEEFDEADBEEF
49106 .xword 0xDEADBEEFDEADBEEF
49107 .xword 0xDEADBEEFDEADBEEF
49108 .xword 0xDEADBEEFDEADBEEF
49109 .xword 0xDEADBEEFDEADBEEF
49110 .xword 0xDEADBEEFDEADBEEF
49111 .xword 0xDEADBEEFDEADBEEF
49112 .xword 0xDEADBEEFDEADBEEF
49113 .xword 0xDEADBEEFDEADBEEF
49114 .xword 0xDEADBEEFDEADBEEF
49115 .xword 0xDEADBEEFDEADBEEF
49116 .xword 0xDEADBEEFDEADBEEF
49117 .xword 0xDEADBEEFDEADBEEF
49118 .xword 0xDEADBEEFDEADBEEF
49119 .xword 0xDEADBEEFDEADBEEF
49120 .xword 0xDEADBEEFDEADBEEF
49121 .xword 0xDEADBEEFDEADBEEF
49122 .xword 0xDEADBEEFDEADBEEF
49123 .xword 0xDEADBEEFDEADBEEF
49124 .xword 0xDEADBEEFDEADBEEF
49125 .xword 0xDEADBEEFDEADBEEF
49126 .xword 0xDEADBEEFDEADBEEF
49127 .xword 0xDEADBEEFDEADBEEF
49128 .xword 0xDEADBEEFDEADBEEF
49129 .xword 0xDEADBEEFDEADBEEF
49130 .xword 0xDEADBEEFDEADBEEF
49131 .xword 0xDEADBEEFDEADBEEF
49132 .xword 0xDEADBEEFDEADBEEF
49133 .xword 0xDEADBEEFDEADBEEF
49134 .xword 0xDEADBEEFDEADBEEF
49135 .xword 0xDEADBEEFDEADBEEF
49136 .xword 0xDEADBEEFDEADBEEF
49137 .xword 0xDEADBEEFDEADBEEF
49138 .xword 0xDEADBEEFDEADBEEF
49139 .xword 0xDEADBEEFDEADBEEF
49140 .xword 0xDEADBEEFDEADBEEF
49141 .xword 0xDEADBEEFDEADBEEF
49142 .xword 0xDEADBEEFDEADBEEF
49143 .xword 0xDEADBEEFDEADBEEF
49144 .xword 0xDEADBEEFDEADBEEF
49145 .xword 0xDEADBEEFDEADBEEF
49146 .xword 0xDEADBEEFDEADBEEF
49147 .xword 0xDEADBEEFDEADBEEF
49148 .xword 0xDEADBEEFDEADBEEF
49149 .xword 0xDEADBEEFDEADBEEF
49150 .xword 0xDEADBEEFDEADBEEF
49151 .xword 0xDEADBEEFDEADBEEF
49152 .xword 0xDEADBEEFDEADBEEF
49153 .xword 0xDEADBEEFDEADBEEF
49154 .xword 0xDEADBEEFDEADBEEF
49155 .xword 0xDEADBEEFDEADBEEF
49156 .xword 0xDEADBEEFDEADBEEF
49157 .xword 0xDEADBEEFDEADBEEF
49158 .xword 0xDEADBEEFDEADBEEF
49159 .xword 0xDEADBEEFDEADBEEF
49160 .xword 0xDEADBEEFDEADBEEF
49161 .xword 0xDEADBEEFDEADBEEF
49162 .xword 0xDEADBEEFDEADBEEF
49163 .xword 0xDEADBEEFDEADBEEF
49164 .xword 0xDEADBEEFDEADBEEF
49165 .xword 0xDEADBEEFDEADBEEF
49166 .xword 0xDEADBEEFDEADBEEF
49167 .xword 0xDEADBEEFDEADBEEF
49168 .xword 0xDEADBEEFDEADBEEF
49169 .xword 0xDEADBEEFDEADBEEF
49170 .xword 0xDEADBEEFDEADBEEF
49171 .xword 0xDEADBEEFDEADBEEF
49172 .xword 0xDEADBEEFDEADBEEF
49173 .xword 0xDEADBEEFDEADBEEF
49174 .xword 0xDEADBEEFDEADBEEF
49175 .xword 0xDEADBEEFDEADBEEF
49176 .xword 0xDEADBEEFDEADBEEF
49177 .xword 0xDEADBEEFDEADBEEF
49178 .xword 0xDEADBEEFDEADBEEF
49179 .xword 0xDEADBEEFDEADBEEF
49180 .xword 0xDEADBEEFDEADBEEF
49181 .xword 0xDEADBEEFDEADBEEF
49182_t1_crc_auth_key:
49183 .xword 0x984e8039efc50db4
49184 .xword 0xccb8a3f98ae4d82e
49185 .xword 0x5bed14f1aac4d834
49186 .xword 0xfcf8d351598c032b
49187 .xword 0x8f8224a47c37b1cd
49188 .xword 0xec6bcb0b2d1dbca8
49189 .xword 0x85e7771b1744e366
49190 .xword 0x54f6e4a56806e8ae
49191 .xword 0xf09b9086ca24aa52
49192 .xword 0x66774d58346b745c
49193 .xword 0x9b6e355e8b957d19
49194 .xword 0x92f875f5ecb40b7b
49195 .xword 0xab0cf1937fd58996
49196 .xword 0x0ede1c315b6af5fe
49197 .xword 0xec5aeb83767e46f9
49198 .xword 0xba13d163d0322559
49199 .xword 0x5a44e7e585f7a050
49200 .xword 0x349ae1b93d533942
49201 .xword 0x5e1d5140e65fe70b
49202 .xword 0x154a0b75b5694168
49203 .xword 0x09e42bcf52f76e14
49204 .xword 0xd0ab3bdf24c92c2e
49205 .xword 0x25dd8451fbfb3f2e
49206_t1_crc_auth_iv:
49207 .xword 0x0ce77435bf3d0f0d
49208 .xword 0x7e99725a31894e37
49209 .xword 0xb4bba3fa3ce550ed
49210 .xword 0x94ce1dba857fd687
49211 .xword 0x83aefcbede452959
49212 .xword 0x4629301eb04b9068
49213 .xword 0xa4257c6ee39e81f9
49214 .xword 0xe97599c581ec99d0
49215 .xword 0x364959a73d7ca938
49216 .xword 0xa675e9cc77d0b4d9
49217 .xword 0x645e49350507c048
49218 .xword 0x8ac0b3ea34f3629f
49219 .xword 0xdf0b21dd3781a4af
49220 .xword 0xa47e392966502471
49221 .xword 0xb56a072b20786137
49222 .xword 0x94050ed9374819cb
49223 .xword 0xcf04d3334f8c2ad7
49224 .xword 0x43d3b217fc13fb77
49225 .xword 0x1e7cc7d0b49b9228
49226 .xword 0x6e07dec1e2e838e9
49227 .xword 0xae6b6bda026fb28f
49228 .xword 0x213f7031f7d44fa9
49229 .xword 0xb0197fcba43e4ff9
49230_t1_crc_fas_result:
49231 .xword 0xDEADBEEFDEADBEEF
49232 .xword 0xDEADBEEFDEADBEEF
49233 .xword 0xDEADBEEFDEADBEEF
49234 .xword 0xDEADBEEFDEADBEEF
49235 .xword 0xDEADBEEFDEADBEEF
49236 .xword 0xDEADBEEFDEADBEEF
49237 .xword 0xDEADBEEFDEADBEEF
49238 .xword 0xDEADBEEFDEADBEEF
49239 .xword 0xDEADBEEFDEADBEEF
49240 .xword 0xDEADBEEFDEADBEEF
49241 .xword 0xDEADBEEFDEADBEEF
49242 .xword 0xDEADBEEFDEADBEEF
49243 .xword 0xDEADBEEFDEADBEEF
49244 .xword 0xDEADBEEFDEADBEEF
49245 .xword 0xDEADBEEFDEADBEEF
49246 .xword 0xDEADBEEFDEADBEEF
49247 .xword 0xDEADBEEFDEADBEEF
49248 .xword 0xDEADBEEFDEADBEEF
49249 .xword 0xDEADBEEFDEADBEEF
49250 .xword 0xDEADBEEFDEADBEEF
49251 .xword 0xDEADBEEFDEADBEEF
49252 .xword 0xDEADBEEFDEADBEEF
49253 .xword 0xDEADBEEFDEADBEEF
49254_t1_hash_key_array:
49255 .xword 0x71b7af3b8359ea1b
49256 .xword 0x5fe9d40fd3a11b6d
49257 .xword 0x0465786871d3281d
49258 .xword 0x3e0912b7ebbe7572
49259 .xword 0xda8d34610ed8adcc
49260 .xword 0x1d0f174ab0f0a51f
49261 .xword 0x013b66f48e8858fd
49262 .xword 0x81f1b776fd8805eb
49263 .xword 0xf412eafc196342f0
49264 .xword 0xf58c11e277a6196c
49265 .xword 0x6881888782ce80d8
49266 .xword 0xb79e9bbe5653fbc1
49267 .xword 0xd7e5a2454016ee33
49268 .xword 0x65c72170e5d3ad41
49269 .xword 0x415e95ed993de705
49270 .xword 0x85b403280cb0284f
49271 .xword 0x66e21b7fc3a0134a
49272 .xword 0x28f4735d5c2ef7af
49273 .xword 0x7704e0f9210520fd
49274 .xword 0xab478a3dba50d490
49275 .xword 0x9f31f11e2c2334c8
49276 .xword 0xb11e66238cd8731b
49277 .xword 0xa5226c130e4e2f0b
49278 .xword 0xe45be5e47127cf50
49279 .xword 0x73198ae8fb04b5b3
49280 .xword 0xa0a6376789e0df62
49281 .xword 0x6b53cd2a697d78eb
49282 .xword 0xaf1330ade55ab5e5
49283 .xword 0xcfbc6d105ebc9b83
49284 .xword 0xc93b3d912b103027
49285 .xword 0xb8070de9f1baa05f
49286 .xword 0x53a19d924f68b0cf
49287 .xword 0xd13197a733aaaa44
49288 .xword 0x928504173c78e58c
49289 .xword 0xe89c804f6bf2f8a2
49290 .xword 0xf3d96151848ae530
49291 .xword 0xd0e6ecbb2ad197aa
49292 .xword 0x66cf92d4a7f8f353
49293 .xword 0x0f9d7046181c20b6
49294 .xword 0x84883cf38e382141
49295 .xword 0x48da2e7d4ab8c908
49296 .xword 0x97ecc2e81192785c
49297 .xword 0x3c4e13e9d1b3f3ba
49298 .xword 0xf6fa7669f96e8d57
49299 .xword 0x882a87c11c069eb5
49300 .xword 0xb20d2eb4e23fc819
49301 .xword 0x77394dbe16a05537
49302 .xword 0x9e983482ba89c72c
49303 .xword 0xaf584eb3c946dd06
49304 .xword 0x5674f23b507cbb2a
49305 .xword 0x4e86feb4ba55e289
49306_t1_hash_iv_array:
49307 .xword 0xcb5bda2f8beb1c3e
49308 .xword 0x2ba364e37aff4a2d
49309 .xword 0x6b9d392e9086208f
49310 .xword 0x3de050891b2b0563
49311 .xword 0xe23e2ba4a2dcaa2e
49312 .xword 0xdbc03aaebe68161b
49313 .xword 0xffe2201a81d015ac
49314 .xword 0x950c7afa089bd545
49315 .xword 0x02c44e4bac9aaf19
49316 .xword 0x2352f680f58d119a
49317 .xword 0xbcb9de7298c5c083
49318 .xword 0xadde4316ee504a85
49319 .xword 0x949702ce7c88f79c
49320 .xword 0x6feaf4c790dad683
49321 .xword 0x87e3b05307361dea
49322 .xword 0x0bc5c845d1bc015d
49323 .xword 0x82a0efe08d6a9bd2
49324 .xword 0x90b1dfac72561ff6
49325 .xword 0x76d2f451a56ba5c3
49326 .xword 0x233d7c0dc91c52eb
49327 .xword 0x8a4ea5b1a7d1261d
49328 .xword 0x503b5352c488bf48
49329 .xword 0x8ccf5771d9fbec9d
49330 .xword 0xef25d11e8f1e1295
49331 .xword 0x7ec902d215b3d256
49332 .xword 0x2ffa22f0594f8ab0
49333 .xword 0x3241d410527f0fc9
49334 .xword 0x5532bdb64db42365
49335 .xword 0x7f9d10d9bb766b19
49336 .xword 0xc8452a16d6d79c3f
49337 .xword 0xb1d3185dfa638c18
49338 .xword 0x3cf76c570694baa6
49339 .xword 0x89ef695b23dd0d47
49340 .xword 0x86b95b8e53f8cb34
49341 .xword 0xfa843b3f1de959cb
49342 .xword 0xffa9f1980c887641
49343 .xword 0x6da7ffe633a1b0ec
49344 .xword 0x36d625b75359d796
49345 .xword 0x9fbcdbc8aa2d4c3f
49346 .xword 0x445123f57052962c
49347 .xword 0xad5d7e7f4fa38200
49348 .xword 0x9de2c90fb836e8a5
49349 .xword 0xcd91c8aa9133e424
49350 .xword 0x9b5e9e238e4ffc78
49351 .xword 0x2169bda312b05594
49352_t1_hash_alignment_array:
49353 .xword 13
49354 .xword 2
49355 .xword 7
49356 .xword 12
49357 .xword 5
49358 .xword 12
49359 .xword 2
49360 .xword 3
49361 .xword 12
49362 .xword 10
49363 .xword 2
49364 .xword 10
49365 .xword 5
49366 .xword 11
49367 .xword 12
49368 .xword 10
49369 .xword 6
49370 .xword 11
49371 .xword 7
49372 .xword 1
49373 .xword 15
49374 .xword 15
49375 .xword 1
49376 .xword 1
49377 .xword 8
49378 .xword 15
49379 .xword 10
49380 .xword 0
49381 .xword 15
49382 .xword 5
49383 .xword 5
49384 .xword 9
49385 .xword 9
49386 .xword 7
49387 .xword 12
49388 .xword 10
49389 .xword 13
49390 .xword 4
49391 .xword 11
49392 .xword 15
49393 .xword 5
49394 .xword 10
49395 .xword 5
49396 .xword 13
49397 .xword 10
49398 .xword 11
49399 .xword 6
49400 .xword 9
49401 .xword 8
49402 .xword 5
49403 .xword 9
49404 .xword 11
49405 .xword 13
49406 .xword 11
49407 .xword 15
49408 .xword 9
49409 .xword 14
49410 .xword 8
49411 .xword 1
49412 .xword 2
49413 .xword 4
49414 .xword 11
49415 .xword 8
49416 .xword 10
49417 .xword 14
49418 .xword 5
49419 .xword 2
49420 .xword 9
49421 .xword 4
49422 .xword 6
49423 .xword 2
49424 .xword 1
49425 .xword 9
49426 .xword 12
49427 .xword 13
49428 .xword 11
49429 .xword 2
49430 .xword 11
49431 .xword 5
49432 .xword 10
49433 .xword 5
49434 .xword 2
49435 .xword 14
49436 .xword 11
49437 .xword 8
49438 .xword 8
49439 .xword 4
49440 .xword 8
49441 .xword 11
49442 .xword 0
49443 .xword 5
49444 .xword 4
49445 .xword 13
49446 .xword 8
49447 .xword 3
49448 .xword 4
49449 .xword 5
49450 .xword 9
49451 .xword 5
49452 .xword 6
49453 .xword 1
49454 .xword 12
49455 .xword 10
49456 .xword 15
49457 .xword 13
49458_t1_hash_src:
49459 .xword 0x3fb70608c6c44f86
49460 .xword 0xb1314995856c9daa
49461 .xword 0xc8b05a23c17a9f14
49462 .xword 0xcea8a04c6241088e
49463 .xword 0xd044b7feaaaeee42
49464 .xword 0x622cfefaba282f83
49465 .xword 0x5e6d80285f841413
49466 .xword 0x616d025cf46251d9
49467 .xword 0x565dcc2ee22635ce
49468 .xword 0x0428913c1da554f6
49469 .xword 0xa175ca18cbf2d7be
49470 .xword 0x7611c478051ce386
49471 .xword 0x9d1cb61fe716f43f
49472 .xword 0x8056f8684e98f84b
49473 .xword 0x9d4c646e3fcffea1
49474 .xword 0x2a3976b509c6e74b
49475 .xword 0xbbaf5b7c182bee47
49476 .xword 0x0eecc8bf72e20f5e
49477 .xword 0xcaca72d908fc5b9f
49478 .xword 0xca2bfe803b0959ba
49479 .xword 0x29ed34fc817ae638
49480 .xword 0xb2caf573516d3964
49481 .xword 0xfad67fb1d7a35b94
49482 .xword 0xfd94002b45118478
49483 .xword 0x58dc5a17cf639d10
49484 .xword 0x3f788e7e92e426ec
49485 .xword 0x1b6e8657463658bc
49486 .xword 0xa42a82f4dfdeb9c1
49487 .xword 0x186659237fc4401b
49488 .xword 0x87ebd916f6ca26d5
49489 .xword 0x4f785f4145b6b491
49490 .xword 0xa873f51d1e0af18e
49491 .xword 0x2a84ce2ea286bffe
49492 .xword 0xe3b556e65c9f5b0f
49493 .xword 0x2ae336a82be6134c
49494 .xword 0x5ed37aec7ac6b3da
49495 .xword 0x6b6c09041baabcd4
49496 .xword 0x905e496df14d01fc
49497 .xword 0xe9e98a854616eb13
49498 .xword 0x8c8c712c281325b3
49499 .xword 0x295eb64c2c6b8924
49500 .xword 0x6c29b7c372960c1e
49501 .xword 0xcfbf75eb05f90da9
49502 .xword 0x4408543be4117487
49503 .xword 0x40a1b4a36a497245
49504 .xword 0x40894a529dcc29aa
49505 .xword 0xbe5443d98b34f08b
49506 .xword 0xff036fdfe352ecd6
49507 .xword 0x4634fa9398153074
49508 .xword 0x7e576029c0f32e37
49509 .xword 0x826b1c7b4faace23
49510 .xword 0x44cc3523cd314663
49511 .xword 0xdbb159a3667627f0
49512 .xword 0xd8e575929393117e
49513 .xword 0x962a97adebaec15e
49514 .xword 0x54121b9c2e69b56b
49515 .xword 0x3f63fb39818e4221
49516 .xword 0x15f8c21be8a0f8a7
49517 .xword 0xb6946727da1e5606
49518 .xword 0x71207f26d3286e7b
49519 .xword 0xb8364fa7af24580a
49520 .xword 0x08f8613849d554fe
49521 .xword 0x46ec2d98d0df4a34
49522 .xword 0x0add4d0b841fbfa9
49523 .xword 0x99a547746d65e827
49524 .xword 0x72facc602df03c55
49525 .xword 0xe62a1176c73b34e0
49526 .xword 0x643099291bd3543f
49527 .xword 0x5ff4a7f022711ccc
49528 .xword 0xb92284eb96941067
49529 .xword 0xb7ad5790fe2956e5
49530 .xword 0xd583146ce16d3f97
49531 .xword 0x727d73cf895bf3d0
49532 .xword 0x814dea57c3fe6b83
49533 .xword 0x8e02ca7773834435
49534 .xword 0x76d7d663d701a824
49535 .xword 0x6352f9b677ab45f7
49536 .xword 0x9ed36f63b91512c9
49537 .xword 0xeb1e964676879369
49538 .xword 0x4f30a1a670bfce3c
49539 .xword 0x445962384bebff6d
49540 .xword 0xeb2a707ef6bc32ff
49541 .xword 0xce19c96c0f9908ee
49542 .xword 0xc56115f6ff3055ae
49543 .xword 0x3e57ca9fdcb9af97
49544 .xword 0x06b4d866e068b6ef
49545 .xword 0xe588df222f76aac1
49546 .xword 0x548b9f164b49601a
49547 .xword 0x7107c698d3f9731c
49548 .xword 0x4efb5f81a733bf5a
49549 .xword 0x9b9330eced037615
49550 .xword 0x7b204bd7971cf60f
49551 .xword 0x3d218a74a9cc2684
49552 .xword 0x53ddab09e9413776
49553 .xword 0xd5f5e0c61d3f2372
49554 .xword 0xb6b4c38b0548fcd8
49555 .xword 0xd7698fbe80551c62
49556 .xword 0x30ceceecbb99c8fb
49557 .xword 0x12c66b9ba2535322
49558 .xword 0x751f6f7e01811d1a
49559 .xword 0xbdcbd93fd618a75b
49560 .xword 0xf99ff0423b4ab2ca
49561 .xword 0xeab84992587ef548
49562 .xword 0x70450e7d201a6188
49563 .xword 0x0aaf17d8f35890d1
49564 .xword 0xa628a8b2461a7b55
49565 .xword 0x3bc37bf4c42cfc29
49566 .xword 0x27699d8e14c48f01
49567 .xword 0xb9078dd4eee4eb54
49568 .xword 0xa604ca37f893a5f7
49569 .xword 0x9203b9b5776e3e34
49570 .xword 0x325510184c1802ef
49571 .xword 0x091bdef1fd3b520c
49572 .xword 0x1442b3b05760d347
49573 .xword 0x43f98e7eb0ff49e1
49574 .xword 0xfb310e63ad02c35b
49575 .xword 0x70168e9ce095b7f7
49576 .xword 0x348119c87ba48fd4
49577 .xword 0xa931c1ce8d3fec74
49578 .xword 0x9c922985d7e7db7b
49579 .xword 0x94c8802a667d7faa
49580 .xword 0xa5580655423e4ade
49581 .xword 0x8f71f918a1e2075d
49582 .xword 0xed7fc2d26280ea5d
49583 .xword 0xaf1aa8f0896361b1
49584 .xword 0xf828f72335b630c6
49585 .xword 0xa73c1b95ee46e391
49586 .xword 0x172493e8f1ea744d
49587 .xword 0xdcaf726d1b7bee92
49588 .xword 0x7d08a4115371fac2
49589 .xword 0xcb8b37c90cb46fdd
49590 .xword 0x565c62f238ca89c8
49591 .xword 0xaa7bd899f963b04d
49592 .xword 0x1ebe99a0e0e8a8fa
49593 .xword 0x7bca109f48904ce4
49594 .xword 0x1712194d7cbb2bab
49595 .xword 0x800be9575bbd4695
49596 .xword 0x5ea20e56df4b1cf3
49597 .xword 0x41f1b1a6e56e68ea
49598 .xword 0x5b3bd4a8cf3082b8
49599 .xword 0xd9669cf8172c7e10
49600 .xword 0xc797a79f019a050c
49601 .xword 0xc47b13e3dac7e817
49602 .xword 0x9e412342d781d37b
49603 .xword 0x56c1a8ed3e50541b
49604 .xword 0xd46779aafa184014
49605 .xword 0xac1a8065928db46b
49606 .xword 0xb355e70644948920
49607 .xword 0xb099221483043551
49608 .xword 0x934ff5536f61b893
49609 .xword 0xc0ac9d4fd8e7d3d4
49610 .xword 0xb342fd1d055219aa
49611 .xword 0x4e8eeca3be0356ac
49612 .xword 0x9b70cce1dd366b4b
49613 .xword 0xe1776ebeaa3d0a0f
49614 .xword 0x5865dc0e20aa2aa1
49615 .xword 0x6ff1a5896eb94e56
49616 .xword 0x0a9a6cfae0ba8d84
49617 .xword 0x7830ec44f7f5e1d3
49618 .xword 0x160052a337ddf743
49619 .xword 0xee6b4dfbf686536b
49620 .xword 0x4b49560b8eb9f713
49621 .xword 0xf0bc3e2b2876554f
49622 .xword 0x05d2e484a102384e
49623 .xword 0xfb9d734e018c69f9
49624 .xword 0xda38c4f1a4266836
49625 .xword 0x8da7252fe50aaab0
49626 .xword 0x3b939430a705867d
49627 .xword 0x4d1a7a0c9d136b57
49628 .xword 0x4d4d806831f825cc
49629 .xword 0x8e1eff8e74f2f905
49630 .xword 0xfdbd75d08ce6f80d
49631 .xword 0x3e19576dff5f2c42
49632 .xword 0xec2b1a85e61be472
49633 .xword 0xe4e7fbd12dcdb5a9
49634 .xword 0x5fc7b4a6040cd6d6
49635 .xword 0x6a882d9ebf0269cc
49636 .xword 0x1f35c97d103af34c
49637 .xword 0x3a1fc32e897c4664
49638 .xword 0xf1acf94168abf760
49639 .xword 0x0aaa4bc3090af125
49640 .xword 0xf7c5bef6a1416846
49641 .xword 0xe2cc2aad4fb7d397
49642 .xword 0xa9ef29c949329c87
49643 .xword 0xa8f2f95cbc1625b4
49644 .xword 0x85a6449212bfe5d4
49645 .xword 0x28015ea1098a21f0
49646 .xword 0x484468e5db046efb
49647 .xword 0x870e34e9ddb0bd0f
49648 .xword 0xb33b4e5590cba068
49649 .xword 0xfd13c30de0fdb9dc
49650 .xword 0xeda882115202ff9a
49651 .xword 0x9cc207140fa7378d
49652 .xword 0x0542cf241f804327
49653 .xword 0x1f9d82fd2b88ab10
49654 .xword 0xb9ccaee93115c8a3
49655 .xword 0x6e5616a1456f6991
49656 .xword 0x8be9be8c61d3220f
49657 .xword 0xe3ff409ea43aa76e
49658 .xword 0x594c42a5023881c4
49659 .xword 0x51607a303e31d105
49660 .xword 0xd6b653b173d52435
49661 .xword 0xad22f155f5fe8e88
49662 .xword 0xa1b20d4c7876b334
49663 .xword 0x2287222b77973fb5
49664 .xword 0x50581c3e0c6463aa
49665 .xword 0x08ad66c860ed1261
49666 .xword 0x3ebd1d4d735e98bb
49667 .xword 0x6dc63c0ce3bfb0d1
49668 .xword 0x2258da6e1d6a3a7c
49669 .xword 0x5ac74f5405afc223
49670 .xword 0xa64145d3a8cb8aca
49671 .xword 0x86720bd2c307cb3d
49672 .xword 0xdfd8a95a7f4cbd6b
49673 .xword 0x894fdbd250413309
49674 .xword 0xe4bbae7a76e40cd2
49675 .xword 0x4eec1f03620d15f6
49676 .xword 0xab35c3a8ad492ba3
49677 .xword 0xe151119a242e6e97
49678 .xword 0xd617b23edb79a490
49679 .xword 0xa41568f78e1bdcf8
49680 .xword 0x43d097991ab7a2a2
49681 .xword 0x1409ecaa6b367de4
49682 .xword 0xd61446d4b2b11d2e
49683 .xword 0x9dbaf7983d98b559
49684 .xword 0xfee9ec82fef30b26
49685 .xword 0x142c717f982f9be1
49686 .xword 0x25c2e632084fd110
49687 .xword 0xc8d0e4e2b52ee1ae
49688 .xword 0x70406e00e3917f36
49689 .xword 0x6fec440c8f272631
49690 .xword 0x610fbd56b34c95bb
49691 .xword 0x730d7b16d790664b
49692 .xword 0xb2ddad773b2d8c47
49693 .xword 0xa068bceccfca36b9
49694 .xword 0x0addb2986c3cd495
49695 .xword 0x0a91ee52ea63efd2
49696 .xword 0xb09059a387a4ddb5
49697 .xword 0x44afb4ce112b2959
49698 .xword 0xb3b646e533e6e57c
49699 .xword 0x82a5a215dfd535e5
49700 .xword 0x216c32390b887435
49701 .xword 0x5256c6fdd8e062f6
49702 .xword 0xd703614041c0be21
49703 .xword 0xa6b6e06835b068a7
49704 .xword 0x6635e72869aea5ff
49705 .xword 0xdb3e8a3de4e13c36
49706 .xword 0xdf9fe381e92d0fda
49707 .xword 0x40181a45fd5bb6e5
49708 .xword 0x89b4fb04d8d74c6d
49709 .xword 0x625e35e6a5856021
49710 .xword 0x29e1eee5833f9ece
49711 .xword 0x9f51c073d47617d9
49712 .xword 0x93f2c092f55d7e1f
49713 .xword 0x9797397d4a516441
49714 .xword 0x65654bdbf3671e00
49715 .xword 0x308b9d748548a4b2
49716 .xword 0x2d7d31c589e21b78
49717 .xword 0x7a6f350c1b191552
49718 .xword 0xec74b3c865db61da
49719 .xword 0x2421f086b1386cb1
49720 .xword 0x5815dc7a5c5a4c04
49721 .xword 0x6a5ddcd6d8bc6794
49722 .xword 0x893eb94dd1955c2e
49723 .xword 0x0aa7a96bc5a708cb
49724 .xword 0x65cafdafc217db30
49725 .xword 0xc64a8071120224ae
49726 .xword 0x40370f46162b4dc7
49727 .xword 0xbf457294b3d0c6b3
49728 .xword 0x1185366aedc547de
49729 .xword 0xb5bac614ca214e1d
49730 .xword 0xa4c90b03c2749b69
49731 .xword 0x6b371371813967b6
49732 .xword 0xca337b23e26aeb54
49733 .xword 0xa2666deeae850ec5
49734_t1_hash_dest:
49735 .xword 0xDEADBEEFDEADBEEF
49736 .xword 0xDEADBEEFDEADBEEF
49737 .xword 0xDEADBEEFDEADBEEF
49738 .xword 0xDEADBEEFDEADBEEF
49739 .xword 0xDEADBEEFDEADBEEF
49740 .xword 0xDEADBEEFDEADBEEF
49741 .xword 0xDEADBEEFDEADBEEF
49742 .xword 0xDEADBEEFDEADBEEF
49743 .xword 0xDEADBEEFDEADBEEF
49744 .xword 0xDEADBEEFDEADBEEF
49745 .xword 0xDEADBEEFDEADBEEF
49746 .xword 0xDEADBEEFDEADBEEF
49747 .xword 0xDEADBEEFDEADBEEF
49748 .xword 0xDEADBEEFDEADBEEF
49749 .xword 0xDEADBEEFDEADBEEF
49750 .xword 0xDEADBEEFDEADBEEF
49751 .xword 0xDEADBEEFDEADBEEF
49752 .xword 0xDEADBEEFDEADBEEF
49753 .xword 0xDEADBEEFDEADBEEF
49754 .xword 0xDEADBEEFDEADBEEF
49755 .xword 0xDEADBEEFDEADBEEF
49756 .xword 0xDEADBEEFDEADBEEF
49757 .xword 0xDEADBEEFDEADBEEF
49758 .xword 0xDEADBEEFDEADBEEF
49759 .xword 0xDEADBEEFDEADBEEF
49760 .xword 0xDEADBEEFDEADBEEF
49761 .xword 0xDEADBEEFDEADBEEF
49762 .xword 0xDEADBEEFDEADBEEF
49763 .xword 0xDEADBEEFDEADBEEF
49764 .xword 0xDEADBEEFDEADBEEF
49765 .xword 0xDEADBEEFDEADBEEF
49766 .xword 0xDEADBEEFDEADBEEF
49767 .xword 0xDEADBEEFDEADBEEF
49768 .xword 0xDEADBEEFDEADBEEF
49769 .xword 0xDEADBEEFDEADBEEF
49770 .xword 0xDEADBEEFDEADBEEF
49771 .xword 0xDEADBEEFDEADBEEF
49772 .xword 0xDEADBEEFDEADBEEF
49773 .xword 0xDEADBEEFDEADBEEF
49774 .xword 0xDEADBEEFDEADBEEF
49775 .xword 0xDEADBEEFDEADBEEF
49776 .xword 0xDEADBEEFDEADBEEF
49777 .xword 0xDEADBEEFDEADBEEF
49778 .xword 0xDEADBEEFDEADBEEF
49779 .xword 0xDEADBEEFDEADBEEF
49780 .xword 0xDEADBEEFDEADBEEF
49781 .xword 0xDEADBEEFDEADBEEF
49782 .xword 0xDEADBEEFDEADBEEF
49783 .xword 0xDEADBEEFDEADBEEF
49784 .xword 0xDEADBEEFDEADBEEF
49785 .xword 0xDEADBEEFDEADBEEF
49786 .xword 0xDEADBEEFDEADBEEF
49787 .xword 0xDEADBEEFDEADBEEF
49788 .xword 0xDEADBEEFDEADBEEF
49789 .xword 0xDEADBEEFDEADBEEF
49790 .xword 0xDEADBEEFDEADBEEF
49791 .xword 0xDEADBEEFDEADBEEF
49792 .xword 0xDEADBEEFDEADBEEF
49793 .xword 0xDEADBEEFDEADBEEF
49794 .xword 0xDEADBEEFDEADBEEF
49795 .xword 0xDEADBEEFDEADBEEF
49796 .xword 0xDEADBEEFDEADBEEF
49797 .xword 0xDEADBEEFDEADBEEF
49798 .xword 0xDEADBEEFDEADBEEF
49799 .xword 0xDEADBEEFDEADBEEF
49800 .xword 0xDEADBEEFDEADBEEF
49801 .xword 0xDEADBEEFDEADBEEF
49802 .xword 0xDEADBEEFDEADBEEF
49803 .xword 0xDEADBEEFDEADBEEF
49804 .xword 0xDEADBEEFDEADBEEF
49805 .xword 0xDEADBEEFDEADBEEF
49806 .xword 0xDEADBEEFDEADBEEF
49807 .xword 0xDEADBEEFDEADBEEF
49808 .xword 0xDEADBEEFDEADBEEF
49809 .xword 0xDEADBEEFDEADBEEF
49810 .xword 0xDEADBEEFDEADBEEF
49811 .xword 0xDEADBEEFDEADBEEF
49812 .xword 0xDEADBEEFDEADBEEF
49813 .xword 0xDEADBEEFDEADBEEF
49814 .xword 0xDEADBEEFDEADBEEF
49815 .xword 0xDEADBEEFDEADBEEF
49816 .xword 0xDEADBEEFDEADBEEF
49817 .xword 0xDEADBEEFDEADBEEF
49818 .xword 0xDEADBEEFDEADBEEF
49819 .xword 0xDEADBEEFDEADBEEF
49820 .xword 0xDEADBEEFDEADBEEF
49821 .xword 0xDEADBEEFDEADBEEF
49822 .xword 0xDEADBEEFDEADBEEF
49823 .xword 0xDEADBEEFDEADBEEF
49824 .xword 0xDEADBEEFDEADBEEF
49825 .xword 0xDEADBEEFDEADBEEF
49826 .xword 0xDEADBEEFDEADBEEF
49827 .xword 0xDEADBEEFDEADBEEF
49828 .xword 0xDEADBEEFDEADBEEF
49829 .xword 0xDEADBEEFDEADBEEF
49830 .xword 0xDEADBEEFDEADBEEF
49831 .xword 0xDEADBEEFDEADBEEF
49832 .xword 0xDEADBEEFDEADBEEF
49833 .xword 0xDEADBEEFDEADBEEF
49834 .xword 0xDEADBEEFDEADBEEF
49835 .xword 0xDEADBEEFDEADBEEF
49836 .xword 0xDEADBEEFDEADBEEF
49837 .xword 0xDEADBEEFDEADBEEF
49838 .xword 0xDEADBEEFDEADBEEF
49839 .xword 0xDEADBEEFDEADBEEF
49840 .xword 0xDEADBEEFDEADBEEF
49841 .xword 0xDEADBEEFDEADBEEF
49842 .xword 0xDEADBEEFDEADBEEF
49843 .xword 0xDEADBEEFDEADBEEF
49844 .xword 0xDEADBEEFDEADBEEF
49845 .xword 0xDEADBEEFDEADBEEF
49846 .xword 0xDEADBEEFDEADBEEF
49847 .xword 0xDEADBEEFDEADBEEF
49848 .xword 0xDEADBEEFDEADBEEF
49849 .xword 0xDEADBEEFDEADBEEF
49850 .xword 0xDEADBEEFDEADBEEF
49851 .xword 0xDEADBEEFDEADBEEF
49852 .xword 0xDEADBEEFDEADBEEF
49853 .xword 0xDEADBEEFDEADBEEF
49854 .xword 0xDEADBEEFDEADBEEF
49855 .xword 0xDEADBEEFDEADBEEF
49856 .xword 0xDEADBEEFDEADBEEF
49857 .xword 0xDEADBEEFDEADBEEF
49858 .xword 0xDEADBEEFDEADBEEF
49859 .xword 0xDEADBEEFDEADBEEF
49860 .xword 0xDEADBEEFDEADBEEF
49861 .xword 0xDEADBEEFDEADBEEF
49862 .xword 0xDEADBEEFDEADBEEF
49863 .xword 0xDEADBEEFDEADBEEF
49864 .xword 0xDEADBEEFDEADBEEF
49865 .xword 0xDEADBEEFDEADBEEF
49866 .xword 0xDEADBEEFDEADBEEF
49867 .xword 0xDEADBEEFDEADBEEF
49868 .xword 0xDEADBEEFDEADBEEF
49869 .xword 0xDEADBEEFDEADBEEF
49870 .xword 0xDEADBEEFDEADBEEF
49871 .xword 0xDEADBEEFDEADBEEF
49872 .xword 0xDEADBEEFDEADBEEF
49873 .xword 0xDEADBEEFDEADBEEF
49874 .xword 0xDEADBEEFDEADBEEF
49875 .xword 0xDEADBEEFDEADBEEF
49876 .xword 0xDEADBEEFDEADBEEF
49877 .xword 0xDEADBEEFDEADBEEF
49878 .xword 0xDEADBEEFDEADBEEF
49879 .xword 0xDEADBEEFDEADBEEF
49880 .xword 0xDEADBEEFDEADBEEF
49881 .xword 0xDEADBEEFDEADBEEF
49882 .xword 0xDEADBEEFDEADBEEF
49883 .xword 0xDEADBEEFDEADBEEF
49884 .xword 0xDEADBEEFDEADBEEF
49885 .xword 0xDEADBEEFDEADBEEF
49886 .xword 0xDEADBEEFDEADBEEF
49887 .xword 0xDEADBEEFDEADBEEF
49888 .xword 0xDEADBEEFDEADBEEF
49889 .xword 0xDEADBEEFDEADBEEF
49890 .xword 0xDEADBEEFDEADBEEF
49891 .xword 0xDEADBEEFDEADBEEF
49892 .xword 0xDEADBEEFDEADBEEF
49893 .xword 0xDEADBEEFDEADBEEF
49894 .xword 0xDEADBEEFDEADBEEF
49895 .xword 0xDEADBEEFDEADBEEF
49896 .xword 0xDEADBEEFDEADBEEF
49897 .xword 0xDEADBEEFDEADBEEF
49898 .xword 0xDEADBEEFDEADBEEF
49899 .xword 0xDEADBEEFDEADBEEF
49900 .xword 0xDEADBEEFDEADBEEF
49901 .xword 0xDEADBEEFDEADBEEF
49902 .xword 0xDEADBEEFDEADBEEF
49903 .xword 0xDEADBEEFDEADBEEF
49904 .xword 0xDEADBEEFDEADBEEF
49905 .xword 0xDEADBEEFDEADBEEF
49906 .xword 0xDEADBEEFDEADBEEF
49907 .xword 0xDEADBEEFDEADBEEF
49908 .xword 0xDEADBEEFDEADBEEF
49909 .xword 0xDEADBEEFDEADBEEF
49910 .xword 0xDEADBEEFDEADBEEF
49911 .xword 0xDEADBEEFDEADBEEF
49912 .xword 0xDEADBEEFDEADBEEF
49913 .xword 0xDEADBEEFDEADBEEF
49914 .xword 0xDEADBEEFDEADBEEF
49915 .xword 0xDEADBEEFDEADBEEF
49916 .xword 0xDEADBEEFDEADBEEF
49917 .xword 0xDEADBEEFDEADBEEF
49918 .xword 0xDEADBEEFDEADBEEF
49919 .xword 0xDEADBEEFDEADBEEF
49920 .xword 0xDEADBEEFDEADBEEF
49921 .xword 0xDEADBEEFDEADBEEF
49922 .xword 0xDEADBEEFDEADBEEF
49923 .xword 0xDEADBEEFDEADBEEF
49924 .xword 0xDEADBEEFDEADBEEF
49925 .xword 0xDEADBEEFDEADBEEF
49926 .xword 0xDEADBEEFDEADBEEF
49927 .xword 0xDEADBEEFDEADBEEF
49928 .xword 0xDEADBEEFDEADBEEF
49929 .xword 0xDEADBEEFDEADBEEF
49930 .xword 0xDEADBEEFDEADBEEF
49931 .xword 0xDEADBEEFDEADBEEF
49932 .xword 0xDEADBEEFDEADBEEF
49933 .xword 0xDEADBEEFDEADBEEF
49934 .xword 0xDEADBEEFDEADBEEF
49935 .xword 0xDEADBEEFDEADBEEF
49936 .xword 0xDEADBEEFDEADBEEF
49937 .xword 0xDEADBEEFDEADBEEF
49938 .xword 0xDEADBEEFDEADBEEF
49939 .xword 0xDEADBEEFDEADBEEF
49940 .xword 0xDEADBEEFDEADBEEF
49941 .xword 0xDEADBEEFDEADBEEF
49942 .xword 0xDEADBEEFDEADBEEF
49943 .xword 0xDEADBEEFDEADBEEF
49944 .xword 0xDEADBEEFDEADBEEF
49945 .xword 0xDEADBEEFDEADBEEF
49946 .xword 0xDEADBEEFDEADBEEF
49947 .xword 0xDEADBEEFDEADBEEF
49948 .xword 0xDEADBEEFDEADBEEF
49949 .xword 0xDEADBEEFDEADBEEF
49950 .xword 0xDEADBEEFDEADBEEF
49951 .xword 0xDEADBEEFDEADBEEF
49952 .xword 0xDEADBEEFDEADBEEF
49953 .xword 0xDEADBEEFDEADBEEF
49954 .xword 0xDEADBEEFDEADBEEF
49955 .xword 0xDEADBEEFDEADBEEF
49956 .xword 0xDEADBEEFDEADBEEF
49957 .xword 0xDEADBEEFDEADBEEF
49958 .xword 0xDEADBEEFDEADBEEF
49959 .xword 0xDEADBEEFDEADBEEF
49960 .xword 0xDEADBEEFDEADBEEF
49961 .xword 0xDEADBEEFDEADBEEF
49962 .xword 0xDEADBEEFDEADBEEF
49963 .xword 0xDEADBEEFDEADBEEF
49964 .xword 0xDEADBEEFDEADBEEF
49965 .xword 0xDEADBEEFDEADBEEF
49966 .xword 0xDEADBEEFDEADBEEF
49967 .xword 0xDEADBEEFDEADBEEF
49968 .xword 0xDEADBEEFDEADBEEF
49969 .xword 0xDEADBEEFDEADBEEF
49970 .xword 0xDEADBEEFDEADBEEF
49971 .xword 0xDEADBEEFDEADBEEF
49972 .xword 0xDEADBEEFDEADBEEF
49973 .xword 0xDEADBEEFDEADBEEF
49974 .xword 0xDEADBEEFDEADBEEF
49975 .xword 0xDEADBEEFDEADBEEF
49976 .xword 0xDEADBEEFDEADBEEF
49977 .xword 0xDEADBEEFDEADBEEF
49978 .xword 0xDEADBEEFDEADBEEF
49979 .xword 0xDEADBEEFDEADBEEF
49980 .xword 0xDEADBEEFDEADBEEF
49981 .xword 0xDEADBEEFDEADBEEF
49982 .xword 0xDEADBEEFDEADBEEF
49983 .xword 0xDEADBEEFDEADBEEF
49984 .xword 0xDEADBEEFDEADBEEF
49985 .xword 0xDEADBEEFDEADBEEF
49986 .xword 0xDEADBEEFDEADBEEF
49987 .xword 0xDEADBEEFDEADBEEF
49988 .xword 0xDEADBEEFDEADBEEF
49989 .xword 0xDEADBEEFDEADBEEF
49990 .xword 0xDEADBEEFDEADBEEF
49991 .xword 0xDEADBEEFDEADBEEF
49992 .xword 0xDEADBEEFDEADBEEF
49993 .xword 0xDEADBEEFDEADBEEF
49994 .xword 0xDEADBEEFDEADBEEF
49995 .xword 0xDEADBEEFDEADBEEF
49996 .xword 0xDEADBEEFDEADBEEF
49997 .xword 0xDEADBEEFDEADBEEF
49998 .xword 0xDEADBEEFDEADBEEF
49999 .xword 0xDEADBEEFDEADBEEF
50000 .xword 0xDEADBEEFDEADBEEF
50001 .xword 0xDEADBEEFDEADBEEF
50002 .xword 0xDEADBEEFDEADBEEF
50003 .xword 0xDEADBEEFDEADBEEF
50004 .xword 0xDEADBEEFDEADBEEF
50005 .xword 0xDEADBEEFDEADBEEF
50006 .xword 0xDEADBEEFDEADBEEF
50007 .xword 0xDEADBEEFDEADBEEF
50008 .xword 0xDEADBEEFDEADBEEF
50009 .xword 0xDEADBEEFDEADBEEF
50010_t1_hash_auth_key:
50011 .xword 0xeebed609aee6fad7
50012 .xword 0x8d92b68cfa202b1e
50013 .xword 0xb178abb672955b6e
50014 .xword 0xbaa9502a644dbd4e
50015 .xword 0x62f147737f667ee5
50016 .xword 0x07f3aaeff85ea15d
50017 .xword 0xc00c200ef30da655
50018 .xword 0x2a046cb85c5ae788
50019 .xword 0xa5cd1aed18a621fd
50020 .xword 0x0aed03cb35d3fdae
50021 .xword 0x5adb94d67c837974
50022 .xword 0xa77e1df3eaefe0aa
50023 .xword 0xb9ef9603005d9966
50024 .xword 0xdb257e3e0b4d5430
50025 .xword 0xd68b11fb47b2eff0
50026 .xword 0x0b1835128bf43598
50027 .xword 0x24b9e0bdb8e5eff7
50028 .xword 0xe6c02190348bf742
50029 .xword 0x96727f5784ee9222
50030 .xword 0x37afaab0cc15d35e
50031 .xword 0x2bfd11d5a39334a2
50032 .xword 0xdb8712ee7445b74d
50033 .xword 0xe364a75412702e72
50034_t1_hash_auth_iv:
50035 .xword 0xe6308d4f1e60bb6c
50036 .xword 0xeba0c7c706571df2
50037 .xword 0x6719b842259797d9
50038 .xword 0xbd10d4cd44b0a210
50039 .xword 0xe2b2ef51aece5cd4
50040 .xword 0x0c361b020e7b00ec
50041 .xword 0x5dccad24b8f8bc1a
50042 .xword 0x99e762acaed0f52a
50043 .xword 0x9b7e26357bb60921
50044 .xword 0xa35d96cbc477e0b0
50045 .xword 0xe3913765ba2a7114
50046 .xword 0xbc26f7898bc799c7
50047 .xword 0x821821a6af470a0d
50048 .xword 0x12d29e6969fc3ead
50049 .xword 0xb22b5b4a1c0098eb
50050 .xword 0x1fc80f4ea21c25f9
50051 .xword 0x7dd0c93fe87419ba
50052 .xword 0x6da2bbf585894238
50053 .xword 0x0a21a4e71e740971
50054 .xword 0x74982791159113eb
50055 .xword 0xb929e7fa46d77e1f
50056 .xword 0x58ece8de09222902
50057 .xword 0x8660773bd8c489ce
50058_t1_hash_fas_result:
50059 .xword 0xDEADBEEFDEADBEEF
50060 .xword 0xDEADBEEFDEADBEEF
50061 .xword 0xDEADBEEFDEADBEEF
50062 .xword 0xDEADBEEFDEADBEEF
50063 .xword 0xDEADBEEFDEADBEEF
50064 .xword 0xDEADBEEFDEADBEEF
50065 .xword 0xDEADBEEFDEADBEEF
50066 .xword 0xDEADBEEFDEADBEEF
50067 .xword 0xDEADBEEFDEADBEEF
50068 .xword 0xDEADBEEFDEADBEEF
50069 .xword 0xDEADBEEFDEADBEEF
50070 .xword 0xDEADBEEFDEADBEEF
50071 .xword 0xDEADBEEFDEADBEEF
50072 .xword 0xDEADBEEFDEADBEEF
50073 .xword 0xDEADBEEFDEADBEEF
50074 .xword 0xDEADBEEFDEADBEEF
50075 .xword 0xDEADBEEFDEADBEEF
50076 .xword 0xDEADBEEFDEADBEEF
50077 .xword 0xDEADBEEFDEADBEEF
50078 .xword 0xDEADBEEFDEADBEEF
50079 .xword 0xDEADBEEFDEADBEEF
50080 .xword 0xDEADBEEFDEADBEEF
50081 .xword 0xDEADBEEFDEADBEEF
50082_t1_hmac_key_array:
50083 .xword 0x2bd406a790ded56a
50084 .xword 0x487bd07f504a4265
50085 .xword 0x614a7d0e77eddaa0
50086 .xword 0x334f3609c1380517
50087 .xword 0x79af94a482ad4cee
50088 .xword 0xd0f4f620d0e589b4
50089 .xword 0xce918f2dee9ec907
50090 .xword 0x6845a68f71ce7a91
50091 .xword 0x8acc7893c888728b
50092 .xword 0x5baccba5ef40a8e6
50093 .xword 0x813266ab0b64cf79
50094 .xword 0xc0de992a227152e2
50095 .xword 0x144cbf4fefb04552
50096 .xword 0x6a8065348f69590d
50097 .xword 0xa47e8d219347dbe6
50098 .xword 0x7e3eba567baee1b2
50099 .xword 0xbf9d867abc77726e
50100 .xword 0x5ac84d71e60b16aa
50101 .xword 0xe2246fbf161c9aea
50102 .xword 0x903e2aae5c0db58f
50103 .xword 0xba73bd4987758088
50104 .xword 0xb960111654b2627d
50105 .xword 0xf4d7ee7acc04d97f
50106 .xword 0x425a4e6c280c2cab
50107 .xword 0x4565456ffdedd07f
50108 .xword 0xb7bde0abf38c69ec
50109 .xword 0x83c18ebe05a48487
50110 .xword 0xd746ce4d08583c6b
50111 .xword 0x8b6fab2073351b02
50112 .xword 0x69b22c9261b0f39a
50113 .xword 0xce7a157dde1c6490
50114 .xword 0xd77b4c4fd612b831
50115 .xword 0x00ff5c3d58366300
50116 .xword 0x0f848a91183b31b3
50117 .xword 0xdb173abb29915bc2
50118 .xword 0x72773856ed4eb4e5
50119 .xword 0x31cd3780961b0691
50120 .xword 0x78279068b9e05eeb
50121 .xword 0xee67f8fb5a7576b8
50122 .xword 0x28deda323d994394
50123 .xword 0x3e5c9309638badc3
50124 .xword 0x9e56988d6cddde4a
50125 .xword 0xcfe8bb0e2129ad66
50126 .xword 0xabaa7fbcded66095
50127 .xword 0xf2a825aac145d0b3
50128 .xword 0x3175fcaffe397ead
50129 .xword 0x459bd5bb7a4355a9
50130 .xword 0x8a2adf0f19516b5d
50131 .xword 0x42af44ac33ec7db6
50132 .xword 0xb4f0b64ea93f068c
50133 .xword 0xb0d339f577c16401
50134_t1_hmac_iv_array:
50135 .xword 0x1dedfd6a10240964
50136 .xword 0x8a319074f5a32be9
50137 .xword 0x6ea6476d1a4836f6
50138 .xword 0xa8ca8c8b29871439
50139 .xword 0x78d80243717f6c3e
50140 .xword 0xcda52d45bd92a4e3
50141 .xword 0x9d130e7f4f7edf0d
50142 .xword 0x6ab7394504fe2353
50143 .xword 0xde6dbc7c5055feb8
50144 .xword 0xf05a7172b7d6dc19
50145 .xword 0x54913c4c5467ef92
50146 .xword 0xa96cc55c2f6b4504
50147 .xword 0xb03251942dbfd402
50148 .xword 0xf72aaddd4d27331a
50149 .xword 0x25b5366c26f2f1dc
50150 .xword 0xd1c463cf3427a632
50151 .xword 0x94f36fed745187eb
50152 .xword 0xca764f21e63b532b
50153 .xword 0xd35bebcc07b64abb
50154 .xword 0x0745e067ff51c389
50155 .xword 0x2a4ed82e07a5f721
50156 .xword 0xd0bd7b942113bc46
50157 .xword 0x4479deb4f4ed3cb5
50158 .xword 0x4d61cdc600fc0ea4
50159 .xword 0xb96eec5596152c71
50160 .xword 0x7fc8ed4bbb790f41
50161 .xword 0xf3e327f7874fbbb4
50162 .xword 0x9a8505be1a4c5090
50163 .xword 0xc76a87b14a735859
50164 .xword 0x051c5ea1cf153738
50165 .xword 0x89e266c5eaf828d7
50166 .xword 0x43caece8b306b44d
50167 .xword 0x780c214d290d1b3c
50168 .xword 0xd0280017c8937378
50169 .xword 0x0a601bd7425d4dc2
50170 .xword 0xa118f5c3af5fac0e
50171 .xword 0x81ec4048a5511803
50172 .xword 0xeaf73d2a23ba2391
50173 .xword 0x2ecca016f757050c
50174 .xword 0x2e511f3e67d2086e
50175 .xword 0x47e16b8986938bcc
50176 .xword 0x59aea2c8dfa3a1f5
50177 .xword 0xcb338c498ec5dd68
50178 .xword 0xc98d237131712816
50179 .xword 0x50aaaa70288261e2
50180_t1_hmac_alignment_array:
50181 .xword 9
50182 .xword 2
50183 .xword 4
50184 .xword 15
50185 .xword 15
50186 .xword 10
50187 .xword 5
50188 .xword 6
50189 .xword 8
50190 .xword 8
50191 .xword 13
50192 .xword 11
50193 .xword 6
50194 .xword 15
50195 .xword 13
50196 .xword 8
50197 .xword 8
50198 .xword 2
50199 .xword 13
50200 .xword 5
50201 .xword 3
50202 .xword 12
50203 .xword 4
50204 .xword 3
50205 .xword 15
50206 .xword 4
50207 .xword 13
50208 .xword 3
50209 .xword 14
50210 .xword 2
50211 .xword 1
50212 .xword 12
50213 .xword 15
50214 .xword 15
50215 .xword 3
50216 .xword 11
50217 .xword 6
50218 .xword 15
50219 .xword 8
50220 .xword 14
50221 .xword 7
50222 .xword 7
50223 .xword 5
50224 .xword 4
50225 .xword 8
50226 .xword 2
50227 .xword 13
50228 .xword 1
50229 .xword 2
50230 .xword 13
50231 .xword 9
50232 .xword 12
50233 .xword 8
50234 .xword 6
50235 .xword 0
50236 .xword 9
50237 .xword 11
50238 .xword 0
50239 .xword 15
50240 .xword 7
50241 .xword 4
50242 .xword 1
50243 .xword 0
50244 .xword 6
50245 .xword 1
50246 .xword 10
50247 .xword 8
50248 .xword 12
50249 .xword 9
50250 .xword 7
50251 .xword 8
50252 .xword 1
50253 .xword 14
50254 .xword 7
50255 .xword 1
50256 .xword 11
50257 .xword 0
50258 .xword 3
50259 .xword 5
50260 .xword 6
50261 .xword 2
50262 .xword 1
50263 .xword 13
50264 .xword 11
50265 .xword 11
50266 .xword 7
50267 .xword 3
50268 .xword 15
50269 .xword 11
50270 .xword 2
50271 .xword 11
50272 .xword 11
50273 .xword 6
50274 .xword 8
50275 .xword 14
50276 .xword 12
50277 .xword 3
50278 .xword 5
50279 .xword 15
50280 .xword 13
50281 .xword 10
50282 .xword 3
50283 .xword 15
50284 .xword 3
50285 .xword 2
50286_t1_hmac_src:
50287 .xword 0x760bebc422a26268
50288 .xword 0x77d2acb9e6430edf
50289 .xword 0xb2d8f62a5a3901c6
50290 .xword 0x8b44de3553274d15
50291 .xword 0xcd3651f9212d6921
50292 .xword 0x5a234e48c426b52b
50293 .xword 0x8020fb6af882ddff
50294 .xword 0xa64e980d1b006143
50295 .xword 0x4235ef8298cc70cb
50296 .xword 0xe30e3c7d6349c3e1
50297 .xword 0x2978895d93c7e6a6
50298 .xword 0xf1afc7353f388e64
50299 .xword 0x9eed87b45628db3d
50300 .xword 0x19403075dd372e1e
50301 .xword 0x86985373647c2862
50302 .xword 0x6d80a722049aa8f3
50303 .xword 0x9444ee66dd465381
50304 .xword 0xe8e0e7332d4874af
50305 .xword 0xf8cf5ba0623226cf
50306 .xword 0x7d74952362bcfcb9
50307 .xword 0x0c34fea80a5f6a75
50308 .xword 0xc87a7e523bc79e30
50309 .xword 0x01878391d7721c12
50310 .xword 0x8bdae193025639c1
50311 .xword 0xac67f07654129dee
50312 .xword 0xe0848b4b623a9a16
50313 .xword 0xfc4843384e11e506
50314 .xword 0x08b4671270937470
50315 .xword 0x382215c2eb70c282
50316 .xword 0x4067492291256571
50317 .xword 0xf216aa626f9727cf
50318 .xword 0xaa4a4935b9e46a47
50319 .xword 0x92abaffff9272130
50320 .xword 0x3688d5f872c97e98
50321 .xword 0xd144ebe6b59f801a
50322 .xword 0x4a6babd7e73d508b
50323 .xword 0x87569498e7af62f7
50324 .xword 0xb07a52c8d1928d1e
50325 .xword 0xff2d9cdd4969af7d
50326 .xword 0x30089bebe36714c4
50327 .xword 0xa3a78fc60ef0807c
50328 .xword 0x8a76ee77e286e342
50329 .xword 0x634c25846b05715d
50330 .xword 0x0cc700fab2f9e022
50331 .xword 0xfda48ac2a829bbd8
50332 .xword 0x9dba0b32a0140fb2
50333 .xword 0xb762f417766e3bfe
50334 .xword 0x07963939496e5628
50335 .xword 0x53885e70af04dac5
50336 .xword 0xc89cb22daa47b0b6
50337 .xword 0xa886b61545091881
50338 .xword 0xcdedcb2fb7257b2a
50339 .xword 0x789a6372ad0efd8a
50340 .xword 0xf1c728a03f8b0210
50341 .xword 0xe3fa586511d14489
50342 .xword 0x016bd6b6bcfea9bb
50343 .xword 0xbe8e6f3177075029
50344 .xword 0x939312301f2a6501
50345 .xword 0x16ea1cb1a066ca16
50346 .xword 0xed1c288f05693fc6
50347 .xword 0xec434f32e880da84
50348 .xword 0x3527b90f320d6951
50349 .xword 0x62edce128a3c7a78
50350 .xword 0xbb2001e8a87b03fb
50351 .xword 0x44915f5a2ae46010
50352 .xword 0x2256ce7928346530
50353 .xword 0x4b8ee2280e1f4d6c
50354 .xword 0x28b5e02a6c2cbb16
50355 .xword 0xba0613e94a8465e8
50356 .xword 0x1de62b1a93e9382d
50357 .xword 0x951e4b7a818a0852
50358 .xword 0xca6b03bb04254494
50359 .xword 0x93b34afccb2fa03a
50360 .xword 0x4844e151b5b2818c
50361 .xword 0xb5cc0216b83edbfc
50362 .xword 0x9314081e004eb38f
50363 .xword 0x1755b1741ce1f4bc
50364 .xword 0x8c81198190aa651c
50365 .xword 0xbed92e05201173ae
50366 .xword 0x40b5de9ca32291e0
50367 .xword 0x5c3acdc1402083b2
50368 .xword 0x577b169e14c016b8
50369 .xword 0xfbfb42a78f6340fa
50370 .xword 0xd958d5cc73db0485
50371 .xword 0xb1cb717bbb21ba92
50372 .xword 0x4635e2aaf1f16f28
50373 .xword 0x6c950820280562fc
50374 .xword 0xb21f76b4a68a94f7
50375 .xword 0x49476c5910d64ae3
50376 .xword 0x648012ac191996ca
50377 .xword 0x34bf94f949f4d9ce
50378 .xword 0xd949d89527fe1f5a
50379 .xword 0x133f6bf3f041ca16
50380 .xword 0xa72a5107cd207325
50381 .xword 0x072235567801882e
50382 .xword 0x8289f2428dd29ddb
50383 .xword 0x40d75dd82472084f
50384 .xword 0x0f4836f96ae74ca0
50385 .xword 0x3330bfa394701ae5
50386 .xword 0x0fbc874ddcf0646b
50387 .xword 0x26b36f5bc595feb5
50388 .xword 0x76f729a7d09ecea5
50389 .xword 0x3d0967ce6726954a
50390 .xword 0xf68fbbc9a09928a9
50391 .xword 0x41dc1f1e222a9a09
50392 .xword 0x50de8728fd28f77e
50393 .xword 0xfe080bd3f92d75b3
50394 .xword 0x1b38dbf2ec776dbd
50395 .xword 0x1d36c6e71e9fd13c
50396 .xword 0x1accea1679328a58
50397 .xword 0x00277beae59f1d19
50398 .xword 0xa903571eb901cf59
50399 .xword 0x8d40875ed923768b
50400 .xword 0x8cd5bb4daa90e59c
50401 .xword 0xf77a6939be960449
50402 .xword 0x8154951ab285f7df
50403 .xword 0xdf5a2eefaafcffb2
50404 .xword 0x836c9ca1b71be44f
50405 .xword 0x7746e9b335686119
50406 .xword 0x8f4474dbdb7ce7d0
50407 .xword 0xd84d1118dc98270d
50408 .xword 0x97fecab992a42eb1
50409 .xword 0x43a52b942856e5cb
50410 .xword 0xea56627380b37813
50411 .xword 0xf139cec7b9337d49
50412 .xword 0xd7b0e62d916919e3
50413 .xword 0xfdeb65519cc117fc
50414 .xword 0x3ff3b9a7eee109d5
50415 .xword 0xca0f9bbc47a56731
50416 .xword 0x17fa830c3ef2f70d
50417 .xword 0xf063a89eb1f33467
50418 .xword 0x5b24de2881230bde
50419 .xword 0xc830ff945e42ada4
50420 .xword 0x8eb7902cc9f387f1
50421 .xword 0xe1885dcddace94bc
50422 .xword 0xad08c8a175d73602
50423 .xword 0x1bb91a5c412fae09
50424 .xword 0xd967527d30a3535a
50425 .xword 0x3bd5ab875bc108d4
50426 .xword 0x1db6692ecb268b31
50427 .xword 0xc790cdbb76322c84
50428 .xword 0x37e41364792990eb
50429 .xword 0x58dc3cecc5db4948
50430 .xword 0x9fc93eb201d04422
50431 .xword 0xbae3894e84b4dbaf
50432 .xword 0xd3d8417a4ce9d0d3
50433 .xword 0x8219add47d86d1fe
50434 .xword 0x413d4bf55d75f104
50435 .xword 0x346281c41a8aa4d6
50436 .xword 0xafd35d956b656452
50437 .xword 0x64310db8c8f04955
50438 .xword 0x855e9146bff1b9f2
50439 .xword 0x2bdc0089ca78cd1c
50440 .xword 0x1aec47cba5edd89c
50441 .xword 0x03d25ae4bf132b17
50442 .xword 0xab4c983ae9705f4c
50443 .xword 0xbcaa08b2f8e9822e
50444 .xword 0xab67a66f0edb6fdb
50445 .xword 0x250f5b1509aa90d2
50446 .xword 0xf9cb4b47e28586e3
50447 .xword 0xb96d9a372893ab87
50448 .xword 0xae2d8d71ca0df55c
50449 .xword 0x59b370323c35f627
50450 .xword 0xe736c67d78a431f5
50451 .xword 0xf2239f3521f5f196
50452 .xword 0xea13c277e2c3aa8b
50453 .xword 0x33f14f631915e606
50454 .xword 0x71eb6692c01570d0
50455 .xword 0x0f3dedb4eceb3072
50456 .xword 0x5dd36c1efc4dd6d1
50457 .xword 0x2a75779fb397ed8e
50458 .xword 0xfebdd6eca07b0a0d
50459 .xword 0x91d9604497731e2e
50460 .xword 0x7a1c4dfed32fa162
50461 .xword 0x05b07bbe8b802051
50462 .xword 0x6613b0277dec1ace
50463 .xword 0xc00762b8d441ba35
50464 .xword 0x6d4b449d7e409dfa
50465 .xword 0x6ad12ee91801d1a3
50466 .xword 0xb69a607dc1716415
50467 .xword 0x2228bfbc68e5f97b
50468 .xword 0xb94f9c4d67ee4bc2
50469 .xword 0xf417017c4e850781
50470 .xword 0x739a3e99a5516a99
50471 .xword 0xaf2daa24c6009c68
50472 .xword 0xcfd02897d8d492e8
50473 .xword 0x9eb6408793b68cf9
50474 .xword 0x886e8ca9f056b0c8
50475 .xword 0x015b20f3093704f8
50476 .xword 0x2fb010381f607bd3
50477 .xword 0x06aa5bfa5f82ae90
50478 .xword 0x5f99780d8c50e6c7
50479 .xword 0x4b0b9e7afa85362b
50480 .xword 0x47e63d9047536c17
50481 .xword 0x9d28e343d0618652
50482 .xword 0x251efd3e26aeb29c
50483 .xword 0x75bda31cc7117de8
50484 .xword 0xd863dff290bdcf94
50485 .xword 0x4d984a23ebc4af06
50486 .xword 0x21eb8fb260ca3ed4
50487 .xword 0xabe1c3774ec24efb
50488 .xword 0x895fbeed3f206fec
50489 .xword 0x804118af122bbdb8
50490 .xword 0xeb3236eecabee3f9
50491 .xword 0xcbd13a324f069cc1
50492 .xword 0x867f340db2def61b
50493 .xword 0x6d826d879d3ebe67
50494 .xword 0xe884422cd66e48f5
50495 .xword 0x1f2fc330af13ad34
50496 .xword 0xc90b6da8c5e95004
50497 .xword 0xdada4f1d3cd329e5
50498 .xword 0xf1ebcee3a0d7cbee
50499 .xword 0x61e94e0d41e29344
50500 .xword 0x155010b7de6327e0
50501 .xword 0x445d2b1cf1403393
50502 .xword 0xaad6e04ce4f0146f
50503 .xword 0xa2780eeac9ca1661
50504 .xword 0x5774cf6c71932889
50505 .xword 0x07ddad22d5d6cbd2
50506 .xword 0x5183ad2ae32823f7
50507 .xword 0x926861caae7d45f7
50508 .xword 0xceac082bda9e105f
50509 .xword 0xbba58588a225f9bd
50510 .xword 0xd42807b088fe2605
50511 .xword 0x6785bdfde9a93895
50512 .xword 0x09fe1e4ab57b338b
50513 .xword 0x3e3b5b06f6d36d4b
50514 .xword 0x57d2f4717332d7d4
50515 .xword 0x2122ae72958fff31
50516 .xword 0x4d19efc8d44fd755
50517 .xword 0xcac6864c38e19332
50518 .xword 0x1cdc2b8df0d43e26
50519 .xword 0x0c32c3ed265e9d91
50520 .xword 0xd0c5e859da0dfca9
50521 .xword 0xde75abad6d4af264
50522 .xword 0x37c4c7d0549e4941
50523 .xword 0x195cce5bcbc44879
50524 .xword 0xb28307e3a3bbbddf
50525 .xword 0x3cabb5dcb7830cba
50526 .xword 0x9a9cf3ad2fa69e36
50527 .xword 0x32f006abae6140ee
50528 .xword 0xe868c4fd062287fe
50529 .xword 0xe06d73a6832718dd
50530 .xword 0x3b0f7efd686b4726
50531 .xword 0xd23c020016092288
50532 .xword 0x88f51e8b69c5eea5
50533 .xword 0x56cfb6c20a271f8e
50534 .xword 0x74c059240a8b97f7
50535 .xword 0x3c1305164cecc925
50536 .xword 0x342178d96f12ab0d
50537 .xword 0xd52de73412b2b859
50538 .xword 0x0a0011322277b1da
50539 .xword 0x4496f7205755711d
50540 .xword 0x572fc9ba1bc3ae24
50541 .xword 0x32a7f17821556c9f
50542 .xword 0xae0a61321efedb97
50543 .xword 0x4056cad5d398706b
50544 .xword 0x18a9658967c71db2
50545 .xword 0xadfc3e3701dc0fc8
50546 .xword 0xf3b3cc90440e9727
50547 .xword 0xd817e0c9c2e77adf
50548 .xword 0x3cef519f27bd5f1c
50549 .xword 0xf8fcfe5cdd946119
50550 .xword 0x29b0e4f35f3d8144
50551 .xword 0xd91eee06565fbd80
50552 .xword 0x1705586f74617f20
50553 .xword 0x0350e963a0dbda5a
50554 .xword 0x7e44b545648f364b
50555 .xword 0xfa53ba05d5c000fb
50556 .xword 0xc5a65f8a134e718b
50557 .xword 0x279f5f5f26e0677c
50558 .xword 0x13307249f5cc5615
50559 .xword 0x0d59726ebe33a3a3
50560 .xword 0xfda08e0d51012f75
50561 .xword 0xdba6c9e2897a5f2f
50562_t1_hmac_dest:
50563 .xword 0xDEADBEEFDEADBEEF
50564 .xword 0xDEADBEEFDEADBEEF
50565 .xword 0xDEADBEEFDEADBEEF
50566 .xword 0xDEADBEEFDEADBEEF
50567 .xword 0xDEADBEEFDEADBEEF
50568 .xword 0xDEADBEEFDEADBEEF
50569 .xword 0xDEADBEEFDEADBEEF
50570 .xword 0xDEADBEEFDEADBEEF
50571 .xword 0xDEADBEEFDEADBEEF
50572 .xword 0xDEADBEEFDEADBEEF
50573 .xword 0xDEADBEEFDEADBEEF
50574 .xword 0xDEADBEEFDEADBEEF
50575 .xword 0xDEADBEEFDEADBEEF
50576 .xword 0xDEADBEEFDEADBEEF
50577 .xword 0xDEADBEEFDEADBEEF
50578 .xword 0xDEADBEEFDEADBEEF
50579 .xword 0xDEADBEEFDEADBEEF
50580 .xword 0xDEADBEEFDEADBEEF
50581 .xword 0xDEADBEEFDEADBEEF
50582 .xword 0xDEADBEEFDEADBEEF
50583 .xword 0xDEADBEEFDEADBEEF
50584 .xword 0xDEADBEEFDEADBEEF
50585 .xword 0xDEADBEEFDEADBEEF
50586 .xword 0xDEADBEEFDEADBEEF
50587 .xword 0xDEADBEEFDEADBEEF
50588 .xword 0xDEADBEEFDEADBEEF
50589 .xword 0xDEADBEEFDEADBEEF
50590 .xword 0xDEADBEEFDEADBEEF
50591 .xword 0xDEADBEEFDEADBEEF
50592 .xword 0xDEADBEEFDEADBEEF
50593 .xword 0xDEADBEEFDEADBEEF
50594 .xword 0xDEADBEEFDEADBEEF
50595 .xword 0xDEADBEEFDEADBEEF
50596 .xword 0xDEADBEEFDEADBEEF
50597 .xword 0xDEADBEEFDEADBEEF
50598 .xword 0xDEADBEEFDEADBEEF
50599 .xword 0xDEADBEEFDEADBEEF
50600 .xword 0xDEADBEEFDEADBEEF
50601 .xword 0xDEADBEEFDEADBEEF
50602 .xword 0xDEADBEEFDEADBEEF
50603 .xword 0xDEADBEEFDEADBEEF
50604 .xword 0xDEADBEEFDEADBEEF
50605 .xword 0xDEADBEEFDEADBEEF
50606 .xword 0xDEADBEEFDEADBEEF
50607 .xword 0xDEADBEEFDEADBEEF
50608 .xword 0xDEADBEEFDEADBEEF
50609 .xword 0xDEADBEEFDEADBEEF
50610 .xword 0xDEADBEEFDEADBEEF
50611 .xword 0xDEADBEEFDEADBEEF
50612 .xword 0xDEADBEEFDEADBEEF
50613 .xword 0xDEADBEEFDEADBEEF
50614 .xword 0xDEADBEEFDEADBEEF
50615 .xword 0xDEADBEEFDEADBEEF
50616 .xword 0xDEADBEEFDEADBEEF
50617 .xword 0xDEADBEEFDEADBEEF
50618 .xword 0xDEADBEEFDEADBEEF
50619 .xword 0xDEADBEEFDEADBEEF
50620 .xword 0xDEADBEEFDEADBEEF
50621 .xword 0xDEADBEEFDEADBEEF
50622 .xword 0xDEADBEEFDEADBEEF
50623 .xword 0xDEADBEEFDEADBEEF
50624 .xword 0xDEADBEEFDEADBEEF
50625 .xword 0xDEADBEEFDEADBEEF
50626 .xword 0xDEADBEEFDEADBEEF
50627 .xword 0xDEADBEEFDEADBEEF
50628 .xword 0xDEADBEEFDEADBEEF
50629 .xword 0xDEADBEEFDEADBEEF
50630 .xword 0xDEADBEEFDEADBEEF
50631 .xword 0xDEADBEEFDEADBEEF
50632 .xword 0xDEADBEEFDEADBEEF
50633 .xword 0xDEADBEEFDEADBEEF
50634 .xword 0xDEADBEEFDEADBEEF
50635 .xword 0xDEADBEEFDEADBEEF
50636 .xword 0xDEADBEEFDEADBEEF
50637 .xword 0xDEADBEEFDEADBEEF
50638 .xword 0xDEADBEEFDEADBEEF
50639 .xword 0xDEADBEEFDEADBEEF
50640 .xword 0xDEADBEEFDEADBEEF
50641 .xword 0xDEADBEEFDEADBEEF
50642 .xword 0xDEADBEEFDEADBEEF
50643 .xword 0xDEADBEEFDEADBEEF
50644 .xword 0xDEADBEEFDEADBEEF
50645 .xword 0xDEADBEEFDEADBEEF
50646 .xword 0xDEADBEEFDEADBEEF
50647 .xword 0xDEADBEEFDEADBEEF
50648 .xword 0xDEADBEEFDEADBEEF
50649 .xword 0xDEADBEEFDEADBEEF
50650 .xword 0xDEADBEEFDEADBEEF
50651 .xword 0xDEADBEEFDEADBEEF
50652 .xword 0xDEADBEEFDEADBEEF
50653 .xword 0xDEADBEEFDEADBEEF
50654 .xword 0xDEADBEEFDEADBEEF
50655 .xword 0xDEADBEEFDEADBEEF
50656 .xword 0xDEADBEEFDEADBEEF
50657 .xword 0xDEADBEEFDEADBEEF
50658 .xword 0xDEADBEEFDEADBEEF
50659 .xword 0xDEADBEEFDEADBEEF
50660 .xword 0xDEADBEEFDEADBEEF
50661 .xword 0xDEADBEEFDEADBEEF
50662 .xword 0xDEADBEEFDEADBEEF
50663 .xword 0xDEADBEEFDEADBEEF
50664 .xword 0xDEADBEEFDEADBEEF
50665 .xword 0xDEADBEEFDEADBEEF
50666 .xword 0xDEADBEEFDEADBEEF
50667 .xword 0xDEADBEEFDEADBEEF
50668 .xword 0xDEADBEEFDEADBEEF
50669 .xword 0xDEADBEEFDEADBEEF
50670 .xword 0xDEADBEEFDEADBEEF
50671 .xword 0xDEADBEEFDEADBEEF
50672 .xword 0xDEADBEEFDEADBEEF
50673 .xword 0xDEADBEEFDEADBEEF
50674 .xword 0xDEADBEEFDEADBEEF
50675 .xword 0xDEADBEEFDEADBEEF
50676 .xword 0xDEADBEEFDEADBEEF
50677 .xword 0xDEADBEEFDEADBEEF
50678 .xword 0xDEADBEEFDEADBEEF
50679 .xword 0xDEADBEEFDEADBEEF
50680 .xword 0xDEADBEEFDEADBEEF
50681 .xword 0xDEADBEEFDEADBEEF
50682 .xword 0xDEADBEEFDEADBEEF
50683 .xword 0xDEADBEEFDEADBEEF
50684 .xword 0xDEADBEEFDEADBEEF
50685 .xword 0xDEADBEEFDEADBEEF
50686 .xword 0xDEADBEEFDEADBEEF
50687 .xword 0xDEADBEEFDEADBEEF
50688 .xword 0xDEADBEEFDEADBEEF
50689 .xword 0xDEADBEEFDEADBEEF
50690 .xword 0xDEADBEEFDEADBEEF
50691 .xword 0xDEADBEEFDEADBEEF
50692 .xword 0xDEADBEEFDEADBEEF
50693 .xword 0xDEADBEEFDEADBEEF
50694 .xword 0xDEADBEEFDEADBEEF
50695 .xword 0xDEADBEEFDEADBEEF
50696 .xword 0xDEADBEEFDEADBEEF
50697 .xword 0xDEADBEEFDEADBEEF
50698 .xword 0xDEADBEEFDEADBEEF
50699 .xword 0xDEADBEEFDEADBEEF
50700 .xword 0xDEADBEEFDEADBEEF
50701 .xword 0xDEADBEEFDEADBEEF
50702 .xword 0xDEADBEEFDEADBEEF
50703 .xword 0xDEADBEEFDEADBEEF
50704 .xword 0xDEADBEEFDEADBEEF
50705 .xword 0xDEADBEEFDEADBEEF
50706 .xword 0xDEADBEEFDEADBEEF
50707 .xword 0xDEADBEEFDEADBEEF
50708 .xword 0xDEADBEEFDEADBEEF
50709 .xword 0xDEADBEEFDEADBEEF
50710 .xword 0xDEADBEEFDEADBEEF
50711 .xword 0xDEADBEEFDEADBEEF
50712 .xword 0xDEADBEEFDEADBEEF
50713 .xword 0xDEADBEEFDEADBEEF
50714 .xword 0xDEADBEEFDEADBEEF
50715 .xword 0xDEADBEEFDEADBEEF
50716 .xword 0xDEADBEEFDEADBEEF
50717 .xword 0xDEADBEEFDEADBEEF
50718 .xword 0xDEADBEEFDEADBEEF
50719 .xword 0xDEADBEEFDEADBEEF
50720 .xword 0xDEADBEEFDEADBEEF
50721 .xword 0xDEADBEEFDEADBEEF
50722 .xword 0xDEADBEEFDEADBEEF
50723 .xword 0xDEADBEEFDEADBEEF
50724 .xword 0xDEADBEEFDEADBEEF
50725 .xword 0xDEADBEEFDEADBEEF
50726 .xword 0xDEADBEEFDEADBEEF
50727 .xword 0xDEADBEEFDEADBEEF
50728 .xword 0xDEADBEEFDEADBEEF
50729 .xword 0xDEADBEEFDEADBEEF
50730 .xword 0xDEADBEEFDEADBEEF
50731 .xword 0xDEADBEEFDEADBEEF
50732 .xword 0xDEADBEEFDEADBEEF
50733 .xword 0xDEADBEEFDEADBEEF
50734 .xword 0xDEADBEEFDEADBEEF
50735 .xword 0xDEADBEEFDEADBEEF
50736 .xword 0xDEADBEEFDEADBEEF
50737 .xword 0xDEADBEEFDEADBEEF
50738 .xword 0xDEADBEEFDEADBEEF
50739 .xword 0xDEADBEEFDEADBEEF
50740 .xword 0xDEADBEEFDEADBEEF
50741 .xword 0xDEADBEEFDEADBEEF
50742 .xword 0xDEADBEEFDEADBEEF
50743 .xword 0xDEADBEEFDEADBEEF
50744 .xword 0xDEADBEEFDEADBEEF
50745 .xword 0xDEADBEEFDEADBEEF
50746 .xword 0xDEADBEEFDEADBEEF
50747 .xword 0xDEADBEEFDEADBEEF
50748 .xword 0xDEADBEEFDEADBEEF
50749 .xword 0xDEADBEEFDEADBEEF
50750 .xword 0xDEADBEEFDEADBEEF
50751 .xword 0xDEADBEEFDEADBEEF
50752 .xword 0xDEADBEEFDEADBEEF
50753 .xword 0xDEADBEEFDEADBEEF
50754 .xword 0xDEADBEEFDEADBEEF
50755 .xword 0xDEADBEEFDEADBEEF
50756 .xword 0xDEADBEEFDEADBEEF
50757 .xword 0xDEADBEEFDEADBEEF
50758 .xword 0xDEADBEEFDEADBEEF
50759 .xword 0xDEADBEEFDEADBEEF
50760 .xword 0xDEADBEEFDEADBEEF
50761 .xword 0xDEADBEEFDEADBEEF
50762 .xword 0xDEADBEEFDEADBEEF
50763 .xword 0xDEADBEEFDEADBEEF
50764 .xword 0xDEADBEEFDEADBEEF
50765 .xword 0xDEADBEEFDEADBEEF
50766 .xword 0xDEADBEEFDEADBEEF
50767 .xword 0xDEADBEEFDEADBEEF
50768 .xword 0xDEADBEEFDEADBEEF
50769 .xword 0xDEADBEEFDEADBEEF
50770 .xword 0xDEADBEEFDEADBEEF
50771 .xword 0xDEADBEEFDEADBEEF
50772 .xword 0xDEADBEEFDEADBEEF
50773 .xword 0xDEADBEEFDEADBEEF
50774 .xword 0xDEADBEEFDEADBEEF
50775 .xword 0xDEADBEEFDEADBEEF
50776 .xword 0xDEADBEEFDEADBEEF
50777 .xword 0xDEADBEEFDEADBEEF
50778 .xword 0xDEADBEEFDEADBEEF
50779 .xword 0xDEADBEEFDEADBEEF
50780 .xword 0xDEADBEEFDEADBEEF
50781 .xword 0xDEADBEEFDEADBEEF
50782 .xword 0xDEADBEEFDEADBEEF
50783 .xword 0xDEADBEEFDEADBEEF
50784 .xword 0xDEADBEEFDEADBEEF
50785 .xword 0xDEADBEEFDEADBEEF
50786 .xword 0xDEADBEEFDEADBEEF
50787 .xword 0xDEADBEEFDEADBEEF
50788 .xword 0xDEADBEEFDEADBEEF
50789 .xword 0xDEADBEEFDEADBEEF
50790 .xword 0xDEADBEEFDEADBEEF
50791 .xword 0xDEADBEEFDEADBEEF
50792 .xword 0xDEADBEEFDEADBEEF
50793 .xword 0xDEADBEEFDEADBEEF
50794 .xword 0xDEADBEEFDEADBEEF
50795 .xword 0xDEADBEEFDEADBEEF
50796 .xword 0xDEADBEEFDEADBEEF
50797 .xword 0xDEADBEEFDEADBEEF
50798 .xword 0xDEADBEEFDEADBEEF
50799 .xword 0xDEADBEEFDEADBEEF
50800 .xword 0xDEADBEEFDEADBEEF
50801 .xword 0xDEADBEEFDEADBEEF
50802 .xword 0xDEADBEEFDEADBEEF
50803 .xword 0xDEADBEEFDEADBEEF
50804 .xword 0xDEADBEEFDEADBEEF
50805 .xword 0xDEADBEEFDEADBEEF
50806 .xword 0xDEADBEEFDEADBEEF
50807 .xword 0xDEADBEEFDEADBEEF
50808 .xword 0xDEADBEEFDEADBEEF
50809 .xword 0xDEADBEEFDEADBEEF
50810 .xword 0xDEADBEEFDEADBEEF
50811 .xword 0xDEADBEEFDEADBEEF
50812 .xword 0xDEADBEEFDEADBEEF
50813 .xword 0xDEADBEEFDEADBEEF
50814 .xword 0xDEADBEEFDEADBEEF
50815 .xword 0xDEADBEEFDEADBEEF
50816 .xword 0xDEADBEEFDEADBEEF
50817 .xword 0xDEADBEEFDEADBEEF
50818 .xword 0xDEADBEEFDEADBEEF
50819 .xword 0xDEADBEEFDEADBEEF
50820 .xword 0xDEADBEEFDEADBEEF
50821 .xword 0xDEADBEEFDEADBEEF
50822 .xword 0xDEADBEEFDEADBEEF
50823 .xword 0xDEADBEEFDEADBEEF
50824 .xword 0xDEADBEEFDEADBEEF
50825 .xword 0xDEADBEEFDEADBEEF
50826 .xword 0xDEADBEEFDEADBEEF
50827 .xword 0xDEADBEEFDEADBEEF
50828 .xword 0xDEADBEEFDEADBEEF
50829 .xword 0xDEADBEEFDEADBEEF
50830 .xword 0xDEADBEEFDEADBEEF
50831 .xword 0xDEADBEEFDEADBEEF
50832 .xword 0xDEADBEEFDEADBEEF
50833 .xword 0xDEADBEEFDEADBEEF
50834 .xword 0xDEADBEEFDEADBEEF
50835 .xword 0xDEADBEEFDEADBEEF
50836 .xword 0xDEADBEEFDEADBEEF
50837 .xword 0xDEADBEEFDEADBEEF
50838_t1_hmac_auth_key:
50839 .xword 0x60769efcbe950f71
50840 .xword 0xa317eb239ee27afb
50841 .xword 0xfdb3c4e3b68bd672
50842 .xword 0x23f77c9e93932cf1
50843 .xword 0xee910d7c83142eec
50844 .xword 0x771547fd4aff576d
50845 .xword 0xff9d8b3bd738d124
50846 .xword 0xa4fd962ef7d99fc7
50847 .xword 0xf8dc7f97ec5739e1
50848 .xword 0x5f6209ea7b49ac47
50849 .xword 0xbb6922bf136b0484
50850 .xword 0x40b1e3a82d162815
50851 .xword 0x67733bda09e6dcd0
50852 .xword 0xe831492470c85428
50853 .xword 0xf33b4572feb4fc31
50854 .xword 0x62b63b369fd06818
50855 .xword 0x3e5c477437011215
50856 .xword 0x9936dcf3d3c1a9ac
50857 .xword 0x1fe91af421a59b4c
50858 .xword 0xbe74ad4d359305bd
50859 .xword 0xd7da05214e0e92bf
50860 .xword 0xc66e985191942937
50861 .xword 0xfd9c2590ab5bef28
50862_t1_hmac_auth_iv:
50863 .xword 0xf681c58dacdf552f
50864 .xword 0x263fdaeede81c463
50865 .xword 0x216f256ea49ab9ad
50866 .xword 0xf675ef7736b84fca
50867 .xword 0xcfb2a1844cb534f1
50868 .xword 0xb910b3cb24675a0b
50869 .xword 0x7d62b163a814420d
50870 .xword 0x61b875648fff2275
50871 .xword 0xd0ffea898cfee425
50872 .xword 0x36e953c20e096a40
50873 .xword 0xee923c4a12bb85f1
50874 .xword 0x7e80884bc5d59fdf
50875 .xword 0x748467df35df92d1
50876 .xword 0x93bbf90fd270f2ba
50877 .xword 0xca11018d77bd8f02
50878 .xword 0xb48f0441e3381f82
50879 .xword 0xa03bd0a849866be4
50880 .xword 0x4c3d058054a06ad1
50881 .xword 0x67f29d07cedcc90d
50882 .xword 0xfa1ef7fb04def810
50883 .xword 0x3725ba62fbfe0ba5
50884 .xword 0xb15030edd0ba88a3
50885 .xword 0xb660ba33ee289975
50886_t1_hmac_fas_result:
50887 .xword 0xDEADBEEFDEADBEEF
50888 .xword 0xDEADBEEFDEADBEEF
50889 .xword 0xDEADBEEFDEADBEEF
50890 .xword 0xDEADBEEFDEADBEEF
50891 .xword 0xDEADBEEFDEADBEEF
50892 .xword 0xDEADBEEFDEADBEEF
50893 .xword 0xDEADBEEFDEADBEEF
50894 .xword 0xDEADBEEFDEADBEEF
50895 .xword 0xDEADBEEFDEADBEEF
50896 .xword 0xDEADBEEFDEADBEEF
50897 .xword 0xDEADBEEFDEADBEEF
50898 .xword 0xDEADBEEFDEADBEEF
50899 .xword 0xDEADBEEFDEADBEEF
50900 .xword 0xDEADBEEFDEADBEEF
50901 .xword 0xDEADBEEFDEADBEEF
50902 .xword 0xDEADBEEFDEADBEEF
50903 .xword 0xDEADBEEFDEADBEEF
50904 .xword 0xDEADBEEFDEADBEEF
50905 .xword 0xDEADBEEFDEADBEEF
50906 .xword 0xDEADBEEFDEADBEEF
50907 .xword 0xDEADBEEFDEADBEEF
50908 .xword 0xDEADBEEFDEADBEEF
50909 .xword 0xDEADBEEFDEADBEEF
50910_t1_rc4_key_array:
50911 .xword 0xb62c278ade803c53
50912 .xword 0xa2d75944a889e95d
50913 .xword 0xd621c5ab75e42d6a
50914 .xword 0xbdbbfeb6fd23c7bb
50915 .xword 0xe89afb2209c99466
50916 .xword 0x71d373298bc824c1
50917 .xword 0x6fa8abea8fc1261c
50918 .xword 0xc0dea163f42dfe60
50919 .xword 0x2b0876530f2d9ce3
50920 .xword 0x7c2eace7d2d89e33
50921 .xword 0x0c685eed13e18322
50922 .xword 0x431749c3bc2912d1
50923 .xword 0xc35de608bc9ba2ca
50924 .xword 0x8c8585f8381773ce
50925 .xword 0x26672fcbde0bc564
50926 .xword 0x5b8606a24d9b6816
50927 .xword 0x675a3f3d1d083759
50928 .xword 0xeb2c113378f915af
50929 .xword 0x605b7ed625cd760f
50930 .xword 0xa6d1d1b969d65dce
50931 .xword 0x418e3c944cb1555b
50932 .xword 0x534d96786a046674
50933 .xword 0x942c375186589abe
50934 .xword 0x4b2a3da905df7e47
50935 .xword 0xca5e61bfcf6d266c
50936 .xword 0xde03df5638580e23
50937 .xword 0xa7c1626a624d3a86
50938 .xword 0xeea2ef030fd5c397
50939 .xword 0x060020ec78699df6
50940 .xword 0xa748e53caafeeed1
50941 .xword 0x0eb7c19b83398c9c
50942 .xword 0xd2fa343c6d974154
50943 .xword 0x577082f181c07940
50944 .xword 0xd0108bd7f1245f4f
50945 .xword 0x2f82c2a56fa56b0d
50946 .xword 0xc51f38afe2bc725e
50947 .xword 0xf9f065139fedf912
50948 .xword 0x093c30c35154d752
50949 .xword 0x9e593aac0c1a6c9a
50950 .xword 0xdda04ff5d6858f21
50951 .xword 0x08537630b5d85c93
50952 .xword 0xac739ee5736abf40
50953 .xword 0x2ddd761f52617b1c
50954 .xword 0x579386f4ee62b982
50955 .xword 0x03c599522cc82c9b
50956 .xword 0xb006d3f8cd7f90e9
50957 .xword 0xfabe3b926d76291e
50958 .xword 0x39400b1d675897a4
50959 .xword 0xa8fe0f35d84cc751
50960 .xword 0xe864539c68ca1b94
50961 .xword 0x4163f38ad180be55
50962_t1_rc4_iv_array:
50963 .xword 0xb03c8568f2ecde1a
50964 .xword 0x89c602525efac35f
50965 .xword 0x0faa685a239eab3e
50966 .xword 0x31b64a840abd20eb
50967 .xword 0x2c654e3653c5a5cc
50968 .xword 0x8443325c372fac26
50969 .xword 0x91014ea0a0bd8fee
50970 .xword 0xec86ddfa312bdc02
50971 .xword 0x7ac4428980b1a133
50972 .xword 0x14e086260cf10bf1
50973 .xword 0x1912cc46bf714d4b
50974 .xword 0xe94dc9423c67b124
50975 .xword 0xb13fd68b1ddee66d
50976 .xword 0xdb021496bc41dbb0
50977 .xword 0xdd8f0bd49f9e5380
50978 .xword 0x3dc0533273aa2a0a
50979 .xword 0xdc20d18d2f59303a
50980 .xword 0xd043160023ebea30
50981 .xword 0x6bc4e1644d5912c2
50982 .xword 0x45a64b7f535ca050
50983 .xword 0xdfcee3bd82619ff6
50984 .xword 0x90264f49463ffbd7
50985 .xword 0xc215819c6401e25c
50986 .xword 0x2316e766cd357495
50987 .xword 0x3b1e22229f2ebf0b
50988 .xword 0x65edd904cfd7ffd9
50989 .xword 0x5e7101129cec8392
50990 .xword 0x770fceb0808ad2b2
50991 .xword 0x551b49708742a305
50992 .xword 0xdd924f5111bca30f
50993 .xword 0x0a0f185ccf0604b8
50994 .xword 0x346138af2983a388
50995 .xword 0xbb2997feac00d9ce
50996 .xword 0xd6bac6d245dfb53e
50997 .xword 0xb2e0444ff68cfdea
50998 .xword 0xa37585f48ed02329
50999 .xword 0xcb15843227d4d731
51000 .xword 0xbd129bba660f35c4
51001 .xword 0xe6730107eca14f21
51002 .xword 0x00a1cfe5f8d3abfa
51003 .xword 0x3025a5b086486ad8
51004 .xword 0x297bab5a81b7eace
51005 .xword 0x2b5bd09a863b2982
51006 .xword 0x2d2913015efbc4c3
51007 .xword 0x1c5c6a9d4ac5f725
51008_t1_rc4_alignment_array:
51009 .xword 11
51010 .xword 3
51011 .xword 14
51012 .xword 9
51013 .xword 0
51014 .xword 0
51015 .xword 12
51016 .xword 0
51017 .xword 9
51018 .xword 0
51019 .xword 1
51020 .xword 12
51021 .xword 0
51022 .xword 0
51023 .xword 9
51024 .xword 9
51025 .xword 10
51026 .xword 3
51027 .xword 9
51028 .xword 0
51029 .xword 4
51030 .xword 15
51031 .xword 8
51032 .xword 12
51033 .xword 14
51034 .xword 14
51035 .xword 0
51036 .xword 10
51037 .xword 0
51038 .xword 6
51039 .xword 0
51040 .xword 9
51041 .xword 15
51042 .xword 8
51043 .xword 14
51044 .xword 7
51045 .xword 13
51046 .xword 14
51047 .xword 9
51048 .xword 14
51049 .xword 2
51050 .xword 1
51051 .xword 10
51052 .xword 13
51053 .xword 13
51054 .xword 13
51055 .xword 12
51056 .xword 12
51057 .xword 6
51058 .xword 6
51059 .xword 12
51060 .xword 14
51061 .xword 1
51062 .xword 0
51063 .xword 5
51064 .xword 8
51065 .xword 9
51066 .xword 4
51067 .xword 11
51068 .xword 4
51069 .xword 14
51070 .xword 1
51071 .xword 10
51072 .xword 8
51073 .xword 10
51074 .xword 10
51075 .xword 4
51076 .xword 12
51077 .xword 4
51078 .xword 0
51079 .xword 13
51080 .xword 4
51081 .xword 5
51082 .xword 6
51083 .xword 9
51084 .xword 14
51085 .xword 6
51086 .xword 1
51087 .xword 12
51088 .xword 12
51089 .xword 14
51090 .xword 2
51091 .xword 15
51092 .xword 13
51093 .xword 7
51094 .xword 10
51095 .xword 15
51096 .xword 0
51097 .xword 15
51098 .xword 12
51099 .xword 6
51100 .xword 10
51101 .xword 1
51102 .xword 8
51103 .xword 9
51104 .xword 9
51105 .xword 4
51106 .xword 13
51107 .xword 10
51108 .xword 15
51109 .xword 15
51110 .xword 3
51111 .xword 12
51112 .xword 8
51113 .xword 1
51114_t1_rc4_src:
51115 .xword 0x338772e0b74e3e6e
51116 .xword 0x12c06a3751376ce0
51117 .xword 0x877d695d43303cd0
51118 .xword 0xe04c82f89a70afe1
51119 .xword 0x61b8f1feea8baa3f
51120 .xword 0x6cf85bd7d4aebc0c
51121 .xword 0x1b38f22a14ea4074
51122 .xword 0x6130de5bd7d5cb18
51123 .xword 0x22546beb4b8357f8
51124 .xword 0xc8a0f2ab6371c038
51125 .xword 0x5f5ce1558dcab3a4
51126 .xword 0xbb677e2d67e07a55
51127 .xword 0x81ac38810429096a
51128 .xword 0xcdd0635feafe3df0
51129 .xword 0x6ed8503d562bbf10
51130 .xword 0xb4fad3abfb1e7d8d
51131 .xword 0x2dc108ad886f63de
51132 .xword 0x1c9d5ac623706bdc
51133 .xword 0x10d6c957c5b3596e
51134 .xword 0xf2ebb0e71bed4cd9
51135 .xword 0xd26988d8768017b9
51136 .xword 0x0264a4e094f79c03
51137 .xword 0x31a79dbf0cadf957
51138 .xword 0x2dd8e31b8b2cb4ff
51139 .xword 0xbc55228c15f9e96b
51140 .xword 0x44100d814c32d23f
51141 .xword 0xb3cac8a0ca3786e8
51142 .xword 0xf336f916a7f1f2d3
51143 .xword 0xf5ea20634f998a85
51144 .xword 0xc6486501dc8dec94
51145 .xword 0x2d205cb08428865c
51146 .xword 0x38387eb0d8957b9d
51147 .xword 0x7df04a90d984f162
51148 .xword 0x1ec4a21ef0d1570b
51149 .xword 0x66ffccfc722623fa
51150 .xword 0xbe4b9508ade6385a
51151 .xword 0x1edb9a95661d0c11
51152 .xword 0x51f441624bdb2813
51153 .xword 0x27e3fcac8aefd689
51154 .xword 0x6565765eee48e13a
51155 .xword 0x87f3cd2b3f7cb744
51156 .xword 0x99a97531e09fb76d
51157 .xword 0x26bf5647f4485169
51158 .xword 0xb2804f6c79c6492c
51159 .xword 0x99f46b6a202f4117
51160 .xword 0x9b0e9889006d7b56
51161 .xword 0x6ad55da1d22c04f7
51162 .xword 0x39b55b1c8ff9bbd3
51163 .xword 0x024127d94ebd8187
51164 .xword 0x14a0ef58fbc4ac1a
51165 .xword 0x4c1de2f9fbc8bd21
51166 .xword 0x69ef7d20b6d266fd
51167 .xword 0xebbccba962ac0c65
51168 .xword 0xb3655950a226b32f
51169 .xword 0x09ec3a5b3336eb50
51170 .xword 0xd79aece6e92424c3
51171 .xword 0x25f68ceb1d4f99cb
51172 .xword 0x1932c0cffe1d0c81
51173 .xword 0x76b5ce35f72c8ead
51174 .xword 0x9e8d647cf59882e9
51175 .xword 0x5478aa25d32c4c85
51176 .xword 0xd9641d80592e41e4
51177 .xword 0x192c33b9c5c7f7d5
51178 .xword 0xf0b6b332db902b20
51179 .xword 0x3b1bafcf807aed5f
51180 .xword 0x789cdc6337bc6935
51181 .xword 0xe24396abbd615fb6
51182 .xword 0x008557fea67ef4cc
51183 .xword 0x0c9954dda76c2b36
51184 .xword 0x30eb3c9cd709c997
51185 .xword 0x98723c2dc5acbc12
51186 .xword 0x253676871c6185f1
51187 .xword 0x10e635a86b22c1e2
51188 .xword 0xb5bca095cc8689e4
51189 .xword 0x96f30d67ef95fbbf
51190 .xword 0x005ccb1eb2131b4c
51191 .xword 0xc65ffddad247978a
51192 .xword 0x3d86fbbc0b24a7d4
51193 .xword 0x128e6189fca7e0c8
51194 .xword 0xbdd69ac1331b4f74
51195 .xword 0xed539e39572a6f83
51196 .xword 0x38f09c58dd68daa4
51197 .xword 0x475a7b4113d839c1
51198 .xword 0x7b0c1bd83badfccd
51199 .xword 0xb36225fcda47fa5f
51200 .xword 0xbd52a56decacca63
51201 .xword 0xefadff3f20ab98b5
51202 .xword 0x4544c591c4423f5e
51203 .xword 0x16c412b5010e83ca
51204 .xword 0x4c28abb3b32e0f59
51205 .xword 0x9345b5275b2c3722
51206 .xword 0xac457de1165e2dff
51207 .xword 0xa5ab5c5ea81b77b3
51208 .xword 0x983fac8b2a339eb4
51209 .xword 0xdce19eb2a8276d56
51210 .xword 0x281ca29b383fc7c5
51211 .xword 0xc808824f228db155
51212 .xword 0x507de6938ae0ec7d
51213 .xword 0x01070c4e6ae4645b
51214 .xword 0x362a8e2ac8c62b2b
51215 .xword 0x3f80106d060efa5b
51216 .xword 0x347ede7e6082260e
51217 .xword 0x4406883e454dff54
51218 .xword 0xcbc25d95d796a5af
51219 .xword 0x7994aafece9f445c
51220 .xword 0x4da8702b574d34b2
51221 .xword 0x23310164961b1bb6
51222 .xword 0x62f842e670b9c124
51223 .xword 0x39c94829d58c88b7
51224 .xword 0x932f2f6c1e8e11c1
51225 .xword 0xf3ed738bef70e1ff
51226 .xword 0x618a5f7a33db8ad5
51227 .xword 0x27e2f01f027ce96a
51228 .xword 0x1b3fcb1ea2593a5a
51229 .xword 0x4324e4b8adad484d
51230 .xword 0x44e2995ed7f00ca0
51231 .xword 0x8e24665239f3f8c5
51232 .xword 0xf2dd070617ebffea
51233 .xword 0xa421703e3b4ee7a8
51234 .xword 0x5342400613b52741
51235 .xword 0xfe65e64161db2234
51236 .xword 0x41bee5ffa5f128fd
51237 .xword 0xdf46bbf6aa84a0f9
51238 .xword 0x6a9aab6afa2e2b96
51239 .xword 0x6277d10c784b7bdb
51240 .xword 0x0c056c82b909c628
51241 .xword 0xeb5cd0573e97eb8c
51242 .xword 0x1b2525da0aa69c63
51243 .xword 0x3855b68244b8d4d3
51244 .xword 0xad5c1788d9cbb9cd
51245 .xword 0x5275f6ac6eecb5d5
51246 .xword 0xef73a574996ec8ab
51247 .xword 0x4e9838e0e9d43425
51248 .xword 0x550baff2c55e18af
51249 .xword 0x107234da8a9316c4
51250 .xword 0x74bd5a8446964e2d
51251 .xword 0xca9e3aef2e528281
51252 .xword 0x9775e7541d96a307
51253 .xword 0x3e95a8c3cf6b093b
51254 .xword 0xe48b03f14bcd99ad
51255 .xword 0xd8fe1a3a9f7cbb30
51256 .xword 0xb19c9aedb57839c4
51257 .xword 0x1ff8a1aa20cad239
51258 .xword 0xb784068bcee3da0a
51259 .xword 0x6c64284bff349d34
51260 .xword 0x953418fd8e282a3f
51261 .xword 0x4335787eb01a2847
51262 .xword 0x42111ca7f90040c8
51263 .xword 0x9e797a830d34550b
51264 .xword 0x00b08e8c71572b05
51265 .xword 0xd735887036296a51
51266 .xword 0xc4320461b006dcd4
51267 .xword 0x8542fe2bacce1408
51268 .xword 0x2b7c40d42ceed880
51269 .xword 0xc04e60afc648de0e
51270 .xword 0x434ddb601011d8f3
51271 .xword 0x2491f20e3eb38ad2
51272 .xword 0x709efa699383af8b
51273 .xword 0x6c50949600c037b4
51274 .xword 0xddfe69ad981868c4
51275 .xword 0xb5917c047b8dbffe
51276 .xword 0x98f3351014c6f08b
51277 .xword 0x7b520b66a7c4d9f7
51278 .xword 0x7a90c0ad811356a4
51279 .xword 0x3670053eb99a0087
51280 .xword 0xc6fab5cf55a906a7
51281 .xword 0x0ceb6dde170937f2
51282 .xword 0x85eeb2741638cbcf
51283 .xword 0x456539c990c16bfc
51284 .xword 0x16a5a94997a6f512
51285 .xword 0xd32623d91c0c547d
51286 .xword 0xfae7638d685b544a
51287 .xword 0xfce211040cfe31bc
51288 .xword 0xcf7d6ccddb7eed0a
51289 .xword 0x30a5baa28f2f3b8d
51290 .xword 0xf20293f67d4dc577
51291 .xword 0xb3905038cc046571
51292 .xword 0x8d5a7bde330ba89f
51293 .xword 0x2944df8a7e5f2bd2
51294 .xword 0x813a39cd17df0997
51295 .xword 0x2d04ee40a48a093d
51296 .xword 0x11e9322e353726e9
51297 .xword 0x59b6239923e6d8b8
51298 .xword 0xd2ac4d8301abdc36
51299 .xword 0x93862ba6ffcb01f4
51300 .xword 0xb443f389c68a1b50
51301 .xword 0x055353e1c7315e1c
51302 .xword 0xf88d7d195842f47d
51303 .xword 0x0a38bc7bed63b18e
51304 .xword 0x55f4f4a9e771824e
51305 .xword 0x4beeac02d9d16e9f
51306 .xword 0x8179e10dfa7e38c6
51307 .xword 0x46db55b49015c97d
51308 .xword 0xa3c28767d07a9f0d
51309 .xword 0xa50c1b2ac3c0c1f6
51310 .xword 0xf38267733e3d27c9
51311 .xword 0x3bbbe8b27908035b
51312 .xword 0xf4aefcc622632a80
51313 .xword 0xc7496813e73f51bb
51314 .xword 0x67bb0cf8fed6ebff
51315 .xword 0x7ef2bd9aa2d29d7d
51316 .xword 0x7b351aee0a089fc1
51317 .xword 0xa016fc985deb4463
51318 .xword 0x1831c4d0a3331682
51319 .xword 0x14a97b15c7b52eb4
51320 .xword 0x66ba48e1242be0e5
51321 .xword 0x6d1df47f826933dc
51322 .xword 0x813d3f9c5fae1efe
51323 .xword 0x7d98b886970021c3
51324 .xword 0x5ee95e1de0d19419
51325 .xword 0x9b9bd4c1ff1035fa
51326 .xword 0x890e917c59f8b325
51327 .xword 0x8c9a673de97c4ed2
51328 .xword 0x173a8cff9c637fb1
51329 .xword 0x9058b899907ca241
51330 .xword 0xf53c5027a9d52839
51331 .xword 0x4d21692b9c341075
51332 .xword 0x67540d741654cc1e
51333 .xword 0x2cfaacde58c94408
51334 .xword 0x7cbd5b42af3c1060
51335 .xword 0x4abdf92f7edb37d8
51336 .xword 0x81865bb3d84db294
51337 .xword 0x83d1d57804e294a4
51338 .xword 0xfe5f303a5db3c8aa
51339 .xword 0x8c2551a4cb517263
51340 .xword 0x72688f03b851e3be
51341 .xword 0x77b51bfe9e9a1b85
51342 .xword 0x7daa422edccc9807
51343 .xword 0x11901a13a49f4b91
51344 .xword 0x597a54fa2bb842c0
51345 .xword 0xefbee104c86460b5
51346 .xword 0x90a2bec5bfc52635
51347 .xword 0x87ffa2825021c710
51348 .xword 0xbfe97d81b0a54af4
51349 .xword 0xdafd37006f015613
51350 .xword 0x51140b99ce54ff1b
51351 .xword 0xf73b28a2492e3ba6
51352 .xword 0x644899fa49aa285c
51353 .xword 0x81b5d640eeec9407
51354 .xword 0x0c77bf21d5f0c865
51355 .xword 0xdea7cf40b6aaa57d
51356 .xword 0x3b11101f01d04cba
51357 .xword 0x88c4737837201a23
51358 .xword 0xb51b825660b4373a
51359 .xword 0xae2d5e45335167ca
51360 .xword 0x71e848b32b381444
51361 .xword 0x2e187b286a8a771f
51362 .xword 0x37ead3bb8c0c838b
51363 .xword 0xd80e5c0dd723de99
51364 .xword 0x7e4486284a9678af
51365 .xword 0x8dd2e486403541c8
51366 .xword 0xad23af822992dd6a
51367 .xword 0x131366738db93829
51368 .xword 0x28a9b7d8edcfbcc3
51369 .xword 0x174c34a8e64644ec
51370 .xword 0xb887125edad06ac9
51371 .xword 0x45f4f0766698fca4
51372 .xword 0x3a02a1d81fbe6cf3
51373 .xword 0x4cd9031721fd86d6
51374 .xword 0xc8df6ec673d6be71
51375 .xword 0xe87beab6686bda8d
51376 .xword 0x27420771543e301d
51377 .xword 0xb03f75516ba673ed
51378 .xword 0xbfa2e240c9c48d56
51379 .xword 0x1acdb2602e8c2681
51380 .xword 0xf53e5edeec9eff85
51381 .xword 0xc52e6993a5bbddaf
51382 .xword 0x066ecc8c37c0ef28
51383 .xword 0x164f10050df4b86a
51384 .xword 0x1e14ce81ac594820
51385 .xword 0x72aa27fe5dc58270
51386 .xword 0xacce7c97340e9b8d
51387 .xword 0xe808e4b2b83c6a0c
51388 .xword 0xc34ec16f1fb51675
51389 .xword 0x2ce6e2baab5523ec
51390_t1_rc4_dest:
51391 .xword 0xDEADBEEFDEADBEEF
51392 .xword 0xDEADBEEFDEADBEEF
51393 .xword 0xDEADBEEFDEADBEEF
51394 .xword 0xDEADBEEFDEADBEEF
51395 .xword 0xDEADBEEFDEADBEEF
51396 .xword 0xDEADBEEFDEADBEEF
51397 .xword 0xDEADBEEFDEADBEEF
51398 .xword 0xDEADBEEFDEADBEEF
51399 .xword 0xDEADBEEFDEADBEEF
51400 .xword 0xDEADBEEFDEADBEEF
51401 .xword 0xDEADBEEFDEADBEEF
51402 .xword 0xDEADBEEFDEADBEEF
51403 .xword 0xDEADBEEFDEADBEEF
51404 .xword 0xDEADBEEFDEADBEEF
51405 .xword 0xDEADBEEFDEADBEEF
51406 .xword 0xDEADBEEFDEADBEEF
51407 .xword 0xDEADBEEFDEADBEEF
51408 .xword 0xDEADBEEFDEADBEEF
51409 .xword 0xDEADBEEFDEADBEEF
51410 .xword 0xDEADBEEFDEADBEEF
51411 .xword 0xDEADBEEFDEADBEEF
51412 .xword 0xDEADBEEFDEADBEEF
51413 .xword 0xDEADBEEFDEADBEEF
51414 .xword 0xDEADBEEFDEADBEEF
51415 .xword 0xDEADBEEFDEADBEEF
51416 .xword 0xDEADBEEFDEADBEEF
51417 .xword 0xDEADBEEFDEADBEEF
51418 .xword 0xDEADBEEFDEADBEEF
51419 .xword 0xDEADBEEFDEADBEEF
51420 .xword 0xDEADBEEFDEADBEEF
51421 .xword 0xDEADBEEFDEADBEEF
51422 .xword 0xDEADBEEFDEADBEEF
51423 .xword 0xDEADBEEFDEADBEEF
51424 .xword 0xDEADBEEFDEADBEEF
51425 .xword 0xDEADBEEFDEADBEEF
51426 .xword 0xDEADBEEFDEADBEEF
51427 .xword 0xDEADBEEFDEADBEEF
51428 .xword 0xDEADBEEFDEADBEEF
51429 .xword 0xDEADBEEFDEADBEEF
51430 .xword 0xDEADBEEFDEADBEEF
51431 .xword 0xDEADBEEFDEADBEEF
51432 .xword 0xDEADBEEFDEADBEEF
51433 .xword 0xDEADBEEFDEADBEEF
51434 .xword 0xDEADBEEFDEADBEEF
51435 .xword 0xDEADBEEFDEADBEEF
51436 .xword 0xDEADBEEFDEADBEEF
51437 .xword 0xDEADBEEFDEADBEEF
51438 .xword 0xDEADBEEFDEADBEEF
51439 .xword 0xDEADBEEFDEADBEEF
51440 .xword 0xDEADBEEFDEADBEEF
51441 .xword 0xDEADBEEFDEADBEEF
51442 .xword 0xDEADBEEFDEADBEEF
51443 .xword 0xDEADBEEFDEADBEEF
51444 .xword 0xDEADBEEFDEADBEEF
51445 .xword 0xDEADBEEFDEADBEEF
51446 .xword 0xDEADBEEFDEADBEEF
51447 .xword 0xDEADBEEFDEADBEEF
51448 .xword 0xDEADBEEFDEADBEEF
51449 .xword 0xDEADBEEFDEADBEEF
51450 .xword 0xDEADBEEFDEADBEEF
51451 .xword 0xDEADBEEFDEADBEEF
51452 .xword 0xDEADBEEFDEADBEEF
51453 .xword 0xDEADBEEFDEADBEEF
51454 .xword 0xDEADBEEFDEADBEEF
51455 .xword 0xDEADBEEFDEADBEEF
51456 .xword 0xDEADBEEFDEADBEEF
51457 .xword 0xDEADBEEFDEADBEEF
51458 .xword 0xDEADBEEFDEADBEEF
51459 .xword 0xDEADBEEFDEADBEEF
51460 .xword 0xDEADBEEFDEADBEEF
51461 .xword 0xDEADBEEFDEADBEEF
51462 .xword 0xDEADBEEFDEADBEEF
51463 .xword 0xDEADBEEFDEADBEEF
51464 .xword 0xDEADBEEFDEADBEEF
51465 .xword 0xDEADBEEFDEADBEEF
51466 .xword 0xDEADBEEFDEADBEEF
51467 .xword 0xDEADBEEFDEADBEEF
51468 .xword 0xDEADBEEFDEADBEEF
51469 .xword 0xDEADBEEFDEADBEEF
51470 .xword 0xDEADBEEFDEADBEEF
51471 .xword 0xDEADBEEFDEADBEEF
51472 .xword 0xDEADBEEFDEADBEEF
51473 .xword 0xDEADBEEFDEADBEEF
51474 .xword 0xDEADBEEFDEADBEEF
51475 .xword 0xDEADBEEFDEADBEEF
51476 .xword 0xDEADBEEFDEADBEEF
51477 .xword 0xDEADBEEFDEADBEEF
51478 .xword 0xDEADBEEFDEADBEEF
51479 .xword 0xDEADBEEFDEADBEEF
51480 .xword 0xDEADBEEFDEADBEEF
51481 .xword 0xDEADBEEFDEADBEEF
51482 .xword 0xDEADBEEFDEADBEEF
51483 .xword 0xDEADBEEFDEADBEEF
51484 .xword 0xDEADBEEFDEADBEEF
51485 .xword 0xDEADBEEFDEADBEEF
51486 .xword 0xDEADBEEFDEADBEEF
51487 .xword 0xDEADBEEFDEADBEEF
51488 .xword 0xDEADBEEFDEADBEEF
51489 .xword 0xDEADBEEFDEADBEEF
51490 .xword 0xDEADBEEFDEADBEEF
51491 .xword 0xDEADBEEFDEADBEEF
51492 .xword 0xDEADBEEFDEADBEEF
51493 .xword 0xDEADBEEFDEADBEEF
51494 .xword 0xDEADBEEFDEADBEEF
51495 .xword 0xDEADBEEFDEADBEEF
51496 .xword 0xDEADBEEFDEADBEEF
51497 .xword 0xDEADBEEFDEADBEEF
51498 .xword 0xDEADBEEFDEADBEEF
51499 .xword 0xDEADBEEFDEADBEEF
51500 .xword 0xDEADBEEFDEADBEEF
51501 .xword 0xDEADBEEFDEADBEEF
51502 .xword 0xDEADBEEFDEADBEEF
51503 .xword 0xDEADBEEFDEADBEEF
51504 .xword 0xDEADBEEFDEADBEEF
51505 .xword 0xDEADBEEFDEADBEEF
51506 .xword 0xDEADBEEFDEADBEEF
51507 .xword 0xDEADBEEFDEADBEEF
51508 .xword 0xDEADBEEFDEADBEEF
51509 .xword 0xDEADBEEFDEADBEEF
51510 .xword 0xDEADBEEFDEADBEEF
51511 .xword 0xDEADBEEFDEADBEEF
51512 .xword 0xDEADBEEFDEADBEEF
51513 .xword 0xDEADBEEFDEADBEEF
51514 .xword 0xDEADBEEFDEADBEEF
51515 .xword 0xDEADBEEFDEADBEEF
51516 .xword 0xDEADBEEFDEADBEEF
51517 .xword 0xDEADBEEFDEADBEEF
51518 .xword 0xDEADBEEFDEADBEEF
51519 .xword 0xDEADBEEFDEADBEEF
51520 .xword 0xDEADBEEFDEADBEEF
51521 .xword 0xDEADBEEFDEADBEEF
51522 .xword 0xDEADBEEFDEADBEEF
51523 .xword 0xDEADBEEFDEADBEEF
51524 .xword 0xDEADBEEFDEADBEEF
51525 .xword 0xDEADBEEFDEADBEEF
51526 .xword 0xDEADBEEFDEADBEEF
51527 .xword 0xDEADBEEFDEADBEEF
51528 .xword 0xDEADBEEFDEADBEEF
51529 .xword 0xDEADBEEFDEADBEEF
51530 .xword 0xDEADBEEFDEADBEEF
51531 .xword 0xDEADBEEFDEADBEEF
51532 .xword 0xDEADBEEFDEADBEEF
51533 .xword 0xDEADBEEFDEADBEEF
51534 .xword 0xDEADBEEFDEADBEEF
51535 .xword 0xDEADBEEFDEADBEEF
51536 .xword 0xDEADBEEFDEADBEEF
51537 .xword 0xDEADBEEFDEADBEEF
51538 .xword 0xDEADBEEFDEADBEEF
51539 .xword 0xDEADBEEFDEADBEEF
51540 .xword 0xDEADBEEFDEADBEEF
51541 .xword 0xDEADBEEFDEADBEEF
51542 .xword 0xDEADBEEFDEADBEEF
51543 .xword 0xDEADBEEFDEADBEEF
51544 .xword 0xDEADBEEFDEADBEEF
51545 .xword 0xDEADBEEFDEADBEEF
51546 .xword 0xDEADBEEFDEADBEEF
51547 .xword 0xDEADBEEFDEADBEEF
51548 .xword 0xDEADBEEFDEADBEEF
51549 .xword 0xDEADBEEFDEADBEEF
51550 .xword 0xDEADBEEFDEADBEEF
51551 .xword 0xDEADBEEFDEADBEEF
51552 .xword 0xDEADBEEFDEADBEEF
51553 .xword 0xDEADBEEFDEADBEEF
51554 .xword 0xDEADBEEFDEADBEEF
51555 .xword 0xDEADBEEFDEADBEEF
51556 .xword 0xDEADBEEFDEADBEEF
51557 .xword 0xDEADBEEFDEADBEEF
51558 .xword 0xDEADBEEFDEADBEEF
51559 .xword 0xDEADBEEFDEADBEEF
51560 .xword 0xDEADBEEFDEADBEEF
51561 .xword 0xDEADBEEFDEADBEEF
51562 .xword 0xDEADBEEFDEADBEEF
51563 .xword 0xDEADBEEFDEADBEEF
51564 .xword 0xDEADBEEFDEADBEEF
51565 .xword 0xDEADBEEFDEADBEEF
51566 .xword 0xDEADBEEFDEADBEEF
51567 .xword 0xDEADBEEFDEADBEEF
51568 .xword 0xDEADBEEFDEADBEEF
51569 .xword 0xDEADBEEFDEADBEEF
51570 .xword 0xDEADBEEFDEADBEEF
51571 .xword 0xDEADBEEFDEADBEEF
51572 .xword 0xDEADBEEFDEADBEEF
51573 .xword 0xDEADBEEFDEADBEEF
51574 .xword 0xDEADBEEFDEADBEEF
51575 .xword 0xDEADBEEFDEADBEEF
51576 .xword 0xDEADBEEFDEADBEEF
51577 .xword 0xDEADBEEFDEADBEEF
51578 .xword 0xDEADBEEFDEADBEEF
51579 .xword 0xDEADBEEFDEADBEEF
51580 .xword 0xDEADBEEFDEADBEEF
51581 .xword 0xDEADBEEFDEADBEEF
51582 .xword 0xDEADBEEFDEADBEEF
51583 .xword 0xDEADBEEFDEADBEEF
51584 .xword 0xDEADBEEFDEADBEEF
51585 .xword 0xDEADBEEFDEADBEEF
51586 .xword 0xDEADBEEFDEADBEEF
51587 .xword 0xDEADBEEFDEADBEEF
51588 .xword 0xDEADBEEFDEADBEEF
51589 .xword 0xDEADBEEFDEADBEEF
51590 .xword 0xDEADBEEFDEADBEEF
51591 .xword 0xDEADBEEFDEADBEEF
51592 .xword 0xDEADBEEFDEADBEEF
51593 .xword 0xDEADBEEFDEADBEEF
51594 .xword 0xDEADBEEFDEADBEEF
51595 .xword 0xDEADBEEFDEADBEEF
51596 .xword 0xDEADBEEFDEADBEEF
51597 .xword 0xDEADBEEFDEADBEEF
51598 .xword 0xDEADBEEFDEADBEEF
51599 .xword 0xDEADBEEFDEADBEEF
51600 .xword 0xDEADBEEFDEADBEEF
51601 .xword 0xDEADBEEFDEADBEEF
51602 .xword 0xDEADBEEFDEADBEEF
51603 .xword 0xDEADBEEFDEADBEEF
51604 .xword 0xDEADBEEFDEADBEEF
51605 .xword 0xDEADBEEFDEADBEEF
51606 .xword 0xDEADBEEFDEADBEEF
51607 .xword 0xDEADBEEFDEADBEEF
51608 .xword 0xDEADBEEFDEADBEEF
51609 .xword 0xDEADBEEFDEADBEEF
51610 .xword 0xDEADBEEFDEADBEEF
51611 .xword 0xDEADBEEFDEADBEEF
51612 .xword 0xDEADBEEFDEADBEEF
51613 .xword 0xDEADBEEFDEADBEEF
51614 .xword 0xDEADBEEFDEADBEEF
51615 .xword 0xDEADBEEFDEADBEEF
51616 .xword 0xDEADBEEFDEADBEEF
51617 .xword 0xDEADBEEFDEADBEEF
51618 .xword 0xDEADBEEFDEADBEEF
51619 .xword 0xDEADBEEFDEADBEEF
51620 .xword 0xDEADBEEFDEADBEEF
51621 .xword 0xDEADBEEFDEADBEEF
51622 .xword 0xDEADBEEFDEADBEEF
51623 .xword 0xDEADBEEFDEADBEEF
51624 .xword 0xDEADBEEFDEADBEEF
51625 .xword 0xDEADBEEFDEADBEEF
51626 .xword 0xDEADBEEFDEADBEEF
51627 .xword 0xDEADBEEFDEADBEEF
51628 .xword 0xDEADBEEFDEADBEEF
51629 .xword 0xDEADBEEFDEADBEEF
51630 .xword 0xDEADBEEFDEADBEEF
51631 .xword 0xDEADBEEFDEADBEEF
51632 .xword 0xDEADBEEFDEADBEEF
51633 .xword 0xDEADBEEFDEADBEEF
51634 .xword 0xDEADBEEFDEADBEEF
51635 .xword 0xDEADBEEFDEADBEEF
51636 .xword 0xDEADBEEFDEADBEEF
51637 .xword 0xDEADBEEFDEADBEEF
51638 .xword 0xDEADBEEFDEADBEEF
51639 .xword 0xDEADBEEFDEADBEEF
51640 .xword 0xDEADBEEFDEADBEEF
51641 .xword 0xDEADBEEFDEADBEEF
51642 .xword 0xDEADBEEFDEADBEEF
51643 .xword 0xDEADBEEFDEADBEEF
51644 .xword 0xDEADBEEFDEADBEEF
51645 .xword 0xDEADBEEFDEADBEEF
51646 .xword 0xDEADBEEFDEADBEEF
51647 .xword 0xDEADBEEFDEADBEEF
51648 .xword 0xDEADBEEFDEADBEEF
51649 .xword 0xDEADBEEFDEADBEEF
51650 .xword 0xDEADBEEFDEADBEEF
51651 .xword 0xDEADBEEFDEADBEEF
51652 .xword 0xDEADBEEFDEADBEEF
51653 .xword 0xDEADBEEFDEADBEEF
51654 .xword 0xDEADBEEFDEADBEEF
51655 .xword 0xDEADBEEFDEADBEEF
51656 .xword 0xDEADBEEFDEADBEEF
51657 .xword 0xDEADBEEFDEADBEEF
51658 .xword 0xDEADBEEFDEADBEEF
51659 .xword 0xDEADBEEFDEADBEEF
51660 .xword 0xDEADBEEFDEADBEEF
51661 .xword 0xDEADBEEFDEADBEEF
51662 .xword 0xDEADBEEFDEADBEEF
51663 .xword 0xDEADBEEFDEADBEEF
51664 .xword 0xDEADBEEFDEADBEEF
51665 .xword 0xDEADBEEFDEADBEEF
51666_t1_rc4_auth_key:
51667 .xword 0xfd90240e7900959e
51668 .xword 0x43d268425a15fcf3
51669 .xword 0xb6ab7b83a3f0291c
51670 .xword 0x94034ce5e2dddf27
51671 .xword 0x589c241447939189
51672 .xword 0x7841f1158f0b21ef
51673 .xword 0xee744b19b725e332
51674 .xword 0x2fd7ec1e40b58a8b
51675 .xword 0x0f8034585cc11711
51676 .xword 0xc4df8079d885ce31
51677 .xword 0xf5e173d2c0e5910e
51678 .xword 0x174e6d1434f2ac5b
51679 .xword 0xd8068b4a3bca6b28
51680 .xword 0x92635d715b7ba416
51681 .xword 0x7e07991ef61f8dbb
51682 .xword 0xda5816da3f6b1909
51683 .xword 0xaf72d903d602e534
51684 .xword 0x1643304613a03582
51685 .xword 0x1432e42389ad3320
51686 .xword 0x1f519861c5edcf4c
51687 .xword 0x51d6397daf419427
51688 .xword 0xc8d41bdf8d6aa7d0
51689 .xword 0x06b1252b133493a1
51690_t1_rc4_auth_iv:
51691 .xword 0x9954fb7da3053592
51692 .xword 0x0316d337393d4d86
51693 .xword 0x8074567940c519a6
51694 .xword 0x2ee4000fd04ec050
51695 .xword 0x8ad31db15e5cbb4a
51696 .xword 0x6f192097196c29ae
51697 .xword 0xc186f3ac23e5910b
51698 .xword 0xf7db03fafb5a004d
51699 .xword 0x13e384ece7c7b5d4
51700 .xword 0x2a604b51d8a7d3b2
51701 .xword 0x34a0d7dc3d07a621
51702 .xword 0xf6b098af38746ce3
51703 .xword 0xf95b700f347bd8ce
51704 .xword 0xaf7fbff4e6ecdcd1
51705 .xword 0xce95fd750998d811
51706 .xword 0x9714f7033d26a76d
51707 .xword 0xd6f8dc53248e70ee
51708 .xword 0xa349ae87b496a5a7
51709 .xword 0xee7398a16750ec07
51710 .xword 0x81577f2a32319558
51711 .xword 0x7f6598aa5a27f7f7
51712 .xword 0xe9a1feaeaef4c057
51713 .xword 0x447053b803dea108
51714_t1_rc4_fas_result:
51715 .xword 0xDEADBEEFDEADBEEF
51716 .xword 0xDEADBEEFDEADBEEF
51717 .xword 0xDEADBEEFDEADBEEF
51718 .xword 0xDEADBEEFDEADBEEF
51719 .xword 0xDEADBEEFDEADBEEF
51720 .xword 0xDEADBEEFDEADBEEF
51721 .xword 0xDEADBEEFDEADBEEF
51722 .xword 0xDEADBEEFDEADBEEF
51723 .xword 0xDEADBEEFDEADBEEF
51724 .xword 0xDEADBEEFDEADBEEF
51725 .xword 0xDEADBEEFDEADBEEF
51726 .xword 0xDEADBEEFDEADBEEF
51727 .xword 0xDEADBEEFDEADBEEF
51728 .xword 0xDEADBEEFDEADBEEF
51729 .xword 0xDEADBEEFDEADBEEF
51730 .xword 0xDEADBEEFDEADBEEF
51731 .xword 0xDEADBEEFDEADBEEF
51732 .xword 0xDEADBEEFDEADBEEF
51733 .xword 0xDEADBEEFDEADBEEF
51734 .xword 0xDEADBEEFDEADBEEF
51735 .xword 0xDEADBEEFDEADBEEF
51736 .xword 0xDEADBEEFDEADBEEF
51737 .xword 0xDEADBEEFDEADBEEF
51738_t1_sslkey_key_array:
51739 .xword 0x372dab275e5917c1
51740 .xword 0xc105a5ef22fb11c4
51741 .xword 0x93f3cdda4d22afde
51742 .xword 0x2ed9c2b298352bbb
51743 .xword 0x4ed580b7f981fc51
51744 .xword 0xc0e3bcc9d7f5c4d1
51745 .xword 0xe4eec3aa4fab1cbb
51746 .xword 0x6c9e8dc18721ca41
51747 .xword 0x65888b1b895091b5
51748 .xword 0x86b5d8b57dd7de7d
51749 .xword 0x3fcde909612ae871
51750 .xword 0xd792e70b50d830c4
51751 .xword 0xaddf7997494d28af
51752 .xword 0x5a81971487a2e234
51753 .xword 0xa95ff736c37500aa
51754 .xword 0x612ae76370ef9fc3
51755 .xword 0xda149988155b5a46
51756 .xword 0xc9bb15dd4a3b812e
51757 .xword 0x69623b4ee379c309
51758 .xword 0x84681f10b71bc66c
51759 .xword 0x93105dd379026f48
51760 .xword 0x60726eed578f2e26
51761 .xword 0xb72980d6fb73bb99
51762 .xword 0x57fd5a6b7af28808
51763 .xword 0xa57a00d930ea5637
51764 .xword 0x7dda0f4744d17443
51765 .xword 0x688f1c82335c4173
51766 .xword 0x78c10d847df7014c
51767 .xword 0xc2750fc8726907fb
51768 .xword 0x814db1e6ea57edda
51769 .xword 0x9d28e184d1a62fce
51770 .xword 0xb1838b2a1d37c647
51771 .xword 0x6d5486661101b951
51772 .xword 0x0ed7030c59ba5ad3
51773 .xword 0xb139b3676a3a8a46
51774 .xword 0xd2c00c3e133915b5
51775 .xword 0x449dc53dc56dfea8
51776 .xword 0x3add733c42606e67
51777 .xword 0x055f6c5c78a76834
51778 .xword 0x09a35c6c34ec22ea
51779 .xword 0x9cd176576ef02d38
51780 .xword 0x114e62b34afb58d0
51781 .xword 0xc60a72aa5b18dd34
51782 .xword 0x2dcf26840dc20325
51783 .xword 0xd12c3902841fb7b2
51784 .xword 0x513a785f560ab80a
51785 .xword 0x516cd30e2347441b
51786 .xword 0xf8cc6fdea151032a
51787 .xword 0xfd0238560b80a854
51788 .xword 0x27e95b07c59650cf
51789 .xword 0x55f7fcb35999c732
51790_t1_sslkey_iv_array:
51791 .xword 0x7a9a405534ba8227
51792 .xword 0xc0c17ffa19b13ee6
51793 .xword 0x6085906a39d7bca6
51794 .xword 0x69030ee932c858fc
51795 .xword 0xce1139bc17d524c9
51796 .xword 0x9549a5ac1956a29c
51797 .xword 0xec0fe3e1764607e7
51798 .xword 0xe5d6a4ae5b4b5d6a
51799 .xword 0x52e5418365f0c478
51800 .xword 0x687a1c2f64ce85d0
51801 .xword 0xd20cf04c5741e9a7
51802 .xword 0x20320985e22a126e
51803 .xword 0xc019ae5e5fcc90b8
51804 .xword 0xdad3666d4826d13a
51805 .xword 0x1acd7801f905c6f0
51806 .xword 0x7181673a50213243
51807 .xword 0xac9711d4295a536c
51808 .xword 0x5d0e43b572f65feb
51809 .xword 0x7ad53f1828d41163
51810 .xword 0xd46b93ff36aa52c6
51811 .xword 0x45767a0d2422f09f
51812 .xword 0x0282b08d8ac14ef3
51813 .xword 0x5e993530611b057e
51814 .xword 0xc57c92c38885a37c
51815 .xword 0x802fa4565a5fdce3
51816 .xword 0x7a2872dd80e3b5e7
51817 .xword 0x1261a957d2f9da40
51818 .xword 0xa889a1d8e26ef70d
51819 .xword 0x9b9654a6ef797de9
51820 .xword 0xd6d51384297605e8
51821 .xword 0x62fb05d806a0997c
51822 .xword 0xec6964a1d7714716
51823 .xword 0x59b3972928b92768
51824 .xword 0x7d7aecfaa0cbb16f
51825 .xword 0x2640b4ebbd9b2bba
51826 .xword 0x2a26555e53e2e8e1
51827 .xword 0x58a6ed3645bc5ca3
51828 .xword 0x653b1dd3f9da44f1
51829 .xword 0x0edb0da6949bdf91
51830 .xword 0x2ab8613755a54467
51831 .xword 0x7e2b679e77286819
51832 .xword 0x990a012c1baa6752
51833 .xword 0x89d1bcbe18887751
51834 .xword 0x6831801192888bff
51835 .xword 0x425c0cb5a5dbd166
51836_t1_sslkey_alignment_array:
51837 .xword 0
51838 .xword 0
51839 .xword 0
51840 .xword 0
51841 .xword 0
51842 .xword 0
51843 .xword 0
51844 .xword 0
51845 .xword 0
51846 .xword 0
51847 .xword 0
51848 .xword 0
51849 .xword 0
51850 .xword 0
51851 .xword 0
51852 .xword 0
51853 .xword 0
51854 .xword 0
51855 .xword 0
51856 .xword 0
51857 .xword 0
51858 .xword 0
51859 .xword 0
51860 .xword 0
51861 .xword 0
51862 .xword 0
51863 .xword 0
51864 .xword 0
51865 .xword 0
51866 .xword 0
51867 .xword 0
51868 .xword 0
51869 .xword 0
51870 .xword 0
51871 .xword 0
51872 .xword 0
51873 .xword 0
51874 .xword 0
51875 .xword 0
51876 .xword 0
51877 .xword 0
51878 .xword 0
51879 .xword 0
51880 .xword 0
51881 .xword 0
51882 .xword 0
51883 .xword 0
51884 .xword 0
51885 .xword 0
51886 .xword 0
51887 .xword 0
51888 .xword 0
51889 .xword 0
51890 .xword 0
51891 .xword 0
51892 .xword 0
51893 .xword 0
51894 .xword 0
51895 .xword 0
51896 .xword 0
51897 .xword 0
51898 .xword 0
51899 .xword 0
51900 .xword 0
51901 .xword 0
51902 .xword 0
51903 .xword 0
51904 .xword 0
51905 .xword 0
51906 .xword 0
51907 .xword 0
51908 .xword 0
51909 .xword 0
51910 .xword 0
51911 .xword 0
51912 .xword 0
51913 .xword 0
51914 .xword 0
51915 .xword 0
51916 .xword 0
51917 .xword 0
51918 .xword 0
51919 .xword 0
51920 .xword 0
51921 .xword 0
51922 .xword 0
51923 .xword 0
51924 .xword 0
51925 .xword 0
51926 .xword 0
51927 .xword 0
51928 .xword 0
51929 .xword 0
51930 .xword 0
51931 .xword 0
51932 .xword 0
51933 .xword 0
51934 .xword 0
51935 .xword 0
51936 .xword 0
51937 .xword 0
51938 .xword 0
51939 .xword 0
51940 .xword 0
51941 .xword 0
51942_t1_sslkey_src:
51943 .xword 0xedce6064e32fa8d7
51944 .xword 0x92d7c2a6547a62da
51945 .xword 0x26f3aa94b11601b7
51946 .xword 0xacea53c16cc18c83
51947 .xword 0xee61c581f148b592
51948 .xword 0xae15cd14422b6e6f
51949 .xword 0x05280981de9be82d
51950 .xword 0x91e97df7f976ffe1
51951 .xword 0x8c191c62492f8668
51952 .xword 0x7df0b8e7167708ca
51953 .xword 0x07c49b08db2d4947
51954 .xword 0xf299701bfb527dd7
51955 .xword 0x84f98ae5d396db8b
51956 .xword 0xfa5e5de4d2b73b1b
51957 .xword 0xef203563499a7119
51958 .xword 0x9c734eb5383e667e
51959 .xword 0x9598b189718148d3
51960 .xword 0x86ff6991db7bbbb5
51961 .xword 0x28ee66bccc66ed47
51962 .xword 0xd7d953b5b66a9c47
51963 .xword 0x91e8ea20594f174c
51964 .xword 0xfee07580a2c2216d
51965 .xword 0xd25edfcef077d0fb
51966 .xword 0x54e6b8e0e4b3e256
51967 .xword 0xde7007074090c4d1
51968 .xword 0xb063a6f0f8487e9b
51969 .xword 0x2fb1d5a8e7321298
51970 .xword 0x0efab03fdfcc8e75
51971 .xword 0xdc0d5865ec4d68bc
51972 .xword 0xe368b6e7b5e445ba
51973 .xword 0x61d006a5b55dd0c6
51974 .xword 0x08bf09c46a8799c0
51975 .xword 0xbba5d4cddaad1ef3
51976 .xword 0x5b2ff72d66042878
51977 .xword 0x6cc53d343c6404f1
51978 .xword 0x202ad939f17ce18e
51979 .xword 0x58b09d205fcc8d47
51980 .xword 0xd72381697179b343
51981 .xword 0xf9c2d0c54749eb0a
51982 .xword 0x39c7529d6f407d87
51983 .xword 0xa6c8559d34901bca
51984 .xword 0x9c6a22cfd545a2c6
51985 .xword 0x451211a77c558e60
51986 .xword 0xf0434738dfcd33cf
51987 .xword 0x59557abe53d0c6c5
51988 .xword 0x533e7ac93d6083a2
51989 .xword 0x0dd9e30aac17386e
51990 .xword 0x64667222ec41dee7
51991 .xword 0x3a21e819d1542d6a
51992 .xword 0xbd28fb088a62eb72
51993 .xword 0xc2d01f9a22fa57d2
51994 .xword 0xfe55f6c006694d0a
51995 .xword 0xc912080e9f8c7bd1
51996 .xword 0x16ccb8408bcfca91
51997 .xword 0x2a8368e7e4a0cda0
51998 .xword 0xdbcc77dfb5a2af4d
51999 .xword 0x4dfb193906da391a
52000 .xword 0xc4b435f32310e77c
52001 .xword 0x6a82905acf19d981
52002 .xword 0xef6b90eff7a53274
52003 .xword 0x6b983c01a0e2e418
52004 .xword 0x9f7a15ca35f20243
52005 .xword 0xa738e48aa176cd3d
52006 .xword 0x5d78ed908370d77d
52007 .xword 0xa1b288b4b8b93035
52008 .xword 0xe3b001a5f63d0da2
52009 .xword 0x9535e93ba1b43915
52010 .xword 0x825e50a951dd4abe
52011 .xword 0x461213f308aa089f
52012 .xword 0xd8f15b432077dec7
52013 .xword 0x03427de1c184dbe8
52014 .xword 0x0fa30b244ccf9c40
52015 .xword 0xb3f963b2bbf5c058
52016 .xword 0xe889af82663ed19f
52017 .xword 0xeef03cb1f4237e9c
52018 .xword 0x2f30de9db1e02b78
52019 .xword 0x3a2823cd2036e6b6
52020 .xword 0x3cf2518baf6ae9ab
52021 .xword 0x1d1f6cd8c22e5764
52022 .xword 0x44ec6fec64e90ec0
52023 .xword 0x06ea5d64b588f09f
52024 .xword 0x69ed2e7ebc93644e
52025 .xword 0xcd887cfaa8327bf1
52026 .xword 0x15ee84f2f70679de
52027 .xword 0xdf368ec2b23394e8
52028 .xword 0x82712a59c2fc1608
52029 .xword 0x2fcaf6459db79f02
52030 .xword 0xbe370e4bb8b4d1bd
52031 .xword 0x7225e151af5c8d13
52032 .xword 0xaf6bde059b1eae52
52033 .xword 0x7f348d16baa0096b
52034 .xword 0xbe3386f678a7a892
52035 .xword 0x584e0841f896b228
52036 .xword 0x355ac313b482a90c
52037 .xword 0xa6ffbd5b4a893b71
52038 .xword 0xf351e83920ae71a2
52039 .xword 0xee0c2111cf3c8245
52040 .xword 0x3d0d3c85588afa4f
52041 .xword 0xb2f076576f322a1c
52042 .xword 0xe605bb028cc80fca
52043 .xword 0x8ccffb39c1993918
52044 .xword 0xdcf9e95f0e5845de
52045 .xword 0x0aa3d680f3a3964a
52046 .xword 0x0667e8e491343406
52047 .xword 0x06eada4e35b71cfa
52048 .xword 0x788fce0fd6c989ea
52049 .xword 0x7bcc87a36d4f5716
52050 .xword 0xd7e44df89dfab099
52051 .xword 0xbaa2bf1a2be84624
52052 .xword 0xffeaa33c548ed34c
52053 .xword 0x62c3cb64c9439378
52054 .xword 0xacc12e971936ed03
52055 .xword 0x28b5257232b8a4dd
52056 .xword 0x1cc2781066763316
52057 .xword 0xb807af128cd36458
52058 .xword 0x132589c553de1e0a
52059 .xword 0xa2e3264735331263
52060 .xword 0x2819f94ef1fa6ac2
52061 .xword 0xf61e5f1ae1609cdb
52062 .xword 0x8ed84747d42b4053
52063 .xword 0x89ef24b3ce6761af
52064 .xword 0x19a4ff5e707f90d2
52065 .xword 0xbbf1bc03edc6248d
52066 .xword 0x0659ca9839660ec2
52067 .xword 0x8a524e9c69670ef9
52068 .xword 0xd8a93e6778d4fd99
52069 .xword 0x541def90781ad953
52070 .xword 0x908ad54ece014fba
52071 .xword 0xb2bf9b15cfbffe9c
52072 .xword 0x66d5854ed7287f51
52073 .xword 0xe643653370038868
52074 .xword 0xc6ed2ecb7611dd7f
52075 .xword 0xc5cb715ab06bcde4
52076 .xword 0x02e3f6eb3f3194fc
52077 .xword 0x8e197a23ba164530
52078 .xword 0xc8415bd77ecd47ad
52079 .xword 0x428b058ddf10c37b
52080 .xword 0x73d7dcb1e9dd73bc
52081 .xword 0xb6645262906a749a
52082 .xword 0x518295d0da65337b
52083 .xword 0x80081ea08f1bafcc
52084 .xword 0xadff813558194da6
52085 .xword 0x471b91e43f7c20f0
52086 .xword 0xefb6c521c5daedce
52087 .xword 0xad1c9b3a2e571224
52088 .xword 0x708234ad981f0eb6
52089 .xword 0x17964341590db59d
52090 .xword 0x5690bbe31331400a
52091 .xword 0x231d5d5bd6259de8
52092 .xword 0x9579cff1ca737e1e
52093 .xword 0xaa62220b7192bb15
52094 .xword 0x4bb85646e87ea84e
52095 .xword 0x9d77f3a40353b1e8
52096 .xword 0x02cc7e752daa4dc7
52097 .xword 0x04ec7fad72adc17e
52098 .xword 0x7c953db574c7dbbb
52099 .xword 0x660a02654c4cd9cf
52100 .xword 0x290477efa0e56fa2
52101 .xword 0xdee425e81e890a77
52102 .xword 0x17e989da5bd823f4
52103 .xword 0xeaecfa8530522ce3
52104 .xword 0xb44086bb7feef3a0
52105 .xword 0x4f461614c26631a1
52106 .xword 0x805bf8423a0f0664
52107 .xword 0xbdf6357c72236bef
52108 .xword 0xfca6af5c32f427f5
52109 .xword 0x9402ec2dd3615af8
52110 .xword 0xb1d792193c06f0d6
52111 .xword 0x0a2219e8e356b73f
52112 .xword 0x516680ce9f545e1f
52113 .xword 0xab151f8375657395
52114 .xword 0x756d4b5047a51f3e
52115 .xword 0xf791dadfe4e58aca
52116 .xword 0x4edae9698a1c7ae7
52117 .xword 0x8f943e4743de6119
52118 .xword 0xdd5628c85e132ad9
52119 .xword 0xeaf1ebd2be6c09c1
52120 .xword 0x865b428fd067f6f9
52121 .xword 0xa074f41384703119
52122 .xword 0x214f7805fe6bd0c4
52123 .xword 0x198eb69645439fbd
52124 .xword 0x7ae4facaaaa7649f
52125 .xword 0xf61ed23d72ecc1e8
52126 .xword 0x1cab7c8fb9d6b59e
52127 .xword 0x91553b23a231d04a
52128 .xword 0xbe12fe3e73672912
52129 .xword 0xddabf6e5e2870ba2
52130 .xword 0xfaae2ddf3abb7fd7
52131 .xword 0x6193fa71de99bad0
52132 .xword 0x67d22cc916929965
52133 .xword 0x37bc9d814d61d119
52134 .xword 0xa62fadad019480c6
52135 .xword 0xc12765fcead43d77
52136 .xword 0xabbd0539cbffa975
52137 .xword 0x5db83a082799dd10
52138 .xword 0x5dab7059025ac86f
52139 .xword 0x5a222819d2ff0ce9
52140 .xword 0x4d98fd8cb733caf5
52141 .xword 0xf606ad78d033ed5a
52142 .xword 0x74934a597db6f779
52143 .xword 0x48793a3db4275a05
52144 .xword 0x81d1e60a64538a20
52145 .xword 0xe09916740e99165c
52146 .xword 0x97e937e9cd6b13ce
52147 .xword 0x57595130b57be7ce
52148 .xword 0x11b7dfc6703ee178
52149 .xword 0x997890d8ff738dec
52150 .xword 0xaf4213239a16be8b
52151 .xword 0x03592c267b02291a
52152 .xword 0xa1adf9b9961acf3e
52153 .xword 0xd663345444f26782
52154 .xword 0x507e1e518d37a5c6
52155 .xword 0x763908982db6df7a
52156 .xword 0x35ec37cb77ad8558
52157 .xword 0xe56a1396708a2d1d
52158 .xword 0xd25b3caae10fd23e
52159 .xword 0x16e3be2a846724e1
52160 .xword 0xd36a495803851301
52161 .xword 0xffc9ce1beae87064
52162 .xword 0x9cbbbad72b06d442
52163 .xword 0x8ef0c17370451844
52164 .xword 0x85b3e3868e431c6a
52165 .xword 0xf09206cce05bed51
52166 .xword 0xd9a07ad675aa7a4d
52167 .xword 0x6c9915de9d3efee6
52168 .xword 0xe5ab1ed4d456ffad
52169 .xword 0xde56ea4b3c1f5feb
52170 .xword 0xaa596020d0f7dc9a
52171 .xword 0x1b2952b936b5eb2d
52172 .xword 0x9264339a1448d3f2
52173 .xword 0x26c2cedf0001c861
52174 .xword 0x02f7a24390b76f4d
52175 .xword 0x2211b56203f7f81a
52176 .xword 0x756eaf8d4631dae3
52177 .xword 0x7400853664364996
52178 .xword 0xa6faf4b628244775
52179 .xword 0x0e92160d051e6dcd
52180 .xword 0x7566d5a3b371fd64
52181 .xword 0x26deb207c0817418
52182 .xword 0xde6b53c42c2dbde8
52183 .xword 0x24e42b3b9f62123c
52184 .xword 0xb7da4202b0da8dcd
52185 .xword 0x5cf6d1a0cc849ccc
52186 .xword 0xfaa6fbd6cbd37719
52187 .xword 0x3238367731ee85f1
52188 .xword 0x2140161d183a2af7
52189 .xword 0x3a587c7fa0357d87
52190 .xword 0xf64035aceab0d039
52191 .xword 0x1d4b531f4d0dd379
52192 .xword 0x7f6c1cf920ae2816
52193 .xword 0x82c1e4a44df5cb73
52194 .xword 0x59677153c5655166
52195 .xword 0x11a0676ac48de03c
52196 .xword 0xb81e43531120dc5f
52197 .xword 0xc43405345728109c
52198 .xword 0x4689848e0c6baadc
52199 .xword 0x202132f3af0e6a8e
52200 .xword 0x6fc39cfac41ba803
52201 .xword 0x0d02a8a59f0faae8
52202 .xword 0x5553d9be49ba951d
52203 .xword 0x47361edb01821315
52204 .xword 0x327ad5e44b4ea624
52205 .xword 0xa140945ecea1c3ff
52206 .xword 0x984cea7170133cd6
52207 .xword 0xe9d0571d3aca31ed
52208 .xword 0x2b148dd84bc7ad2a
52209 .xword 0x3d8e09c1d931696c
52210 .xword 0x33fe9b9b62ec7ee1
52211 .xword 0xb91f4795f0715965
52212 .xword 0xdf4e134f7d196832
52213 .xword 0xae0d008c2dcd1a2e
52214 .xword 0x816d0e7ba614856b
52215 .xword 0x4cf580a6fc73a922
52216 .xword 0x38ab8d0c47d65e34
52217 .xword 0xeeedb6513ecad73c
52218_t1_sslkey_dest:
52219 .xword 0xDEADBEEFDEADBEEF
52220 .xword 0xDEADBEEFDEADBEEF
52221 .xword 0xDEADBEEFDEADBEEF
52222 .xword 0xDEADBEEFDEADBEEF
52223 .xword 0xDEADBEEFDEADBEEF
52224 .xword 0xDEADBEEFDEADBEEF
52225 .xword 0xDEADBEEFDEADBEEF
52226 .xword 0xDEADBEEFDEADBEEF
52227 .xword 0xDEADBEEFDEADBEEF
52228 .xword 0xDEADBEEFDEADBEEF
52229 .xword 0xDEADBEEFDEADBEEF
52230 .xword 0xDEADBEEFDEADBEEF
52231 .xword 0xDEADBEEFDEADBEEF
52232 .xword 0xDEADBEEFDEADBEEF
52233 .xword 0xDEADBEEFDEADBEEF
52234 .xword 0xDEADBEEFDEADBEEF
52235 .xword 0xDEADBEEFDEADBEEF
52236 .xword 0xDEADBEEFDEADBEEF
52237 .xword 0xDEADBEEFDEADBEEF
52238 .xword 0xDEADBEEFDEADBEEF
52239 .xword 0xDEADBEEFDEADBEEF
52240 .xword 0xDEADBEEFDEADBEEF
52241 .xword 0xDEADBEEFDEADBEEF
52242 .xword 0xDEADBEEFDEADBEEF
52243 .xword 0xDEADBEEFDEADBEEF
52244 .xword 0xDEADBEEFDEADBEEF
52245 .xword 0xDEADBEEFDEADBEEF
52246 .xword 0xDEADBEEFDEADBEEF
52247 .xword 0xDEADBEEFDEADBEEF
52248 .xword 0xDEADBEEFDEADBEEF
52249 .xword 0xDEADBEEFDEADBEEF
52250 .xword 0xDEADBEEFDEADBEEF
52251 .xword 0xDEADBEEFDEADBEEF
52252 .xword 0xDEADBEEFDEADBEEF
52253 .xword 0xDEADBEEFDEADBEEF
52254 .xword 0xDEADBEEFDEADBEEF
52255 .xword 0xDEADBEEFDEADBEEF
52256 .xword 0xDEADBEEFDEADBEEF
52257 .xword 0xDEADBEEFDEADBEEF
52258 .xword 0xDEADBEEFDEADBEEF
52259 .xword 0xDEADBEEFDEADBEEF
52260 .xword 0xDEADBEEFDEADBEEF
52261 .xword 0xDEADBEEFDEADBEEF
52262 .xword 0xDEADBEEFDEADBEEF
52263 .xword 0xDEADBEEFDEADBEEF
52264 .xword 0xDEADBEEFDEADBEEF
52265 .xword 0xDEADBEEFDEADBEEF
52266 .xword 0xDEADBEEFDEADBEEF
52267 .xword 0xDEADBEEFDEADBEEF
52268 .xword 0xDEADBEEFDEADBEEF
52269 .xword 0xDEADBEEFDEADBEEF
52270 .xword 0xDEADBEEFDEADBEEF
52271 .xword 0xDEADBEEFDEADBEEF
52272 .xword 0xDEADBEEFDEADBEEF
52273 .xword 0xDEADBEEFDEADBEEF
52274 .xword 0xDEADBEEFDEADBEEF
52275 .xword 0xDEADBEEFDEADBEEF
52276 .xword 0xDEADBEEFDEADBEEF
52277 .xword 0xDEADBEEFDEADBEEF
52278 .xword 0xDEADBEEFDEADBEEF
52279 .xword 0xDEADBEEFDEADBEEF
52280 .xword 0xDEADBEEFDEADBEEF
52281 .xword 0xDEADBEEFDEADBEEF
52282 .xword 0xDEADBEEFDEADBEEF
52283 .xword 0xDEADBEEFDEADBEEF
52284 .xword 0xDEADBEEFDEADBEEF
52285 .xword 0xDEADBEEFDEADBEEF
52286 .xword 0xDEADBEEFDEADBEEF
52287 .xword 0xDEADBEEFDEADBEEF
52288 .xword 0xDEADBEEFDEADBEEF
52289 .xword 0xDEADBEEFDEADBEEF
52290 .xword 0xDEADBEEFDEADBEEF
52291 .xword 0xDEADBEEFDEADBEEF
52292 .xword 0xDEADBEEFDEADBEEF
52293 .xword 0xDEADBEEFDEADBEEF
52294 .xword 0xDEADBEEFDEADBEEF
52295 .xword 0xDEADBEEFDEADBEEF
52296 .xword 0xDEADBEEFDEADBEEF
52297 .xword 0xDEADBEEFDEADBEEF
52298 .xword 0xDEADBEEFDEADBEEF
52299 .xword 0xDEADBEEFDEADBEEF
52300 .xword 0xDEADBEEFDEADBEEF
52301 .xword 0xDEADBEEFDEADBEEF
52302 .xword 0xDEADBEEFDEADBEEF
52303 .xword 0xDEADBEEFDEADBEEF
52304 .xword 0xDEADBEEFDEADBEEF
52305 .xword 0xDEADBEEFDEADBEEF
52306 .xword 0xDEADBEEFDEADBEEF
52307 .xword 0xDEADBEEFDEADBEEF
52308 .xword 0xDEADBEEFDEADBEEF
52309 .xword 0xDEADBEEFDEADBEEF
52310 .xword 0xDEADBEEFDEADBEEF
52311 .xword 0xDEADBEEFDEADBEEF
52312 .xword 0xDEADBEEFDEADBEEF
52313 .xword 0xDEADBEEFDEADBEEF
52314 .xword 0xDEADBEEFDEADBEEF
52315 .xword 0xDEADBEEFDEADBEEF
52316 .xword 0xDEADBEEFDEADBEEF
52317 .xword 0xDEADBEEFDEADBEEF
52318 .xword 0xDEADBEEFDEADBEEF
52319 .xword 0xDEADBEEFDEADBEEF
52320 .xword 0xDEADBEEFDEADBEEF
52321 .xword 0xDEADBEEFDEADBEEF
52322 .xword 0xDEADBEEFDEADBEEF
52323 .xword 0xDEADBEEFDEADBEEF
52324 .xword 0xDEADBEEFDEADBEEF
52325 .xword 0xDEADBEEFDEADBEEF
52326 .xword 0xDEADBEEFDEADBEEF
52327 .xword 0xDEADBEEFDEADBEEF
52328 .xword 0xDEADBEEFDEADBEEF
52329 .xword 0xDEADBEEFDEADBEEF
52330 .xword 0xDEADBEEFDEADBEEF
52331 .xword 0xDEADBEEFDEADBEEF
52332 .xword 0xDEADBEEFDEADBEEF
52333 .xword 0xDEADBEEFDEADBEEF
52334 .xword 0xDEADBEEFDEADBEEF
52335 .xword 0xDEADBEEFDEADBEEF
52336 .xword 0xDEADBEEFDEADBEEF
52337 .xword 0xDEADBEEFDEADBEEF
52338 .xword 0xDEADBEEFDEADBEEF
52339 .xword 0xDEADBEEFDEADBEEF
52340 .xword 0xDEADBEEFDEADBEEF
52341 .xword 0xDEADBEEFDEADBEEF
52342 .xword 0xDEADBEEFDEADBEEF
52343 .xword 0xDEADBEEFDEADBEEF
52344 .xword 0xDEADBEEFDEADBEEF
52345 .xword 0xDEADBEEFDEADBEEF
52346 .xword 0xDEADBEEFDEADBEEF
52347 .xword 0xDEADBEEFDEADBEEF
52348 .xword 0xDEADBEEFDEADBEEF
52349 .xword 0xDEADBEEFDEADBEEF
52350 .xword 0xDEADBEEFDEADBEEF
52351 .xword 0xDEADBEEFDEADBEEF
52352 .xword 0xDEADBEEFDEADBEEF
52353 .xword 0xDEADBEEFDEADBEEF
52354 .xword 0xDEADBEEFDEADBEEF
52355 .xword 0xDEADBEEFDEADBEEF
52356 .xword 0xDEADBEEFDEADBEEF
52357 .xword 0xDEADBEEFDEADBEEF
52358 .xword 0xDEADBEEFDEADBEEF
52359 .xword 0xDEADBEEFDEADBEEF
52360 .xword 0xDEADBEEFDEADBEEF
52361 .xword 0xDEADBEEFDEADBEEF
52362 .xword 0xDEADBEEFDEADBEEF
52363 .xword 0xDEADBEEFDEADBEEF
52364 .xword 0xDEADBEEFDEADBEEF
52365 .xword 0xDEADBEEFDEADBEEF
52366 .xword 0xDEADBEEFDEADBEEF
52367 .xword 0xDEADBEEFDEADBEEF
52368 .xword 0xDEADBEEFDEADBEEF
52369 .xword 0xDEADBEEFDEADBEEF
52370 .xword 0xDEADBEEFDEADBEEF
52371 .xword 0xDEADBEEFDEADBEEF
52372 .xword 0xDEADBEEFDEADBEEF
52373 .xword 0xDEADBEEFDEADBEEF
52374 .xword 0xDEADBEEFDEADBEEF
52375 .xword 0xDEADBEEFDEADBEEF
52376 .xword 0xDEADBEEFDEADBEEF
52377 .xword 0xDEADBEEFDEADBEEF
52378 .xword 0xDEADBEEFDEADBEEF
52379 .xword 0xDEADBEEFDEADBEEF
52380 .xword 0xDEADBEEFDEADBEEF
52381 .xword 0xDEADBEEFDEADBEEF
52382 .xword 0xDEADBEEFDEADBEEF
52383 .xword 0xDEADBEEFDEADBEEF
52384 .xword 0xDEADBEEFDEADBEEF
52385 .xword 0xDEADBEEFDEADBEEF
52386 .xword 0xDEADBEEFDEADBEEF
52387 .xword 0xDEADBEEFDEADBEEF
52388 .xword 0xDEADBEEFDEADBEEF
52389 .xword 0xDEADBEEFDEADBEEF
52390 .xword 0xDEADBEEFDEADBEEF
52391 .xword 0xDEADBEEFDEADBEEF
52392 .xword 0xDEADBEEFDEADBEEF
52393 .xword 0xDEADBEEFDEADBEEF
52394 .xword 0xDEADBEEFDEADBEEF
52395 .xword 0xDEADBEEFDEADBEEF
52396 .xword 0xDEADBEEFDEADBEEF
52397 .xword 0xDEADBEEFDEADBEEF
52398 .xword 0xDEADBEEFDEADBEEF
52399 .xword 0xDEADBEEFDEADBEEF
52400 .xword 0xDEADBEEFDEADBEEF
52401 .xword 0xDEADBEEFDEADBEEF
52402 .xword 0xDEADBEEFDEADBEEF
52403 .xword 0xDEADBEEFDEADBEEF
52404 .xword 0xDEADBEEFDEADBEEF
52405 .xword 0xDEADBEEFDEADBEEF
52406 .xword 0xDEADBEEFDEADBEEF
52407 .xword 0xDEADBEEFDEADBEEF
52408 .xword 0xDEADBEEFDEADBEEF
52409 .xword 0xDEADBEEFDEADBEEF
52410 .xword 0xDEADBEEFDEADBEEF
52411 .xword 0xDEADBEEFDEADBEEF
52412 .xword 0xDEADBEEFDEADBEEF
52413 .xword 0xDEADBEEFDEADBEEF
52414 .xword 0xDEADBEEFDEADBEEF
52415 .xword 0xDEADBEEFDEADBEEF
52416 .xword 0xDEADBEEFDEADBEEF
52417 .xword 0xDEADBEEFDEADBEEF
52418 .xword 0xDEADBEEFDEADBEEF
52419 .xword 0xDEADBEEFDEADBEEF
52420 .xword 0xDEADBEEFDEADBEEF
52421 .xword 0xDEADBEEFDEADBEEF
52422 .xword 0xDEADBEEFDEADBEEF
52423 .xword 0xDEADBEEFDEADBEEF
52424 .xword 0xDEADBEEFDEADBEEF
52425 .xword 0xDEADBEEFDEADBEEF
52426 .xword 0xDEADBEEFDEADBEEF
52427 .xword 0xDEADBEEFDEADBEEF
52428 .xword 0xDEADBEEFDEADBEEF
52429 .xword 0xDEADBEEFDEADBEEF
52430 .xword 0xDEADBEEFDEADBEEF
52431 .xword 0xDEADBEEFDEADBEEF
52432 .xword 0xDEADBEEFDEADBEEF
52433 .xword 0xDEADBEEFDEADBEEF
52434 .xword 0xDEADBEEFDEADBEEF
52435 .xword 0xDEADBEEFDEADBEEF
52436 .xword 0xDEADBEEFDEADBEEF
52437 .xword 0xDEADBEEFDEADBEEF
52438 .xword 0xDEADBEEFDEADBEEF
52439 .xword 0xDEADBEEFDEADBEEF
52440 .xword 0xDEADBEEFDEADBEEF
52441 .xword 0xDEADBEEFDEADBEEF
52442 .xword 0xDEADBEEFDEADBEEF
52443 .xword 0xDEADBEEFDEADBEEF
52444 .xword 0xDEADBEEFDEADBEEF
52445 .xword 0xDEADBEEFDEADBEEF
52446 .xword 0xDEADBEEFDEADBEEF
52447 .xword 0xDEADBEEFDEADBEEF
52448 .xword 0xDEADBEEFDEADBEEF
52449 .xword 0xDEADBEEFDEADBEEF
52450 .xword 0xDEADBEEFDEADBEEF
52451 .xword 0xDEADBEEFDEADBEEF
52452 .xword 0xDEADBEEFDEADBEEF
52453 .xword 0xDEADBEEFDEADBEEF
52454 .xword 0xDEADBEEFDEADBEEF
52455 .xword 0xDEADBEEFDEADBEEF
52456 .xword 0xDEADBEEFDEADBEEF
52457 .xword 0xDEADBEEFDEADBEEF
52458 .xword 0xDEADBEEFDEADBEEF
52459 .xword 0xDEADBEEFDEADBEEF
52460 .xword 0xDEADBEEFDEADBEEF
52461 .xword 0xDEADBEEFDEADBEEF
52462 .xword 0xDEADBEEFDEADBEEF
52463 .xword 0xDEADBEEFDEADBEEF
52464 .xword 0xDEADBEEFDEADBEEF
52465 .xword 0xDEADBEEFDEADBEEF
52466 .xword 0xDEADBEEFDEADBEEF
52467 .xword 0xDEADBEEFDEADBEEF
52468 .xword 0xDEADBEEFDEADBEEF
52469 .xword 0xDEADBEEFDEADBEEF
52470 .xword 0xDEADBEEFDEADBEEF
52471 .xword 0xDEADBEEFDEADBEEF
52472 .xword 0xDEADBEEFDEADBEEF
52473 .xword 0xDEADBEEFDEADBEEF
52474 .xword 0xDEADBEEFDEADBEEF
52475 .xword 0xDEADBEEFDEADBEEF
52476 .xword 0xDEADBEEFDEADBEEF
52477 .xword 0xDEADBEEFDEADBEEF
52478 .xword 0xDEADBEEFDEADBEEF
52479 .xword 0xDEADBEEFDEADBEEF
52480 .xword 0xDEADBEEFDEADBEEF
52481 .xword 0xDEADBEEFDEADBEEF
52482 .xword 0xDEADBEEFDEADBEEF
52483 .xword 0xDEADBEEFDEADBEEF
52484 .xword 0xDEADBEEFDEADBEEF
52485 .xword 0xDEADBEEFDEADBEEF
52486 .xword 0xDEADBEEFDEADBEEF
52487 .xword 0xDEADBEEFDEADBEEF
52488 .xword 0xDEADBEEFDEADBEEF
52489 .xword 0xDEADBEEFDEADBEEF
52490 .xword 0xDEADBEEFDEADBEEF
52491 .xword 0xDEADBEEFDEADBEEF
52492 .xword 0xDEADBEEFDEADBEEF
52493 .xword 0xDEADBEEFDEADBEEF
52494_t1_sslkey_auth_key:
52495 .xword 0xcc6a642ad2f656fb
52496 .xword 0x1942ac0fbac67308
52497 .xword 0x6cac57f26a4ce105
52498 .xword 0xfddeca40233898a7
52499 .xword 0x6f65557df5eb83a8
52500 .xword 0x369a3924608b73ae
52501 .xword 0xa90862749368793a
52502 .xword 0x1950a5e7562d6805
52503 .xword 0x8c712ddb89e6ea1f
52504 .xword 0xdc58ae1819be7f64
52505 .xword 0xcb038500c6651307
52506 .xword 0x05141dcc82924370
52507 .xword 0x5237657b3a2aac6b
52508 .xword 0xe1fa0a9e70f85ac4
52509 .xword 0x2da9bba535590297
52510 .xword 0x0b2646489f917c68
52511 .xword 0x36de354ca64cf4be
52512 .xword 0xa6423c1397efbf35
52513 .xword 0x5709cdf01d8a0d02
52514 .xword 0xe1c8975b6445a30a
52515 .xword 0x9f52e29f16c83cce
52516 .xword 0xa0fa4e0982e4eb37
52517 .xword 0xa9d481cce351c721
52518_t1_sslkey_auth_iv:
52519 .xword 0xcd63a53ea7bd559c
52520 .xword 0x5735a577b2a9b676
52521 .xword 0x24ceb7dcbc2510a3
52522 .xword 0x4dbe92c142a914cd
52523 .xword 0x12aa4126436c65a0
52524 .xword 0x9385658bfa2fa4f1
52525 .xword 0x57e41e75cef5f59a
52526 .xword 0xaca6b2bf7f22eae8
52527 .xword 0xf9b34fac66795afa
52528 .xword 0xae7a9fcb686db278
52529 .xword 0x77e3e96927ccab46
52530 .xword 0xf49a102451493760
52531 .xword 0x0635acc795e04da5
52532 .xword 0x907184cc6e0320a2
52533 .xword 0x2a28ab0b4d9796dc
52534 .xword 0x8e3338189ef6c1cd
52535 .xword 0x863a279d504ea7a8
52536 .xword 0x768e6c3afd593e05
52537 .xword 0xec66c85bf0e45af9
52538 .xword 0xfce3117d9d6210e9
52539 .xword 0xe91ad8763c0ef48f
52540 .xword 0x4c2ca7887ef17683
52541 .xword 0xebc4db82368672f0
52542_t1_sslkey_fas_result:
52543 .xword 0xDEADBEEFDEADBEEF
52544 .xword 0xDEADBEEFDEADBEEF
52545 .xword 0xDEADBEEFDEADBEEF
52546 .xword 0xDEADBEEFDEADBEEF
52547 .xword 0xDEADBEEFDEADBEEF
52548 .xword 0xDEADBEEFDEADBEEF
52549 .xword 0xDEADBEEFDEADBEEF
52550 .xword 0xDEADBEEFDEADBEEF
52551 .xword 0xDEADBEEFDEADBEEF
52552 .xword 0xDEADBEEFDEADBEEF
52553 .xword 0xDEADBEEFDEADBEEF
52554 .xword 0xDEADBEEFDEADBEEF
52555 .xword 0xDEADBEEFDEADBEEF
52556 .xword 0xDEADBEEFDEADBEEF
52557 .xword 0xDEADBEEFDEADBEEF
52558 .xword 0xDEADBEEFDEADBEEF
52559 .xword 0xDEADBEEFDEADBEEF
52560 .xword 0xDEADBEEFDEADBEEF
52561 .xword 0xDEADBEEFDEADBEEF
52562 .xword 0xDEADBEEFDEADBEEF
52563 .xword 0xDEADBEEFDEADBEEF
52564 .xword 0xDEADBEEFDEADBEEF
52565 .xword 0xDEADBEEFDEADBEEF
52566_t1_aes_toc:
52567 .xword _t1_aes_cwd_array
52568 .xword _t1_aes_src
52569 .xword _t1_aes_auth_key
52570 .xword _t1_aes_auth_iv
52571 .xword _t1_aes_fas_result
52572 .xword _t1_aes_key_array
52573 .xword _t1_aes_iv_array
52574 .xword _t1_aes_dest
52575 .xword _t1_aes_alignment_array
52576_t1_des_toc:
52577 .xword _t1_des_cwd_array
52578 .xword _t1_des_src
52579 .xword _t1_des_auth_key
52580 .xword _t1_des_auth_iv
52581 .xword _t1_des_fas_result
52582 .xword _t1_des_key_array
52583 .xword _t1_des_iv_array
52584 .xword _t1_des_dest
52585 .xword _t1_des_alignment_array
52586_t1_copy_toc:
52587 .xword _t1_copy_cwd_array
52588 .xword _t1_copy_src
52589 .xword _t1_copy_auth_key
52590 .xword _t1_copy_auth_iv
52591 .xword _t1_copy_fas_result
52592 .xword _t1_copy_key_array
52593 .xword _t1_copy_iv_array
52594 .xword _t1_copy_dest
52595 .xword _t1_copy_alignment_array
52596_t1_crc_toc:
52597 .xword _t1_crc_cwd_array
52598 .xword _t1_crc_src
52599 .xword _t1_crc_auth_key
52600 .xword _t1_crc_auth_iv
52601 .xword _t1_crc_fas_result
52602 .xword _t1_crc_key_array
52603 .xword _t1_crc_iv_array
52604 .xword _t1_crc_dest
52605 .xword _t1_crc_alignment_array
52606_t1_hash_toc:
52607 .xword _t1_hash_cwd_array
52608 .xword _t1_hash_src
52609 .xword _t1_hash_auth_key
52610 .xword _t1_hash_auth_iv
52611 .xword _t1_hash_fas_result
52612 .xword _t1_hash_key_array
52613 .xword _t1_hash_iv_array
52614 .xword _t1_hash_dest
52615 .xword _t1_hash_alignment_array
52616_t1_hmac_toc:
52617 .xword _t1_hmac_cwd_array
52618 .xword _t1_hmac_src
52619 .xword _t1_hmac_auth_key
52620 .xword _t1_hmac_auth_iv
52621 .xword _t1_hmac_fas_result
52622 .xword _t1_hmac_key_array
52623 .xword _t1_hmac_iv_array
52624 .xword _t1_hmac_dest
52625 .xword _t1_hmac_alignment_array
52626_t1_rc4_toc:
52627 .xword _t1_rc4_cwd_array
52628 .xword _t1_rc4_src
52629 .xword _t1_rc4_auth_key
52630 .xword _t1_rc4_auth_iv
52631 .xword _t1_rc4_fas_result
52632 .xword _t1_rc4_key_array
52633 .xword _t1_rc4_iv_array
52634 .xword _t1_rc4_dest
52635 .xword _t1_rc4_alignment_array
52636_t1_sslkey_toc:
52637 .xword _t1_sslkey_cwd_array
52638 .xword _t1_sslkey_src
52639 .xword _t1_sslkey_auth_key
52640 .xword _t1_sslkey_auth_iv
52641 .xword _t1_sslkey_fas_result
52642 .xword _t1_sslkey_key_array
52643 .xword _t1_sslkey_iv_array
52644 .xword _t1_sslkey_dest
52645 .xword _t1_sslkey_alignment_array
52646_t1_table_of_context4:
52647 .xword _t1_aes_toc
52648 .xword _t1_des_toc
52649 .xword _t1_copy_toc
52650 .xword _t1_crc_toc
52651 .xword _t1_hash_toc
52652 .xword _t1_hmac_toc
52653 .xword _t1_rc4_toc
52654 .xword _t1_sslkey_toc
52655
52656!# CWQ data area, set aside 512 CW's worth
52657!# 512*8*8 = 32KB
52658.align 32*1024
52659_t1_cwq_base4:
52660 .xword 0xAAAAAAAAAAAAAAA
52661 .xword 0xAAAAAAAAAAAAAAA
52662 .xword 0xAAAAAAAAAAAAAAA
52663 .xword 0xAAAAAAAAAAAAAAA
52664 .xword 0xAAAAAAAAAAAAAAA
52665 .xword 0xAAAAAAAAAAAAAAA
52666 .xword 0xAAAAAAAAAAAAAAA
52667 .xword 0xAAAAAAAAAAAAAAA
52668.align 32*1024
52669_t1_cwq_last4:
52670
52671SECTION ._t1_T_CWQ_DATA5 DATA_VA=290455552
52672attr_data {
52673 Name = ._t1_T_CWQ_DATA5
52674 hypervisor
52675}
52676 .data
52677_t1_user_data_start5:
52678_t1_scratch_area5:
52679
52680.align 16
52681_t1_spu_op_array5:
52682 .xword 3
52683 .xword 0
52684 .xword 2
52685 .xword 4
52686 .xword 2
52687 .xword 3
52688 .xword 0
52689 .xword 3
52690 .xword 1
52691 .xword 2
52692 .xword 5
52693 .xword 1
52694 .xword 3
52695 .xword 4
52696 .xword 2
52697_t1_aes_cwd_array5:
52698 .xword 0x40e100001300000f
52699 .xword 0x40e000201800001f
52700 .xword 0x406000c01b00002f
52701 .xword 0xc06100a01800000f
52702 .xword 0xc06100c01000000f
52703 .xword 0x406000601900001f
52704 .xword 0xc06000201900001f
52705 .xword 0x40e000601300001f
52706 .xword 0x40e100c01100000f
52707 .xword 0xc06000a01800001f
52708 .xword 0xc0e100401900002f
52709 .xword 0xc0e000001900003f
52710 .xword 0xc06000201300002f
52711 .xword 0xc0e000a01800000f
52712 .xword 0x406000401700002f
52713_t1_des_cwd_array5:
52714 .xword 0x40e000e00900001f
52715 .xword 0x406000a00900001f
52716 .xword 0x406000800e000017
52717 .xword 0xc06100a00a000017
52718 .xword 0xc06000800a000007
52719 .xword 0x4060000009000017
52720 .xword 0xc06100000e000007
52721 .xword 0x406100200900001f
52722 .xword 0xc06100400d000007
52723 .xword 0xc0e1000008000007
52724 .xword 0xc06000600c00001f
52725 .xword 0x40e000400800000f
52726 .xword 0x406000200c00000f
52727 .xword 0x40e100600d00001f
52728 .xword 0x40e100400900001f
52729_t1_copy_cwd_array5:
52730 .xword 0xa06100e000000003
52731 .xword 0x2061004000000003
52732 .xword 0xa060002000000004
52733 .xword 0x206100800000000b
52734 .xword 0xa06000600000000a
52735 .xword 0x206000e00000000c
52736 .xword 0x206100800000000a
52737 .xword 0x206100a000000008
52738 .xword 0x2061000000000003
52739 .xword 0xa06000c000000000
52740 .xword 0x206000c000000004
52741 .xword 0xa06100600000000a
52742 .xword 0xa060000000000008
52743 .xword 0xa060000000000002
52744 .xword 0xa061002000000006
52745_t1_crc_cwd_array5:
52746 .xword 0xc16103440000000f
52747 .xword 0xc16201480000000b
52748 .xword 0x416303c400000000
52749 .xword 0xc16201480000000e
52750 .xword 0x416103040000000e
52751 .xword 0x4160016800000006
52752 .xword 0xc160032400000004
52753 .xword 0xc16001c800000008
52754 .xword 0xc160036400000007
52755 .xword 0xc16201880000000e
52756 .xword 0xc16103c400000009
52757 .xword 0xc160018800000007
52758 .xword 0x416103840000000e
52759 .xword 0x416201a800000006
52760_t1_hash_cwd_array5:
52761 .xword 0xc1620ea10000003a
52762 .xword 0x41610be200000011
52763 .xword 0x416105e10000001c
52764 .xword 0xc1610ae100000019
52765 .xword 0xc1610e210000002b
52766 .xword 0x41600ca100000037
52767 .xword 0xc1600b0100000037
52768 .xword 0x416109c100000037
52769 .xword 0x41600b2200000000
52770 .xword 0x41610a2100000015
52771 .xword 0xc160122300000017
52772 .xword 0x4163018100000036
52773 .xword 0x41610e2100000003
52774 .xword 0xc1630b6100000021
52775 .xword 0xc1600fc100000003
52776_t1_hmac_cwd_array5:
52777 .xword 0xc16103c5000f0039
52778 .xword 0x4162198b001f0021
52779 .xword 0x41600ba5000f003d
52780 .xword 0x416309e9000f003d
52781 .xword 0x41600b49000f0036
52782 .xword 0xc16204e9000f003c
52783 .xword 0xc16003a5000f0009
52784 .xword 0xc16301c9000f0007
52785 .xword 0x41630fc5000f0021
52786 .xword 0xc16100e9000f0015
52787 .xword 0xc1620d49000f0001
52788 .xword 0x416302c9000f001f
52789 .xword 0xc1600c25000f0004
52790 .xword 0xc1600ec5000f0011
52791 .xword 0x4160088600130039
52792_t1_rc4_cwd_array5:
52793 .xword 0xc0e100c000000006
52794 .xword 0x40e000800400000a
52795 .xword 0xc0e000a000000006
52796 .xword 0x40e100600400000f
52797 .xword 0x40e1000004000000
52798 .xword 0x40e000200000000c
52799 .xword 0xc0e0004004000008
52800 .xword 0x40e1000000000008
52801 .xword 0x40e1002004000003
52802 .xword 0x40e100e00000000c
52803 .xword 0xc0e100e004000005
52804 .xword 0x40e0008004000008
52805 .xword 0xc0e1006004000003
52806 .xword 0xc0e1002004000003
52807 .xword 0xc0e0002004000000
52808_t1_sslkey_cwd_array5:
52809 .xword 0x9060344000000000, 0
52810 .xword 0x10602cc000000000, 0
52811 .xword 0x90601b0000000000, 0
52812 .xword 0x90601a2000000000, 0
52813 .xword 0x10603e8000000000, 0
52814 .xword 0x10600e2000000000, 0
52815 .xword 0x906032c000000000, 0
52816 .xword 0x9060288000000000, 0
52817 .xword 0x1060390000000000, 0
52818 .xword 0x906015a000000000, 0
52819 .xword 0x9060304000000000, 0
52820 .xword 0x1060266000000000, 0
52821 .xword 0x90601c4000000000, 0
52822 .xword 0x9060206000000000, 0
52823 .xword 0x106030e000000000, 0
52824_t1_aes_key_array:
52825 .xword 0x22eb31c611ea0b75
52826 .xword 0xf3724387f609cdf9
52827 .xword 0xdd6a4ded37c5cec7
52828 .xword 0x918e7971bb4f0d7f
52829 .xword 0xe94fb172d00bb246
52830 .xword 0x57f41cd054f75df6
52831 .xword 0x7989c8582091e953
52832 .xword 0xcc07ed3b1ada2574
52833 .xword 0xfd216f77b00532ab
52834 .xword 0x320fb0b74bfe6bb8
52835 .xword 0xf231a212b184d8a2
52836 .xword 0xc70f3d1412717251
52837 .xword 0x18919c735adca19e
52838 .xword 0x20c96095737d9804
52839 .xword 0x96c9bd138cf83a70
52840 .xword 0x49219452b3a185f8
52841 .xword 0x3822cfc7aa008cf3
52842 .xword 0xebf3f3eb09595b44
52843 .xword 0xcc27ccd848daf8fc
52844 .xword 0xec29eb588c41be08
52845 .xword 0x45ac73f0c029c8d4
52846 .xword 0xa807e26ec5820666
52847 .xword 0x33292bca57f12f62
52848 .xword 0xd6a8ac3f639ea55f
52849 .xword 0x8cc2ac2b1640338d
52850 .xword 0xbeec5503a4a87683
52851 .xword 0x207947108c01cc41
52852 .xword 0x04dab930e092b863
52853 .xword 0x36f1dc18abd41190
52854 .xword 0xd39cfcbd5b0f5660
52855 .xword 0xf0b46ef3051a1052
52856 .xword 0x4ca6a9cf08fa6eda
52857 .xword 0x9bfde5c3ee78b50e
52858 .xword 0xa6ab6069647d06b5
52859 .xword 0xdcbb4ad5333f7044
52860 .xword 0xd497e76cddeb122c
52861 .xword 0xda4bb659f8ebeaee
52862 .xword 0xc9723ca46e3e5ff1
52863 .xword 0xbdd474cf64ca14d2
52864 .xword 0xcb7090cdb62e0210
52865 .xword 0x21eae9018a1a5c1b
52866 .xword 0xfa66c04d2aa135d7
52867 .xword 0xf762471bce546277
52868 .xword 0xb7492bc5696cba15
52869 .xword 0x547aff6f22ec0d82
52870 .xword 0xacaae7bd1909cad2
52871 .xword 0x182a90d8d5c6cb8d
52872 .xword 0xfaf7f6ae49b92d3b
52873 .xword 0xe317bdf02a6b3fa1
52874 .xword 0x79d4f2171a15f432
52875 .xword 0x7356e1abfb57432c
52876_t1_aes_iv_array:
52877 .xword 0x53d86e7a8b5094a9
52878 .xword 0x6d85ac271d9f4269
52879 .xword 0x4f83b6376fe94dd2
52880 .xword 0x26b42b643b28340d
52881 .xword 0x7cbabf5ee637e9e4
52882 .xword 0x2d1d9bdcf6328ca2
52883 .xword 0x3a06c677d6344129
52884 .xword 0xfc5c8ecde03ce2dc
52885 .xword 0x1d412f16bfa22e9d
52886 .xword 0x71a16f176f7c95e9
52887 .xword 0x861e4701c0265fcc
52888 .xword 0x01286b6db8411d82
52889 .xword 0x7c0c789fb53be3e9
52890 .xword 0x70df2ea48aa0ab04
52891 .xword 0x10ef67b854c9ec02
52892 .xword 0x1b157b668e5971df
52893 .xword 0xe6a96cb28edb0754
52894 .xword 0x7570c0c1e95f29da
52895 .xword 0x8f9b754db123cf08
52896 .xword 0x21c1fedc9653de9f
52897 .xword 0x0dd64ffec8ce147a
52898 .xword 0xb0a17c049d86d881
52899 .xword 0x0ea4cbf50eaf40d2
52900 .xword 0x02b8106232b063c5
52901 .xword 0xb20fea8a1245bbdc
52902 .xword 0x4edd5967205146c7
52903 .xword 0x7cecf8569e9eac5c
52904 .xword 0xec0f9c34a1f48dc8
52905 .xword 0x79f0bcb7623084d5
52906 .xword 0x806efbdc2e48c91a
52907 .xword 0xb457e61776be6551
52908 .xword 0xefb1179addcb0306
52909 .xword 0x8b3495eadde4910e
52910 .xword 0x0b4b74281adccc98
52911 .xword 0xab304a449e2539e4
52912 .xword 0xe9b1b0ae9ca11a9f
52913 .xword 0x180e235d1d52d02a
52914 .xword 0x4a648877041ddd3e
52915 .xword 0x557d6ced79c23871
52916 .xword 0xba8aeb8716de0db1
52917 .xword 0xf77f8191c410ad32
52918 .xword 0x8a63ee9d3a03727b
52919 .xword 0xcf5d3ecc27864b76
52920 .xword 0x02575b98a0bada52
52921 .xword 0xdec8f76489df8a0f
52922_t1_aes_alignment_array:
52923 .xword 10
52924 .xword 14
52925 .xword 11
52926 .xword 15
52927 .xword 9
52928 .xword 2
52929 .xword 14
52930 .xword 11
52931 .xword 11
52932 .xword 5
52933 .xword 12
52934 .xword 10
52935 .xword 2
52936 .xword 8
52937 .xword 8
52938 .xword 12
52939 .xword 13
52940 .xword 3
52941 .xword 11
52942 .xword 11
52943 .xword 9
52944 .xword 5
52945 .xword 12
52946 .xword 11
52947 .xword 13
52948 .xword 1
52949 .xword 0
52950 .xword 0
52951 .xword 13
52952 .xword 3
52953 .xword 3
52954 .xword 2
52955 .xword 9
52956 .xword 13
52957 .xword 1
52958 .xword 0
52959 .xword 2
52960 .xword 3
52961 .xword 7
52962 .xword 13
52963 .xword 14
52964 .xword 15
52965 .xword 12
52966 .xword 11
52967 .xword 12
52968 .xword 4
52969 .xword 2
52970 .xword 2
52971 .xword 15
52972 .xword 8
52973 .xword 0
52974 .xword 0
52975 .xword 4
52976 .xword 15
52977 .xword 11
52978 .xword 5
52979 .xword 0
52980 .xword 3
52981 .xword 13
52982 .xword 10
52983 .xword 10
52984 .xword 5
52985 .xword 13
52986 .xword 13
52987 .xword 3
52988 .xword 14
52989 .xword 10
52990 .xword 4
52991 .xword 11
52992 .xword 8
52993 .xword 2
52994 .xword 11
52995 .xword 4
52996 .xword 0
52997 .xword 7
52998 .xword 7
52999 .xword 12
53000 .xword 7
53001 .xword 1
53002 .xword 14
53003 .xword 5
53004 .xword 3
53005 .xword 12
53006 .xword 3
53007 .xword 11
53008 .xword 4
53009 .xword 9
53010 .xword 7
53011 .xword 14
53012 .xword 10
53013 .xword 11
53014 .xword 2
53015 .xword 7
53016 .xword 15
53017 .xword 0
53018 .xword 15
53019 .xword 0
53020 .xword 5
53021 .xword 4
53022 .xword 8
53023 .xword 13
53024 .xword 11
53025 .xword 0
53026 .xword 7
53027 .xword 8
53028_t1_aes_src:
53029 .xword 0x374ba3bad69508b5
53030 .xword 0x4b3f5c9499e68747
53031 .xword 0x9c96e9b798c75b49
53032 .xword 0xe986a5b217d8575e
53033 .xword 0x09888f489229d010
53034 .xword 0xbc84733afb257189
53035 .xword 0xc33e1378ca2c8eb1
53036 .xword 0x13f1ba2a86909c64
53037 .xword 0x4cb45e8514b4d25e
53038 .xword 0x24c27ac6fced3aeb
53039 .xword 0x86a8263a0b11d6b5
53040 .xword 0xc781b4ed6cf1b5e8
53041 .xword 0xc86b0b43d7cb8c02
53042 .xword 0x0ed98076e59cad2a
53043 .xword 0x7adb56de27dd25c1
53044 .xword 0xa5b87b696f3322cc
53045 .xword 0x27c1ed5e1e37adf5
53046 .xword 0x2e56b18e8a3ed2ca
53047 .xword 0xdc589c9501c866da
53048 .xword 0xbc47dab36398107a
53049 .xword 0x566af2b80ac193d5
53050 .xword 0xba4e4062c69f94ea
53051 .xword 0x9dee7a573d6b239b
53052 .xword 0x335938e0213d8e41
53053 .xword 0x0ba7b8a01c2f0e28
53054 .xword 0xe50a203234716ac9
53055 .xword 0x948b30304486765c
53056 .xword 0x9fa7cd9f85ef2abb
53057 .xword 0xb1070b254ae37439
53058 .xword 0xd611e3ec279b7afe
53059 .xword 0xa31de4430c60650e
53060 .xword 0xf6916a169267ae71
53061 .xword 0x156b7ff26204e9c7
53062 .xword 0x6064d490485acb56
53063 .xword 0xc66aff0443e152f2
53064 .xword 0x671934ff8b48e916
53065 .xword 0x52687b317f738d86
53066 .xword 0x2c28cbe878d62dbb
53067 .xword 0x0e3a8c34a409b480
53068 .xword 0x5411f2e0b6da01f4
53069 .xword 0xa4b3a92d35dbd748
53070 .xword 0x5ac329de20057571
53071 .xword 0xc7372557a445b1f5
53072 .xword 0x4ea4e21feff2e6bd
53073 .xword 0x7de52046f496d40f
53074 .xword 0xeccb9264d4b9ba55
53075 .xword 0x5028bbed12f837d2
53076 .xword 0x38a0a4be93550385
53077 .xword 0x1c0ebd7fd44fd6d4
53078 .xword 0x4ff9d00eb28df998
53079 .xword 0x4029a108f399ccdf
53080 .xword 0x9a47cea079ad25ff
53081 .xword 0xe17dd52fc192f3b7
53082 .xword 0xf6aad1307bba9d93
53083 .xword 0x740c5cb5905534ad
53084 .xword 0x8c7ca8426c1abd6d
53085 .xword 0x2cbafbfac57dd13e
53086 .xword 0xc3b11eeaaca26751
53087 .xword 0xa5db4cb0d124e637
53088 .xword 0xc03d70af1cd85df2
53089 .xword 0x828f263f1d962d26
53090 .xword 0xbfaa05704153ffd3
53091 .xword 0xff0ae378b56a89a0
53092 .xword 0x345f1a54e7fe418e
53093 .xword 0xc91066f628147089
53094 .xword 0xa2a39921b2273f25
53095 .xword 0xad5197c7bcf78d64
53096 .xword 0xaa33468a2e5d8fbe
53097 .xword 0xc1417edd8b8bfc6b
53098 .xword 0x56b3e5f345223ec8
53099 .xword 0xb5195f5dff7adac0
53100 .xword 0x20e3c83c3c0a7a88
53101 .xword 0x2d649d3fcfd197a1
53102 .xword 0x1e048ce8bf488863
53103 .xword 0xf86d2af2722fb511
53104 .xword 0x8cde8236f842a3d2
53105 .xword 0x32ba6650161848f6
53106 .xword 0xf9819d79f66d0230
53107 .xword 0x88384ec283e6988e
53108 .xword 0xd3d01f0ebf5ef398
53109 .xword 0x273f0583e95b2a9d
53110 .xword 0x59818b02bc9d80bd
53111 .xword 0x39a506f6b64df7de
53112 .xword 0xd82ad3f856c1e86b
53113 .xword 0x3b21b8dab6e5f6de
53114 .xword 0x3595af60e66cbf3d
53115 .xword 0x30b2407764c912fb
53116 .xword 0xf76bf9af5da55b49
53117 .xword 0xb35dea486c5de14f
53118 .xword 0xb6ca8a2b9d76ce34
53119 .xword 0xa8b005fe96ec0dc0
53120 .xword 0xd10c5064b19c0dae
53121 .xword 0x525efb2e554c877b
53122 .xword 0x65d55380b984d965
53123 .xword 0x223a862fbf8da6a9
53124 .xword 0x2ba892da2f1633b3
53125 .xword 0xfcc724d870535e6a
53126 .xword 0x20cc6491779363b6
53127 .xword 0xb16b5a98b7254db6
53128 .xword 0xf825d5819ec636ef
53129 .xword 0x907e1fe03b7bd325
53130 .xword 0xe244610ab420e5cc
53131 .xword 0x0e77d63dcb7489ff
53132 .xword 0x32c58091f813093a
53133 .xword 0x88c4e01af35995d6
53134 .xword 0xf8fdfc9c1cf3e8e2
53135 .xword 0x601fd680ee80140c
53136 .xword 0xf26ffbf9421f696a
53137 .xword 0x494d392ee070e527
53138 .xword 0xc4ef5e7a35c94310
53139 .xword 0x2c327b1ab38e88cf
53140 .xword 0xe5ba9966989ec907
53141 .xword 0x5bd496cd5115498d
53142 .xword 0xda2eda6f825e679f
53143 .xword 0x8dc3814de367c7ca
53144 .xword 0x179a821db70734cc
53145 .xword 0x29b556170f8aede2
53146 .xword 0xd120a67f47c7ae12
53147 .xword 0x53426e4b88325d0b
53148 .xword 0x71b0915c10276ba5
53149 .xword 0xe8d647f1dde33d1f
53150 .xword 0xf3dbdd512204734b
53151 .xword 0x9b72d7f8a99ee978
53152 .xword 0xd4a6854e6804249b
53153 .xword 0xd7843ccc55350d5a
53154 .xword 0x1e6c24fdf0b50961
53155 .xword 0xf1ce38d196ed7164
53156 .xword 0xf7b0e4c4d12785fa
53157 .xword 0x170fa06efb05c130
53158 .xword 0x87a441935285f079
53159 .xword 0x1a2e606e2fd6ce1f
53160 .xword 0xde72481da8536a6b
53161 .xword 0x1451e04898c3819f
53162 .xword 0x3e5a52f8a77c349a
53163 .xword 0x898036058a2dc6ad
53164 .xword 0x9c0fd1275212a606
53165 .xword 0x144d87e3268b4958
53166 .xword 0xe4829c3c51d1735d
53167 .xword 0xcb5525c4a2446bd5
53168 .xword 0xd3a2b1d08fba9d56
53169 .xword 0x09cd432514000bd4
53170 .xword 0xc56c0f21bb1c5868
53171 .xword 0xbbad3c2a68892886
53172 .xword 0xe47924537d832571
53173 .xword 0x4c16677daaff40ec
53174 .xword 0xefbb09a19068304c
53175 .xword 0x5753516a970d8fd3
53176 .xword 0xa35e1551a6ce68da
53177 .xword 0xfd26b8781546141a
53178 .xword 0xd109940348f802a7
53179 .xword 0xb56b8c757d0d3e55
53180 .xword 0x9710f7f2eaa7bfbc
53181 .xword 0x169e33786fa5dbbb
53182 .xword 0x3d33834e6d44a747
53183 .xword 0x49f0667f8d80bbaa
53184 .xword 0x3c3e1993661769b8
53185 .xword 0xd0755eba812f7717
53186 .xword 0x3cb1e1f2910d7269
53187 .xword 0x08fdd98d4e59994a
53188 .xword 0x5636ba25a0498f53
53189 .xword 0x8d6a96c65db8464e
53190 .xword 0x10f61b05858d5404
53191 .xword 0xa6c8940dfb7d2438
53192 .xword 0xd6057ff3ddfae428
53193 .xword 0x339615cb8677f4ce
53194 .xword 0x4e5638a0882a56f0
53195 .xword 0xb69d369cac4b18cb
53196 .xword 0x6c383f4431a22813
53197 .xword 0xcabc3655aefbdb90
53198 .xword 0x9ceca7cb26d25be6
53199 .xword 0x6bb3b72de886268c
53200 .xword 0x2af656f55c33ff8c
53201 .xword 0xa312a286f555c94f
53202 .xword 0xca4043039d4e4b3b
53203 .xword 0xd30f76a14ba8ea26
53204 .xword 0x03850caca5dc8751
53205 .xword 0xb2e7ada9b2000958
53206 .xword 0xc8dc117cd0cad42a
53207 .xword 0xcc6941f2d9331129
53208 .xword 0xda6af70e2a396ed8
53209 .xword 0x3917b8174565f111
53210 .xword 0x5411550e03db6365
53211 .xword 0xc1fee3ecd0f431e4
53212 .xword 0x9265a53cb383fd13
53213 .xword 0xaa4ffaa7d95fffc4
53214 .xword 0x7b51b95861a51596
53215 .xword 0x6da4d567453594c2
53216 .xword 0x410e4d0812d62b2b
53217 .xword 0x6089a04812389de9
53218 .xword 0x5a72706eff3ab25d
53219 .xword 0x8ccbc202fa97a081
53220 .xword 0xdc02ac028175691e
53221 .xword 0x0236ffd4a403855a
53222 .xword 0x38264d193902c16c
53223 .xword 0xddf1d4c8dde23e11
53224 .xword 0x4dd9562961656c7a
53225 .xword 0xde2d4d4e84a36e77
53226 .xword 0x197dcefb9ebf872e
53227 .xword 0x0f0bde358b541d63
53228 .xword 0xfdc40511d7f24612
53229 .xword 0x0a0eded234cb44d5
53230 .xword 0xba1257516adfcebc
53231 .xword 0x8b4b74dddcb78e10
53232 .xword 0x10bc8a4272f0c42c
53233 .xword 0xf92743d508053357
53234 .xword 0x2523760add90d6f5
53235 .xword 0x47d50471b13fc1f7
53236 .xword 0x9fd617f8be21ea55
53237 .xword 0xe15e71f1c174584d
53238 .xword 0x69f5cf6ee11b9bf5
53239 .xword 0xa1d02eee8a6fa26d
53240 .xword 0xcede8061a16d8519
53241 .xword 0xde4c7ced1bea42b9
53242 .xword 0xaece6e734ebeae1d
53243 .xword 0x34abc9ba1fa11278
53244 .xword 0xbbe0bea035fd6752
53245 .xword 0x5ba1da0c75bb20c0
53246 .xword 0x28568a52834ca8a4
53247 .xword 0xcdb202f988eaa6da
53248 .xword 0xf27b31acd23f4e26
53249 .xword 0x5e1b08647b695b5d
53250 .xword 0x5008ae7b4e9fb824
53251 .xword 0x5ea42622cf205bd4
53252 .xword 0x004248b0b25b9ab5
53253 .xword 0xe164356709b15003
53254 .xword 0x26d18506e503ab7c
53255 .xword 0xe0c10272c65640a8
53256 .xword 0x8f54878ee5d02317
53257 .xword 0x16f4741d47ee63ff
53258 .xword 0x962def3258d15190
53259 .xword 0xd4972bcf364b3980
53260 .xword 0x70e0ae82fd140dea
53261 .xword 0x6beaa1f768566c9a
53262 .xword 0x479f742e5795e9d5
53263 .xword 0x660008eb5d82829e
53264 .xword 0xb293ab4cad974be7
53265 .xword 0x5aee21d25d473d0d
53266 .xword 0xa9d4a29e36033f65
53267 .xword 0x0987fb44462b1902
53268 .xword 0x21c4f31a11182050
53269 .xword 0x8004dc718db0e050
53270 .xword 0x01bd4835c2a5afa4
53271 .xword 0xb6746a881e94f41f
53272 .xword 0xf192d9b4a7b42922
53273 .xword 0x7239e4442693332b
53274 .xword 0x344827077a0bddd8
53275 .xword 0x0bd0b018f110b6c2
53276 .xword 0x909cca060f5d2bf9
53277 .xword 0xeb4f2e56ea0e0804
53278 .xword 0x81cd7bea6d53a98d
53279 .xword 0x6f06c336ae9878bd
53280 .xword 0x8710444b3b298718
53281 .xword 0xc926fecdff733104
53282 .xword 0x10e85f5ff78e73a4
53283 .xword 0x19d1b4eee87fa157
53284 .xword 0xae085d3523850e20
53285 .xword 0xa20cbda1370bcaf2
53286 .xword 0xd466059e7285890a
53287 .xword 0x8a83266cd0c15151
53288 .xword 0x316225612a3b1e92
53289 .xword 0x174af968d99d1772
53290 .xword 0x0d5ae71e4e9318e2
53291 .xword 0x4f23321b3b033195
53292 .xword 0x9bbcb7cdc5995da4
53293 .xword 0x71a64d7edbcb5bde
53294 .xword 0xb1e5bb9633f02adb
53295 .xword 0x0878e8854b7ffe9e
53296 .xword 0xe8adba43e2018ccc
53297 .xword 0x6a12933f84776d0c
53298 .xword 0xe47840312b407fe8
53299 .xword 0x808b47b6b10fe32c
53300 .xword 0xe8839b329c2b533a
53301 .xword 0x1b8255f9561d2836
53302 .xword 0xcd8aa67cb6a3a082
53303 .xword 0x039bda3cd65ceaab
53304_t1_aes_dest:
53305 .xword 0xDEADBEEFDEADBEEF
53306 .xword 0xDEADBEEFDEADBEEF
53307 .xword 0xDEADBEEFDEADBEEF
53308 .xword 0xDEADBEEFDEADBEEF
53309 .xword 0xDEADBEEFDEADBEEF
53310 .xword 0xDEADBEEFDEADBEEF
53311 .xword 0xDEADBEEFDEADBEEF
53312 .xword 0xDEADBEEFDEADBEEF
53313 .xword 0xDEADBEEFDEADBEEF
53314 .xword 0xDEADBEEFDEADBEEF
53315 .xword 0xDEADBEEFDEADBEEF
53316 .xword 0xDEADBEEFDEADBEEF
53317 .xword 0xDEADBEEFDEADBEEF
53318 .xword 0xDEADBEEFDEADBEEF
53319 .xword 0xDEADBEEFDEADBEEF
53320 .xword 0xDEADBEEFDEADBEEF
53321 .xword 0xDEADBEEFDEADBEEF
53322 .xword 0xDEADBEEFDEADBEEF
53323 .xword 0xDEADBEEFDEADBEEF
53324 .xword 0xDEADBEEFDEADBEEF
53325 .xword 0xDEADBEEFDEADBEEF
53326 .xword 0xDEADBEEFDEADBEEF
53327 .xword 0xDEADBEEFDEADBEEF
53328 .xword 0xDEADBEEFDEADBEEF
53329 .xword 0xDEADBEEFDEADBEEF
53330 .xword 0xDEADBEEFDEADBEEF
53331 .xword 0xDEADBEEFDEADBEEF
53332 .xword 0xDEADBEEFDEADBEEF
53333 .xword 0xDEADBEEFDEADBEEF
53334 .xword 0xDEADBEEFDEADBEEF
53335 .xword 0xDEADBEEFDEADBEEF
53336 .xword 0xDEADBEEFDEADBEEF
53337 .xword 0xDEADBEEFDEADBEEF
53338 .xword 0xDEADBEEFDEADBEEF
53339 .xword 0xDEADBEEFDEADBEEF
53340 .xword 0xDEADBEEFDEADBEEF
53341 .xword 0xDEADBEEFDEADBEEF
53342 .xword 0xDEADBEEFDEADBEEF
53343 .xword 0xDEADBEEFDEADBEEF
53344 .xword 0xDEADBEEFDEADBEEF
53345 .xword 0xDEADBEEFDEADBEEF
53346 .xword 0xDEADBEEFDEADBEEF
53347 .xword 0xDEADBEEFDEADBEEF
53348 .xword 0xDEADBEEFDEADBEEF
53349 .xword 0xDEADBEEFDEADBEEF
53350 .xword 0xDEADBEEFDEADBEEF
53351 .xword 0xDEADBEEFDEADBEEF
53352 .xword 0xDEADBEEFDEADBEEF
53353 .xword 0xDEADBEEFDEADBEEF
53354 .xword 0xDEADBEEFDEADBEEF
53355 .xword 0xDEADBEEFDEADBEEF
53356 .xword 0xDEADBEEFDEADBEEF
53357 .xword 0xDEADBEEFDEADBEEF
53358 .xword 0xDEADBEEFDEADBEEF
53359 .xword 0xDEADBEEFDEADBEEF
53360 .xword 0xDEADBEEFDEADBEEF
53361 .xword 0xDEADBEEFDEADBEEF
53362 .xword 0xDEADBEEFDEADBEEF
53363 .xword 0xDEADBEEFDEADBEEF
53364 .xword 0xDEADBEEFDEADBEEF
53365 .xword 0xDEADBEEFDEADBEEF
53366 .xword 0xDEADBEEFDEADBEEF
53367 .xword 0xDEADBEEFDEADBEEF
53368 .xword 0xDEADBEEFDEADBEEF
53369 .xword 0xDEADBEEFDEADBEEF
53370 .xword 0xDEADBEEFDEADBEEF
53371 .xword 0xDEADBEEFDEADBEEF
53372 .xword 0xDEADBEEFDEADBEEF
53373 .xword 0xDEADBEEFDEADBEEF
53374 .xword 0xDEADBEEFDEADBEEF
53375 .xword 0xDEADBEEFDEADBEEF
53376 .xword 0xDEADBEEFDEADBEEF
53377 .xword 0xDEADBEEFDEADBEEF
53378 .xword 0xDEADBEEFDEADBEEF
53379 .xword 0xDEADBEEFDEADBEEF
53380 .xword 0xDEADBEEFDEADBEEF
53381 .xword 0xDEADBEEFDEADBEEF
53382 .xword 0xDEADBEEFDEADBEEF
53383 .xword 0xDEADBEEFDEADBEEF
53384 .xword 0xDEADBEEFDEADBEEF
53385 .xword 0xDEADBEEFDEADBEEF
53386 .xword 0xDEADBEEFDEADBEEF
53387 .xword 0xDEADBEEFDEADBEEF
53388 .xword 0xDEADBEEFDEADBEEF
53389 .xword 0xDEADBEEFDEADBEEF
53390 .xword 0xDEADBEEFDEADBEEF
53391 .xword 0xDEADBEEFDEADBEEF
53392 .xword 0xDEADBEEFDEADBEEF
53393 .xword 0xDEADBEEFDEADBEEF
53394 .xword 0xDEADBEEFDEADBEEF
53395 .xword 0xDEADBEEFDEADBEEF
53396 .xword 0xDEADBEEFDEADBEEF
53397 .xword 0xDEADBEEFDEADBEEF
53398 .xword 0xDEADBEEFDEADBEEF
53399 .xword 0xDEADBEEFDEADBEEF
53400 .xword 0xDEADBEEFDEADBEEF
53401 .xword 0xDEADBEEFDEADBEEF
53402 .xword 0xDEADBEEFDEADBEEF
53403 .xword 0xDEADBEEFDEADBEEF
53404 .xword 0xDEADBEEFDEADBEEF
53405 .xword 0xDEADBEEFDEADBEEF
53406 .xword 0xDEADBEEFDEADBEEF
53407 .xword 0xDEADBEEFDEADBEEF
53408 .xword 0xDEADBEEFDEADBEEF
53409 .xword 0xDEADBEEFDEADBEEF
53410 .xword 0xDEADBEEFDEADBEEF
53411 .xword 0xDEADBEEFDEADBEEF
53412 .xword 0xDEADBEEFDEADBEEF
53413 .xword 0xDEADBEEFDEADBEEF
53414 .xword 0xDEADBEEFDEADBEEF
53415 .xword 0xDEADBEEFDEADBEEF
53416 .xword 0xDEADBEEFDEADBEEF
53417 .xword 0xDEADBEEFDEADBEEF
53418 .xword 0xDEADBEEFDEADBEEF
53419 .xword 0xDEADBEEFDEADBEEF
53420 .xword 0xDEADBEEFDEADBEEF
53421 .xword 0xDEADBEEFDEADBEEF
53422 .xword 0xDEADBEEFDEADBEEF
53423 .xword 0xDEADBEEFDEADBEEF
53424 .xword 0xDEADBEEFDEADBEEF
53425 .xword 0xDEADBEEFDEADBEEF
53426 .xword 0xDEADBEEFDEADBEEF
53427 .xword 0xDEADBEEFDEADBEEF
53428 .xword 0xDEADBEEFDEADBEEF
53429 .xword 0xDEADBEEFDEADBEEF
53430 .xword 0xDEADBEEFDEADBEEF
53431 .xword 0xDEADBEEFDEADBEEF
53432 .xword 0xDEADBEEFDEADBEEF
53433 .xword 0xDEADBEEFDEADBEEF
53434 .xword 0xDEADBEEFDEADBEEF
53435 .xword 0xDEADBEEFDEADBEEF
53436 .xword 0xDEADBEEFDEADBEEF
53437 .xword 0xDEADBEEFDEADBEEF
53438 .xword 0xDEADBEEFDEADBEEF
53439 .xword 0xDEADBEEFDEADBEEF
53440 .xword 0xDEADBEEFDEADBEEF
53441 .xword 0xDEADBEEFDEADBEEF
53442 .xword 0xDEADBEEFDEADBEEF
53443 .xword 0xDEADBEEFDEADBEEF
53444 .xword 0xDEADBEEFDEADBEEF
53445 .xword 0xDEADBEEFDEADBEEF
53446 .xword 0xDEADBEEFDEADBEEF
53447 .xword 0xDEADBEEFDEADBEEF
53448 .xword 0xDEADBEEFDEADBEEF
53449 .xword 0xDEADBEEFDEADBEEF
53450 .xword 0xDEADBEEFDEADBEEF
53451 .xword 0xDEADBEEFDEADBEEF
53452 .xword 0xDEADBEEFDEADBEEF
53453 .xword 0xDEADBEEFDEADBEEF
53454 .xword 0xDEADBEEFDEADBEEF
53455 .xword 0xDEADBEEFDEADBEEF
53456 .xword 0xDEADBEEFDEADBEEF
53457 .xword 0xDEADBEEFDEADBEEF
53458 .xword 0xDEADBEEFDEADBEEF
53459 .xword 0xDEADBEEFDEADBEEF
53460 .xword 0xDEADBEEFDEADBEEF
53461 .xword 0xDEADBEEFDEADBEEF
53462 .xword 0xDEADBEEFDEADBEEF
53463 .xword 0xDEADBEEFDEADBEEF
53464 .xword 0xDEADBEEFDEADBEEF
53465 .xword 0xDEADBEEFDEADBEEF
53466 .xword 0xDEADBEEFDEADBEEF
53467 .xword 0xDEADBEEFDEADBEEF
53468 .xword 0xDEADBEEFDEADBEEF
53469 .xword 0xDEADBEEFDEADBEEF
53470 .xword 0xDEADBEEFDEADBEEF
53471 .xword 0xDEADBEEFDEADBEEF
53472 .xword 0xDEADBEEFDEADBEEF
53473 .xword 0xDEADBEEFDEADBEEF
53474 .xword 0xDEADBEEFDEADBEEF
53475 .xword 0xDEADBEEFDEADBEEF
53476 .xword 0xDEADBEEFDEADBEEF
53477 .xword 0xDEADBEEFDEADBEEF
53478 .xword 0xDEADBEEFDEADBEEF
53479 .xword 0xDEADBEEFDEADBEEF
53480 .xword 0xDEADBEEFDEADBEEF
53481 .xword 0xDEADBEEFDEADBEEF
53482 .xword 0xDEADBEEFDEADBEEF
53483 .xword 0xDEADBEEFDEADBEEF
53484 .xword 0xDEADBEEFDEADBEEF
53485 .xword 0xDEADBEEFDEADBEEF
53486 .xword 0xDEADBEEFDEADBEEF
53487 .xword 0xDEADBEEFDEADBEEF
53488 .xword 0xDEADBEEFDEADBEEF
53489 .xword 0xDEADBEEFDEADBEEF
53490 .xword 0xDEADBEEFDEADBEEF
53491 .xword 0xDEADBEEFDEADBEEF
53492 .xword 0xDEADBEEFDEADBEEF
53493 .xword 0xDEADBEEFDEADBEEF
53494 .xword 0xDEADBEEFDEADBEEF
53495 .xword 0xDEADBEEFDEADBEEF
53496 .xword 0xDEADBEEFDEADBEEF
53497 .xword 0xDEADBEEFDEADBEEF
53498 .xword 0xDEADBEEFDEADBEEF
53499 .xword 0xDEADBEEFDEADBEEF
53500 .xword 0xDEADBEEFDEADBEEF
53501 .xword 0xDEADBEEFDEADBEEF
53502 .xword 0xDEADBEEFDEADBEEF
53503 .xword 0xDEADBEEFDEADBEEF
53504 .xword 0xDEADBEEFDEADBEEF
53505 .xword 0xDEADBEEFDEADBEEF
53506 .xword 0xDEADBEEFDEADBEEF
53507 .xword 0xDEADBEEFDEADBEEF
53508 .xword 0xDEADBEEFDEADBEEF
53509 .xword 0xDEADBEEFDEADBEEF
53510 .xword 0xDEADBEEFDEADBEEF
53511 .xword 0xDEADBEEFDEADBEEF
53512 .xword 0xDEADBEEFDEADBEEF
53513 .xword 0xDEADBEEFDEADBEEF
53514 .xword 0xDEADBEEFDEADBEEF
53515 .xword 0xDEADBEEFDEADBEEF
53516 .xword 0xDEADBEEFDEADBEEF
53517 .xword 0xDEADBEEFDEADBEEF
53518 .xword 0xDEADBEEFDEADBEEF
53519 .xword 0xDEADBEEFDEADBEEF
53520 .xword 0xDEADBEEFDEADBEEF
53521 .xword 0xDEADBEEFDEADBEEF
53522 .xword 0xDEADBEEFDEADBEEF
53523 .xword 0xDEADBEEFDEADBEEF
53524 .xword 0xDEADBEEFDEADBEEF
53525 .xword 0xDEADBEEFDEADBEEF
53526 .xword 0xDEADBEEFDEADBEEF
53527 .xword 0xDEADBEEFDEADBEEF
53528 .xword 0xDEADBEEFDEADBEEF
53529 .xword 0xDEADBEEFDEADBEEF
53530 .xword 0xDEADBEEFDEADBEEF
53531 .xword 0xDEADBEEFDEADBEEF
53532 .xword 0xDEADBEEFDEADBEEF
53533 .xword 0xDEADBEEFDEADBEEF
53534 .xword 0xDEADBEEFDEADBEEF
53535 .xword 0xDEADBEEFDEADBEEF
53536 .xword 0xDEADBEEFDEADBEEF
53537 .xword 0xDEADBEEFDEADBEEF
53538 .xword 0xDEADBEEFDEADBEEF
53539 .xword 0xDEADBEEFDEADBEEF
53540 .xword 0xDEADBEEFDEADBEEF
53541 .xword 0xDEADBEEFDEADBEEF
53542 .xword 0xDEADBEEFDEADBEEF
53543 .xword 0xDEADBEEFDEADBEEF
53544 .xword 0xDEADBEEFDEADBEEF
53545 .xword 0xDEADBEEFDEADBEEF
53546 .xword 0xDEADBEEFDEADBEEF
53547 .xword 0xDEADBEEFDEADBEEF
53548 .xword 0xDEADBEEFDEADBEEF
53549 .xword 0xDEADBEEFDEADBEEF
53550 .xword 0xDEADBEEFDEADBEEF
53551 .xword 0xDEADBEEFDEADBEEF
53552 .xword 0xDEADBEEFDEADBEEF
53553 .xword 0xDEADBEEFDEADBEEF
53554 .xword 0xDEADBEEFDEADBEEF
53555 .xword 0xDEADBEEFDEADBEEF
53556 .xword 0xDEADBEEFDEADBEEF
53557 .xword 0xDEADBEEFDEADBEEF
53558 .xword 0xDEADBEEFDEADBEEF
53559 .xword 0xDEADBEEFDEADBEEF
53560 .xword 0xDEADBEEFDEADBEEF
53561 .xword 0xDEADBEEFDEADBEEF
53562 .xword 0xDEADBEEFDEADBEEF
53563 .xword 0xDEADBEEFDEADBEEF
53564 .xword 0xDEADBEEFDEADBEEF
53565 .xword 0xDEADBEEFDEADBEEF
53566 .xword 0xDEADBEEFDEADBEEF
53567 .xword 0xDEADBEEFDEADBEEF
53568 .xword 0xDEADBEEFDEADBEEF
53569 .xword 0xDEADBEEFDEADBEEF
53570 .xword 0xDEADBEEFDEADBEEF
53571 .xword 0xDEADBEEFDEADBEEF
53572 .xword 0xDEADBEEFDEADBEEF
53573 .xword 0xDEADBEEFDEADBEEF
53574 .xword 0xDEADBEEFDEADBEEF
53575 .xword 0xDEADBEEFDEADBEEF
53576 .xword 0xDEADBEEFDEADBEEF
53577 .xword 0xDEADBEEFDEADBEEF
53578 .xword 0xDEADBEEFDEADBEEF
53579 .xword 0xDEADBEEFDEADBEEF
53580_t1_aes_auth_key:
53581 .xword 0x90e4bd839a28546a
53582 .xword 0x4f0b606883f0a349
53583 .xword 0x31f4afb69b42d1e2
53584 .xword 0x3460566393529313
53585 .xword 0xfb8a697e43061605
53586 .xword 0xb9d8f84a8cf9194d
53587 .xword 0x52af3feb37dc9684
53588 .xword 0xf1f661888ac0bd37
53589 .xword 0x57453b242cba6cbe
53590 .xword 0x4ff1d41d1d032203
53591 .xword 0xb14f58f50b5f50a0
53592 .xword 0xe3f40cb970d523d5
53593 .xword 0x0dbe2483ce3b6b97
53594 .xword 0x18300fa34dee5b22
53595 .xword 0xada6259675ad53f5
53596 .xword 0xf73b2171ec6788af
53597 .xword 0x6acbdab22acd658f
53598 .xword 0xb83552993e2c3c3a
53599 .xword 0x3123eeec9d7d573e
53600 .xword 0x94a4746de709c028
53601 .xword 0x906025e81501eac9
53602 .xword 0x4a71be37b0652faa
53603 .xword 0x59196478f585369f
53604_t1_aes_auth_iv:
53605 .xword 0xa997bfcd1c4289d7
53606 .xword 0xdcb5c1aaa05a58e8
53607 .xword 0xcba0f4da33b8ddfc
53608 .xword 0xc2f89800317b07f0
53609 .xword 0xc86d024a32302cc3
53610 .xword 0xca03fc11f8bc7ee4
53611 .xword 0xcc8528d311cfd3f7
53612 .xword 0x4c512a50d35773ed
53613 .xword 0xc30b5c663e6fc58e
53614 .xword 0xf42587c0fd4d2b55
53615 .xword 0x8d35e7ffa780bd3c
53616 .xword 0x808e8971f3372035
53617 .xword 0xc05ab0f940e06d53
53618 .xword 0x3e53b909b8c020e4
53619 .xword 0x9bc9982eef1a9097
53620 .xword 0x702a79c94ad1d1b0
53621 .xword 0xcb584488d01e7326
53622 .xword 0x2d331fa548a625be
53623 .xword 0xe1712c74b6836fbe
53624 .xword 0x111b24fa36855e2e
53625 .xword 0x0bfaaa5275277fb9
53626 .xword 0x29d6e063e606c764
53627 .xword 0xa133cc277f60e4de
53628_t1_aes_fas_result:
53629 .xword 0xDEADBEEFDEADBEEF
53630 .xword 0xDEADBEEFDEADBEEF
53631 .xword 0xDEADBEEFDEADBEEF
53632 .xword 0xDEADBEEFDEADBEEF
53633 .xword 0xDEADBEEFDEADBEEF
53634 .xword 0xDEADBEEFDEADBEEF
53635 .xword 0xDEADBEEFDEADBEEF
53636 .xword 0xDEADBEEFDEADBEEF
53637 .xword 0xDEADBEEFDEADBEEF
53638 .xword 0xDEADBEEFDEADBEEF
53639 .xword 0xDEADBEEFDEADBEEF
53640 .xword 0xDEADBEEFDEADBEEF
53641 .xword 0xDEADBEEFDEADBEEF
53642 .xword 0xDEADBEEFDEADBEEF
53643 .xword 0xDEADBEEFDEADBEEF
53644 .xword 0xDEADBEEFDEADBEEF
53645 .xword 0xDEADBEEFDEADBEEF
53646 .xword 0xDEADBEEFDEADBEEF
53647 .xword 0xDEADBEEFDEADBEEF
53648 .xword 0xDEADBEEFDEADBEEF
53649 .xword 0xDEADBEEFDEADBEEF
53650 .xword 0xDEADBEEFDEADBEEF
53651 .xword 0xDEADBEEFDEADBEEF
53652_t1_des_key_array:
53653 .xword 0x67236c5f9fd0d06f
53654 .xword 0xd2d2f03267d68ab7
53655 .xword 0xb74de83beac0da56
53656 .xword 0x8ceeb3f53fdfc51a
53657 .xword 0xaa7a1f767d6cfa68
53658 .xword 0x554218083e02dd5d
53659 .xword 0x9899712228c49dcd
53660 .xword 0x34ce6a51e36079cb
53661 .xword 0x05e6025b9528690a
53662 .xword 0x36ca47fcba40471d
53663 .xword 0xcef90eb2d3bd3d34
53664 .xword 0x9dc6498a50a438e3
53665 .xword 0x2cde553a4b172f07
53666 .xword 0xbf552052a116d5bf
53667 .xword 0xfd64bf5c131704ff
53668 .xword 0x7c9648c1d07e7e42
53669 .xword 0xdf422fb379c92e60
53670 .xword 0xd62010a86ca9daba
53671 .xword 0xd87dc722dcef254d
53672 .xword 0xc2fc12cdea843b55
53673 .xword 0x62c2943cbb5fbfda
53674 .xword 0xd3102316de3f56b2
53675 .xword 0x6b4f02171794a5e4
53676 .xword 0x26410c35006e0610
53677 .xword 0xaca085c493814411
53678 .xword 0x73a3766c836f2a24
53679 .xword 0x436017b45d3a6d88
53680 .xword 0x03220f1377d5c131
53681 .xword 0x615ca7eaca8bed71
53682 .xword 0xc6be8b881e682d1f
53683 .xword 0x297fc1f7a8be7571
53684 .xword 0x6cdecc2220b285be
53685 .xword 0x77a420393650cf11
53686 .xword 0xae6d981d22aeaf24
53687 .xword 0x7ce141084c8efcbd
53688 .xword 0x3c8a4d3443752fdd
53689 .xword 0xaa1b68b064596761
53690 .xword 0x1d0b4dce1ed3bd27
53691 .xword 0x84cb34216644af38
53692 .xword 0xb5adfc8108965d66
53693 .xword 0x619a119abc9b440e
53694 .xword 0x284f4916313a4e91
53695 .xword 0x9d2a3f443ddd6236
53696 .xword 0xb7ec9b0cf0759a45
53697 .xword 0xef73d6d753fb62ae
53698 .xword 0x79c388e152829701
53699 .xword 0xccd9ffeaa07806be
53700 .xword 0x22e85b9bf5ecf6cb
53701 .xword 0x166563c232976bbf
53702 .xword 0x90dc496e862a0e08
53703 .xword 0xaf9e2d0c89b377d9
53704_t1_des_iv_array:
53705 .xword 0x1aba9b74b7aaaacc
53706 .xword 0x4ee3cbf2e3981aac
53707 .xword 0x535482f7df2594e4
53708 .xword 0x43c09bba26112aa9
53709 .xword 0x51a3e1a42318f8f8
53710 .xword 0x9059c758b3ec1972
53711 .xword 0x2d47f9416e3ba79b
53712 .xword 0x5b27824240109391
53713 .xword 0x1986fa21398abb08
53714 .xword 0x986a4f16bbdc24d6
53715 .xword 0x5fb8909d4bc72dd7
53716 .xword 0xb7c06765c58d5bd6
53717 .xword 0x332b2bb3636889b2
53718 .xword 0xac7996a37e6177b5
53719 .xword 0x307f4ecfa79cfc5e
53720 .xword 0x3989a71d2d45b31d
53721 .xword 0x730782fd13527db2
53722 .xword 0x895fc113a1b0242f
53723 .xword 0xa8dfeef3569dae57
53724 .xword 0x7bbb9d40dc9e1791
53725 .xword 0x6afaf8a99ca7e9f2
53726 .xword 0x81af7d9238173104
53727 .xword 0x67fcf808b1e074af
53728 .xword 0x3e0d56606d463fb1
53729 .xword 0x190fc836db0ce0ca
53730 .xword 0xeb141a1ef1ba3276
53731 .xword 0xa0a9a83344199f9c
53732 .xword 0x3cafeee5282a8386
53733 .xword 0x95aff862751653ca
53734 .xword 0x29c9149e2602c1c4
53735 .xword 0x10f67f5d8f5c439f
53736 .xword 0x913621607ad1b1f8
53737 .xword 0x1ffe9483165b17ce
53738 .xword 0x81ffbc3fd8896adf
53739 .xword 0xef02f55f100ea80d
53740 .xword 0x0dad4ac7628e8315
53741 .xword 0x7732cc35c4a0bff2
53742 .xword 0xfa90c9a3ade6a322
53743 .xword 0xe0f27418b69cd15c
53744 .xword 0x45fe8c44a3dfe8bd
53745 .xword 0x71399b38e380151f
53746 .xword 0x7d1d1bddd792bb30
53747 .xword 0x5fb04ca0e116f5ad
53748 .xword 0x2ce2aee0d298f155
53749 .xword 0xc02001f4da57e485
53750_t1_des_alignment_array:
53751 .xword 13
53752 .xword 3
53753 .xword 3
53754 .xword 0
53755 .xword 0
53756 .xword 5
53757 .xword 6
53758 .xword 14
53759 .xword 1
53760 .xword 7
53761 .xword 10
53762 .xword 10
53763 .xword 12
53764 .xword 9
53765 .xword 11
53766 .xword 1
53767 .xword 15
53768 .xword 10
53769 .xword 5
53770 .xword 13
53771 .xword 13
53772 .xword 13
53773 .xword 0
53774 .xword 13
53775 .xword 13
53776 .xword 6
53777 .xword 11
53778 .xword 2
53779 .xword 2
53780 .xword 10
53781 .xword 14
53782 .xword 0
53783 .xword 14
53784 .xword 9
53785 .xword 13
53786 .xword 14
53787 .xword 6
53788 .xword 7
53789 .xword 8
53790 .xword 4
53791 .xword 11
53792 .xword 14
53793 .xword 4
53794 .xword 2
53795 .xword 10
53796 .xword 8
53797 .xword 4
53798 .xword 3
53799 .xword 5
53800 .xword 0
53801 .xword 13
53802 .xword 9
53803 .xword 9
53804 .xword 5
53805 .xword 5
53806 .xword 3
53807 .xword 6
53808 .xword 10
53809 .xword 14
53810 .xword 7
53811 .xword 15
53812 .xword 14
53813 .xword 15
53814 .xword 0
53815 .xword 11
53816 .xword 1
53817 .xword 5
53818 .xword 8
53819 .xword 13
53820 .xword 12
53821 .xword 5
53822 .xword 14
53823 .xword 12
53824 .xword 5
53825 .xword 1
53826 .xword 6
53827 .xword 11
53828 .xword 0
53829 .xword 4
53830 .xword 12
53831 .xword 12
53832 .xword 13
53833 .xword 7
53834 .xword 0
53835 .xword 6
53836 .xword 5
53837 .xword 6
53838 .xword 7
53839 .xword 2
53840 .xword 5
53841 .xword 13
53842 .xword 11
53843 .xword 8
53844 .xword 3
53845 .xword 2
53846 .xword 7
53847 .xword 0
53848 .xword 4
53849 .xword 7
53850 .xword 13
53851 .xword 0
53852 .xword 5
53853 .xword 4
53854 .xword 9
53855 .xword 12
53856_t1_des_src:
53857 .xword 0x71161051440e0a3c
53858 .xword 0xf315bc615e801256
53859 .xword 0x83e8f82cae21534d
53860 .xword 0x1efd19bda22649bf
53861 .xword 0xd16c99b228f2a8ea
53862 .xword 0xb26e3edfe24fddbc
53863 .xword 0x5550b2763f15d185
53864 .xword 0x6547c38dfa65ac20
53865 .xword 0x6cf8ec733c245b47
53866 .xword 0x5b6d681559c0a7a2
53867 .xword 0x2e09439f5d280972
53868 .xword 0x25a72205963cf961
53869 .xword 0xba6bf8642e5789e3
53870 .xword 0xacb2a57a45cfde24
53871 .xword 0x98f5573df3284aeb
53872 .xword 0x8e0e8c3b762f70ff
53873 .xword 0xa7e3c7a0a56bb1c0
53874 .xword 0x080a3c69ea62dabd
53875 .xword 0x8dfe9142c4c0e035
53876 .xword 0x88e8803b1a79d36d
53877 .xword 0xda721f035ad6e70e
53878 .xword 0x361b394d472f1975
53879 .xword 0x1d9b9ad5889f2d03
53880 .xword 0xde0b7528b0116489
53881 .xword 0x8b3c3b411c4efb5d
53882 .xword 0xe62152194dc17f4f
53883 .xword 0x2e30f5b375571ae8
53884 .xword 0x68580342ec7fd1b5
53885 .xword 0xffec2a72af0e10ea
53886 .xword 0xdfa530482aab2de0
53887 .xword 0x9a1fa81703b3bc05
53888 .xword 0x532d5b44096ebe23
53889 .xword 0x1fca50fb1b3cfd11
53890 .xword 0xf02c5600eece643f
53891 .xword 0x236b2b4b3c9b25c6
53892 .xword 0x21722f3dce685b03
53893 .xword 0x4a3d4bc0d8654d80
53894 .xword 0xca95584157fa3b69
53895 .xword 0x433dd324052e5816
53896 .xword 0x12fe43cdcb5ddc53
53897 .xword 0x0a99e79e9259cc51
53898 .xword 0xcc64bcddbfcae213
53899 .xword 0xd2062c29677b0749
53900 .xword 0xb635419d125a4c8d
53901 .xword 0x75a8600f0f2e509b
53902 .xword 0xaa52104b1b48a2fc
53903 .xword 0x970f47db9df10188
53904 .xword 0x66f37718b8ce0e6c
53905 .xword 0x7d25147bcbbeff17
53906 .xword 0x79cd489039502445
53907 .xword 0x5b7be4df171a281a
53908 .xword 0x87a0b2541615baf3
53909 .xword 0xc397ab8ef499a59d
53910 .xword 0x77816dfe31e92341
53911 .xword 0x62af17d1b390e450
53912 .xword 0xcf888d432be1b020
53913 .xword 0x962e80c9041393af
53914 .xword 0x220806a98e35106d
53915 .xword 0xdede10848ac98949
53916 .xword 0x01c494192e405da0
53917 .xword 0x5970e4ed1c5d8f27
53918 .xword 0xbf83773a6067c6ac
53919 .xword 0x4ae635bf5120e2ed
53920 .xword 0x6f4be3050445ba39
53921 .xword 0x742aa76adcc91a36
53922 .xword 0xb17845d9e69d8f17
53923 .xword 0x3745bc94ac4384c4
53924 .xword 0x6dbec90132c1fb72
53925 .xword 0x0031f7da6b8fd6d4
53926 .xword 0x1dd890d8170581fd
53927 .xword 0x4429036bfea8127d
53928 .xword 0x2d1929e10e55181d
53929 .xword 0xd904d2f28ced2f2c
53930 .xword 0xf14bebbe1b50cef3
53931 .xword 0xf35bda0e42fce7be
53932 .xword 0x181cfccdb7abb485
53933 .xword 0x1a5fedbfa05e6529
53934 .xword 0x92abcb18a392b15b
53935 .xword 0x18bdb28261386e1b
53936 .xword 0xc50719847b35f93e
53937 .xword 0xaa8e74431c875264
53938 .xword 0x779de6914ab44858
53939 .xword 0xad89890c9d62d665
53940 .xword 0xc0129443ceced15f
53941 .xword 0xafd03bf3b9e9e423
53942 .xword 0x72d94e0df9649a24
53943 .xword 0x3c3b64b053dc9e1c
53944 .xword 0xf813e78ef893b63a
53945 .xword 0x977f814c574de4aa
53946 .xword 0xd2be1599fe65af3c
53947 .xword 0x846d55472f8bf0ab
53948 .xword 0x7b60ff31a7a2e3b4
53949 .xword 0x3e4c522e6b50897a
53950 .xword 0x3655a47033f221db
53951 .xword 0x2c078b857fe699da
53952 .xword 0xd75ca1d6d637db4b
53953 .xword 0xac3672053a2a780a
53954 .xword 0xae398af6336ed31f
53955 .xword 0xe3923f578f88bfa5
53956 .xword 0xc87cdf9b5f1b3d3a
53957 .xword 0x0ea9dc21254e7df8
53958 .xword 0xc03df00819237acc
53959 .xword 0xff0fa0c14ea46183
53960 .xword 0x8701c789f124a784
53961 .xword 0xf0700d1e43b5157a
53962 .xword 0x5ea75e8379dbd479
53963 .xword 0x07aca90051059433
53964 .xword 0x58710502c7fc7e18
53965 .xword 0x78b3c7bb72363cdd
53966 .xword 0x5f68fd1d329b52ad
53967 .xword 0x130d3c241af94e00
53968 .xword 0x45e86bacd46c1e2c
53969 .xword 0xc3d594ec86070286
53970 .xword 0x9e0e5ea6c4f69653
53971 .xword 0x0389803660fbde22
53972 .xword 0x4ae07a61e089d200
53973 .xword 0xe8d88d9029d07f84
53974 .xword 0xe782dcdc66344d22
53975 .xword 0x761337bcf031866f
53976 .xword 0x10295fb89139ea2d
53977 .xword 0x1fa746dc6cd6b12a
53978 .xword 0x0ebedde0b6dbb2c0
53979 .xword 0x82300fe86b2bc3f9
53980 .xword 0x9f57ed93951c60b3
53981 .xword 0x79d90f1f401ea7a8
53982 .xword 0xdecfeaaf50527de7
53983 .xword 0xeff56160ff545fe7
53984 .xword 0xf07164f0da4a50e5
53985 .xword 0x8badb043228ecd2e
53986 .xword 0x87650f4771008f2f
53987 .xword 0x08a4a702e847fb05
53988 .xword 0xad6fbc1ce8aed84f
53989 .xword 0x08e2e50c37529581
53990 .xword 0x417cac72a8b8619e
53991 .xword 0xf2636c29631ad8c5
53992 .xword 0xf8456cabe709f3be
53993 .xword 0x277083d9e0911d7e
53994 .xword 0x570c336fbee9b63d
53995 .xword 0x0c0023b655a03fc4
53996 .xword 0x1223d2f30abfe6d0
53997 .xword 0xa8c2c2c9de95fa1f
53998 .xword 0x2d39f5e18ea25f85
53999 .xword 0xdf91beacc8f7fe39
54000 .xword 0xb7481736b1e5c873
54001 .xword 0x87fd88fb34096e61
54002 .xword 0x8e8f917e6ffe2950
54003 .xword 0x21f8845196c1886a
54004 .xword 0x8170d3460882d038
54005 .xword 0x73f7360e4363a1fe
54006 .xword 0x69c92d9504699f0d
54007 .xword 0xc773ff76d39c4556
54008 .xword 0xc2b883b173d385b3
54009 .xword 0x236fdbe846d14aac
54010 .xword 0x655e56adf8758663
54011 .xword 0x9362b140a8794838
54012 .xword 0x19bbc45b36055c9e
54013 .xword 0x5968b0d1f79a282f
54014 .xword 0x3e91f53aafe3a37e
54015 .xword 0xe6433dc06365853b
54016 .xword 0x078565abf6e38e80
54017 .xword 0x13df86cb6ea64daa
54018 .xword 0x6388dae87fa42d04
54019 .xword 0x6aaf128ed84086ad
54020 .xword 0x3d03a705b73e9b4c
54021 .xword 0xef4bb94602779d33
54022 .xword 0xcdf7067c56883001
54023 .xword 0x8f0c6f7ee8e3373b
54024 .xword 0x022aef3a243afb31
54025 .xword 0x146008c0f0ea0765
54026 .xword 0x07a45ea4a0caca27
54027 .xword 0x20ef90ecd596da47
54028 .xword 0x912ff4b4d5fdd46d
54029 .xword 0xa82264c9536e5774
54030 .xword 0x74bea2b4c274ef88
54031 .xword 0x366df5dd9e804bff
54032 .xword 0x2a7d474ddfd46aed
54033 .xword 0x83cf187849eee89a
54034 .xword 0x8c708790cf83a41f
54035 .xword 0x43b4b926505565fa
54036 .xword 0xbe89f2f20173a6ec
54037 .xword 0xcbd8b0b4f52b8f93
54038 .xword 0xada5dba63cdc1aab
54039 .xword 0x81460619ca656913
54040 .xword 0xa19136321615d574
54041 .xword 0xc6e93cb795a022dd
54042 .xword 0x64b73b56387520ce
54043 .xword 0x5765a5cb44de3e9a
54044 .xword 0x832303d05513590d
54045 .xword 0x1136132f21f39950
54046 .xword 0xee8f6a1719bd1e5d
54047 .xword 0x9be6cc6b703ba403
54048 .xword 0x026e7cd60914fb15
54049 .xword 0xcb1d47ceb1b808c0
54050 .xword 0xc173217d3dd39166
54051 .xword 0xfbd43cd44b92115a
54052 .xword 0x3d02e2243217c4e7
54053 .xword 0xd0bba7d2d564d7d4
54054 .xword 0x222726c2e556119a
54055 .xword 0x3ecffbfcd16760e3
54056 .xword 0x67be37fd877fe617
54057 .xword 0x3ceede0b0fb9a35e
54058 .xword 0x4e6fe753cd1bff2e
54059 .xword 0xf0dea679369a7b04
54060 .xword 0x6bbaebcf69e5f3a3
54061 .xword 0xdb5bde459f344968
54062 .xword 0x975af18ccac37b66
54063 .xword 0x35b00b4cb3ea6682
54064 .xword 0x9f0ef8761416df75
54065 .xword 0xbaeb60f31921cf6b
54066 .xword 0xc112d1c6c46c6eda
54067 .xword 0x89a69a01e7e8d63a
54068 .xword 0x4fcfa494667a74ca
54069 .xword 0xf23d7d3e60f38069
54070 .xword 0xe3273a19eddff288
54071 .xword 0xea873a64107e248b
54072 .xword 0x4f1ee7ed9a7aefdf
54073 .xword 0xdedec1aa065bb145
54074 .xword 0x861671c1c81779af
54075 .xword 0x9cf23d6df5f9a5d4
54076 .xword 0x71b0af916c9ec9b9
54077 .xword 0x44587b87f7aba9e8
54078 .xword 0x5f6162551fdb8f40
54079 .xword 0x4bc2793307dde593
54080 .xword 0xfb9dd20c8cefe142
54081 .xword 0x299f86c513ac24b8
54082 .xword 0xbbf9e9bb59c93afe
54083 .xword 0x17d57d86d2443f5a
54084 .xword 0xf824c43e1866f4f9
54085 .xword 0xebf180b04bec8376
54086 .xword 0x0c1512a4f3a2248a
54087 .xword 0xf6224475bb83673f
54088 .xword 0x14a124781a1d63cd
54089 .xword 0x3c4e757daf174075
54090 .xword 0x7bdbd8e65bc1a0d6
54091 .xword 0x47f497c835eb9630
54092 .xword 0xa78992466d3a464b
54093 .xword 0x56bb3679701e28fb
54094 .xword 0x8d31d2844db2182d
54095 .xword 0xf78c9e232c7b6d1e
54096 .xword 0xa627dd36471c6713
54097 .xword 0x6ca68ee86d9dc967
54098 .xword 0xaedb959aebaf54e4
54099 .xword 0x271ca9b40dd06e9e
54100 .xword 0xdb30f4ffba1e5f51
54101 .xword 0xa1eeeaa81dc36be8
54102 .xword 0x82d8d028d745e008
54103 .xword 0xac869dedd96bbe8f
54104 .xword 0xd0c7d6286c3be93a
54105 .xword 0x4919b44a3cc56629
54106 .xword 0x2003e9a59a5da398
54107 .xword 0xead2dc60e04f4788
54108 .xword 0x2ca083b4f7bcbbcb
54109 .xword 0x71e59b30332356b4
54110 .xword 0xa1d93157889423cc
54111 .xword 0x5a90c931d03cb8a2
54112 .xword 0x09c1812f6f9f6289
54113 .xword 0x3f72a7f90f3ea8a8
54114 .xword 0x81f5d1e01c70aeef
54115 .xword 0xf55f0533a9553b37
54116 .xword 0x01ef87f85c99a4bb
54117 .xword 0x4135022c9452c515
54118 .xword 0x604818d518c19510
54119 .xword 0x181160f342244297
54120 .xword 0x3e6a7ff6aeae2977
54121 .xword 0xf2326c35cc8f39cd
54122 .xword 0x550839c942210b21
54123 .xword 0xd31105f41e639168
54124 .xword 0xa6b722ac0c7d650c
54125 .xword 0xedb8d7d8eed950db
54126 .xword 0xdb774ad59471c144
54127 .xword 0x2f1b7a6ab47dc3db
54128 .xword 0x4d1f4eb1dca64a9d
54129 .xword 0x8403140ffe15d37a
54130 .xword 0x359307ba1fc10622
54131 .xword 0x9a4e058aa29d1682
54132_t1_des_dest:
54133 .xword 0xDEADBEEFDEADBEEF
54134 .xword 0xDEADBEEFDEADBEEF
54135 .xword 0xDEADBEEFDEADBEEF
54136 .xword 0xDEADBEEFDEADBEEF
54137 .xword 0xDEADBEEFDEADBEEF
54138 .xword 0xDEADBEEFDEADBEEF
54139 .xword 0xDEADBEEFDEADBEEF
54140 .xword 0xDEADBEEFDEADBEEF
54141 .xword 0xDEADBEEFDEADBEEF
54142 .xword 0xDEADBEEFDEADBEEF
54143 .xword 0xDEADBEEFDEADBEEF
54144 .xword 0xDEADBEEFDEADBEEF
54145 .xword 0xDEADBEEFDEADBEEF
54146 .xword 0xDEADBEEFDEADBEEF
54147 .xword 0xDEADBEEFDEADBEEF
54148 .xword 0xDEADBEEFDEADBEEF
54149 .xword 0xDEADBEEFDEADBEEF
54150 .xword 0xDEADBEEFDEADBEEF
54151 .xword 0xDEADBEEFDEADBEEF
54152 .xword 0xDEADBEEFDEADBEEF
54153 .xword 0xDEADBEEFDEADBEEF
54154 .xword 0xDEADBEEFDEADBEEF
54155 .xword 0xDEADBEEFDEADBEEF
54156 .xword 0xDEADBEEFDEADBEEF
54157 .xword 0xDEADBEEFDEADBEEF
54158 .xword 0xDEADBEEFDEADBEEF
54159 .xword 0xDEADBEEFDEADBEEF
54160 .xword 0xDEADBEEFDEADBEEF
54161 .xword 0xDEADBEEFDEADBEEF
54162 .xword 0xDEADBEEFDEADBEEF
54163 .xword 0xDEADBEEFDEADBEEF
54164 .xword 0xDEADBEEFDEADBEEF
54165 .xword 0xDEADBEEFDEADBEEF
54166 .xword 0xDEADBEEFDEADBEEF
54167 .xword 0xDEADBEEFDEADBEEF
54168 .xword 0xDEADBEEFDEADBEEF
54169 .xword 0xDEADBEEFDEADBEEF
54170 .xword 0xDEADBEEFDEADBEEF
54171 .xword 0xDEADBEEFDEADBEEF
54172 .xword 0xDEADBEEFDEADBEEF
54173 .xword 0xDEADBEEFDEADBEEF
54174 .xword 0xDEADBEEFDEADBEEF
54175 .xword 0xDEADBEEFDEADBEEF
54176 .xword 0xDEADBEEFDEADBEEF
54177 .xword 0xDEADBEEFDEADBEEF
54178 .xword 0xDEADBEEFDEADBEEF
54179 .xword 0xDEADBEEFDEADBEEF
54180 .xword 0xDEADBEEFDEADBEEF
54181 .xword 0xDEADBEEFDEADBEEF
54182 .xword 0xDEADBEEFDEADBEEF
54183 .xword 0xDEADBEEFDEADBEEF
54184 .xword 0xDEADBEEFDEADBEEF
54185 .xword 0xDEADBEEFDEADBEEF
54186 .xword 0xDEADBEEFDEADBEEF
54187 .xword 0xDEADBEEFDEADBEEF
54188 .xword 0xDEADBEEFDEADBEEF
54189 .xword 0xDEADBEEFDEADBEEF
54190 .xword 0xDEADBEEFDEADBEEF
54191 .xword 0xDEADBEEFDEADBEEF
54192 .xword 0xDEADBEEFDEADBEEF
54193 .xword 0xDEADBEEFDEADBEEF
54194 .xword 0xDEADBEEFDEADBEEF
54195 .xword 0xDEADBEEFDEADBEEF
54196 .xword 0xDEADBEEFDEADBEEF
54197 .xword 0xDEADBEEFDEADBEEF
54198 .xword 0xDEADBEEFDEADBEEF
54199 .xword 0xDEADBEEFDEADBEEF
54200 .xword 0xDEADBEEFDEADBEEF
54201 .xword 0xDEADBEEFDEADBEEF
54202 .xword 0xDEADBEEFDEADBEEF
54203 .xword 0xDEADBEEFDEADBEEF
54204 .xword 0xDEADBEEFDEADBEEF
54205 .xword 0xDEADBEEFDEADBEEF
54206 .xword 0xDEADBEEFDEADBEEF
54207 .xword 0xDEADBEEFDEADBEEF
54208 .xword 0xDEADBEEFDEADBEEF
54209 .xword 0xDEADBEEFDEADBEEF
54210 .xword 0xDEADBEEFDEADBEEF
54211 .xword 0xDEADBEEFDEADBEEF
54212 .xword 0xDEADBEEFDEADBEEF
54213 .xword 0xDEADBEEFDEADBEEF
54214 .xword 0xDEADBEEFDEADBEEF
54215 .xword 0xDEADBEEFDEADBEEF
54216 .xword 0xDEADBEEFDEADBEEF
54217 .xword 0xDEADBEEFDEADBEEF
54218 .xword 0xDEADBEEFDEADBEEF
54219 .xword 0xDEADBEEFDEADBEEF
54220 .xword 0xDEADBEEFDEADBEEF
54221 .xword 0xDEADBEEFDEADBEEF
54222 .xword 0xDEADBEEFDEADBEEF
54223 .xword 0xDEADBEEFDEADBEEF
54224 .xword 0xDEADBEEFDEADBEEF
54225 .xword 0xDEADBEEFDEADBEEF
54226 .xword 0xDEADBEEFDEADBEEF
54227 .xword 0xDEADBEEFDEADBEEF
54228 .xword 0xDEADBEEFDEADBEEF
54229 .xword 0xDEADBEEFDEADBEEF
54230 .xword 0xDEADBEEFDEADBEEF
54231 .xword 0xDEADBEEFDEADBEEF
54232 .xword 0xDEADBEEFDEADBEEF
54233 .xword 0xDEADBEEFDEADBEEF
54234 .xword 0xDEADBEEFDEADBEEF
54235 .xword 0xDEADBEEFDEADBEEF
54236 .xword 0xDEADBEEFDEADBEEF
54237 .xword 0xDEADBEEFDEADBEEF
54238 .xword 0xDEADBEEFDEADBEEF
54239 .xword 0xDEADBEEFDEADBEEF
54240 .xword 0xDEADBEEFDEADBEEF
54241 .xword 0xDEADBEEFDEADBEEF
54242 .xword 0xDEADBEEFDEADBEEF
54243 .xword 0xDEADBEEFDEADBEEF
54244 .xword 0xDEADBEEFDEADBEEF
54245 .xword 0xDEADBEEFDEADBEEF
54246 .xword 0xDEADBEEFDEADBEEF
54247 .xword 0xDEADBEEFDEADBEEF
54248 .xword 0xDEADBEEFDEADBEEF
54249 .xword 0xDEADBEEFDEADBEEF
54250 .xword 0xDEADBEEFDEADBEEF
54251 .xword 0xDEADBEEFDEADBEEF
54252 .xword 0xDEADBEEFDEADBEEF
54253 .xword 0xDEADBEEFDEADBEEF
54254 .xword 0xDEADBEEFDEADBEEF
54255 .xword 0xDEADBEEFDEADBEEF
54256 .xword 0xDEADBEEFDEADBEEF
54257 .xword 0xDEADBEEFDEADBEEF
54258 .xword 0xDEADBEEFDEADBEEF
54259 .xword 0xDEADBEEFDEADBEEF
54260 .xword 0xDEADBEEFDEADBEEF
54261 .xword 0xDEADBEEFDEADBEEF
54262 .xword 0xDEADBEEFDEADBEEF
54263 .xword 0xDEADBEEFDEADBEEF
54264 .xword 0xDEADBEEFDEADBEEF
54265 .xword 0xDEADBEEFDEADBEEF
54266 .xword 0xDEADBEEFDEADBEEF
54267 .xword 0xDEADBEEFDEADBEEF
54268 .xword 0xDEADBEEFDEADBEEF
54269 .xword 0xDEADBEEFDEADBEEF
54270 .xword 0xDEADBEEFDEADBEEF
54271 .xword 0xDEADBEEFDEADBEEF
54272 .xword 0xDEADBEEFDEADBEEF
54273 .xword 0xDEADBEEFDEADBEEF
54274 .xword 0xDEADBEEFDEADBEEF
54275 .xword 0xDEADBEEFDEADBEEF
54276 .xword 0xDEADBEEFDEADBEEF
54277 .xword 0xDEADBEEFDEADBEEF
54278 .xword 0xDEADBEEFDEADBEEF
54279 .xword 0xDEADBEEFDEADBEEF
54280 .xword 0xDEADBEEFDEADBEEF
54281 .xword 0xDEADBEEFDEADBEEF
54282 .xword 0xDEADBEEFDEADBEEF
54283 .xword 0xDEADBEEFDEADBEEF
54284 .xword 0xDEADBEEFDEADBEEF
54285 .xword 0xDEADBEEFDEADBEEF
54286 .xword 0xDEADBEEFDEADBEEF
54287 .xword 0xDEADBEEFDEADBEEF
54288 .xword 0xDEADBEEFDEADBEEF
54289 .xword 0xDEADBEEFDEADBEEF
54290 .xword 0xDEADBEEFDEADBEEF
54291 .xword 0xDEADBEEFDEADBEEF
54292 .xword 0xDEADBEEFDEADBEEF
54293 .xword 0xDEADBEEFDEADBEEF
54294 .xword 0xDEADBEEFDEADBEEF
54295 .xword 0xDEADBEEFDEADBEEF
54296 .xword 0xDEADBEEFDEADBEEF
54297 .xword 0xDEADBEEFDEADBEEF
54298 .xword 0xDEADBEEFDEADBEEF
54299 .xword 0xDEADBEEFDEADBEEF
54300 .xword 0xDEADBEEFDEADBEEF
54301 .xword 0xDEADBEEFDEADBEEF
54302 .xword 0xDEADBEEFDEADBEEF
54303 .xword 0xDEADBEEFDEADBEEF
54304 .xword 0xDEADBEEFDEADBEEF
54305 .xword 0xDEADBEEFDEADBEEF
54306 .xword 0xDEADBEEFDEADBEEF
54307 .xword 0xDEADBEEFDEADBEEF
54308 .xword 0xDEADBEEFDEADBEEF
54309 .xword 0xDEADBEEFDEADBEEF
54310 .xword 0xDEADBEEFDEADBEEF
54311 .xword 0xDEADBEEFDEADBEEF
54312 .xword 0xDEADBEEFDEADBEEF
54313 .xword 0xDEADBEEFDEADBEEF
54314 .xword 0xDEADBEEFDEADBEEF
54315 .xword 0xDEADBEEFDEADBEEF
54316 .xword 0xDEADBEEFDEADBEEF
54317 .xword 0xDEADBEEFDEADBEEF
54318 .xword 0xDEADBEEFDEADBEEF
54319 .xword 0xDEADBEEFDEADBEEF
54320 .xword 0xDEADBEEFDEADBEEF
54321 .xword 0xDEADBEEFDEADBEEF
54322 .xword 0xDEADBEEFDEADBEEF
54323 .xword 0xDEADBEEFDEADBEEF
54324 .xword 0xDEADBEEFDEADBEEF
54325 .xword 0xDEADBEEFDEADBEEF
54326 .xword 0xDEADBEEFDEADBEEF
54327 .xword 0xDEADBEEFDEADBEEF
54328 .xword 0xDEADBEEFDEADBEEF
54329 .xword 0xDEADBEEFDEADBEEF
54330 .xword 0xDEADBEEFDEADBEEF
54331 .xword 0xDEADBEEFDEADBEEF
54332 .xword 0xDEADBEEFDEADBEEF
54333 .xword 0xDEADBEEFDEADBEEF
54334 .xword 0xDEADBEEFDEADBEEF
54335 .xword 0xDEADBEEFDEADBEEF
54336 .xword 0xDEADBEEFDEADBEEF
54337 .xword 0xDEADBEEFDEADBEEF
54338 .xword 0xDEADBEEFDEADBEEF
54339 .xword 0xDEADBEEFDEADBEEF
54340 .xword 0xDEADBEEFDEADBEEF
54341 .xword 0xDEADBEEFDEADBEEF
54342 .xword 0xDEADBEEFDEADBEEF
54343 .xword 0xDEADBEEFDEADBEEF
54344 .xword 0xDEADBEEFDEADBEEF
54345 .xword 0xDEADBEEFDEADBEEF
54346 .xword 0xDEADBEEFDEADBEEF
54347 .xword 0xDEADBEEFDEADBEEF
54348 .xword 0xDEADBEEFDEADBEEF
54349 .xword 0xDEADBEEFDEADBEEF
54350 .xword 0xDEADBEEFDEADBEEF
54351 .xword 0xDEADBEEFDEADBEEF
54352 .xword 0xDEADBEEFDEADBEEF
54353 .xword 0xDEADBEEFDEADBEEF
54354 .xword 0xDEADBEEFDEADBEEF
54355 .xword 0xDEADBEEFDEADBEEF
54356 .xword 0xDEADBEEFDEADBEEF
54357 .xword 0xDEADBEEFDEADBEEF
54358 .xword 0xDEADBEEFDEADBEEF
54359 .xword 0xDEADBEEFDEADBEEF
54360 .xword 0xDEADBEEFDEADBEEF
54361 .xword 0xDEADBEEFDEADBEEF
54362 .xword 0xDEADBEEFDEADBEEF
54363 .xword 0xDEADBEEFDEADBEEF
54364 .xword 0xDEADBEEFDEADBEEF
54365 .xword 0xDEADBEEFDEADBEEF
54366 .xword 0xDEADBEEFDEADBEEF
54367 .xword 0xDEADBEEFDEADBEEF
54368 .xword 0xDEADBEEFDEADBEEF
54369 .xword 0xDEADBEEFDEADBEEF
54370 .xword 0xDEADBEEFDEADBEEF
54371 .xword 0xDEADBEEFDEADBEEF
54372 .xword 0xDEADBEEFDEADBEEF
54373 .xword 0xDEADBEEFDEADBEEF
54374 .xword 0xDEADBEEFDEADBEEF
54375 .xword 0xDEADBEEFDEADBEEF
54376 .xword 0xDEADBEEFDEADBEEF
54377 .xword 0xDEADBEEFDEADBEEF
54378 .xword 0xDEADBEEFDEADBEEF
54379 .xword 0xDEADBEEFDEADBEEF
54380 .xword 0xDEADBEEFDEADBEEF
54381 .xword 0xDEADBEEFDEADBEEF
54382 .xword 0xDEADBEEFDEADBEEF
54383 .xword 0xDEADBEEFDEADBEEF
54384 .xword 0xDEADBEEFDEADBEEF
54385 .xword 0xDEADBEEFDEADBEEF
54386 .xword 0xDEADBEEFDEADBEEF
54387 .xword 0xDEADBEEFDEADBEEF
54388 .xword 0xDEADBEEFDEADBEEF
54389 .xword 0xDEADBEEFDEADBEEF
54390 .xword 0xDEADBEEFDEADBEEF
54391 .xword 0xDEADBEEFDEADBEEF
54392 .xword 0xDEADBEEFDEADBEEF
54393 .xword 0xDEADBEEFDEADBEEF
54394 .xword 0xDEADBEEFDEADBEEF
54395 .xword 0xDEADBEEFDEADBEEF
54396 .xword 0xDEADBEEFDEADBEEF
54397 .xword 0xDEADBEEFDEADBEEF
54398 .xword 0xDEADBEEFDEADBEEF
54399 .xword 0xDEADBEEFDEADBEEF
54400 .xword 0xDEADBEEFDEADBEEF
54401 .xword 0xDEADBEEFDEADBEEF
54402 .xword 0xDEADBEEFDEADBEEF
54403 .xword 0xDEADBEEFDEADBEEF
54404 .xword 0xDEADBEEFDEADBEEF
54405 .xword 0xDEADBEEFDEADBEEF
54406 .xword 0xDEADBEEFDEADBEEF
54407 .xword 0xDEADBEEFDEADBEEF
54408_t1_des_auth_key:
54409 .xword 0xec5777bce1a78a1a
54410 .xword 0x2891614f3eb14be0
54411 .xword 0x42bc13d92d9680c2
54412 .xword 0x4636f14df5c0a186
54413 .xword 0x736acd8d06249886
54414 .xword 0x338a14c5ed758408
54415 .xword 0x3e9fdeebb3bcad42
54416 .xword 0xfbbc6f570819adc4
54417 .xword 0xa130474b0d3153ef
54418 .xword 0xeed9998abb3758ec
54419 .xword 0x9df5aceae4c718a1
54420 .xword 0x3fe63b1a55053781
54421 .xword 0x7ebcddc27a4b24ed
54422 .xword 0x2ba69c17d5f04ae3
54423 .xword 0x38252285da294323
54424 .xword 0x756a281088d0f4c7
54425 .xword 0x6d9c9a50d6e34b34
54426 .xword 0xe8168ef3db52471e
54427 .xword 0xe4901df04aa78872
54428 .xword 0x5155d14a50ab75c4
54429 .xword 0xa8afec736fc7bf22
54430 .xword 0x38211ef66442f2c3
54431 .xword 0xdb7faa325c7858f0
54432_t1_des_auth_iv:
54433 .xword 0x24ba60aec66922a1
54434 .xword 0xbb1e49d91d9e77c0
54435 .xword 0x435510d177bb36d5
54436 .xword 0x8574a7cba2d1ef56
54437 .xword 0x3a476e8be5f7e044
54438 .xword 0x77be29ec093b0105
54439 .xword 0x20c657b57891a919
54440 .xword 0x2c01d8c9fd7177d4
54441 .xword 0x313c3a7342b84e4e
54442 .xword 0xe727f4fd1fde6f98
54443 .xword 0x5ddf9b1bbd677f7c
54444 .xword 0xd8f31f585c019cb8
54445 .xword 0xcee1996714c6ae0d
54446 .xword 0x103c90e5919d2920
54447 .xword 0xe2a1cb5f06b6ba21
54448 .xword 0xc9232887fd0119cc
54449 .xword 0x0d95b9dc4afe46bd
54450 .xword 0x9c992fc155c77549
54451 .xword 0x170ad3eac7b4dcd1
54452 .xword 0x6220f9427a5a6b83
54453 .xword 0xec540a693eaa20df
54454 .xword 0x90e0f8fc2a4015cd
54455 .xword 0x498e5bf12e020640
54456_t1_des_fas_result:
54457 .xword 0xDEADBEEFDEADBEEF
54458 .xword 0xDEADBEEFDEADBEEF
54459 .xword 0xDEADBEEFDEADBEEF
54460 .xword 0xDEADBEEFDEADBEEF
54461 .xword 0xDEADBEEFDEADBEEF
54462 .xword 0xDEADBEEFDEADBEEF
54463 .xword 0xDEADBEEFDEADBEEF
54464 .xword 0xDEADBEEFDEADBEEF
54465 .xword 0xDEADBEEFDEADBEEF
54466 .xword 0xDEADBEEFDEADBEEF
54467 .xword 0xDEADBEEFDEADBEEF
54468 .xword 0xDEADBEEFDEADBEEF
54469 .xword 0xDEADBEEFDEADBEEF
54470 .xword 0xDEADBEEFDEADBEEF
54471 .xword 0xDEADBEEFDEADBEEF
54472 .xword 0xDEADBEEFDEADBEEF
54473 .xword 0xDEADBEEFDEADBEEF
54474 .xword 0xDEADBEEFDEADBEEF
54475 .xword 0xDEADBEEFDEADBEEF
54476 .xword 0xDEADBEEFDEADBEEF
54477 .xword 0xDEADBEEFDEADBEEF
54478 .xword 0xDEADBEEFDEADBEEF
54479 .xword 0xDEADBEEFDEADBEEF
54480_t1_copy_key_array:
54481 .xword 0xf94834f5cb11f9b0
54482 .xword 0x923c9f1d3d5118cf
54483 .xword 0xfb9ae40a7c3fbe50
54484 .xword 0xde01186039ff25d2
54485 .xword 0x293eacd7daed4b55
54486 .xword 0xdd2dad2156cd50cf
54487 .xword 0x22425145101edcbc
54488 .xword 0xe428c6f0a21039c7
54489 .xword 0xae1eb855e7c87810
54490 .xword 0xd04f38e7eba2cd6b
54491 .xword 0xb0abdff74b46c47b
54492 .xword 0x95f09c0cbf0010f0
54493 .xword 0x85afe0a171af6df8
54494 .xword 0xa13cd0fdea3e310a
54495 .xword 0x3379b1b81bb3af97
54496 .xword 0xa784ea660576017d
54497 .xword 0x33a77af73857416b
54498 .xword 0xeb25181491ecb1f8
54499 .xword 0x8f40493ed82a87ff
54500 .xword 0xf0069accff20d802
54501 .xword 0x715b4e27e83cf4fd
54502 .xword 0x8791229ca858b259
54503 .xword 0xdf597cb2b64fa827
54504 .xword 0x79d5b335255a967d
54505 .xword 0xaa4643ca406e3e6b
54506 .xword 0x4096c2b73f32a764
54507 .xword 0x31836b6236c45b1e
54508 .xword 0xb4194ba410785353
54509 .xword 0x0013168015aa6391
54510 .xword 0x025dd9b0bc18a86b
54511 .xword 0xcbb2a7534af5e8af
54512 .xword 0xae6a73eec7d32709
54513 .xword 0x2e1f45fe264a77a4
54514 .xword 0x6269f348b4bbf249
54515 .xword 0xd7d9c1f54ee7c18f
54516 .xword 0xcebac8a141935fcd
54517 .xword 0x1860b837644baac2
54518 .xword 0xc8e3e96253e0d2b8
54519 .xword 0x75b425e4d31ade7b
54520 .xword 0x784981bf2ad73568
54521 .xword 0x4f92f3cb61cc59d9
54522 .xword 0x37ff42e59d963395
54523 .xword 0xab93089dd90754db
54524 .xword 0x539531de1c4a599d
54525 .xword 0xb29c698444d3cf29
54526 .xword 0x6fafae208288db4e
54527 .xword 0x6ca9257399feb810
54528 .xword 0xc55e9e152802bd54
54529 .xword 0x655483b81b4fb6e1
54530 .xword 0x4919f3ff2092fdfb
54531 .xword 0xed90c436a8fc22b9
54532_t1_copy_iv_array:
54533 .xword 0xfa35a740a8d3adf5
54534 .xword 0x4b54072ac9f90ab4
54535 .xword 0x3d5d2f6450e3c659
54536 .xword 0xe8f1cb0dcc0e8935
54537 .xword 0x8386b6fc0ae61d3e
54538 .xword 0xfe11ac2c67306c88
54539 .xword 0x4b5921b786eb71fb
54540 .xword 0xf42e5f00a3e5c72e
54541 .xword 0x5f481db1c82b2d03
54542 .xword 0x86f3b6b7058b454a
54543 .xword 0xdfb76ae2211cfa7b
54544 .xword 0x552a17a930f86723
54545 .xword 0x0b0237d5cf38efd9
54546 .xword 0x04051c86b094654f
54547 .xword 0x720a83c0b25a9749
54548 .xword 0xddb8c571968d5bf9
54549 .xword 0xafaf5d4beec8d682
54550 .xword 0xc552781691c6c4e5
54551 .xword 0x663155821f25bc96
54552 .xword 0xd1d9a076ac8d49f0
54553 .xword 0xbd498cf4b46efd09
54554 .xword 0xe006cebd6bf624d1
54555 .xword 0xb5665cba5d329ce1
54556 .xword 0x184b8f2babaf2f73
54557 .xword 0xf1c5656e36559d1c
54558 .xword 0x7d1e81e37374ca06
54559 .xword 0x49fff8a2cfa4131d
54560 .xword 0xb214703b270e98a9
54561 .xword 0x82cde580fe46754a
54562 .xword 0x95e2931f2fd163ea
54563 .xword 0x7c6ee371bfc72f51
54564 .xword 0xed78ca1d67944ec9
54565 .xword 0xaa249e8521309fe9
54566 .xword 0x90806065a9846fb1
54567 .xword 0xd1fd45219f8b2a3e
54568 .xword 0xf27979d01c51a432
54569 .xword 0xdc5f21361c754e4a
54570 .xword 0xf50767af8a722d40
54571 .xword 0x810ced5e1742de71
54572 .xword 0xa298d4f96f8eefc1
54573 .xword 0x7a40f5eb8f2d1bcb
54574 .xword 0x5c4e5c4f6e7ebc62
54575 .xword 0x868f8d1cfc3c1825
54576 .xword 0xf6082806f1b3d5e0
54577 .xword 0x84d24aaff25c2ad1
54578_t1_copy_alignment_array:
54579 .xword 0
54580 .xword 10
54581 .xword 6
54582 .xword 6
54583 .xword 7
54584 .xword 11
54585 .xword 5
54586 .xword 10
54587 .xword 13
54588 .xword 5
54589 .xword 10
54590 .xword 0
54591 .xword 13
54592 .xword 14
54593 .xword 3
54594 .xword 10
54595 .xword 5
54596 .xword 4
54597 .xword 10
54598 .xword 14
54599 .xword 0
54600 .xword 4
54601 .xword 12
54602 .xword 6
54603 .xword 15
54604 .xword 9
54605 .xword 3
54606 .xword 8
54607 .xword 14
54608 .xword 5
54609 .xword 0
54610 .xword 7
54611 .xword 6
54612 .xword 4
54613 .xword 15
54614 .xword 14
54615 .xword 15
54616 .xword 2
54617 .xword 14
54618 .xword 9
54619 .xword 5
54620 .xword 9
54621 .xword 5
54622 .xword 10
54623 .xword 12
54624 .xword 0
54625 .xword 15
54626 .xword 8
54627 .xword 12
54628 .xword 13
54629 .xword 9
54630 .xword 0
54631 .xword 7
54632 .xword 4
54633 .xword 15
54634 .xword 13
54635 .xword 5
54636 .xword 1
54637 .xword 0
54638 .xword 7
54639 .xword 14
54640 .xword 11
54641 .xword 6
54642 .xword 0
54643 .xword 11
54644 .xword 7
54645 .xword 4
54646 .xword 12
54647 .xword 10
54648 .xword 6
54649 .xword 4
54650 .xword 3
54651 .xword 9
54652 .xword 12
54653 .xword 9
54654 .xword 4
54655 .xword 5
54656 .xword 12
54657 .xword 2
54658 .xword 10
54659 .xword 11
54660 .xword 6
54661 .xword 3
54662 .xword 2
54663 .xword 4
54664 .xword 14
54665 .xword 6
54666 .xword 8
54667 .xword 5
54668 .xword 14
54669 .xword 6
54670 .xword 10
54671 .xword 13
54672 .xword 15
54673 .xword 6
54674 .xword 7
54675 .xword 15
54676 .xword 0
54677 .xword 12
54678 .xword 5
54679 .xword 10
54680 .xword 12
54681 .xword 1
54682 .xword 15
54683 .xword 6
54684_t1_copy_src:
54685 .xword 0x3630bcde735d4336
54686 .xword 0xce192d27ba80aa29
54687 .xword 0xe7d1b89fc31d98b5
54688 .xword 0xc945299c0f7ef35c
54689 .xword 0xb95fc1d29e8acd7e
54690 .xword 0xbad4c9da4d6da24d
54691 .xword 0x6ac51380b6f1f621
54692 .xword 0xca0f138c04d05221
54693 .xword 0xb6a994ca91fb008d
54694 .xword 0xcafe23618ec112e9
54695 .xword 0x71d03df444cf91dd
54696 .xword 0xa80e6427c9065b9e
54697 .xword 0x09a4c32af8147eff
54698 .xword 0xbab0c601ffb2ecbc
54699 .xword 0xc8588c31dbf6d658
54700 .xword 0x7dc4e12cefb09f77
54701 .xword 0x72e79ceb74b2b6a8
54702 .xword 0xa92940bfaa4fd49c
54703 .xword 0x287dad3d4ef03a14
54704 .xword 0xdadad2098861c2da
54705 .xword 0x49e93e0415404d5d
54706 .xword 0x1cd9c130caeb42c4
54707 .xword 0x4e01c40c568571b3
54708 .xword 0xe87c3cbce03f26ce
54709 .xword 0x17be568b21b9ae89
54710 .xword 0x18425d6ba25321db
54711 .xword 0x096511d28ca146e8
54712 .xword 0xe3603c2ed61b6b49
54713 .xword 0x21faa12d10394d9d
54714 .xword 0x0818b3bf55d4b5f0
54715 .xword 0x04d6babba941ec16
54716 .xword 0x55e986ecbb4ff2b2
54717 .xword 0xda3cccfe8ebb93c6
54718 .xword 0x54edc3bdcb6e817c
54719 .xword 0x9c625a0bb241597f
54720 .xword 0x0fefda9eacd5d3be
54721 .xword 0x773f5a6cb5fffad3
54722 .xword 0x03a1ae838004b86a
54723 .xword 0x0b6a8291d3d921aa
54724 .xword 0xb35b65cbfc5f2210
54725 .xword 0xc8d0626b28a0e1b5
54726 .xword 0xea829189d5d44f4f
54727 .xword 0xe49d94c6fd5d318f
54728 .xword 0x8e3090d6b1b883df
54729 .xword 0xe886a25381012f22
54730 .xword 0xf3ae4cffd184da21
54731 .xword 0xc0b22079f660a94f
54732 .xword 0xf0002bba5f306006
54733 .xword 0x6283cb0510624abf
54734 .xword 0xb2289250cc546694
54735 .xword 0x977ca47761f3afe8
54736 .xword 0x8393fb99f92c17df
54737 .xword 0x541c5960bd902b50
54738 .xword 0x272aa4902b78e5ff
54739 .xword 0x0b7dde3bd2c6b645
54740 .xword 0xc83176670a44f898
54741 .xword 0x97c51613f3a2289e
54742 .xword 0x26fb3991c4a685ff
54743 .xword 0xfa57b9eec0fef8df
54744 .xword 0x1a25c9de1ed240f6
54745 .xword 0x336228ca43999b97
54746 .xword 0x16351fcb8067accf
54747 .xword 0x14cf0e297a165d79
54748 .xword 0xb9bf720533d150a0
54749 .xword 0x02632f6655187aa0
54750 .xword 0xe9a9cf1ab5c0a59f
54751 .xword 0x3f2b523602b049ea
54752 .xword 0xb02cf138a6871047
54753 .xword 0x3930c2df69471c5b
54754 .xword 0xb1832fd405875126
54755 .xword 0x61f8bc8061aeba1a
54756 .xword 0x95475d512474eb38
54757 .xword 0xf91dc296b24056b7
54758 .xword 0x6b7d3cb5b40819cc
54759 .xword 0x15eac1668935d52d
54760 .xword 0x8e2bce13424739e3
54761 .xword 0x631d3208b3203440
54762 .xword 0x18a0ef52632a137a
54763 .xword 0xc64e83a5c99f9c33
54764 .xword 0xef0bbb676d9d69a4
54765 .xword 0xafde1dbdf4210505
54766 .xword 0x29e7a951803c6e6a
54767 .xword 0x8d15d22fe8332c03
54768 .xword 0x7396fa242800b79c
54769 .xword 0xd03cbdd41fb7f990
54770 .xword 0x454d787bdf9d6cd1
54771 .xword 0xdbc68d1e7ad74b72
54772 .xword 0x38652413ff500c0e
54773 .xword 0x3205caaa95f28d30
54774 .xword 0x2891257d6a348304
54775 .xword 0xa2dd59d930414086
54776 .xword 0xc6973d43d6f02954
54777 .xword 0x1f1e711aa1c28c8f
54778 .xword 0x1fbb03de58900000
54779 .xword 0x5dd9e196e58100e1
54780 .xword 0x94f1c0448f3edbf9
54781 .xword 0xf107a260e8fd051d
54782 .xword 0x70b337ab0b6171a4
54783 .xword 0x244dc5af372d9392
54784 .xword 0xd885873a4e243bb6
54785 .xword 0xff796b25c91d966f
54786 .xword 0x94ea274d2d4e72b9
54787 .xword 0x8da23afcbdf8f267
54788 .xword 0xa79f80f6c5c03c62
54789 .xword 0x750c0e36c0d1101e
54790 .xword 0x5cf59805ebed675a
54791 .xword 0x60e331c1848ea579
54792 .xword 0x564b307a03e5bc99
54793 .xword 0x5868e5055b1523bc
54794 .xword 0x9594a459a2579d47
54795 .xword 0x53f7f761288a135f
54796 .xword 0xf6ccf4eacf5b8578
54797 .xword 0x0d13ee3507831d81
54798 .xword 0x54b520ae3edd5e0f
54799 .xword 0x0c8eb0164a3be740
54800 .xword 0xe5da8926a143f527
54801 .xword 0x186c2728597ced17
54802 .xword 0x9152163b7318f046
54803 .xword 0x16bde846bed7f4ab
54804 .xword 0xe940d565312b4d24
54805 .xword 0x1bdf27123399b347
54806 .xword 0x89d5d332d1362516
54807 .xword 0x912fb46a5b88d9f6
54808 .xword 0x36a3d9dcfb245c46
54809 .xword 0xa0c6683cee20e1a4
54810 .xword 0x22885cf3d4ad81f3
54811 .xword 0xd067aca23f814645
54812 .xword 0xd5beec71520eaa5a
54813 .xword 0x7be65c24134b5e5f
54814 .xword 0xb561bbd583078b36
54815 .xword 0x321f5f03ebcfcc55
54816 .xword 0xde3b4855c6cb1529
54817 .xword 0x94327f79239f9a82
54818 .xword 0x012fdf3fe0315a35
54819 .xword 0xcb0b62426dc652ea
54820 .xword 0xbd7fca36ac9a5409
54821 .xword 0x071e657252eb3783
54822 .xword 0xd33a120ca5cfa7f4
54823 .xword 0xb6a51a4b84a1bf4e
54824 .xword 0x393dd79cadfdb663
54825 .xword 0xa1e30c553e043e65
54826 .xword 0x68473b828f25f4b6
54827 .xword 0xb9526f3d01a43e74
54828 .xword 0x04004602dda55d37
54829 .xword 0x311d30d52905a7c9
54830 .xword 0x52e111b5b14438f8
54831 .xword 0x0f4309ceac2ea7df
54832 .xword 0x334e4b5f2d2fa844
54833 .xword 0x911ce7a028ceb564
54834 .xword 0x9c7805c6c97f5aab
54835 .xword 0x2bb02453e7e74562
54836 .xword 0x59b30c9b521b89dc
54837 .xword 0x909521e027d04e95
54838 .xword 0xbc538e407f0329a2
54839 .xword 0x45e7471e60b3c736
54840 .xword 0x50fa76c8a26fd4d1
54841 .xword 0xd4fc3c9f2e5bfdd8
54842 .xword 0x9d8bd8884e325a15
54843 .xword 0xf46883459a14337e
54844 .xword 0xabd3f5fee268a9a8
54845 .xword 0x3f26f32678005025
54846 .xword 0x9eecff2c685bd2ec
54847 .xword 0x09ada4423ddec77a
54848 .xword 0xb18652e1c08d0881
54849 .xword 0x8f188fa8a34be904
54850 .xword 0xbb2d10e544765de0
54851 .xword 0x4e727a9a8e3e2e17
54852 .xword 0x7ecf71ca4dd5be6a
54853 .xword 0xd0c9d9848c46b05f
54854 .xword 0xf7b5f03e731bfa6c
54855 .xword 0x77d77f61b5cd66e5
54856 .xword 0xdb645b29fe541bbd
54857 .xword 0xf605cd49199537ae
54858 .xword 0x48f596f18d47c123
54859 .xword 0x6df399c1dd108957
54860 .xword 0x1a83f4e9d4293422
54861 .xword 0x5fa7aaf6b281bac2
54862 .xword 0x5b88db01316bca89
54863 .xword 0x71fd11741cf96a8b
54864 .xword 0x6e55b59dfdc0a884
54865 .xword 0xcfbdfb6c75082da3
54866 .xword 0xbe1126ba23d73862
54867 .xword 0xacedd163f27e00d6
54868 .xword 0xf974b67aa83188f9
54869 .xword 0x9537f713ec9a3e4e
54870 .xword 0x4bb4784470786e94
54871 .xword 0xa1b534c59a511f0f
54872 .xword 0x53e3b1e32db47aaf
54873 .xword 0xd73b4130f71b625c
54874 .xword 0x6cd7caa22ecc9869
54875 .xword 0x562be5faa6860958
54876 .xword 0x79cd9f30bcce90ff
54877 .xword 0x960dbc49490c286b
54878 .xword 0xca428b7505406b0a
54879 .xword 0x355295b62e1af288
54880 .xword 0x902fc746158657c1
54881 .xword 0xebb1ae52e7d96082
54882 .xword 0xcf4aa5df3a0bbd7a
54883 .xword 0x8ab0c2c085b7d83e
54884 .xword 0xafe51d17e2a47490
54885 .xword 0x6af98df8648b9527
54886 .xword 0x4e8319e529dfac82
54887 .xword 0x653630f38d321377
54888 .xword 0xa1dbbe0c2ddc3709
54889 .xword 0x90aa236d38a718b1
54890 .xword 0x7dd4b51b6ec2a2c5
54891 .xword 0xee08a3d752896dc8
54892 .xword 0xfbd3b6f0c198bebd
54893 .xword 0x928fb1111f0b1d83
54894 .xword 0xac710b21a699cdc3
54895 .xword 0x34b15947f96c9fe3
54896 .xword 0x0862d0ff77c5d160
54897 .xword 0xdd21541ff62f1f84
54898 .xword 0xc683f765f7fad818
54899 .xword 0xabc61c1925d66171
54900 .xword 0x0f90d232069f0eab
54901 .xword 0x8e8249b474aae19f
54902 .xword 0x3d5134c757116719
54903 .xword 0x2f12cc2e950ccece
54904 .xword 0x244ed72b8d001f1e
54905 .xword 0xa7fc4dc3c3c416e6
54906 .xword 0xb629048bf28a9645
54907 .xword 0xa4d309bb048f4207
54908 .xword 0x45b3e4ee7400aac4
54909 .xword 0x2f04805472dc6028
54910 .xword 0x2b2469b3dc9e28a6
54911 .xword 0x9032f10a1244fbbe
54912 .xword 0x426b4d21944298d1
54913 .xword 0x17ec9295049978ba
54914 .xword 0x25344fd95f133ef7
54915 .xword 0x50ad7db8c0eb327f
54916 .xword 0x3445bb32a5723372
54917 .xword 0x6ace264e6b43b2b3
54918 .xword 0x595f7fe0d9a1408d
54919 .xword 0xc6f333ee9fcad44e
54920 .xword 0x3f01f51c84583e4a
54921 .xword 0xebf54198539b3c79
54922 .xword 0x352f41fafe259ac9
54923 .xword 0xdf98d801db191b8f
54924 .xword 0xed1f00dc7aabc37b
54925 .xword 0xe7b6380fbf528250
54926 .xword 0x709f9580b678ab6d
54927 .xword 0x98f81dd849f6bda7
54928 .xword 0x00e551a3a3fd180b
54929 .xword 0x603809965c08f328
54930 .xword 0x3e6b9dc5f7f593de
54931 .xword 0x99b3671daed4552c
54932 .xword 0x8a373caffe2c0588
54933 .xword 0x594dc25f9e02398b
54934 .xword 0xe8f3e9d36094162c
54935 .xword 0xd41fed317e9150a9
54936 .xword 0x8964eda0877b1c37
54937 .xword 0xa3d4b4ef921facd3
54938 .xword 0x730c687949f41d5f
54939 .xword 0x1513e213d0ec30ea
54940 .xword 0x0fa70a3155f4f3d1
54941 .xword 0xeec225c23f6cd132
54942 .xword 0x4c529c4a1f759c43
54943 .xword 0x2e128907071843f1
54944 .xword 0x2890d91b5e74f959
54945 .xword 0xb65727e775f7ba5a
54946 .xword 0xb679958d14a87cd6
54947 .xword 0x7aaf5b4a6fa8c874
54948 .xword 0x5923ef878cda01eb
54949 .xword 0xc00f7822d8297565
54950 .xword 0x23d5218d8e1fd150
54951 .xword 0xe4ec90fb0b9ca573
54952 .xword 0xa1e831de95818956
54953 .xword 0x83fea32f32c50b0c
54954 .xword 0x753b51b6b9394d28
54955 .xword 0x012370f14efc826a
54956 .xword 0xc171d9d6c206337f
54957 .xword 0xec362c0a8b710c8b
54958 .xword 0xeea8d5e5e761406e
54959 .xword 0x472348f12dd261de
54960_t1_copy_dest:
54961 .xword 0xDEADBEEFDEADBEEF
54962 .xword 0xDEADBEEFDEADBEEF
54963 .xword 0xDEADBEEFDEADBEEF
54964 .xword 0xDEADBEEFDEADBEEF
54965 .xword 0xDEADBEEFDEADBEEF
54966 .xword 0xDEADBEEFDEADBEEF
54967 .xword 0xDEADBEEFDEADBEEF
54968 .xword 0xDEADBEEFDEADBEEF
54969 .xword 0xDEADBEEFDEADBEEF
54970 .xword 0xDEADBEEFDEADBEEF
54971 .xword 0xDEADBEEFDEADBEEF
54972 .xword 0xDEADBEEFDEADBEEF
54973 .xword 0xDEADBEEFDEADBEEF
54974 .xword 0xDEADBEEFDEADBEEF
54975 .xword 0xDEADBEEFDEADBEEF
54976 .xword 0xDEADBEEFDEADBEEF
54977 .xword 0xDEADBEEFDEADBEEF
54978 .xword 0xDEADBEEFDEADBEEF
54979 .xword 0xDEADBEEFDEADBEEF
54980 .xword 0xDEADBEEFDEADBEEF
54981 .xword 0xDEADBEEFDEADBEEF
54982 .xword 0xDEADBEEFDEADBEEF
54983 .xword 0xDEADBEEFDEADBEEF
54984 .xword 0xDEADBEEFDEADBEEF
54985 .xword 0xDEADBEEFDEADBEEF
54986 .xword 0xDEADBEEFDEADBEEF
54987 .xword 0xDEADBEEFDEADBEEF
54988 .xword 0xDEADBEEFDEADBEEF
54989 .xword 0xDEADBEEFDEADBEEF
54990 .xword 0xDEADBEEFDEADBEEF
54991 .xword 0xDEADBEEFDEADBEEF
54992 .xword 0xDEADBEEFDEADBEEF
54993 .xword 0xDEADBEEFDEADBEEF
54994 .xword 0xDEADBEEFDEADBEEF
54995 .xword 0xDEADBEEFDEADBEEF
54996 .xword 0xDEADBEEFDEADBEEF
54997 .xword 0xDEADBEEFDEADBEEF
54998 .xword 0xDEADBEEFDEADBEEF
54999 .xword 0xDEADBEEFDEADBEEF
55000 .xword 0xDEADBEEFDEADBEEF
55001 .xword 0xDEADBEEFDEADBEEF
55002 .xword 0xDEADBEEFDEADBEEF
55003 .xword 0xDEADBEEFDEADBEEF
55004 .xword 0xDEADBEEFDEADBEEF
55005 .xword 0xDEADBEEFDEADBEEF
55006 .xword 0xDEADBEEFDEADBEEF
55007 .xword 0xDEADBEEFDEADBEEF
55008 .xword 0xDEADBEEFDEADBEEF
55009 .xword 0xDEADBEEFDEADBEEF
55010 .xword 0xDEADBEEFDEADBEEF
55011 .xword 0xDEADBEEFDEADBEEF
55012 .xword 0xDEADBEEFDEADBEEF
55013 .xword 0xDEADBEEFDEADBEEF
55014 .xword 0xDEADBEEFDEADBEEF
55015 .xword 0xDEADBEEFDEADBEEF
55016 .xword 0xDEADBEEFDEADBEEF
55017 .xword 0xDEADBEEFDEADBEEF
55018 .xword 0xDEADBEEFDEADBEEF
55019 .xword 0xDEADBEEFDEADBEEF
55020 .xword 0xDEADBEEFDEADBEEF
55021 .xword 0xDEADBEEFDEADBEEF
55022 .xword 0xDEADBEEFDEADBEEF
55023 .xword 0xDEADBEEFDEADBEEF
55024 .xword 0xDEADBEEFDEADBEEF
55025 .xword 0xDEADBEEFDEADBEEF
55026 .xword 0xDEADBEEFDEADBEEF
55027 .xword 0xDEADBEEFDEADBEEF
55028 .xword 0xDEADBEEFDEADBEEF
55029 .xword 0xDEADBEEFDEADBEEF
55030 .xword 0xDEADBEEFDEADBEEF
55031 .xword 0xDEADBEEFDEADBEEF
55032 .xword 0xDEADBEEFDEADBEEF
55033 .xword 0xDEADBEEFDEADBEEF
55034 .xword 0xDEADBEEFDEADBEEF
55035 .xword 0xDEADBEEFDEADBEEF
55036 .xword 0xDEADBEEFDEADBEEF
55037 .xword 0xDEADBEEFDEADBEEF
55038 .xword 0xDEADBEEFDEADBEEF
55039 .xword 0xDEADBEEFDEADBEEF
55040 .xword 0xDEADBEEFDEADBEEF
55041 .xword 0xDEADBEEFDEADBEEF
55042 .xword 0xDEADBEEFDEADBEEF
55043 .xword 0xDEADBEEFDEADBEEF
55044 .xword 0xDEADBEEFDEADBEEF
55045 .xword 0xDEADBEEFDEADBEEF
55046 .xword 0xDEADBEEFDEADBEEF
55047 .xword 0xDEADBEEFDEADBEEF
55048 .xword 0xDEADBEEFDEADBEEF
55049 .xword 0xDEADBEEFDEADBEEF
55050 .xword 0xDEADBEEFDEADBEEF
55051 .xword 0xDEADBEEFDEADBEEF
55052 .xword 0xDEADBEEFDEADBEEF
55053 .xword 0xDEADBEEFDEADBEEF
55054 .xword 0xDEADBEEFDEADBEEF
55055 .xword 0xDEADBEEFDEADBEEF
55056 .xword 0xDEADBEEFDEADBEEF
55057 .xword 0xDEADBEEFDEADBEEF
55058 .xword 0xDEADBEEFDEADBEEF
55059 .xword 0xDEADBEEFDEADBEEF
55060 .xword 0xDEADBEEFDEADBEEF
55061 .xword 0xDEADBEEFDEADBEEF
55062 .xword 0xDEADBEEFDEADBEEF
55063 .xword 0xDEADBEEFDEADBEEF
55064 .xword 0xDEADBEEFDEADBEEF
55065 .xword 0xDEADBEEFDEADBEEF
55066 .xword 0xDEADBEEFDEADBEEF
55067 .xword 0xDEADBEEFDEADBEEF
55068 .xword 0xDEADBEEFDEADBEEF
55069 .xword 0xDEADBEEFDEADBEEF
55070 .xword 0xDEADBEEFDEADBEEF
55071 .xword 0xDEADBEEFDEADBEEF
55072 .xword 0xDEADBEEFDEADBEEF
55073 .xword 0xDEADBEEFDEADBEEF
55074 .xword 0xDEADBEEFDEADBEEF
55075 .xword 0xDEADBEEFDEADBEEF
55076 .xword 0xDEADBEEFDEADBEEF
55077 .xword 0xDEADBEEFDEADBEEF
55078 .xword 0xDEADBEEFDEADBEEF
55079 .xword 0xDEADBEEFDEADBEEF
55080 .xword 0xDEADBEEFDEADBEEF
55081 .xword 0xDEADBEEFDEADBEEF
55082 .xword 0xDEADBEEFDEADBEEF
55083 .xword 0xDEADBEEFDEADBEEF
55084 .xword 0xDEADBEEFDEADBEEF
55085 .xword 0xDEADBEEFDEADBEEF
55086 .xword 0xDEADBEEFDEADBEEF
55087 .xword 0xDEADBEEFDEADBEEF
55088 .xword 0xDEADBEEFDEADBEEF
55089 .xword 0xDEADBEEFDEADBEEF
55090 .xword 0xDEADBEEFDEADBEEF
55091 .xword 0xDEADBEEFDEADBEEF
55092 .xword 0xDEADBEEFDEADBEEF
55093 .xword 0xDEADBEEFDEADBEEF
55094 .xword 0xDEADBEEFDEADBEEF
55095 .xword 0xDEADBEEFDEADBEEF
55096 .xword 0xDEADBEEFDEADBEEF
55097 .xword 0xDEADBEEFDEADBEEF
55098 .xword 0xDEADBEEFDEADBEEF
55099 .xword 0xDEADBEEFDEADBEEF
55100 .xword 0xDEADBEEFDEADBEEF
55101 .xword 0xDEADBEEFDEADBEEF
55102 .xword 0xDEADBEEFDEADBEEF
55103 .xword 0xDEADBEEFDEADBEEF
55104 .xword 0xDEADBEEFDEADBEEF
55105 .xword 0xDEADBEEFDEADBEEF
55106 .xword 0xDEADBEEFDEADBEEF
55107 .xword 0xDEADBEEFDEADBEEF
55108 .xword 0xDEADBEEFDEADBEEF
55109 .xword 0xDEADBEEFDEADBEEF
55110 .xword 0xDEADBEEFDEADBEEF
55111 .xword 0xDEADBEEFDEADBEEF
55112 .xword 0xDEADBEEFDEADBEEF
55113 .xword 0xDEADBEEFDEADBEEF
55114 .xword 0xDEADBEEFDEADBEEF
55115 .xword 0xDEADBEEFDEADBEEF
55116 .xword 0xDEADBEEFDEADBEEF
55117 .xword 0xDEADBEEFDEADBEEF
55118 .xword 0xDEADBEEFDEADBEEF
55119 .xword 0xDEADBEEFDEADBEEF
55120 .xword 0xDEADBEEFDEADBEEF
55121 .xword 0xDEADBEEFDEADBEEF
55122 .xword 0xDEADBEEFDEADBEEF
55123 .xword 0xDEADBEEFDEADBEEF
55124 .xword 0xDEADBEEFDEADBEEF
55125 .xword 0xDEADBEEFDEADBEEF
55126 .xword 0xDEADBEEFDEADBEEF
55127 .xword 0xDEADBEEFDEADBEEF
55128 .xword 0xDEADBEEFDEADBEEF
55129 .xword 0xDEADBEEFDEADBEEF
55130 .xword 0xDEADBEEFDEADBEEF
55131 .xword 0xDEADBEEFDEADBEEF
55132 .xword 0xDEADBEEFDEADBEEF
55133 .xword 0xDEADBEEFDEADBEEF
55134 .xword 0xDEADBEEFDEADBEEF
55135 .xword 0xDEADBEEFDEADBEEF
55136 .xword 0xDEADBEEFDEADBEEF
55137 .xword 0xDEADBEEFDEADBEEF
55138 .xword 0xDEADBEEFDEADBEEF
55139 .xword 0xDEADBEEFDEADBEEF
55140 .xword 0xDEADBEEFDEADBEEF
55141 .xword 0xDEADBEEFDEADBEEF
55142 .xword 0xDEADBEEFDEADBEEF
55143 .xword 0xDEADBEEFDEADBEEF
55144 .xword 0xDEADBEEFDEADBEEF
55145 .xword 0xDEADBEEFDEADBEEF
55146 .xword 0xDEADBEEFDEADBEEF
55147 .xword 0xDEADBEEFDEADBEEF
55148 .xword 0xDEADBEEFDEADBEEF
55149 .xword 0xDEADBEEFDEADBEEF
55150 .xword 0xDEADBEEFDEADBEEF
55151 .xword 0xDEADBEEFDEADBEEF
55152 .xword 0xDEADBEEFDEADBEEF
55153 .xword 0xDEADBEEFDEADBEEF
55154 .xword 0xDEADBEEFDEADBEEF
55155 .xword 0xDEADBEEFDEADBEEF
55156 .xword 0xDEADBEEFDEADBEEF
55157 .xword 0xDEADBEEFDEADBEEF
55158 .xword 0xDEADBEEFDEADBEEF
55159 .xword 0xDEADBEEFDEADBEEF
55160 .xword 0xDEADBEEFDEADBEEF
55161 .xword 0xDEADBEEFDEADBEEF
55162 .xword 0xDEADBEEFDEADBEEF
55163 .xword 0xDEADBEEFDEADBEEF
55164 .xword 0xDEADBEEFDEADBEEF
55165 .xword 0xDEADBEEFDEADBEEF
55166 .xword 0xDEADBEEFDEADBEEF
55167 .xword 0xDEADBEEFDEADBEEF
55168 .xword 0xDEADBEEFDEADBEEF
55169 .xword 0xDEADBEEFDEADBEEF
55170 .xword 0xDEADBEEFDEADBEEF
55171 .xword 0xDEADBEEFDEADBEEF
55172 .xword 0xDEADBEEFDEADBEEF
55173 .xword 0xDEADBEEFDEADBEEF
55174 .xword 0xDEADBEEFDEADBEEF
55175 .xword 0xDEADBEEFDEADBEEF
55176 .xword 0xDEADBEEFDEADBEEF
55177 .xword 0xDEADBEEFDEADBEEF
55178 .xword 0xDEADBEEFDEADBEEF
55179 .xword 0xDEADBEEFDEADBEEF
55180 .xword 0xDEADBEEFDEADBEEF
55181 .xword 0xDEADBEEFDEADBEEF
55182 .xword 0xDEADBEEFDEADBEEF
55183 .xword 0xDEADBEEFDEADBEEF
55184 .xword 0xDEADBEEFDEADBEEF
55185 .xword 0xDEADBEEFDEADBEEF
55186 .xword 0xDEADBEEFDEADBEEF
55187 .xword 0xDEADBEEFDEADBEEF
55188 .xword 0xDEADBEEFDEADBEEF
55189 .xword 0xDEADBEEFDEADBEEF
55190 .xword 0xDEADBEEFDEADBEEF
55191 .xword 0xDEADBEEFDEADBEEF
55192 .xword 0xDEADBEEFDEADBEEF
55193 .xword 0xDEADBEEFDEADBEEF
55194 .xword 0xDEADBEEFDEADBEEF
55195 .xword 0xDEADBEEFDEADBEEF
55196 .xword 0xDEADBEEFDEADBEEF
55197 .xword 0xDEADBEEFDEADBEEF
55198 .xword 0xDEADBEEFDEADBEEF
55199 .xword 0xDEADBEEFDEADBEEF
55200 .xword 0xDEADBEEFDEADBEEF
55201 .xword 0xDEADBEEFDEADBEEF
55202 .xword 0xDEADBEEFDEADBEEF
55203 .xword 0xDEADBEEFDEADBEEF
55204 .xword 0xDEADBEEFDEADBEEF
55205 .xword 0xDEADBEEFDEADBEEF
55206 .xword 0xDEADBEEFDEADBEEF
55207 .xword 0xDEADBEEFDEADBEEF
55208 .xword 0xDEADBEEFDEADBEEF
55209 .xword 0xDEADBEEFDEADBEEF
55210 .xword 0xDEADBEEFDEADBEEF
55211 .xword 0xDEADBEEFDEADBEEF
55212 .xword 0xDEADBEEFDEADBEEF
55213 .xword 0xDEADBEEFDEADBEEF
55214 .xword 0xDEADBEEFDEADBEEF
55215 .xword 0xDEADBEEFDEADBEEF
55216 .xword 0xDEADBEEFDEADBEEF
55217 .xword 0xDEADBEEFDEADBEEF
55218 .xword 0xDEADBEEFDEADBEEF
55219 .xword 0xDEADBEEFDEADBEEF
55220 .xword 0xDEADBEEFDEADBEEF
55221 .xword 0xDEADBEEFDEADBEEF
55222 .xword 0xDEADBEEFDEADBEEF
55223 .xword 0xDEADBEEFDEADBEEF
55224 .xword 0xDEADBEEFDEADBEEF
55225 .xword 0xDEADBEEFDEADBEEF
55226 .xword 0xDEADBEEFDEADBEEF
55227 .xword 0xDEADBEEFDEADBEEF
55228 .xword 0xDEADBEEFDEADBEEF
55229 .xword 0xDEADBEEFDEADBEEF
55230 .xword 0xDEADBEEFDEADBEEF
55231 .xword 0xDEADBEEFDEADBEEF
55232 .xword 0xDEADBEEFDEADBEEF
55233 .xword 0xDEADBEEFDEADBEEF
55234 .xword 0xDEADBEEFDEADBEEF
55235 .xword 0xDEADBEEFDEADBEEF
55236_t1_copy_auth_key:
55237 .xword 0x4114b90f1baf6d72
55238 .xword 0xba77f454270655ae
55239 .xword 0x8e4ac9cdd95f1986
55240 .xword 0xc0c6b83be90cfba0
55241 .xword 0xb0cf481fcaed84ec
55242 .xword 0x925bf3947a24fedb
55243 .xword 0xdf207013530212ff
55244 .xword 0xe723e312412cd70c
55245 .xword 0xd07d72fd31b51335
55246 .xword 0x94a9132f1b225b35
55247 .xword 0xa4b2a491fa2ad7a7
55248 .xword 0xe39b8e3d1396037e
55249 .xword 0x4073752c48817d6d
55250 .xword 0x022c9fc27dde9cbe
55251 .xword 0xefefc06f50ad9eb7
55252 .xword 0x6c890ca8a098a5a0
55253 .xword 0x6a802e62155b5d85
55254 .xword 0x6cde25fce95367d7
55255 .xword 0xf6177a0acd93e9d4
55256 .xword 0x6d01dea70f24ee55
55257 .xword 0x17dc54c4cf4e231d
55258 .xword 0xd9965554eab85435
55259 .xword 0x8a0551abc68cd2d8
55260_t1_copy_auth_iv:
55261 .xword 0xf55728f3d1d1dca1
55262 .xword 0xa9d57e8c7a12b8eb
55263 .xword 0x1967e0309faf8efd
55264 .xword 0xb0df31a41882e308
55265 .xword 0xe539cfa8a1c5e690
55266 .xword 0xf1b5257eb0050157
55267 .xword 0x8ead8d266b632d30
55268 .xword 0xc329280e7d199fb6
55269 .xword 0x9443dc39f9678e76
55270 .xword 0x8b37013c30846ed1
55271 .xword 0xc3e5428ed58c1c52
55272 .xword 0x867bc6476efac9a1
55273 .xword 0xdd871b583d649cda
55274 .xword 0x15fe582ac76a9b30
55275 .xword 0x962440caf5393a9c
55276 .xword 0xb9e96daa380351ed
55277 .xword 0xd1ba4f8aa242e516
55278 .xword 0x2f73103dad81d313
55279 .xword 0x0a539ca58ddd58ae
55280 .xword 0x068f2939a883347f
55281 .xword 0x352cd36f3b8cc8f3
55282 .xword 0x8f81e1c3b1cdfe26
55283 .xword 0xd25b66ccec8ec2bf
55284_t1_copy_fas_result:
55285 .xword 0xDEADBEEFDEADBEEF
55286 .xword 0xDEADBEEFDEADBEEF
55287 .xword 0xDEADBEEFDEADBEEF
55288 .xword 0xDEADBEEFDEADBEEF
55289 .xword 0xDEADBEEFDEADBEEF
55290 .xword 0xDEADBEEFDEADBEEF
55291 .xword 0xDEADBEEFDEADBEEF
55292 .xword 0xDEADBEEFDEADBEEF
55293 .xword 0xDEADBEEFDEADBEEF
55294 .xword 0xDEADBEEFDEADBEEF
55295 .xword 0xDEADBEEFDEADBEEF
55296 .xword 0xDEADBEEFDEADBEEF
55297 .xword 0xDEADBEEFDEADBEEF
55298 .xword 0xDEADBEEFDEADBEEF
55299 .xword 0xDEADBEEFDEADBEEF
55300 .xword 0xDEADBEEFDEADBEEF
55301 .xword 0xDEADBEEFDEADBEEF
55302 .xword 0xDEADBEEFDEADBEEF
55303 .xword 0xDEADBEEFDEADBEEF
55304 .xword 0xDEADBEEFDEADBEEF
55305 .xword 0xDEADBEEFDEADBEEF
55306 .xword 0xDEADBEEFDEADBEEF
55307 .xword 0xDEADBEEFDEADBEEF
55308_t1_crc_key_array:
55309 .xword 0x5cbf7dd01c2bd048
55310 .xword 0x5497359283e30da7
55311 .xword 0xbffd53ce3258cfdc
55312 .xword 0x9386174e3c75681e
55313 .xword 0x12125ccd9151e366
55314 .xword 0xc00dd70158f22066
55315 .xword 0xe23ffc51b7bb9532
55316 .xword 0x0e46c91cae71b8ba
55317 .xword 0x3324aacfb6c1cce9
55318 .xword 0xb680deb224d3ab8a
55319 .xword 0x2417df2e308f2f70
55320 .xword 0xa2d84700319ca2c2
55321 .xword 0x19a8a6d1313e8d59
55322 .xword 0xc17c4ab3ea4580cf
55323 .xword 0x1a6a763b3a103424
55324 .xword 0xa8e9211b71eeff20
55325 .xword 0x5b7b325081c276f4
55326 .xword 0x0586002c56d9cec1
55327 .xword 0x9ccc462a2d2316bc
55328 .xword 0xfcc85966fc295193
55329 .xword 0x5d9cada5246e20da
55330 .xword 0x8e89e43bc1e4f27c
55331 .xword 0x3d07f52016ac9174
55332 .xword 0x242cf36a7531004b
55333 .xword 0x691dbfa2003d8e8e
55334 .xword 0xbb50bcfb6d373898
55335 .xword 0xa7dfa187272d9dbd
55336 .xword 0x1fdd9d1bd7fbbe56
55337 .xword 0xe409038217b698b5
55338 .xword 0x641f49e35aa10505
55339 .xword 0x58b5085218899628
55340 .xword 0xca9ed80c85b2b979
55341 .xword 0xbb4ad65effb91eb7
55342 .xword 0x278cbb6ae4f785ea
55343 .xword 0x4c1cc05ec1310aab
55344 .xword 0x262316f8233ed3a3
55345 .xword 0xdb8d6cefa85561b5
55346 .xword 0xef2946002b712c1b
55347 .xword 0x48c1420c784a516a
55348 .xword 0x62c21ebd4d2f14df
55349 .xword 0x01636ac41be7fe4c
55350 .xword 0xedae42b491a6f7e8
55351 .xword 0xd84cb7fb209e181c
55352 .xword 0x919598426ffbf082
55353 .xword 0xeb9505bc5286491a
55354 .xword 0xd3f6ef46007338f3
55355 .xword 0x2e9c8224ea5769cb
55356 .xword 0x86587247a8d65a73
55357 .xword 0xd9efe17f4bdc05e7
55358 .xword 0xacff56ac1969079c
55359 .xword 0xb17288431e83e634
55360_t1_crc_iv_array:
55361 .xword 0x43d8eb06fd4b94a1
55362 .xword 0x3d08d707ffe93a5b
55363 .xword 0x677893c7104ed8ef
55364 .xword 0x9910e62d99db4ef9
55365 .xword 0x19f262049f0e8027
55366 .xword 0x5658fe22fdc6589c
55367 .xword 0xf5d3e697fce3e84f
55368 .xword 0x6bb8f8e5afb9b00f
55369 .xword 0x15c1fabdb50790c8
55370 .xword 0x44d9a9361f56bcff
55371 .xword 0x1e91cc421ae305b1
55372 .xword 0x3b98a482238b99dd
55373 .xword 0x84a4dba91f3a9fc3
55374 .xword 0x9404d5feadfddb1c
55375 .xword 0x4c277b1007a8115a
55376 .xword 0xd79fa9f7cd4bc058
55377 .xword 0x9995218754c8a88c
55378 .xword 0x5a9a01897d26df32
55379 .xword 0xb0781ab53857af6b
55380 .xword 0x299c3e2e8e988312
55381 .xword 0x961004e9119add68
55382 .xword 0x8ddf24ed2b3545c1
55383 .xword 0x9ac238ad9dbe7b8a
55384 .xword 0x3ae841c7dd11c87e
55385 .xword 0x75e52fa8026570d8
55386 .xword 0xe119d26986478bb4
55387 .xword 0x840c00606a3da0d3
55388 .xword 0x7333242955f3aefd
55389 .xword 0xe96e9d058ac449ae
55390 .xword 0xd5a7d3f651568deb
55391 .xword 0x0993dc7018792545
55392 .xword 0x864f4d560309ff2d
55393 .xword 0xf08a5ebef5a0ff83
55394 .xword 0x60cf89df1c54ad99
55395 .xword 0xd6e61f6e579aa6d8
55396 .xword 0x54db3c3905e65497
55397 .xword 0x806a69fd2b19f941
55398 .xword 0x18c39c55bcb644e6
55399 .xword 0xdd976caf7b203693
55400 .xword 0x7db9267126d957f9
55401 .xword 0xc796a8bb8381e8b4
55402 .xword 0xbb838cd79dc87c49
55403 .xword 0x7358f6dd3e67f37e
55404 .xword 0x2b3e91df0351ded5
55405 .xword 0xfe36ec7425126819
55406_t1_crc_alignment_array:
55407 .xword 9
55408 .xword 8
55409 .xword 13
55410 .xword 5
55411 .xword 3
55412 .xword 0
55413 .xword 10
55414 .xword 3
55415 .xword 9
55416 .xword 6
55417 .xword 2
55418 .xword 14
55419 .xword 9
55420 .xword 4
55421 .xword 7
55422 .xword 1
55423 .xword 4
55424 .xword 11
55425 .xword 10
55426 .xword 2
55427 .xword 1
55428 .xword 8
55429 .xword 4
55430 .xword 3
55431 .xword 8
55432 .xword 10
55433 .xword 11
55434 .xword 10
55435 .xword 10
55436 .xword 9
55437 .xword 6
55438 .xword 15
55439 .xword 9
55440 .xword 15
55441 .xword 11
55442 .xword 8
55443 .xword 1
55444 .xword 13
55445 .xword 6
55446 .xword 7
55447 .xword 1
55448 .xword 4
55449 .xword 15
55450 .xword 8
55451 .xword 14
55452 .xword 3
55453 .xword 0
55454 .xword 2
55455 .xword 11
55456 .xword 0
55457 .xword 7
55458 .xword 1
55459 .xword 0
55460 .xword 9
55461 .xword 3
55462 .xword 11
55463 .xword 5
55464 .xword 13
55465 .xword 0
55466 .xword 8
55467 .xword 9
55468 .xword 3
55469 .xword 6
55470 .xword 12
55471 .xword 7
55472 .xword 11
55473 .xword 12
55474 .xword 11
55475 .xword 15
55476 .xword 3
55477 .xword 0
55478 .xword 5
55479 .xword 12
55480 .xword 5
55481 .xword 13
55482 .xword 11
55483 .xword 13
55484 .xword 3
55485 .xword 7
55486 .xword 10
55487 .xword 7
55488 .xword 1
55489 .xword 11
55490 .xword 5
55491 .xword 6
55492 .xword 15
55493 .xword 10
55494 .xword 8
55495 .xword 2
55496 .xword 11
55497 .xword 1
55498 .xword 1
55499 .xword 5
55500 .xword 11
55501 .xword 2
55502 .xword 6
55503 .xword 9
55504 .xword 7
55505 .xword 8
55506 .xword 7
55507 .xword 1
55508 .xword 1
55509 .xword 12
55510 .xword 13
55511 .xword 2
55512_t1_crc_src:
55513 .xword 0x5d9c209d0393c85e
55514 .xword 0x66d154c161b19af1
55515 .xword 0xf2b7d0d6630e8a53
55516 .xword 0x18f5a01d5dc7bb82
55517 .xword 0x78d48c7917f0239b
55518 .xword 0xee0ea7ed369c0532
55519 .xword 0xd1a0f1a7f18da50d
55520 .xword 0x8294772e43fb8938
55521 .xword 0x2005946f2f94b239
55522 .xword 0x7b4da1a161df3dd6
55523 .xword 0x186dd5ca8f3d77d9
55524 .xword 0xb9b88732f2982d2c
55525 .xword 0x1064ce934cff3c59
55526 .xword 0xa954972856d24f3a
55527 .xword 0xd7014d2cf81d5019
55528 .xword 0xcf3e00505d135a4c
55529 .xword 0xd0905779f5d7f214
55530 .xword 0x81462ea31efe210f
55531 .xword 0x73b0990fc456eca1
55532 .xword 0x2446274c692591a2
55533 .xword 0x69af67148fac443f
55534 .xword 0x469d57291b5c51d7
55535 .xword 0x687943c11c3b8aca
55536 .xword 0xa8c39f6313fb26b1
55537 .xword 0x8d1c538f8e84f2d4
55538 .xword 0xf9934fe93667be14
55539 .xword 0xaa95c11d21c05519
55540 .xword 0x24605fc1daa92e3c
55541 .xword 0xd9d8833ff844e74b
55542 .xword 0xea14941bfe4f0db7
55543 .xword 0x610e63e16d37f80a
55544 .xword 0xdb1047ef7660d9ce
55545 .xword 0x2151ec247d3f67fd
55546 .xword 0xf4bf954c844c6489
55547 .xword 0x62f608d749c36998
55548 .xword 0xd0d284908c74cfcc
55549 .xword 0x2defa78670777fa4
55550 .xword 0x0b03a26d0c94ea8b
55551 .xword 0x9b071d0cb3772f66
55552 .xword 0x4c1ac3e28f1014e7
55553 .xword 0xfcabf880156cc96a
55554 .xword 0xc22de5e7a7f75a0d
55555 .xword 0x9844bf6b246c063a
55556 .xword 0x4160fbe610ffa828
55557 .xword 0x8f91130a3a4c9858
55558 .xword 0x6a3550f25a98f9d3
55559 .xword 0x3f1087c3eff59f54
55560 .xword 0x5bb698b6fb18cad1
55561 .xword 0xb8fd690649d873bc
55562 .xword 0x9824b60898cd8c45
55563 .xword 0xe2660b70a49fe993
55564 .xword 0x468f58190dc096dc
55565 .xword 0x12f5bc8052e4e4c3
55566 .xword 0xa6cb4cc10019b530
55567 .xword 0x26fd7fa35d15126c
55568 .xword 0x989be32350e6c097
55569 .xword 0x005741f50f079ac1
55570 .xword 0xb5287e2844f48406
55571 .xword 0x0a415ba9339fbc61
55572 .xword 0x2572746d8ee6821c
55573 .xword 0x0699d1c396b59f14
55574 .xword 0xddbb13cad4ae663f
55575 .xword 0xe744a3130094a106
55576 .xword 0x62164b24ec1b4bdb
55577 .xword 0x0bb11bf3a938c2e3
55578 .xword 0x8f34e7ae43305a5b
55579 .xword 0x506d3facb4304ceb
55580 .xword 0xc7d08513ab9e652f
55581 .xword 0x394a91fa0bc52072
55582 .xword 0x4bd794a32f806a64
55583 .xword 0x4d8776bd943b496b
55584 .xword 0xbdbcf01b66b088f2
55585 .xword 0x3007b66fd117da06
55586 .xword 0xf25f945e6412e8fa
55587 .xword 0x01ad4a4ea271962e
55588 .xword 0x13ae7a697df38278
55589 .xword 0x37caf65ced679dad
55590 .xword 0x135c3d6b5ca2ad8b
55591 .xword 0xaeb78f1b82fa230c
55592 .xword 0x510c5cdc34652df1
55593 .xword 0xa48adc04309f6cd5
55594 .xword 0x60df6af2644b324a
55595 .xword 0x76a75e23b7d171d0
55596 .xword 0x8eb15304e5a65154
55597 .xword 0xf652d112ac3b6780
55598 .xword 0xdd9b962b6370db40
55599 .xword 0xd0899cebe18a4626
55600 .xword 0xb5940ccf57b69665
55601 .xword 0xf6ad99967c4c50a5
55602 .xword 0x2fa8546f2b040c2d
55603 .xword 0x9c5081a5d42b3ba9
55604 .xword 0x9d215f101c91af3a
55605 .xword 0x2dccc17f91975d98
55606 .xword 0x88b60edf772f189a
55607 .xword 0x3cca97b4f7597499
55608 .xword 0xc40b491397e20394
55609 .xword 0xc711305501b2057a
55610 .xword 0xafc938527b577172
55611 .xword 0xf9e0f3fe92afe434
55612 .xword 0x86e05c58192e2f51
55613 .xword 0x974f1be58ad2977f
55614 .xword 0x5ee4b67de9c9737a
55615 .xword 0x60fe81fca6faabcb
55616 .xword 0x0052cf39c4515ba3
55617 .xword 0x4db4b2aab0fc383a
55618 .xword 0xe6adc46aa2559338
55619 .xword 0x75463e80e5ff41b0
55620 .xword 0x7b44120267101d07
55621 .xword 0x3a591f6e80b23a2a
55622 .xword 0xa0135c3b2972c558
55623 .xword 0xbb779e9e7b40e265
55624 .xword 0xc7108cefd734c903
55625 .xword 0x64c49b771b0aef43
55626 .xword 0xd00bc535e783c180
55627 .xword 0xdc39635f39159e65
55628 .xword 0x9da36bf7104356b8
55629 .xword 0x7cd9e08458659152
55630 .xword 0x30938ecebe1f84e7
55631 .xword 0x8f2f056aa2e1919b
55632 .xword 0x3af3533fa88fca26
55633 .xword 0xef0605b42a28e4cb
55634 .xword 0x2eb2538d68edeff6
55635 .xword 0xaf2f0ad58d481229
55636 .xword 0xdc79ea6fcc1cfa03
55637 .xword 0xa4f5b41d81723066
55638 .xword 0x70b02c9b3c139a61
55639 .xword 0x025a3141f0e3ad74
55640 .xword 0x1293d18b75791636
55641 .xword 0x8f875aa2c264dc55
55642 .xword 0xa15282bb1e9c09a3
55643 .xword 0x36228e96ca9c20f8
55644 .xword 0x02f861979f3831ed
55645 .xword 0xcf86555acf320915
55646 .xword 0x36312748e1f75248
55647 .xword 0xa30b0e3f4d790457
55648 .xword 0x98afc777659d20eb
55649 .xword 0x092bf33eb7eb586c
55650 .xword 0xa6ef6fba68f355fd
55651 .xword 0x3c754ffa7fdff944
55652 .xword 0xa2b42866915edaf4
55653 .xword 0x221e8d6c4bca918e
55654 .xword 0x16ae8fcd8507d5f6
55655 .xword 0xd94fa6a537492ea0
55656 .xword 0x9e5c041321cd015e
55657 .xword 0xf761f94d6c4d9508
55658 .xword 0x3768e5ead46cefaf
55659 .xword 0x0662358b29b5299c
55660 .xword 0xc57e081203023e2f
55661 .xword 0x13b612e0e301a3b6
55662 .xword 0x3a04ccb021beb1d4
55663 .xword 0xdc8a6beb9ebcd575
55664 .xword 0x5392efb457ec4c51
55665 .xword 0x6f5d3543b58819ff
55666 .xword 0x3fad9c53925f36f0
55667 .xword 0x4a5c0c42c011231d
55668 .xword 0xf516802d6f5a3ba1
55669 .xword 0xa025cdfd263c2ef1
55670 .xword 0x91a8fb24cf42cac3
55671 .xword 0x8eb99ae7c3f98462
55672 .xword 0xfcee24788a625774
55673 .xword 0x9db312578e8f6d3b
55674 .xword 0x5ede75247c0235ca
55675 .xword 0x67aa73b102bc6548
55676 .xword 0xa9a4fa964fe8730c
55677 .xword 0x4591e6d1d4461f94
55678 .xword 0x6e3aad0e4b67f613
55679 .xword 0x2be524b793dbfe5a
55680 .xword 0x7929fdfab57dd517
55681 .xword 0x35f750e36a6a6aba
55682 .xword 0xa712b88147e0a567
55683 .xword 0xb8b980d2ee04e294
55684 .xword 0x6bff269ad5bd3598
55685 .xword 0x9e21b2397cf307d4
55686 .xword 0x3a50a9ee2c88496a
55687 .xword 0x1462c2357b2805e4
55688 .xword 0xcda60d96346ee88b
55689 .xword 0x54067a0bfa98ebf3
55690 .xword 0x86d6c4ac6e2ae9c1
55691 .xword 0xf0c9edac4384ffe0
55692 .xword 0x8057ed5bca94058d
55693 .xword 0x581ecfd748ce6520
55694 .xword 0x516c731a61de09cb
55695 .xword 0x3a57cf89abc5af54
55696 .xword 0xb0f5a590c81b43d4
55697 .xword 0x322c25b157ab22a0
55698 .xword 0x63a12dc642034644
55699 .xword 0xd52ccfe5e4943b4c
55700 .xword 0xc03cfd5ccab6a471
55701 .xword 0xb78aae326af83484
55702 .xword 0x4b1bf69487b2ba29
55703 .xword 0x4ce6a514695e1efd
55704 .xword 0x15d116b5045ef882
55705 .xword 0x8f09f9019f462eb5
55706 .xword 0xd375097e249752ff
55707 .xword 0x3de1e691eace29ec
55708 .xword 0xd679a11aba2b8732
55709 .xword 0x857efb7451ec9300
55710 .xword 0x242491928eb26692
55711 .xword 0x65990e227711bb61
55712 .xword 0xaf52d03d3739dba3
55713 .xword 0x58f6b8c379f5c594
55714 .xword 0xcff569451487c2bc
55715 .xword 0xb9c7915643fb4e66
55716 .xword 0x074091779a12516c
55717 .xword 0x3b6b3b773f8f0774
55718 .xword 0xa5227296075163d5
55719 .xword 0xab33120c39379c2e
55720 .xword 0xbb12d1939c982e0d
55721 .xword 0x888771c8a3171c10
55722 .xword 0x022aaeb14a860300
55723 .xword 0xd1fd9b7740757040
55724 .xword 0x0bb8f1db89cedcf1
55725 .xword 0x2e4254f5f0fdf7a4
55726 .xword 0xdd0dacc1e48f0d08
55727 .xword 0x12e5a274e1fb1b01
55728 .xword 0xa7e7bf70125fecc7
55729 .xword 0x6d1fb1d5bb8f6e4a
55730 .xword 0x66d7e2dbed5b24b9
55731 .xword 0xe382b41949e367e9
55732 .xword 0x1766b1f8bfb575d9
55733 .xword 0xfaf17e943769ab5b
55734 .xword 0xd37137e662c048a5
55735 .xword 0xb27368abc190c21b
55736 .xword 0xe38e35c1f6f7074f
55737 .xword 0x09dfd3b85f949ca5
55738 .xword 0xa17daebc4dcf5d47
55739 .xword 0xb48709688c9bf813
55740 .xword 0xa2ab397c1939fa81
55741 .xword 0xede49c472eb2ae07
55742 .xword 0x047eadb158c1d75b
55743 .xword 0x3197a89e8673e0c7
55744 .xword 0xf57ebdcff7032a12
55745 .xword 0xe0ccdffeacfe5c8d
55746 .xword 0x846795c59b18ba42
55747 .xword 0xc18c0742070945a5
55748 .xword 0xb441f137da924527
55749 .xword 0x9fd3514122f51343
55750 .xword 0x223b671f0a1caa69
55751 .xword 0xfb590e1bbc016a55
55752 .xword 0x0432c318905de2f1
55753 .xword 0x223fb2d3505be8c0
55754 .xword 0xb9258ccea0ad2d98
55755 .xword 0xf90ff45292c10b4e
55756 .xword 0x61598236c6f7d495
55757 .xword 0x54724de9e2c14694
55758 .xword 0xeae99372dcf21a0c
55759 .xword 0x69c4db3052267d20
55760 .xword 0x04f2a7d34d2190d5
55761 .xword 0x0afc854698becf24
55762 .xword 0x08df858794689343
55763 .xword 0x639bb95176ccf4b2
55764 .xword 0x5a79d58cf36a641e
55765 .xword 0x15615ee1b1e96bbf
55766 .xword 0xb041d4a14c3bc182
55767 .xword 0xc0e9d06647074938
55768 .xword 0xd3e289527ec6a5ad
55769 .xword 0x1f4a30a461b9b56c
55770 .xword 0xffdfe6f0f8c779f0
55771 .xword 0x44908c924f0edc35
55772 .xword 0xebea68fe8abf735f
55773 .xword 0x06328732b6174470
55774 .xword 0x6a6adb45d03e8125
55775 .xword 0x969118501f31761d
55776 .xword 0x7604c88a60edf78e
55777 .xword 0xf5464aa23f693ba9
55778 .xword 0x57b4a8751eec34b7
55779 .xword 0x13d72cd582cf2848
55780 .xword 0x563b20c0b669a24f
55781 .xword 0xb18d2152d2b5e53f
55782 .xword 0x795d290763105beb
55783 .xword 0x7f63e19f0366d786
55784 .xword 0xcf9b3770229de86f
55785 .xword 0x77fc32db6d106357
55786 .xword 0x56c2a54ccdaeda5b
55787 .xword 0x8f78ec4833c01e10
55788_t1_crc_dest:
55789 .xword 0xDEADBEEFDEADBEEF
55790 .xword 0xDEADBEEFDEADBEEF
55791 .xword 0xDEADBEEFDEADBEEF
55792 .xword 0xDEADBEEFDEADBEEF
55793 .xword 0xDEADBEEFDEADBEEF
55794 .xword 0xDEADBEEFDEADBEEF
55795 .xword 0xDEADBEEFDEADBEEF
55796 .xword 0xDEADBEEFDEADBEEF
55797 .xword 0xDEADBEEFDEADBEEF
55798 .xword 0xDEADBEEFDEADBEEF
55799 .xword 0xDEADBEEFDEADBEEF
55800 .xword 0xDEADBEEFDEADBEEF
55801 .xword 0xDEADBEEFDEADBEEF
55802 .xword 0xDEADBEEFDEADBEEF
55803 .xword 0xDEADBEEFDEADBEEF
55804 .xword 0xDEADBEEFDEADBEEF
55805 .xword 0xDEADBEEFDEADBEEF
55806 .xword 0xDEADBEEFDEADBEEF
55807 .xword 0xDEADBEEFDEADBEEF
55808 .xword 0xDEADBEEFDEADBEEF
55809 .xword 0xDEADBEEFDEADBEEF
55810 .xword 0xDEADBEEFDEADBEEF
55811 .xword 0xDEADBEEFDEADBEEF
55812 .xword 0xDEADBEEFDEADBEEF
55813 .xword 0xDEADBEEFDEADBEEF
55814 .xword 0xDEADBEEFDEADBEEF
55815 .xword 0xDEADBEEFDEADBEEF
55816 .xword 0xDEADBEEFDEADBEEF
55817 .xword 0xDEADBEEFDEADBEEF
55818 .xword 0xDEADBEEFDEADBEEF
55819 .xword 0xDEADBEEFDEADBEEF
55820 .xword 0xDEADBEEFDEADBEEF
55821 .xword 0xDEADBEEFDEADBEEF
55822 .xword 0xDEADBEEFDEADBEEF
55823 .xword 0xDEADBEEFDEADBEEF
55824 .xword 0xDEADBEEFDEADBEEF
55825 .xword 0xDEADBEEFDEADBEEF
55826 .xword 0xDEADBEEFDEADBEEF
55827 .xword 0xDEADBEEFDEADBEEF
55828 .xword 0xDEADBEEFDEADBEEF
55829 .xword 0xDEADBEEFDEADBEEF
55830 .xword 0xDEADBEEFDEADBEEF
55831 .xword 0xDEADBEEFDEADBEEF
55832 .xword 0xDEADBEEFDEADBEEF
55833 .xword 0xDEADBEEFDEADBEEF
55834 .xword 0xDEADBEEFDEADBEEF
55835 .xword 0xDEADBEEFDEADBEEF
55836 .xword 0xDEADBEEFDEADBEEF
55837 .xword 0xDEADBEEFDEADBEEF
55838 .xword 0xDEADBEEFDEADBEEF
55839 .xword 0xDEADBEEFDEADBEEF
55840 .xword 0xDEADBEEFDEADBEEF
55841 .xword 0xDEADBEEFDEADBEEF
55842 .xword 0xDEADBEEFDEADBEEF
55843 .xword 0xDEADBEEFDEADBEEF
55844 .xword 0xDEADBEEFDEADBEEF
55845 .xword 0xDEADBEEFDEADBEEF
55846 .xword 0xDEADBEEFDEADBEEF
55847 .xword 0xDEADBEEFDEADBEEF
55848 .xword 0xDEADBEEFDEADBEEF
55849 .xword 0xDEADBEEFDEADBEEF
55850 .xword 0xDEADBEEFDEADBEEF
55851 .xword 0xDEADBEEFDEADBEEF
55852 .xword 0xDEADBEEFDEADBEEF
55853 .xword 0xDEADBEEFDEADBEEF
55854 .xword 0xDEADBEEFDEADBEEF
55855 .xword 0xDEADBEEFDEADBEEF
55856 .xword 0xDEADBEEFDEADBEEF
55857 .xword 0xDEADBEEFDEADBEEF
55858 .xword 0xDEADBEEFDEADBEEF
55859 .xword 0xDEADBEEFDEADBEEF
55860 .xword 0xDEADBEEFDEADBEEF
55861 .xword 0xDEADBEEFDEADBEEF
55862 .xword 0xDEADBEEFDEADBEEF
55863 .xword 0xDEADBEEFDEADBEEF
55864 .xword 0xDEADBEEFDEADBEEF
55865 .xword 0xDEADBEEFDEADBEEF
55866 .xword 0xDEADBEEFDEADBEEF
55867 .xword 0xDEADBEEFDEADBEEF
55868 .xword 0xDEADBEEFDEADBEEF
55869 .xword 0xDEADBEEFDEADBEEF
55870 .xword 0xDEADBEEFDEADBEEF
55871 .xword 0xDEADBEEFDEADBEEF
55872 .xword 0xDEADBEEFDEADBEEF
55873 .xword 0xDEADBEEFDEADBEEF
55874 .xword 0xDEADBEEFDEADBEEF
55875 .xword 0xDEADBEEFDEADBEEF
55876 .xword 0xDEADBEEFDEADBEEF
55877 .xword 0xDEADBEEFDEADBEEF
55878 .xword 0xDEADBEEFDEADBEEF
55879 .xword 0xDEADBEEFDEADBEEF
55880 .xword 0xDEADBEEFDEADBEEF
55881 .xword 0xDEADBEEFDEADBEEF
55882 .xword 0xDEADBEEFDEADBEEF
55883 .xword 0xDEADBEEFDEADBEEF
55884 .xword 0xDEADBEEFDEADBEEF
55885 .xword 0xDEADBEEFDEADBEEF
55886 .xword 0xDEADBEEFDEADBEEF
55887 .xword 0xDEADBEEFDEADBEEF
55888 .xword 0xDEADBEEFDEADBEEF
55889 .xword 0xDEADBEEFDEADBEEF
55890 .xword 0xDEADBEEFDEADBEEF
55891 .xword 0xDEADBEEFDEADBEEF
55892 .xword 0xDEADBEEFDEADBEEF
55893 .xword 0xDEADBEEFDEADBEEF
55894 .xword 0xDEADBEEFDEADBEEF
55895 .xword 0xDEADBEEFDEADBEEF
55896 .xword 0xDEADBEEFDEADBEEF
55897 .xword 0xDEADBEEFDEADBEEF
55898 .xword 0xDEADBEEFDEADBEEF
55899 .xword 0xDEADBEEFDEADBEEF
55900 .xword 0xDEADBEEFDEADBEEF
55901 .xword 0xDEADBEEFDEADBEEF
55902 .xword 0xDEADBEEFDEADBEEF
55903 .xword 0xDEADBEEFDEADBEEF
55904 .xword 0xDEADBEEFDEADBEEF
55905 .xword 0xDEADBEEFDEADBEEF
55906 .xword 0xDEADBEEFDEADBEEF
55907 .xword 0xDEADBEEFDEADBEEF
55908 .xword 0xDEADBEEFDEADBEEF
55909 .xword 0xDEADBEEFDEADBEEF
55910 .xword 0xDEADBEEFDEADBEEF
55911 .xword 0xDEADBEEFDEADBEEF
55912 .xword 0xDEADBEEFDEADBEEF
55913 .xword 0xDEADBEEFDEADBEEF
55914 .xword 0xDEADBEEFDEADBEEF
55915 .xword 0xDEADBEEFDEADBEEF
55916 .xword 0xDEADBEEFDEADBEEF
55917 .xword 0xDEADBEEFDEADBEEF
55918 .xword 0xDEADBEEFDEADBEEF
55919 .xword 0xDEADBEEFDEADBEEF
55920 .xword 0xDEADBEEFDEADBEEF
55921 .xword 0xDEADBEEFDEADBEEF
55922 .xword 0xDEADBEEFDEADBEEF
55923 .xword 0xDEADBEEFDEADBEEF
55924 .xword 0xDEADBEEFDEADBEEF
55925 .xword 0xDEADBEEFDEADBEEF
55926 .xword 0xDEADBEEFDEADBEEF
55927 .xword 0xDEADBEEFDEADBEEF
55928 .xword 0xDEADBEEFDEADBEEF
55929 .xword 0xDEADBEEFDEADBEEF
55930 .xword 0xDEADBEEFDEADBEEF
55931 .xword 0xDEADBEEFDEADBEEF
55932 .xword 0xDEADBEEFDEADBEEF
55933 .xword 0xDEADBEEFDEADBEEF
55934 .xword 0xDEADBEEFDEADBEEF
55935 .xword 0xDEADBEEFDEADBEEF
55936 .xword 0xDEADBEEFDEADBEEF
55937 .xword 0xDEADBEEFDEADBEEF
55938 .xword 0xDEADBEEFDEADBEEF
55939 .xword 0xDEADBEEFDEADBEEF
55940 .xword 0xDEADBEEFDEADBEEF
55941 .xword 0xDEADBEEFDEADBEEF
55942 .xword 0xDEADBEEFDEADBEEF
55943 .xword 0xDEADBEEFDEADBEEF
55944 .xword 0xDEADBEEFDEADBEEF
55945 .xword 0xDEADBEEFDEADBEEF
55946 .xword 0xDEADBEEFDEADBEEF
55947 .xword 0xDEADBEEFDEADBEEF
55948 .xword 0xDEADBEEFDEADBEEF
55949 .xword 0xDEADBEEFDEADBEEF
55950 .xword 0xDEADBEEFDEADBEEF
55951 .xword 0xDEADBEEFDEADBEEF
55952 .xword 0xDEADBEEFDEADBEEF
55953 .xword 0xDEADBEEFDEADBEEF
55954 .xword 0xDEADBEEFDEADBEEF
55955 .xword 0xDEADBEEFDEADBEEF
55956 .xword 0xDEADBEEFDEADBEEF
55957 .xword 0xDEADBEEFDEADBEEF
55958 .xword 0xDEADBEEFDEADBEEF
55959 .xword 0xDEADBEEFDEADBEEF
55960 .xword 0xDEADBEEFDEADBEEF
55961 .xword 0xDEADBEEFDEADBEEF
55962 .xword 0xDEADBEEFDEADBEEF
55963 .xword 0xDEADBEEFDEADBEEF
55964 .xword 0xDEADBEEFDEADBEEF
55965 .xword 0xDEADBEEFDEADBEEF
55966 .xword 0xDEADBEEFDEADBEEF
55967 .xword 0xDEADBEEFDEADBEEF
55968 .xword 0xDEADBEEFDEADBEEF
55969 .xword 0xDEADBEEFDEADBEEF
55970 .xword 0xDEADBEEFDEADBEEF
55971 .xword 0xDEADBEEFDEADBEEF
55972 .xword 0xDEADBEEFDEADBEEF
55973 .xword 0xDEADBEEFDEADBEEF
55974 .xword 0xDEADBEEFDEADBEEF
55975 .xword 0xDEADBEEFDEADBEEF
55976 .xword 0xDEADBEEFDEADBEEF
55977 .xword 0xDEADBEEFDEADBEEF
55978 .xword 0xDEADBEEFDEADBEEF
55979 .xword 0xDEADBEEFDEADBEEF
55980 .xword 0xDEADBEEFDEADBEEF
55981 .xword 0xDEADBEEFDEADBEEF
55982 .xword 0xDEADBEEFDEADBEEF
55983 .xword 0xDEADBEEFDEADBEEF
55984 .xword 0xDEADBEEFDEADBEEF
55985 .xword 0xDEADBEEFDEADBEEF
55986 .xword 0xDEADBEEFDEADBEEF
55987 .xword 0xDEADBEEFDEADBEEF
55988 .xword 0xDEADBEEFDEADBEEF
55989 .xword 0xDEADBEEFDEADBEEF
55990 .xword 0xDEADBEEFDEADBEEF
55991 .xword 0xDEADBEEFDEADBEEF
55992 .xword 0xDEADBEEFDEADBEEF
55993 .xword 0xDEADBEEFDEADBEEF
55994 .xword 0xDEADBEEFDEADBEEF
55995 .xword 0xDEADBEEFDEADBEEF
55996 .xword 0xDEADBEEFDEADBEEF
55997 .xword 0xDEADBEEFDEADBEEF
55998 .xword 0xDEADBEEFDEADBEEF
55999 .xword 0xDEADBEEFDEADBEEF
56000 .xword 0xDEADBEEFDEADBEEF
56001 .xword 0xDEADBEEFDEADBEEF
56002 .xword 0xDEADBEEFDEADBEEF
56003 .xword 0xDEADBEEFDEADBEEF
56004 .xword 0xDEADBEEFDEADBEEF
56005 .xword 0xDEADBEEFDEADBEEF
56006 .xword 0xDEADBEEFDEADBEEF
56007 .xword 0xDEADBEEFDEADBEEF
56008 .xword 0xDEADBEEFDEADBEEF
56009 .xword 0xDEADBEEFDEADBEEF
56010 .xword 0xDEADBEEFDEADBEEF
56011 .xword 0xDEADBEEFDEADBEEF
56012 .xword 0xDEADBEEFDEADBEEF
56013 .xword 0xDEADBEEFDEADBEEF
56014 .xword 0xDEADBEEFDEADBEEF
56015 .xword 0xDEADBEEFDEADBEEF
56016 .xword 0xDEADBEEFDEADBEEF
56017 .xword 0xDEADBEEFDEADBEEF
56018 .xword 0xDEADBEEFDEADBEEF
56019 .xword 0xDEADBEEFDEADBEEF
56020 .xword 0xDEADBEEFDEADBEEF
56021 .xword 0xDEADBEEFDEADBEEF
56022 .xword 0xDEADBEEFDEADBEEF
56023 .xword 0xDEADBEEFDEADBEEF
56024 .xword 0xDEADBEEFDEADBEEF
56025 .xword 0xDEADBEEFDEADBEEF
56026 .xword 0xDEADBEEFDEADBEEF
56027 .xword 0xDEADBEEFDEADBEEF
56028 .xword 0xDEADBEEFDEADBEEF
56029 .xword 0xDEADBEEFDEADBEEF
56030 .xword 0xDEADBEEFDEADBEEF
56031 .xword 0xDEADBEEFDEADBEEF
56032 .xword 0xDEADBEEFDEADBEEF
56033 .xword 0xDEADBEEFDEADBEEF
56034 .xword 0xDEADBEEFDEADBEEF
56035 .xword 0xDEADBEEFDEADBEEF
56036 .xword 0xDEADBEEFDEADBEEF
56037 .xword 0xDEADBEEFDEADBEEF
56038 .xword 0xDEADBEEFDEADBEEF
56039 .xword 0xDEADBEEFDEADBEEF
56040 .xword 0xDEADBEEFDEADBEEF
56041 .xword 0xDEADBEEFDEADBEEF
56042 .xword 0xDEADBEEFDEADBEEF
56043 .xword 0xDEADBEEFDEADBEEF
56044 .xword 0xDEADBEEFDEADBEEF
56045 .xword 0xDEADBEEFDEADBEEF
56046 .xword 0xDEADBEEFDEADBEEF
56047 .xword 0xDEADBEEFDEADBEEF
56048 .xword 0xDEADBEEFDEADBEEF
56049 .xword 0xDEADBEEFDEADBEEF
56050 .xword 0xDEADBEEFDEADBEEF
56051 .xword 0xDEADBEEFDEADBEEF
56052 .xword 0xDEADBEEFDEADBEEF
56053 .xword 0xDEADBEEFDEADBEEF
56054 .xword 0xDEADBEEFDEADBEEF
56055 .xword 0xDEADBEEFDEADBEEF
56056 .xword 0xDEADBEEFDEADBEEF
56057 .xword 0xDEADBEEFDEADBEEF
56058 .xword 0xDEADBEEFDEADBEEF
56059 .xword 0xDEADBEEFDEADBEEF
56060 .xword 0xDEADBEEFDEADBEEF
56061 .xword 0xDEADBEEFDEADBEEF
56062 .xword 0xDEADBEEFDEADBEEF
56063 .xword 0xDEADBEEFDEADBEEF
56064_t1_crc_auth_key:
56065 .xword 0xcd5cb2dece20f875
56066 .xword 0x0be89ddbdfdc15b4
56067 .xword 0x91f1676a9801092e
56068 .xword 0x9c6df6f1518192de
56069 .xword 0x84274bcec900a102
56070 .xword 0x43e6df8ffd035c89
56071 .xword 0xa80a9835b050572e
56072 .xword 0x9dce938084d5ea50
56073 .xword 0x15ca83db6b8da463
56074 .xword 0x5039cea15f4dfe6c
56075 .xword 0x078a909bf789c45b
56076 .xword 0x63f415f9c99014f0
56077 .xword 0xd1cc6285dfec1e0d
56078 .xword 0x95c1b75b3e3e0bf5
56079 .xword 0x222cfd0c4c7a6a39
56080 .xword 0x7b089aafa4638100
56081 .xword 0x3d03fe4e911f922f
56082 .xword 0x2b79cdb054bce381
56083 .xword 0xafe630d8e1cbd640
56084 .xword 0x12228a72e05735df
56085 .xword 0x5e61b921e3da2a23
56086 .xword 0x85b718fe060817f5
56087 .xword 0x57e4aad3ab91cee1
56088_t1_crc_auth_iv:
56089 .xword 0x40f0b89b18c0ecd8
56090 .xword 0x884f1c27f068b21b
56091 .xword 0x8e779c2ab0f43366
56092 .xword 0x655374c126365b9a
56093 .xword 0x23e3d3e33d0eef75
56094 .xword 0xbbd60d45ccca1cd7
56095 .xword 0xccaed18bd84e1e52
56096 .xword 0x1f99389e9461090b
56097 .xword 0x0bf9262aca3a315e
56098 .xword 0xe8c5a8a759238d04
56099 .xword 0xf4c895ec45b96779
56100 .xword 0x8dee5b7ba43fe0a4
56101 .xword 0xcec90bafda74cdc2
56102 .xword 0x61cdd36b7d5b5732
56103 .xword 0x2b9a3a5a7170a526
56104 .xword 0x763d21f7ebed0b34
56105 .xword 0x9f05cf33a8673e59
56106 .xword 0xfbb5eaaaf803a8db
56107 .xword 0xe95e1f4b51954722
56108 .xword 0x15a72658ca99716a
56109 .xword 0x307e50fbf6ffe654
56110 .xword 0x6a2eb0442cdf4991
56111 .xword 0x3a49917fde673ef2
56112_t1_crc_fas_result:
56113 .xword 0xDEADBEEFDEADBEEF
56114 .xword 0xDEADBEEFDEADBEEF
56115 .xword 0xDEADBEEFDEADBEEF
56116 .xword 0xDEADBEEFDEADBEEF
56117 .xword 0xDEADBEEFDEADBEEF
56118 .xword 0xDEADBEEFDEADBEEF
56119 .xword 0xDEADBEEFDEADBEEF
56120 .xword 0xDEADBEEFDEADBEEF
56121 .xword 0xDEADBEEFDEADBEEF
56122 .xword 0xDEADBEEFDEADBEEF
56123 .xword 0xDEADBEEFDEADBEEF
56124 .xword 0xDEADBEEFDEADBEEF
56125 .xword 0xDEADBEEFDEADBEEF
56126 .xword 0xDEADBEEFDEADBEEF
56127 .xword 0xDEADBEEFDEADBEEF
56128 .xword 0xDEADBEEFDEADBEEF
56129 .xword 0xDEADBEEFDEADBEEF
56130 .xword 0xDEADBEEFDEADBEEF
56131 .xword 0xDEADBEEFDEADBEEF
56132 .xword 0xDEADBEEFDEADBEEF
56133 .xword 0xDEADBEEFDEADBEEF
56134 .xword 0xDEADBEEFDEADBEEF
56135 .xword 0xDEADBEEFDEADBEEF
56136_t1_hash_key_array:
56137 .xword 0x441e0d4e61763d9a
56138 .xword 0x0b8cab5a25c338fe
56139 .xword 0xd0a036e1a48b6979
56140 .xword 0x4cfc4951e4c68841
56141 .xword 0x5552fbf4bdcdfaa4
56142 .xword 0x2059800fdb062944
56143 .xword 0x42134c4b9602e5a3
56144 .xword 0xf7d73d50e52005ee
56145 .xword 0xb2cb80d14951e34d
56146 .xword 0x30f730b9942a50e0
56147 .xword 0x146c4fccf5c8fddb
56148 .xword 0x0c5b7fc05afa9eda
56149 .xword 0xb4e80c40123118d4
56150 .xword 0xf249bf8bddbd01ac
56151 .xword 0x8c01af448a9938cd
56152 .xword 0xf8b9c5f462370edb
56153 .xword 0xe7ec5f9a6800cea5
56154 .xword 0x8ebdbbd8151c63ca
56155 .xword 0x93be3dc2b54f7b30
56156 .xword 0xf6c9d5a4a6883ab0
56157 .xword 0xd807481e5d50c2e8
56158 .xword 0x516bf76552c6317f
56159 .xword 0x79946c76e434c854
56160 .xword 0xb7a52330286859b9
56161 .xword 0x2ad3be3b40160b15
56162 .xword 0x1dc2faffe5a448d9
56163 .xword 0x70e08b610844a69a
56164 .xword 0x4dcfa2970265b254
56165 .xword 0x40f00e97b372e2be
56166 .xword 0x60bf12bf82ac71eb
56167 .xword 0x9542d6326bf1fa57
56168 .xword 0xc61b25413a15ca32
56169 .xword 0x98a79c1472af75e2
56170 .xword 0x7077571b40dcc30f
56171 .xword 0x22fd4803937497a6
56172 .xword 0x597b30e57f1913b7
56173 .xword 0xa7de9799ab735916
56174 .xword 0xf7506e15bdafda27
56175 .xword 0x498e3dff5bb6043d
56176 .xword 0x5aace01acc8e66b3
56177 .xword 0x61775117253f34db
56178 .xword 0x721302950635b30c
56179 .xword 0x3e9c0baae2c202af
56180 .xword 0xcf65799c01dfe172
56181 .xword 0xb8aa9a0d8cd0bfd1
56182 .xword 0x4e8244f6464d9c0b
56183 .xword 0xe187cdffe9411181
56184 .xword 0x925d51758a79fc8b
56185 .xword 0x4f30d81be32cbca7
56186 .xword 0x047067e5293f2fe9
56187 .xword 0x964b3abc344fca2b
56188_t1_hash_iv_array:
56189 .xword 0x24800b55588be945
56190 .xword 0x5ae23058efc0e835
56191 .xword 0x3939f5b9bb20ae8d
56192 .xword 0xebec58de50e6ef0c
56193 .xword 0x71c6e6738f56557f
56194 .xword 0x721cc4567732d8c3
56195 .xword 0x3a2be7761079bea1
56196 .xword 0x3971bc02a305ad68
56197 .xword 0xd4127c78b94156e4
56198 .xword 0xd9352b7afb0db3e7
56199 .xword 0x6f7a8950238a584a
56200 .xword 0x3a988322d05f1991
56201 .xword 0x3c47b3a59a5c6bd3
56202 .xword 0x7c554a1207173edc
56203 .xword 0x8794cd7a029171e0
56204 .xword 0x741906f68ef292fa
56205 .xword 0x3ad3e887dd775691
56206 .xword 0xfbbf6afeeb59b683
56207 .xword 0xe01b729d70824322
56208 .xword 0x8075afe6bf9fa845
56209 .xword 0x9b88be800128c368
56210 .xword 0x1ba60a0e984ae3d6
56211 .xword 0x34323ada6f35b1fe
56212 .xword 0xab8ec362fc439ffd
56213 .xword 0xf15d2ea1f8c2f866
56214 .xword 0x67bbf5c2fd72044b
56215 .xword 0x51940f3ef511c8dd
56216 .xword 0xd8334fddab29d9a5
56217 .xword 0x55e6f9bb4b101be2
56218 .xword 0x06600d2238d6edbe
56219 .xword 0x54b476c73888dc52
56220 .xword 0xb589559db7779831
56221 .xword 0x73a21f33bf0269b3
56222 .xword 0x7fd581f33093f01d
56223 .xword 0x71abb3926a3ae8f5
56224 .xword 0xcfe2f46ebd750042
56225 .xword 0x5b122b14dede49dc
56226 .xword 0xfae7846f75177696
56227 .xword 0xd0715cda43086cd6
56228 .xword 0xd8a7baff0d3cc1e6
56229 .xword 0x538056748591d406
56230 .xword 0xe3a07d0d8e93cd02
56231 .xword 0x3ae04c8de44d52e8
56232 .xword 0xdf510de2d4de6d5c
56233 .xword 0xe2a6a49180ae962a
56234_t1_hash_alignment_array:
56235 .xword 15
56236 .xword 12
56237 .xword 12
56238 .xword 12
56239 .xword 0
56240 .xword 13
56241 .xword 6
56242 .xword 14
56243 .xword 0
56244 .xword 13
56245 .xword 9
56246 .xword 7
56247 .xword 9
56248 .xword 12
56249 .xword 4
56250 .xword 5
56251 .xword 4
56252 .xword 7
56253 .xword 10
56254 .xword 13
56255 .xword 4
56256 .xword 6
56257 .xword 10
56258 .xword 13
56259 .xword 12
56260 .xword 12
56261 .xword 8
56262 .xword 0
56263 .xword 0
56264 .xword 4
56265 .xword 1
56266 .xword 3
56267 .xword 6
56268 .xword 10
56269 .xword 3
56270 .xword 6
56271 .xword 13
56272 .xword 5
56273 .xword 8
56274 .xword 13
56275 .xword 0
56276 .xword 11
56277 .xword 3
56278 .xword 1
56279 .xword 10
56280 .xword 11
56281 .xword 2
56282 .xword 15
56283 .xword 9
56284 .xword 7
56285 .xword 11
56286 .xword 0
56287 .xword 5
56288 .xword 9
56289 .xword 14
56290 .xword 0
56291 .xword 15
56292 .xword 5
56293 .xword 12
56294 .xword 1
56295 .xword 11
56296 .xword 6
56297 .xword 12
56298 .xword 8
56299 .xword 7
56300 .xword 2
56301 .xword 11
56302 .xword 15
56303 .xword 12
56304 .xword 8
56305 .xword 6
56306 .xword 2
56307 .xword 15
56308 .xword 5
56309 .xword 4
56310 .xword 2
56311 .xword 12
56312 .xword 9
56313 .xword 6
56314 .xword 9
56315 .xword 7
56316 .xword 5
56317 .xword 5
56318 .xword 5
56319 .xword 12
56320 .xword 12
56321 .xword 2
56322 .xword 15
56323 .xword 1
56324 .xword 0
56325 .xword 9
56326 .xword 8
56327 .xword 6
56328 .xword 15
56329 .xword 2
56330 .xword 15
56331 .xword 9
56332 .xword 11
56333 .xword 8
56334 .xword 13
56335 .xword 4
56336 .xword 4
56337 .xword 10
56338 .xword 3
56339 .xword 8
56340_t1_hash_src:
56341 .xword 0x49bb8742160900d5
56342 .xword 0x64c586a6e2c8e3d7
56343 .xword 0xa8473973c9bc2a4b
56344 .xword 0x32980d81f02f21ec
56345 .xword 0x4afc7e4e55484b38
56346 .xword 0x260debcb4042119a
56347 .xword 0x4c5182357c8aaebf
56348 .xword 0x8edec61a96a94fe2
56349 .xword 0x460e7b2c108fad9c
56350 .xword 0x9e1c0591cddb3c03
56351 .xword 0xd033203d70ea3794
56352 .xword 0x683ef347d0b3c337
56353 .xword 0xf8561c91a1d1aac8
56354 .xword 0x1415afb97682a26c
56355 .xword 0x3dca4a0b03c205d9
56356 .xword 0x69f39c6f43e6022e
56357 .xword 0x4403eed1b559d51b
56358 .xword 0x9ebdf07ebd5ce299
56359 .xword 0xc49977bde178ec5d
56360 .xword 0xb62237ff0c024c95
56361 .xword 0xb32a4c653d7c47ce
56362 .xword 0x7092aafc717d7a6c
56363 .xword 0xfb9053c0c77c349e
56364 .xword 0x1d126681cedd4704
56365 .xword 0x39915e42e37e90fb
56366 .xword 0x80885eb7d2e795bd
56367 .xword 0x55d6d96a7e7142d2
56368 .xword 0xdaa69668f2f7b97f
56369 .xword 0xd0c46a8b1c74d5eb
56370 .xword 0x526505710f2a22ca
56371 .xword 0xffeafcf198b0ce4f
56372 .xword 0x4cedd1d3c716311d
56373 .xword 0x66604612324f01d1
56374 .xword 0xadc34bfd37c7db32
56375 .xword 0xc00a77806f7d6deb
56376 .xword 0x43dccb7f65f717d8
56377 .xword 0x5ea0036e0d8b8e11
56378 .xword 0xb8e5b1827eb15572
56379 .xword 0xb29b0ee6d19927a2
56380 .xword 0xf902996bae686683
56381 .xword 0x90572b0b8ecd0a27
56382 .xword 0x3b4c6e5170194ef0
56383 .xword 0xc53e812721ea318a
56384 .xword 0x891d797a7434bbd4
56385 .xword 0x066ed4899ba2815b
56386 .xword 0xde006cc72959fcdf
56387 .xword 0xcd16b31dac1a7b91
56388 .xword 0xe0dde8d863a148c9
56389 .xword 0xbf92355a9a6f5eee
56390 .xword 0x28184770ddc2cc16
56391 .xword 0x6cea1f65ede88edf
56392 .xword 0xfb5981d22121f90d
56393 .xword 0x206bde25badd99af
56394 .xword 0xf62b7550e59c8309
56395 .xword 0x163b2dfa05fe8631
56396 .xword 0xfac49794a0536865
56397 .xword 0xa9c1b8720435e316
56398 .xword 0x635154fddd9a9862
56399 .xword 0xcf538ffc27762f2c
56400 .xword 0x928d79882eed6a07
56401 .xword 0x3382f3be4a955f07
56402 .xword 0x3f5c254dddfd40eb
56403 .xword 0xa5863042a4617dc0
56404 .xword 0x01535c46dd0ae5c3
56405 .xword 0x0e42759ffb67628f
56406 .xword 0x3df81ee8e0c6c937
56407 .xword 0x82a3c0f2b049a4ca
56408 .xword 0x0e0580e621d7408f
56409 .xword 0x7af4784fcf631dbb
56410 .xword 0xdd1c7b3be9b80ca7
56411 .xword 0x1054b702b312e7b7
56412 .xword 0xf2e93b7c831eb085
56413 .xword 0x9b2babbb14a6a702
56414 .xword 0xad064cfe2d8e1ca4
56415 .xword 0x445d2376b880626f
56416 .xword 0x633607b63fc71acf
56417 .xword 0x2fc9b319b8648708
56418 .xword 0xcd0d7e4c4fe637d5
56419 .xword 0xf4acdc487cd7f2c1
56420 .xword 0xc1316c0f1dd4e901
56421 .xword 0x2e10841f1a0920eb
56422 .xword 0x9f6e4c339a58e8d9
56423 .xword 0xdd3d1d19b27b765a
56424 .xword 0x31d7fba56689a8ab
56425 .xword 0x7f6481b53f2319ae
56426 .xword 0xc457a2acddd4d0ad
56427 .xword 0xe788857089e3d610
56428 .xword 0x01d04a324de39203
56429 .xword 0x5507cacfdccdad2f
56430 .xword 0x890bd2eb725682bf
56431 .xword 0xb87ca09793bb059a
56432 .xword 0xc8f8951c5c2a5118
56433 .xword 0x898f448561683f26
56434 .xword 0xcd4d9d8f381d1a16
56435 .xword 0xa1cfce76bf080a60
56436 .xword 0x5d6dcb3f05f61c4c
56437 .xword 0xc8743785c04e168e
56438 .xword 0x2cd86adb2a748e10
56439 .xword 0x8aee449d6b9b5860
56440 .xword 0x3674a08b2c390cd8
56441 .xword 0x3ba512954d205b1b
56442 .xword 0xe8f507f8c2a78cf7
56443 .xword 0xd910ea9bc3bd4902
56444 .xword 0xc402330eef454d19
56445 .xword 0xa5d0e6edbb9e089c
56446 .xword 0xdd6969eba859769a
56447 .xword 0x60f688fee092a21a
56448 .xword 0xd32119cca8cceb4b
56449 .xword 0xea10d85dd0a763ba
56450 .xword 0x39121c63f8a5d9cb
56451 .xword 0x91b8a28f682e9603
56452 .xword 0xde391c56da9e3f3d
56453 .xword 0x7d11284f79ceb3de
56454 .xword 0x58e095832e689010
56455 .xword 0x7ff7a79ffd37634b
56456 .xword 0x6098bd1e01761a6d
56457 .xword 0x31b1be7924bff440
56458 .xword 0xdc319e30fbacce97
56459 .xword 0xbdf0cf8c78c0515f
56460 .xword 0x6715529a1f6101a6
56461 .xword 0xb4ec1b4a69e29f8a
56462 .xword 0x663ab8352d332cb8
56463 .xword 0x50bd333d09fdcf81
56464 .xword 0x70278d80be5661c6
56465 .xword 0xa2e34fced0a5b955
56466 .xword 0x2075a84dc8569122
56467 .xword 0xbe11b43bdf155522
56468 .xword 0xe325b3595f042af2
56469 .xword 0x6173293727f11abb
56470 .xword 0x16fa523b3bfb86e3
56471 .xword 0xeac019d51c48b598
56472 .xword 0x5c1092e673f78baf
56473 .xword 0xdb7ec7117b9deceb
56474 .xword 0x2d7d72550b979fcc
56475 .xword 0xa305deaf6cdba6d5
56476 .xword 0x4d67f8715412e884
56477 .xword 0x33850550a2e17d63
56478 .xword 0xfacf13fc835736d2
56479 .xword 0x4c9392e12eab58a7
56480 .xword 0xa541d9dbf0d51f06
56481 .xword 0x18522de0ca157b44
56482 .xword 0x0ad3087eaef05ff9
56483 .xword 0x871040bea465499a
56484 .xword 0x6ed88f26b79562b2
56485 .xword 0xd171dbd921996d44
56486 .xword 0xfbb42e0717588b70
56487 .xword 0x461660be41587b9f
56488 .xword 0xd796dbbfe5324b23
56489 .xword 0x2042832a4e44574f
56490 .xword 0xdb2a32ef7ba8cb7b
56491 .xword 0x0364b54f309aa9ba
56492 .xword 0xfcf0367d7cc172d1
56493 .xword 0xb46f27e81bfab734
56494 .xword 0xb21fa5a98d64c9d9
56495 .xword 0xab4b79aa9015bb16
56496 .xword 0xc1be8b25aa713f50
56497 .xword 0xe65587a684c58c31
56498 .xword 0xc07c1a1c092c3d79
56499 .xword 0xf20b137ef3a9a92f
56500 .xword 0x1da31597fc7638fc
56501 .xword 0x06f9dd6891f56cc0
56502 .xword 0x9b331348b18c28ca
56503 .xword 0x5785713ddb89f539
56504 .xword 0x969183d9ec14abda
56505 .xword 0x8ef76b1d75b93898
56506 .xword 0x10bcae656e5dabe3
56507 .xword 0x93bfebd85994ee9b
56508 .xword 0x5ea438afdba35f96
56509 .xword 0xd7d8b0bb94da3259
56510 .xword 0x309fc6e5deb56a4f
56511 .xword 0xfe58f309a55cdb9c
56512 .xword 0x1ac4da3cd9dac303
56513 .xword 0x333e845b85d87f00
56514 .xword 0x37b2ab4335634abe
56515 .xword 0x4775022e93e2b47d
56516 .xword 0x944265c80fcfdec8
56517 .xword 0x9f3a16c3414b9d6e
56518 .xword 0xa4abd8d3f47d8377
56519 .xword 0x1f60c31f8cd868d8
56520 .xword 0x2947f4fdea6319cf
56521 .xword 0x162af0286fcba088
56522 .xword 0xd21daabcd5a619d1
56523 .xword 0x1a8726af517c7b9f
56524 .xword 0x18dd10f51903476d
56525 .xword 0xc058bc036379e179
56526 .xword 0x023d544567b64d42
56527 .xword 0x63f5fc91a4283e67
56528 .xword 0x9c3bea0251347a75
56529 .xword 0x3d3cb5711df8f6f0
56530 .xword 0x56a08c37ceb0433a
56531 .xword 0x787bee01c7ccdf00
56532 .xword 0x16e4074ce9bbd012
56533 .xword 0xb630ea18b3b569e9
56534 .xword 0xda33f1b49c31a833
56535 .xword 0xc2c6439f2318f1df
56536 .xword 0x17a48160b1d00e18
56537 .xword 0x46ebcbce343bad37
56538 .xword 0x938007f1822da296
56539 .xword 0x67c217b0b047d133
56540 .xword 0x6758fdefceb7ec7a
56541 .xword 0x0b4dad083e2641df
56542 .xword 0x06048e3f552c06e3
56543 .xword 0xa9d22b2c2d5b86d7
56544 .xword 0x8ff84a38fea71ee5
56545 .xword 0xc88900c1fa854bb3
56546 .xword 0xc28e58ff3d139794
56547 .xword 0xd882474958b79990
56548 .xword 0x0e2062475bc2d0ef
56549 .xword 0x248f6604720b7474
56550 .xword 0xab477643290a861a
56551 .xword 0x3499609ef4c1d264
56552 .xword 0x2879f5e4a7632ebc
56553 .xword 0x061763eb30a85015
56554 .xword 0x068e77e1827413f3
56555 .xword 0x6f6b5559e7b31897
56556 .xword 0x970c769dc41b878e
56557 .xword 0x030a055504db6cac
56558 .xword 0xcd1568b37a95df0c
56559 .xword 0x0e7cca020feab413
56560 .xword 0x5cbf127c3b7c285d
56561 .xword 0xf06cb567c79c0457
56562 .xword 0x5e82b7e0409c9314
56563 .xword 0xf7293cd7ef656733
56564 .xword 0xb3b397615a4ef6e0
56565 .xword 0x2e5c5766895f7912
56566 .xword 0xe0d22f8beaa285b4
56567 .xword 0xc44ede4efbff8f02
56568 .xword 0x23e8d2d83c9627bf
56569 .xword 0x117a959c19c3b250
56570 .xword 0x7e9e6afe8e3e08e4
56571 .xword 0xccb3dedf78a39b71
56572 .xword 0x6afc6ca319e91dc4
56573 .xword 0x6dd9eb64654532c0
56574 .xword 0x63696323a5be3325
56575 .xword 0x62ca7250627fa3c7
56576 .xword 0x28d5bfb59663e574
56577 .xword 0x008a1c642ae72376
56578 .xword 0xd899620fdc5975cc
56579 .xword 0xc4b3be06b5d9dc96
56580 .xword 0x2fb47a1794a4d2e2
56581 .xword 0xd254c74207d6b97d
56582 .xword 0x14f19ba0bff6d40f
56583 .xword 0x19a89dc70427665e
56584 .xword 0x2bf3ed90e45f6e2c
56585 .xword 0xbde9826cb3aa367f
56586 .xword 0xeb8af7ef5c9e1193
56587 .xword 0x8f3efcaf63b46bae
56588 .xword 0x147767ed476d792c
56589 .xword 0x54166ff0e3adb1d5
56590 .xword 0x7f9d19b35876b37a
56591 .xword 0x9c3602393759377d
56592 .xword 0xe7d9a3e116743c4b
56593 .xword 0xb45ebf3296973068
56594 .xword 0x875eb1f2b2fe8abf
56595 .xword 0xd820506df55ad752
56596 .xword 0xb52c5b05a42e8cad
56597 .xword 0x1cece872e58dee97
56598 .xword 0x9ebc2f2459a26615
56599 .xword 0xb31e85dccb92e227
56600 .xword 0x452d0ccd1b5867e6
56601 .xword 0xfea48ccb0ad0ab10
56602 .xword 0x688cdf72b94097f1
56603 .xword 0xa6a9f9a9b5054b14
56604 .xword 0x8991d024599b4ca0
56605 .xword 0xbb19dfcc283445ba
56606 .xword 0xb1499f0f55b35745
56607 .xword 0xab441e53f78a877f
56608 .xword 0x80e0179a71b8197e
56609 .xword 0xf495b670272de384
56610 .xword 0xcdea628f96eb9b36
56611 .xword 0xac044c74a6cb5101
56612 .xword 0x5bcd5d9f53788435
56613 .xword 0x015f1bc5390231fb
56614 .xword 0x6328769d4597f4fd
56615 .xword 0x5aeacebdf1d29c3b
56616_t1_hash_dest:
56617 .xword 0xDEADBEEFDEADBEEF
56618 .xword 0xDEADBEEFDEADBEEF
56619 .xword 0xDEADBEEFDEADBEEF
56620 .xword 0xDEADBEEFDEADBEEF
56621 .xword 0xDEADBEEFDEADBEEF
56622 .xword 0xDEADBEEFDEADBEEF
56623 .xword 0xDEADBEEFDEADBEEF
56624 .xword 0xDEADBEEFDEADBEEF
56625 .xword 0xDEADBEEFDEADBEEF
56626 .xword 0xDEADBEEFDEADBEEF
56627 .xword 0xDEADBEEFDEADBEEF
56628 .xword 0xDEADBEEFDEADBEEF
56629 .xword 0xDEADBEEFDEADBEEF
56630 .xword 0xDEADBEEFDEADBEEF
56631 .xword 0xDEADBEEFDEADBEEF
56632 .xword 0xDEADBEEFDEADBEEF
56633 .xword 0xDEADBEEFDEADBEEF
56634 .xword 0xDEADBEEFDEADBEEF
56635 .xword 0xDEADBEEFDEADBEEF
56636 .xword 0xDEADBEEFDEADBEEF
56637 .xword 0xDEADBEEFDEADBEEF
56638 .xword 0xDEADBEEFDEADBEEF
56639 .xword 0xDEADBEEFDEADBEEF
56640 .xword 0xDEADBEEFDEADBEEF
56641 .xword 0xDEADBEEFDEADBEEF
56642 .xword 0xDEADBEEFDEADBEEF
56643 .xword 0xDEADBEEFDEADBEEF
56644 .xword 0xDEADBEEFDEADBEEF
56645 .xword 0xDEADBEEFDEADBEEF
56646 .xword 0xDEADBEEFDEADBEEF
56647 .xword 0xDEADBEEFDEADBEEF
56648 .xword 0xDEADBEEFDEADBEEF
56649 .xword 0xDEADBEEFDEADBEEF
56650 .xword 0xDEADBEEFDEADBEEF
56651 .xword 0xDEADBEEFDEADBEEF
56652 .xword 0xDEADBEEFDEADBEEF
56653 .xword 0xDEADBEEFDEADBEEF
56654 .xword 0xDEADBEEFDEADBEEF
56655 .xword 0xDEADBEEFDEADBEEF
56656 .xword 0xDEADBEEFDEADBEEF
56657 .xword 0xDEADBEEFDEADBEEF
56658 .xword 0xDEADBEEFDEADBEEF
56659 .xword 0xDEADBEEFDEADBEEF
56660 .xword 0xDEADBEEFDEADBEEF
56661 .xword 0xDEADBEEFDEADBEEF
56662 .xword 0xDEADBEEFDEADBEEF
56663 .xword 0xDEADBEEFDEADBEEF
56664 .xword 0xDEADBEEFDEADBEEF
56665 .xword 0xDEADBEEFDEADBEEF
56666 .xword 0xDEADBEEFDEADBEEF
56667 .xword 0xDEADBEEFDEADBEEF
56668 .xword 0xDEADBEEFDEADBEEF
56669 .xword 0xDEADBEEFDEADBEEF
56670 .xword 0xDEADBEEFDEADBEEF
56671 .xword 0xDEADBEEFDEADBEEF
56672 .xword 0xDEADBEEFDEADBEEF
56673 .xword 0xDEADBEEFDEADBEEF
56674 .xword 0xDEADBEEFDEADBEEF
56675 .xword 0xDEADBEEFDEADBEEF
56676 .xword 0xDEADBEEFDEADBEEF
56677 .xword 0xDEADBEEFDEADBEEF
56678 .xword 0xDEADBEEFDEADBEEF
56679 .xword 0xDEADBEEFDEADBEEF
56680 .xword 0xDEADBEEFDEADBEEF
56681 .xword 0xDEADBEEFDEADBEEF
56682 .xword 0xDEADBEEFDEADBEEF
56683 .xword 0xDEADBEEFDEADBEEF
56684 .xword 0xDEADBEEFDEADBEEF
56685 .xword 0xDEADBEEFDEADBEEF
56686 .xword 0xDEADBEEFDEADBEEF
56687 .xword 0xDEADBEEFDEADBEEF
56688 .xword 0xDEADBEEFDEADBEEF
56689 .xword 0xDEADBEEFDEADBEEF
56690 .xword 0xDEADBEEFDEADBEEF
56691 .xword 0xDEADBEEFDEADBEEF
56692 .xword 0xDEADBEEFDEADBEEF
56693 .xword 0xDEADBEEFDEADBEEF
56694 .xword 0xDEADBEEFDEADBEEF
56695 .xword 0xDEADBEEFDEADBEEF
56696 .xword 0xDEADBEEFDEADBEEF
56697 .xword 0xDEADBEEFDEADBEEF
56698 .xword 0xDEADBEEFDEADBEEF
56699 .xword 0xDEADBEEFDEADBEEF
56700 .xword 0xDEADBEEFDEADBEEF
56701 .xword 0xDEADBEEFDEADBEEF
56702 .xword 0xDEADBEEFDEADBEEF
56703 .xword 0xDEADBEEFDEADBEEF
56704 .xword 0xDEADBEEFDEADBEEF
56705 .xword 0xDEADBEEFDEADBEEF
56706 .xword 0xDEADBEEFDEADBEEF
56707 .xword 0xDEADBEEFDEADBEEF
56708 .xword 0xDEADBEEFDEADBEEF
56709 .xword 0xDEADBEEFDEADBEEF
56710 .xword 0xDEADBEEFDEADBEEF
56711 .xword 0xDEADBEEFDEADBEEF
56712 .xword 0xDEADBEEFDEADBEEF
56713 .xword 0xDEADBEEFDEADBEEF
56714 .xword 0xDEADBEEFDEADBEEF
56715 .xword 0xDEADBEEFDEADBEEF
56716 .xword 0xDEADBEEFDEADBEEF
56717 .xword 0xDEADBEEFDEADBEEF
56718 .xword 0xDEADBEEFDEADBEEF
56719 .xword 0xDEADBEEFDEADBEEF
56720 .xword 0xDEADBEEFDEADBEEF
56721 .xword 0xDEADBEEFDEADBEEF
56722 .xword 0xDEADBEEFDEADBEEF
56723 .xword 0xDEADBEEFDEADBEEF
56724 .xword 0xDEADBEEFDEADBEEF
56725 .xword 0xDEADBEEFDEADBEEF
56726 .xword 0xDEADBEEFDEADBEEF
56727 .xword 0xDEADBEEFDEADBEEF
56728 .xword 0xDEADBEEFDEADBEEF
56729 .xword 0xDEADBEEFDEADBEEF
56730 .xword 0xDEADBEEFDEADBEEF
56731 .xword 0xDEADBEEFDEADBEEF
56732 .xword 0xDEADBEEFDEADBEEF
56733 .xword 0xDEADBEEFDEADBEEF
56734 .xword 0xDEADBEEFDEADBEEF
56735 .xword 0xDEADBEEFDEADBEEF
56736 .xword 0xDEADBEEFDEADBEEF
56737 .xword 0xDEADBEEFDEADBEEF
56738 .xword 0xDEADBEEFDEADBEEF
56739 .xword 0xDEADBEEFDEADBEEF
56740 .xword 0xDEADBEEFDEADBEEF
56741 .xword 0xDEADBEEFDEADBEEF
56742 .xword 0xDEADBEEFDEADBEEF
56743 .xword 0xDEADBEEFDEADBEEF
56744 .xword 0xDEADBEEFDEADBEEF
56745 .xword 0xDEADBEEFDEADBEEF
56746 .xword 0xDEADBEEFDEADBEEF
56747 .xword 0xDEADBEEFDEADBEEF
56748 .xword 0xDEADBEEFDEADBEEF
56749 .xword 0xDEADBEEFDEADBEEF
56750 .xword 0xDEADBEEFDEADBEEF
56751 .xword 0xDEADBEEFDEADBEEF
56752 .xword 0xDEADBEEFDEADBEEF
56753 .xword 0xDEADBEEFDEADBEEF
56754 .xword 0xDEADBEEFDEADBEEF
56755 .xword 0xDEADBEEFDEADBEEF
56756 .xword 0xDEADBEEFDEADBEEF
56757 .xword 0xDEADBEEFDEADBEEF
56758 .xword 0xDEADBEEFDEADBEEF
56759 .xword 0xDEADBEEFDEADBEEF
56760 .xword 0xDEADBEEFDEADBEEF
56761 .xword 0xDEADBEEFDEADBEEF
56762 .xword 0xDEADBEEFDEADBEEF
56763 .xword 0xDEADBEEFDEADBEEF
56764 .xword 0xDEADBEEFDEADBEEF
56765 .xword 0xDEADBEEFDEADBEEF
56766 .xword 0xDEADBEEFDEADBEEF
56767 .xword 0xDEADBEEFDEADBEEF
56768 .xword 0xDEADBEEFDEADBEEF
56769 .xword 0xDEADBEEFDEADBEEF
56770 .xword 0xDEADBEEFDEADBEEF
56771 .xword 0xDEADBEEFDEADBEEF
56772 .xword 0xDEADBEEFDEADBEEF
56773 .xword 0xDEADBEEFDEADBEEF
56774 .xword 0xDEADBEEFDEADBEEF
56775 .xword 0xDEADBEEFDEADBEEF
56776 .xword 0xDEADBEEFDEADBEEF
56777 .xword 0xDEADBEEFDEADBEEF
56778 .xword 0xDEADBEEFDEADBEEF
56779 .xword 0xDEADBEEFDEADBEEF
56780 .xword 0xDEADBEEFDEADBEEF
56781 .xword 0xDEADBEEFDEADBEEF
56782 .xword 0xDEADBEEFDEADBEEF
56783 .xword 0xDEADBEEFDEADBEEF
56784 .xword 0xDEADBEEFDEADBEEF
56785 .xword 0xDEADBEEFDEADBEEF
56786 .xword 0xDEADBEEFDEADBEEF
56787 .xword 0xDEADBEEFDEADBEEF
56788 .xword 0xDEADBEEFDEADBEEF
56789 .xword 0xDEADBEEFDEADBEEF
56790 .xword 0xDEADBEEFDEADBEEF
56791 .xword 0xDEADBEEFDEADBEEF
56792 .xword 0xDEADBEEFDEADBEEF
56793 .xword 0xDEADBEEFDEADBEEF
56794 .xword 0xDEADBEEFDEADBEEF
56795 .xword 0xDEADBEEFDEADBEEF
56796 .xword 0xDEADBEEFDEADBEEF
56797 .xword 0xDEADBEEFDEADBEEF
56798 .xword 0xDEADBEEFDEADBEEF
56799 .xword 0xDEADBEEFDEADBEEF
56800 .xword 0xDEADBEEFDEADBEEF
56801 .xword 0xDEADBEEFDEADBEEF
56802 .xword 0xDEADBEEFDEADBEEF
56803 .xword 0xDEADBEEFDEADBEEF
56804 .xword 0xDEADBEEFDEADBEEF
56805 .xword 0xDEADBEEFDEADBEEF
56806 .xword 0xDEADBEEFDEADBEEF
56807 .xword 0xDEADBEEFDEADBEEF
56808 .xword 0xDEADBEEFDEADBEEF
56809 .xword 0xDEADBEEFDEADBEEF
56810 .xword 0xDEADBEEFDEADBEEF
56811 .xword 0xDEADBEEFDEADBEEF
56812 .xword 0xDEADBEEFDEADBEEF
56813 .xword 0xDEADBEEFDEADBEEF
56814 .xword 0xDEADBEEFDEADBEEF
56815 .xword 0xDEADBEEFDEADBEEF
56816 .xword 0xDEADBEEFDEADBEEF
56817 .xword 0xDEADBEEFDEADBEEF
56818 .xword 0xDEADBEEFDEADBEEF
56819 .xword 0xDEADBEEFDEADBEEF
56820 .xword 0xDEADBEEFDEADBEEF
56821 .xword 0xDEADBEEFDEADBEEF
56822 .xword 0xDEADBEEFDEADBEEF
56823 .xword 0xDEADBEEFDEADBEEF
56824 .xword 0xDEADBEEFDEADBEEF
56825 .xword 0xDEADBEEFDEADBEEF
56826 .xword 0xDEADBEEFDEADBEEF
56827 .xword 0xDEADBEEFDEADBEEF
56828 .xword 0xDEADBEEFDEADBEEF
56829 .xword 0xDEADBEEFDEADBEEF
56830 .xword 0xDEADBEEFDEADBEEF
56831 .xword 0xDEADBEEFDEADBEEF
56832 .xword 0xDEADBEEFDEADBEEF
56833 .xword 0xDEADBEEFDEADBEEF
56834 .xword 0xDEADBEEFDEADBEEF
56835 .xword 0xDEADBEEFDEADBEEF
56836 .xword 0xDEADBEEFDEADBEEF
56837 .xword 0xDEADBEEFDEADBEEF
56838 .xword 0xDEADBEEFDEADBEEF
56839 .xword 0xDEADBEEFDEADBEEF
56840 .xword 0xDEADBEEFDEADBEEF
56841 .xword 0xDEADBEEFDEADBEEF
56842 .xword 0xDEADBEEFDEADBEEF
56843 .xword 0xDEADBEEFDEADBEEF
56844 .xword 0xDEADBEEFDEADBEEF
56845 .xword 0xDEADBEEFDEADBEEF
56846 .xword 0xDEADBEEFDEADBEEF
56847 .xword 0xDEADBEEFDEADBEEF
56848 .xword 0xDEADBEEFDEADBEEF
56849 .xword 0xDEADBEEFDEADBEEF
56850 .xword 0xDEADBEEFDEADBEEF
56851 .xword 0xDEADBEEFDEADBEEF
56852 .xword 0xDEADBEEFDEADBEEF
56853 .xword 0xDEADBEEFDEADBEEF
56854 .xword 0xDEADBEEFDEADBEEF
56855 .xword 0xDEADBEEFDEADBEEF
56856 .xword 0xDEADBEEFDEADBEEF
56857 .xword 0xDEADBEEFDEADBEEF
56858 .xword 0xDEADBEEFDEADBEEF
56859 .xword 0xDEADBEEFDEADBEEF
56860 .xword 0xDEADBEEFDEADBEEF
56861 .xword 0xDEADBEEFDEADBEEF
56862 .xword 0xDEADBEEFDEADBEEF
56863 .xword 0xDEADBEEFDEADBEEF
56864 .xword 0xDEADBEEFDEADBEEF
56865 .xword 0xDEADBEEFDEADBEEF
56866 .xword 0xDEADBEEFDEADBEEF
56867 .xword 0xDEADBEEFDEADBEEF
56868 .xword 0xDEADBEEFDEADBEEF
56869 .xword 0xDEADBEEFDEADBEEF
56870 .xword 0xDEADBEEFDEADBEEF
56871 .xword 0xDEADBEEFDEADBEEF
56872 .xword 0xDEADBEEFDEADBEEF
56873 .xword 0xDEADBEEFDEADBEEF
56874 .xword 0xDEADBEEFDEADBEEF
56875 .xword 0xDEADBEEFDEADBEEF
56876 .xword 0xDEADBEEFDEADBEEF
56877 .xword 0xDEADBEEFDEADBEEF
56878 .xword 0xDEADBEEFDEADBEEF
56879 .xword 0xDEADBEEFDEADBEEF
56880 .xword 0xDEADBEEFDEADBEEF
56881 .xword 0xDEADBEEFDEADBEEF
56882 .xword 0xDEADBEEFDEADBEEF
56883 .xword 0xDEADBEEFDEADBEEF
56884 .xword 0xDEADBEEFDEADBEEF
56885 .xword 0xDEADBEEFDEADBEEF
56886 .xword 0xDEADBEEFDEADBEEF
56887 .xword 0xDEADBEEFDEADBEEF
56888 .xword 0xDEADBEEFDEADBEEF
56889 .xword 0xDEADBEEFDEADBEEF
56890 .xword 0xDEADBEEFDEADBEEF
56891 .xword 0xDEADBEEFDEADBEEF
56892_t1_hash_auth_key:
56893 .xword 0xbd2dbd285671a721
56894 .xword 0x70da28d86120bbab
56895 .xword 0xb0d834ba60e9fbb9
56896 .xword 0x093496b51a45a2d4
56897 .xword 0xf2c0455d0560e090
56898 .xword 0x80b9f9a017304241
56899 .xword 0xd63df77cdbba0d1f
56900 .xword 0x5cb48f773bec3a6e
56901 .xword 0x887bf6572fa7c9b5
56902 .xword 0xae98a6d1202f227a
56903 .xword 0xeaef78bc7db15aa8
56904 .xword 0x80b291cb1f497821
56905 .xword 0xedb656333e7691b7
56906 .xword 0xc22b1288582f5a08
56907 .xword 0xffa48f29045fae59
56908 .xword 0x99ba4e3ec40f9836
56909 .xword 0xe4f8e23b88e54669
56910 .xword 0x178bfacbefed40ed
56911 .xword 0xe27bfedbc666106f
56912 .xword 0xb034ac99100fd54f
56913 .xword 0xa079b2e12e2ab697
56914 .xword 0x7ed5e20ba0537c0d
56915 .xword 0xec55ffb3ad94acf1
56916_t1_hash_auth_iv:
56917 .xword 0x043d905f7f8f1d05
56918 .xword 0x648fded9c5f087b0
56919 .xword 0x7479ddacbadf732a
56920 .xword 0x8b2fe6d6e3ac2940
56921 .xword 0x269417405abb5884
56922 .xword 0xb264b392e4be8bd9
56923 .xword 0x0bd6759221badde7
56924 .xword 0xa5929440880fdfdd
56925 .xword 0x7510cd9709387d44
56926 .xword 0xc9170ad9d7e111b9
56927 .xword 0x18933d5c2d9c9783
56928 .xword 0x7f9d1b4b41c941cd
56929 .xword 0x9e05ac26a2a589e9
56930 .xword 0xf3e97772e596c9ca
56931 .xword 0x0b6bd1a1199b7568
56932 .xword 0x62c90067ea4a0ba3
56933 .xword 0x39e86a4c40d5053d
56934 .xword 0x54690b24354740e0
56935 .xword 0x4e71441deda029c4
56936 .xword 0x9e73825635a3909e
56937 .xword 0x8d05d75b05eebd52
56938 .xword 0xbcee184d5d0f572d
56939 .xword 0x62c61db253e0f0a1
56940_t1_hash_fas_result:
56941 .xword 0xDEADBEEFDEADBEEF
56942 .xword 0xDEADBEEFDEADBEEF
56943 .xword 0xDEADBEEFDEADBEEF
56944 .xword 0xDEADBEEFDEADBEEF
56945 .xword 0xDEADBEEFDEADBEEF
56946 .xword 0xDEADBEEFDEADBEEF
56947 .xword 0xDEADBEEFDEADBEEF
56948 .xword 0xDEADBEEFDEADBEEF
56949 .xword 0xDEADBEEFDEADBEEF
56950 .xword 0xDEADBEEFDEADBEEF
56951 .xword 0xDEADBEEFDEADBEEF
56952 .xword 0xDEADBEEFDEADBEEF
56953 .xword 0xDEADBEEFDEADBEEF
56954 .xword 0xDEADBEEFDEADBEEF
56955 .xword 0xDEADBEEFDEADBEEF
56956 .xword 0xDEADBEEFDEADBEEF
56957 .xword 0xDEADBEEFDEADBEEF
56958 .xword 0xDEADBEEFDEADBEEF
56959 .xword 0xDEADBEEFDEADBEEF
56960 .xword 0xDEADBEEFDEADBEEF
56961 .xword 0xDEADBEEFDEADBEEF
56962 .xword 0xDEADBEEFDEADBEEF
56963 .xword 0xDEADBEEFDEADBEEF
56964_t1_hmac_key_array:
56965 .xword 0x556febe3eeceec51
56966 .xword 0x316b05d3c119e42c
56967 .xword 0xd5585cb9f6141fd4
56968 .xword 0x02110ecd752f68b6
56969 .xword 0x319dcc3e220b4b9c
56970 .xword 0xdbed876e08c7182e
56971 .xword 0x3a167ca5bffe83ee
56972 .xword 0x8eb2081875f9ce03
56973 .xword 0xee4da6200de4d06b
56974 .xword 0x747cb92df7cfb295
56975 .xword 0xcb5c51d140a1b8f2
56976 .xword 0x1e6f9e9815d66436
56977 .xword 0x047c4d397dedff0f
56978 .xword 0xa76951aaa5cf797a
56979 .xword 0x1e8c14cae34079d5
56980 .xword 0x2771581bc0d3ad46
56981 .xword 0xce95be039d98707a
56982 .xword 0xf279230e1e8a5207
56983 .xword 0x67130962f791ac13
56984 .xword 0x4dc3a4c174d92a59
56985 .xword 0xb1c2c88dbf6de6bb
56986 .xword 0xe2250425782dbcc4
56987 .xword 0x743306dc0fe4da9f
56988 .xword 0xb1beed445b315499
56989 .xword 0xc491256beb8f6c6e
56990 .xword 0xfa805b9c877b4636
56991 .xword 0x564e782143bda81b
56992 .xword 0x4ea50dfb88444128
56993 .xword 0x94eecbc1d28d8d49
56994 .xword 0x440fd484bfda1a7a
56995 .xword 0xb3f44c2b5006d53f
56996 .xword 0xc9bc6b9df4fb2a09
56997 .xword 0x14895d3b3bc504cb
56998 .xword 0x4cdbd2d7f2e2a0e9
56999 .xword 0xd8af025d15165e13
57000 .xword 0xae7f7bfd3d97bec4
57001 .xword 0xc07225650b5de6af
57002 .xword 0x64abcf3803ca94d0
57003 .xword 0xa6fd0553e135449e
57004 .xword 0x0c0a0116ef7312e8
57005 .xword 0x9996e3a8a3580098
57006 .xword 0xb735f977de545b96
57007 .xword 0xc47754d10c4395e3
57008 .xword 0xe66fe318ddfa0ebf
57009 .xword 0x52103d9a4b814a34
57010 .xword 0x41d222e358ec97d7
57011 .xword 0x27e9e3534c8a002a
57012 .xword 0x3220de80c61458bb
57013 .xword 0x0e8828401155b07f
57014 .xword 0x9097404464eefac4
57015 .xword 0x8065c0ab7dd51b7d
57016_t1_hmac_iv_array:
57017 .xword 0x52657ab2e0e16e29
57018 .xword 0x75088aa564a718e1
57019 .xword 0xd40a761b68a18090
57020 .xword 0xe661b322a2097c32
57021 .xword 0x4b6b3d308ad3311b
57022 .xword 0xe49cfefd77be601f
57023 .xword 0xa2d2da7261c4db97
57024 .xword 0x28f6bf31a9f28554
57025 .xword 0x6a09fdb05e71468e
57026 .xword 0x68573db846b99475
57027 .xword 0x38d7ed74f6cf0d9f
57028 .xword 0xcf7f408a2bc79201
57029 .xword 0xfb9e299d82edd028
57030 .xword 0xecddadbcbcefec27
57031 .xword 0xe23510f39e7f59f3
57032 .xword 0x627a0c5af8717d28
57033 .xword 0x1e98f595bb8c6bb6
57034 .xword 0x9d272c24006684fa
57035 .xword 0x60de9f96bb33f6e5
57036 .xword 0xb0d07d1a16f08a79
57037 .xword 0xa74f8e6cd94531ed
57038 .xword 0x4289aec38b42a8de
57039 .xword 0x867c3a090561594b
57040 .xword 0x161cabbc72d64e28
57041 .xword 0xa05dd50955152c3c
57042 .xword 0x7b6401b9e360ad94
57043 .xword 0xb4a192fb2817f4b3
57044 .xword 0x6ae1059e73e9af2a
57045 .xword 0xd9eca0044d4afc5e
57046 .xword 0x4864fb58a753845b
57047 .xword 0xa76d2b08243dced3
57048 .xword 0x8a8053ce32ebaaec
57049 .xword 0x5ecfeddbb92f8d0a
57050 .xword 0xf658df83293e09ab
57051 .xword 0xc31609b2c9881298
57052 .xword 0xb1baebd6a2f4e3f8
57053 .xword 0xae85ad00f1f84db3
57054 .xword 0x9fdc731ea1cb0725
57055 .xword 0x90e0f2b1b536ac7d
57056 .xword 0x78929e87059185fa
57057 .xword 0xc0c5534adde92cb8
57058 .xword 0x1a87a9d5b23d4439
57059 .xword 0x39c104028a4bee0c
57060 .xword 0x9d9df05f7f800720
57061 .xword 0x8e3b9d1a792948d2
57062_t1_hmac_alignment_array:
57063 .xword 5
57064 .xword 11
57065 .xword 1
57066 .xword 3
57067 .xword 13
57068 .xword 5
57069 .xword 7
57070 .xword 0
57071 .xword 6
57072 .xword 13
57073 .xword 11
57074 .xword 5
57075 .xword 14
57076 .xword 6
57077 .xword 10
57078 .xword 10
57079 .xword 6
57080 .xword 10
57081 .xword 10
57082 .xword 11
57083 .xword 5
57084 .xword 1
57085 .xword 11
57086 .xword 8
57087 .xword 0
57088 .xword 13
57089 .xword 12
57090 .xword 14
57091 .xword 15
57092 .xword 11
57093 .xword 5
57094 .xword 1
57095 .xword 4
57096 .xword 3
57097 .xword 0
57098 .xword 2
57099 .xword 7
57100 .xword 6
57101 .xword 12
57102 .xword 3
57103 .xword 10
57104 .xword 1
57105 .xword 1
57106 .xword 1
57107 .xword 10
57108 .xword 5
57109 .xword 2
57110 .xword 6
57111 .xword 8
57112 .xword 12
57113 .xword 7
57114 .xword 1
57115 .xword 12
57116 .xword 14
57117 .xword 12
57118 .xword 4
57119 .xword 6
57120 .xword 8
57121 .xword 12
57122 .xword 14
57123 .xword 1
57124 .xword 13
57125 .xword 2
57126 .xword 11
57127 .xword 0
57128 .xword 0
57129 .xword 11
57130 .xword 6
57131 .xword 1
57132 .xword 4
57133 .xword 8
57134 .xword 5
57135 .xword 13
57136 .xword 13
57137 .xword 9
57138 .xword 15
57139 .xword 2
57140 .xword 15
57141 .xword 12
57142 .xword 8
57143 .xword 13
57144 .xword 9
57145 .xword 2
57146 .xword 2
57147 .xword 1
57148 .xword 15
57149 .xword 8
57150 .xword 5
57151 .xword 8
57152 .xword 13
57153 .xword 10
57154 .xword 15
57155 .xword 14
57156 .xword 14
57157 .xword 11
57158 .xword 1
57159 .xword 4
57160 .xword 2
57161 .xword 7
57162 .xword 8
57163 .xword 7
57164 .xword 13
57165 .xword 13
57166 .xword 3
57167 .xword 14
57168_t1_hmac_src:
57169 .xword 0x5dd959736661e66f
57170 .xword 0x34db8bd566cd5a8f
57171 .xword 0x1dc158be4d2cfe4e
57172 .xword 0x9c3b219d504923b2
57173 .xword 0xb25f21d335ca7d3f
57174 .xword 0xdd7b99f6329a716f
57175 .xword 0x6309bd7b820d18b9
57176 .xword 0xbe150b57b18b3aba
57177 .xword 0xf30717f4a2e16fac
57178 .xword 0xc4a7747c3a8b2613
57179 .xword 0xef6931b4165bb4a8
57180 .xword 0xad2086665b15c011
57181 .xword 0x5ad81050be417cfc
57182 .xword 0x85ad75bf9b5100d5
57183 .xword 0xd42a958204f8f0c1
57184 .xword 0x0bd724e1815bd431
57185 .xword 0x09a9ed4dd0909591
57186 .xword 0xbae8b0248a454472
57187 .xword 0xb67126ac5bd7510e
57188 .xword 0x13f0ca82457baa39
57189 .xword 0xdfe7b1d2c2f206ca
57190 .xword 0xa4a6e0781857e196
57191 .xword 0x73c26f01cbe0d834
57192 .xword 0xef39ce9604257c3a
57193 .xword 0x0db72886bc333c17
57194 .xword 0x1e2281082872e8a8
57195 .xword 0x2aa67decf1239b6c
57196 .xword 0x6e88380821a53f7e
57197 .xword 0x61df3d62464b4a44
57198 .xword 0xdfee779af53b626a
57199 .xword 0xe098793be97c2344
57200 .xword 0x3c5ec5058d5934a0
57201 .xword 0xf720453475101b99
57202 .xword 0x15704e4376831e7c
57203 .xword 0x2d25691b58f911ef
57204 .xword 0x322188f204f6b186
57205 .xword 0x3740749442fa529e
57206 .xword 0x10d1f87309421178
57207 .xword 0xe2073e5c6830ce54
57208 .xword 0xf2e6d057e281e00b
57209 .xword 0xaba8d50a964d530d
57210 .xword 0x8e0fdadfb050676d
57211 .xword 0x8f8678bee65c7577
57212 .xword 0xbfeafa26aef8c5fe
57213 .xword 0xea11d42228c88a2a
57214 .xword 0x1a4356e38f47149c
57215 .xword 0x639608fcf3f83bf1
57216 .xword 0xfecb036789bc9aa6
57217 .xword 0x04dbc8a687cff223
57218 .xword 0x903619f02e6f3671
57219 .xword 0xf49b3755c9c1c037
57220 .xword 0x917923df6ef0e1e4
57221 .xword 0x411fba612e32ae9f
57222 .xword 0x2e742bd18b28ce24
57223 .xword 0xbb77992eafcb39e1
57224 .xword 0xa671680db5c1be4f
57225 .xword 0x1ec1cc50b9a39c0b
57226 .xword 0x7197d6ac9e6f5d61
57227 .xword 0xbd7b503f69c78184
57228 .xword 0x93dc4139f337e9f6
57229 .xword 0x9727ec5171bc9a1d
57230 .xword 0x5e1a6ca3c86b65cd
57231 .xword 0x8c086908a689a52b
57232 .xword 0xa5c73d1a7887da59
57233 .xword 0xf17229c6b4e15e3e
57234 .xword 0x733b7b42773a94e3
57235 .xword 0x10ec22c78cf71d5e
57236 .xword 0x5746806c4ceab1f4
57237 .xword 0x0df0ad0d38e13056
57238 .xword 0xc40de1e44f174950
57239 .xword 0x1b00b2486507e856
57240 .xword 0x5c01cc20937a3163
57241 .xword 0x2b09b89c98af2f81
57242 .xword 0x163db9e99e7a051f
57243 .xword 0x00ff89ded4e2633d
57244 .xword 0xcc3e15df034fb93f
57245 .xword 0x00cf73c5dc65dca0
57246 .xword 0xea626ad5b746310f
57247 .xword 0xf2d023041dee4d70
57248 .xword 0x52c0d331da7970a4
57249 .xword 0xebe0ad0ba7efe8b4
57250 .xword 0xd24aad5454b7cc50
57251 .xword 0x292e4ff72578abfc
57252 .xword 0xcc5582ccb530a0c3
57253 .xword 0x7538cea40039e882
57254 .xword 0xe39ae8172f8ffd10
57255 .xword 0x515f3b5a96a0d42e
57256 .xword 0x2d133d7da454cf56
57257 .xword 0x15621655156c2b8f
57258 .xword 0xdc0d29711bfc2b3c
57259 .xword 0x92975d1eff999b65
57260 .xword 0xd0fa819b25da63b3
57261 .xword 0xcf1f004b24e6387d
57262 .xword 0xf3943488ae3d6da9
57263 .xword 0x362b29e38ec53616
57264 .xword 0x00f62e616ea24e8c
57265 .xword 0x8bcff6f254268062
57266 .xword 0xefdb60c536129b85
57267 .xword 0x65bf33045176b0b1
57268 .xword 0x6bac52eb1dff4ba8
57269 .xword 0x71314e745fb86e38
57270 .xword 0x190c4ef10e592114
57271 .xword 0x033e6c1f281349c5
57272 .xword 0x1464d374b492901c
57273 .xword 0x6e651d935d643953
57274 .xword 0x6f2fe09aefeccc9b
57275 .xword 0x9b2044150918e2da
57276 .xword 0xd5a70f804613b9ad
57277 .xword 0xbfb0cf6aa4d0374a
57278 .xword 0xfe27b5c849f21436
57279 .xword 0x06a9a95af1cd0beb
57280 .xword 0xc83d2fd63baa5916
57281 .xword 0xe1b9eadeeda84fd3
57282 .xword 0xb447054c82ff10e1
57283 .xword 0x45aa52ea73f8861b
57284 .xword 0xacf28c77865d1f9f
57285 .xword 0x1c7ba81af637ca27
57286 .xword 0xb7bf6331938429be
57287 .xword 0x7fab908281b6568f
57288 .xword 0x1c82eb90947bd3dc
57289 .xword 0xa397e45dfb9e2d50
57290 .xword 0xa3a086cf8eff359c
57291 .xword 0x7797231c9c68f67c
57292 .xword 0x4a71e3d12f784032
57293 .xword 0x23057747ee7d682d
57294 .xword 0x40322a3aecc9d890
57295 .xword 0x73fc17cefffd6812
57296 .xword 0x7e8db2b6fa2017ab
57297 .xword 0xda4e1cc83fb7d9f8
57298 .xword 0xfb54f796b14b8252
57299 .xword 0x8985d12eedf23df6
57300 .xword 0x5a7ed6b124335175
57301 .xword 0xa3b48fd08e62ba97
57302 .xword 0x011e384532f4ed26
57303 .xword 0xe26cbb1de2e382a5
57304 .xword 0xd964fcf6727d52d7
57305 .xword 0x444fae21d8798ee7
57306 .xword 0x967be4644a9d5470
57307 .xword 0xf9f8f6a85e942776
57308 .xword 0xd96dd712af67c15e
57309 .xword 0x905c7ed1faf251da
57310 .xword 0xb76fd531281e69b2
57311 .xword 0x8453b941fda7c411
57312 .xword 0x6a508189400e4edf
57313 .xword 0x22b5b4605fafc7cb
57314 .xword 0xba7bfbf33e06658d
57315 .xword 0x2c3c3e4cdb41c904
57316 .xword 0x31010f5fe222691c
57317 .xword 0xd430d278a649e7f5
57318 .xword 0x0f3065caea8a448e
57319 .xword 0x2d8b00e7b08f1769
57320 .xword 0x140645aa6354e152
57321 .xword 0xd5f93e3b83ff0cf6
57322 .xword 0xc748e3e3f8725ef5
57323 .xword 0x8322b81833aac749
57324 .xword 0x4191fbb6d14a1017
57325 .xword 0x2bf1fd35ec3e2769
57326 .xword 0x2bdd448dbefa0d28
57327 .xword 0xa5fcc87aedfaeae7
57328 .xword 0x023f9ee215098fa3
57329 .xword 0x41818c459e19679a
57330 .xword 0xbc3e7447b44331a7
57331 .xword 0x5b8f1ed155e45730
57332 .xword 0x67923e0c99910854
57333 .xword 0x223e9ab4574334a2
57334 .xword 0x04511bf50ac96ec1
57335 .xword 0x44aeb9308fbf456e
57336 .xword 0xcad06af7c3b7d352
57337 .xword 0xd9ff2f139a227be5
57338 .xword 0xfd44e0ac3fc8d615
57339 .xword 0x61b81b4f0885150c
57340 .xword 0xce585ad2d2c3e972
57341 .xword 0x1501ca2ef0726fc4
57342 .xword 0xf67509a7ebefc42d
57343 .xword 0x9db3c633b9cda878
57344 .xword 0x76497d686e9969d6
57345 .xword 0x2d06aa2dd158ba0f
57346 .xword 0x2627d521eb960b69
57347 .xword 0xd3dda65e00356139
57348 .xword 0x96a0d24ad82b505a
57349 .xword 0x62aabfe497fcb163
57350 .xword 0xd0f9ca9d1a6a6389
57351 .xword 0xbc0f433529d499f7
57352 .xword 0x7c36cd8aa2dbb115
57353 .xword 0xaf4d3f1838073847
57354 .xword 0xfb3900a300cb7be3
57355 .xword 0x731ba37385b05031
57356 .xword 0xd39efe69dbcc5aab
57357 .xword 0x30e9f1344ab172c0
57358 .xword 0x87f4e1cd3f51ab1a
57359 .xword 0xb1f7ac4f721d86e1
57360 .xword 0xd493295b13138a1d
57361 .xword 0xb2283ae7b7aed025
57362 .xword 0xb2029c339883cb8e
57363 .xword 0x3e784d96c5e4358f
57364 .xword 0xad03f30efbac34ad
57365 .xword 0x9d7268d6c99caa83
57366 .xword 0x961239838a9c72e2
57367 .xword 0x6a868de9587792e1
57368 .xword 0x6f35fffdd51d2122
57369 .xword 0x23c9b8b25552e667
57370 .xword 0x3cf146082801ed14
57371 .xword 0x2ca32d6e90c8a629
57372 .xword 0x8381a8569711abd3
57373 .xword 0xeb003f35b3c0a7b6
57374 .xword 0x8c4d6fddbe957030
57375 .xword 0xe95b94a237e5ae57
57376 .xword 0x7eeba4f9b26b9b76
57377 .xword 0xbc009d0b3fd9b75c
57378 .xword 0xd910ee08d93a2413
57379 .xword 0xfe5e9248af2201f3
57380 .xword 0xb46190809245be39
57381 .xword 0xc71d805808006ff9
57382 .xword 0x4dfdee45582d174c
57383 .xword 0x6c4b6e15d2bf5e85
57384 .xword 0x16898500026d31a8
57385 .xword 0xf7006165ace4289c
57386 .xword 0x94620bfc47493473
57387 .xword 0xb5f096529eca2e9c
57388 .xword 0x1e1e52786d13806a
57389 .xword 0xd309c11de642a5d8
57390 .xword 0x6bb6c3a1f02121e6
57391 .xword 0x96ff2a1deb8ab3ea
57392 .xword 0x64668b7efe17344a
57393 .xword 0x320cdf7e024cc02c
57394 .xword 0x064701452c9c6c02
57395 .xword 0xdb0f27fd2c70115c
57396 .xword 0x8885aa9c1215df78
57397 .xword 0x0ed4fc4e4ff9ac44
57398 .xword 0x771e1b66dc132594
57399 .xword 0xbcce75bf885f7d37
57400 .xword 0x6d16ed5985865667
57401 .xword 0xed641f3bff76bfeb
57402 .xword 0xb246525dc83fa3e3
57403 .xword 0x7fca54f96cf3ac77
57404 .xword 0xd619946567ddd41d
57405 .xword 0xb84c632da488b52f
57406 .xword 0xc3d21f988e01e048
57407 .xword 0xac9bd46b739f9482
57408 .xword 0xf740e59b5bc949c4
57409 .xword 0x36ee3ae73d99999a
57410 .xword 0x70a251fc94393526
57411 .xword 0xf178583e08be68d1
57412 .xword 0xf1975e0e35e38997
57413 .xword 0x4158b162dd2f0dba
57414 .xword 0x74765027a76db240
57415 .xword 0xe1a15169269c0680
57416 .xword 0x9d4ecb4d730c29bf
57417 .xword 0x6531d45b894c29c1
57418 .xword 0x21567a36e138ab35
57419 .xword 0x28564727528c9e10
57420 .xword 0x7adf0be73f440020
57421 .xword 0x2a8f15bfd18b1f4d
57422 .xword 0x9eacfcc133f462dd
57423 .xword 0x8a9821d552d6eedd
57424 .xword 0x3368f3e79f439f30
57425 .xword 0xeb973391cd95b985
57426 .xword 0x503842213707c294
57427 .xword 0xcb5569b414d00f0b
57428 .xword 0x1195f4eaf23cfa82
57429 .xword 0x3b75db9b17443eb9
57430 .xword 0xc114046304a6cf8d
57431 .xword 0x8081fcb417bfe565
57432 .xword 0x3251c4936f93bb78
57433 .xword 0x68074ed5385b5bc1
57434 .xword 0x9b1fd6bdd7b96b13
57435 .xword 0x687910c2c92a6907
57436 .xword 0x66f3c4712a316d38
57437 .xword 0x0014a272738672db
57438 .xword 0xf8d4f8f93df3b600
57439 .xword 0x7a3509363be83a24
57440 .xword 0x9b5bd2ac390d0088
57441 .xword 0x832a95643dcc6ed2
57442 .xword 0x55b268ff79a658bd
57443 .xword 0xf441cb540d63ae5a
57444_t1_hmac_dest:
57445 .xword 0xDEADBEEFDEADBEEF
57446 .xword 0xDEADBEEFDEADBEEF
57447 .xword 0xDEADBEEFDEADBEEF
57448 .xword 0xDEADBEEFDEADBEEF
57449 .xword 0xDEADBEEFDEADBEEF
57450 .xword 0xDEADBEEFDEADBEEF
57451 .xword 0xDEADBEEFDEADBEEF
57452 .xword 0xDEADBEEFDEADBEEF
57453 .xword 0xDEADBEEFDEADBEEF
57454 .xword 0xDEADBEEFDEADBEEF
57455 .xword 0xDEADBEEFDEADBEEF
57456 .xword 0xDEADBEEFDEADBEEF
57457 .xword 0xDEADBEEFDEADBEEF
57458 .xword 0xDEADBEEFDEADBEEF
57459 .xword 0xDEADBEEFDEADBEEF
57460 .xword 0xDEADBEEFDEADBEEF
57461 .xword 0xDEADBEEFDEADBEEF
57462 .xword 0xDEADBEEFDEADBEEF
57463 .xword 0xDEADBEEFDEADBEEF
57464 .xword 0xDEADBEEFDEADBEEF
57465 .xword 0xDEADBEEFDEADBEEF
57466 .xword 0xDEADBEEFDEADBEEF
57467 .xword 0xDEADBEEFDEADBEEF
57468 .xword 0xDEADBEEFDEADBEEF
57469 .xword 0xDEADBEEFDEADBEEF
57470 .xword 0xDEADBEEFDEADBEEF
57471 .xword 0xDEADBEEFDEADBEEF
57472 .xword 0xDEADBEEFDEADBEEF
57473 .xword 0xDEADBEEFDEADBEEF
57474 .xword 0xDEADBEEFDEADBEEF
57475 .xword 0xDEADBEEFDEADBEEF
57476 .xword 0xDEADBEEFDEADBEEF
57477 .xword 0xDEADBEEFDEADBEEF
57478 .xword 0xDEADBEEFDEADBEEF
57479 .xword 0xDEADBEEFDEADBEEF
57480 .xword 0xDEADBEEFDEADBEEF
57481 .xword 0xDEADBEEFDEADBEEF
57482 .xword 0xDEADBEEFDEADBEEF
57483 .xword 0xDEADBEEFDEADBEEF
57484 .xword 0xDEADBEEFDEADBEEF
57485 .xword 0xDEADBEEFDEADBEEF
57486 .xword 0xDEADBEEFDEADBEEF
57487 .xword 0xDEADBEEFDEADBEEF
57488 .xword 0xDEADBEEFDEADBEEF
57489 .xword 0xDEADBEEFDEADBEEF
57490 .xword 0xDEADBEEFDEADBEEF
57491 .xword 0xDEADBEEFDEADBEEF
57492 .xword 0xDEADBEEFDEADBEEF
57493 .xword 0xDEADBEEFDEADBEEF
57494 .xword 0xDEADBEEFDEADBEEF
57495 .xword 0xDEADBEEFDEADBEEF
57496 .xword 0xDEADBEEFDEADBEEF
57497 .xword 0xDEADBEEFDEADBEEF
57498 .xword 0xDEADBEEFDEADBEEF
57499 .xword 0xDEADBEEFDEADBEEF
57500 .xword 0xDEADBEEFDEADBEEF
57501 .xword 0xDEADBEEFDEADBEEF
57502 .xword 0xDEADBEEFDEADBEEF
57503 .xword 0xDEADBEEFDEADBEEF
57504 .xword 0xDEADBEEFDEADBEEF
57505 .xword 0xDEADBEEFDEADBEEF
57506 .xword 0xDEADBEEFDEADBEEF
57507 .xword 0xDEADBEEFDEADBEEF
57508 .xword 0xDEADBEEFDEADBEEF
57509 .xword 0xDEADBEEFDEADBEEF
57510 .xword 0xDEADBEEFDEADBEEF
57511 .xword 0xDEADBEEFDEADBEEF
57512 .xword 0xDEADBEEFDEADBEEF
57513 .xword 0xDEADBEEFDEADBEEF
57514 .xword 0xDEADBEEFDEADBEEF
57515 .xword 0xDEADBEEFDEADBEEF
57516 .xword 0xDEADBEEFDEADBEEF
57517 .xword 0xDEADBEEFDEADBEEF
57518 .xword 0xDEADBEEFDEADBEEF
57519 .xword 0xDEADBEEFDEADBEEF
57520 .xword 0xDEADBEEFDEADBEEF
57521 .xword 0xDEADBEEFDEADBEEF
57522 .xword 0xDEADBEEFDEADBEEF
57523 .xword 0xDEADBEEFDEADBEEF
57524 .xword 0xDEADBEEFDEADBEEF
57525 .xword 0xDEADBEEFDEADBEEF
57526 .xword 0xDEADBEEFDEADBEEF
57527 .xword 0xDEADBEEFDEADBEEF
57528 .xword 0xDEADBEEFDEADBEEF
57529 .xword 0xDEADBEEFDEADBEEF
57530 .xword 0xDEADBEEFDEADBEEF
57531 .xword 0xDEADBEEFDEADBEEF
57532 .xword 0xDEADBEEFDEADBEEF
57533 .xword 0xDEADBEEFDEADBEEF
57534 .xword 0xDEADBEEFDEADBEEF
57535 .xword 0xDEADBEEFDEADBEEF
57536 .xword 0xDEADBEEFDEADBEEF
57537 .xword 0xDEADBEEFDEADBEEF
57538 .xword 0xDEADBEEFDEADBEEF
57539 .xword 0xDEADBEEFDEADBEEF
57540 .xword 0xDEADBEEFDEADBEEF
57541 .xword 0xDEADBEEFDEADBEEF
57542 .xword 0xDEADBEEFDEADBEEF
57543 .xword 0xDEADBEEFDEADBEEF
57544 .xword 0xDEADBEEFDEADBEEF
57545 .xword 0xDEADBEEFDEADBEEF
57546 .xword 0xDEADBEEFDEADBEEF
57547 .xword 0xDEADBEEFDEADBEEF
57548 .xword 0xDEADBEEFDEADBEEF
57549 .xword 0xDEADBEEFDEADBEEF
57550 .xword 0xDEADBEEFDEADBEEF
57551 .xword 0xDEADBEEFDEADBEEF
57552 .xword 0xDEADBEEFDEADBEEF
57553 .xword 0xDEADBEEFDEADBEEF
57554 .xword 0xDEADBEEFDEADBEEF
57555 .xword 0xDEADBEEFDEADBEEF
57556 .xword 0xDEADBEEFDEADBEEF
57557 .xword 0xDEADBEEFDEADBEEF
57558 .xword 0xDEADBEEFDEADBEEF
57559 .xword 0xDEADBEEFDEADBEEF
57560 .xword 0xDEADBEEFDEADBEEF
57561 .xword 0xDEADBEEFDEADBEEF
57562 .xword 0xDEADBEEFDEADBEEF
57563 .xword 0xDEADBEEFDEADBEEF
57564 .xword 0xDEADBEEFDEADBEEF
57565 .xword 0xDEADBEEFDEADBEEF
57566 .xword 0xDEADBEEFDEADBEEF
57567 .xword 0xDEADBEEFDEADBEEF
57568 .xword 0xDEADBEEFDEADBEEF
57569 .xword 0xDEADBEEFDEADBEEF
57570 .xword 0xDEADBEEFDEADBEEF
57571 .xword 0xDEADBEEFDEADBEEF
57572 .xword 0xDEADBEEFDEADBEEF
57573 .xword 0xDEADBEEFDEADBEEF
57574 .xword 0xDEADBEEFDEADBEEF
57575 .xword 0xDEADBEEFDEADBEEF
57576 .xword 0xDEADBEEFDEADBEEF
57577 .xword 0xDEADBEEFDEADBEEF
57578 .xword 0xDEADBEEFDEADBEEF
57579 .xword 0xDEADBEEFDEADBEEF
57580 .xword 0xDEADBEEFDEADBEEF
57581 .xword 0xDEADBEEFDEADBEEF
57582 .xword 0xDEADBEEFDEADBEEF
57583 .xword 0xDEADBEEFDEADBEEF
57584 .xword 0xDEADBEEFDEADBEEF
57585 .xword 0xDEADBEEFDEADBEEF
57586 .xword 0xDEADBEEFDEADBEEF
57587 .xword 0xDEADBEEFDEADBEEF
57588 .xword 0xDEADBEEFDEADBEEF
57589 .xword 0xDEADBEEFDEADBEEF
57590 .xword 0xDEADBEEFDEADBEEF
57591 .xword 0xDEADBEEFDEADBEEF
57592 .xword 0xDEADBEEFDEADBEEF
57593 .xword 0xDEADBEEFDEADBEEF
57594 .xword 0xDEADBEEFDEADBEEF
57595 .xword 0xDEADBEEFDEADBEEF
57596 .xword 0xDEADBEEFDEADBEEF
57597 .xword 0xDEADBEEFDEADBEEF
57598 .xword 0xDEADBEEFDEADBEEF
57599 .xword 0xDEADBEEFDEADBEEF
57600 .xword 0xDEADBEEFDEADBEEF
57601 .xword 0xDEADBEEFDEADBEEF
57602 .xword 0xDEADBEEFDEADBEEF
57603 .xword 0xDEADBEEFDEADBEEF
57604 .xword 0xDEADBEEFDEADBEEF
57605 .xword 0xDEADBEEFDEADBEEF
57606 .xword 0xDEADBEEFDEADBEEF
57607 .xword 0xDEADBEEFDEADBEEF
57608 .xword 0xDEADBEEFDEADBEEF
57609 .xword 0xDEADBEEFDEADBEEF
57610 .xword 0xDEADBEEFDEADBEEF
57611 .xword 0xDEADBEEFDEADBEEF
57612 .xword 0xDEADBEEFDEADBEEF
57613 .xword 0xDEADBEEFDEADBEEF
57614 .xword 0xDEADBEEFDEADBEEF
57615 .xword 0xDEADBEEFDEADBEEF
57616 .xword 0xDEADBEEFDEADBEEF
57617 .xword 0xDEADBEEFDEADBEEF
57618 .xword 0xDEADBEEFDEADBEEF
57619 .xword 0xDEADBEEFDEADBEEF
57620 .xword 0xDEADBEEFDEADBEEF
57621 .xword 0xDEADBEEFDEADBEEF
57622 .xword 0xDEADBEEFDEADBEEF
57623 .xword 0xDEADBEEFDEADBEEF
57624 .xword 0xDEADBEEFDEADBEEF
57625 .xword 0xDEADBEEFDEADBEEF
57626 .xword 0xDEADBEEFDEADBEEF
57627 .xword 0xDEADBEEFDEADBEEF
57628 .xword 0xDEADBEEFDEADBEEF
57629 .xword 0xDEADBEEFDEADBEEF
57630 .xword 0xDEADBEEFDEADBEEF
57631 .xword 0xDEADBEEFDEADBEEF
57632 .xword 0xDEADBEEFDEADBEEF
57633 .xword 0xDEADBEEFDEADBEEF
57634 .xword 0xDEADBEEFDEADBEEF
57635 .xword 0xDEADBEEFDEADBEEF
57636 .xword 0xDEADBEEFDEADBEEF
57637 .xword 0xDEADBEEFDEADBEEF
57638 .xword 0xDEADBEEFDEADBEEF
57639 .xword 0xDEADBEEFDEADBEEF
57640 .xword 0xDEADBEEFDEADBEEF
57641 .xword 0xDEADBEEFDEADBEEF
57642 .xword 0xDEADBEEFDEADBEEF
57643 .xword 0xDEADBEEFDEADBEEF
57644 .xword 0xDEADBEEFDEADBEEF
57645 .xword 0xDEADBEEFDEADBEEF
57646 .xword 0xDEADBEEFDEADBEEF
57647 .xword 0xDEADBEEFDEADBEEF
57648 .xword 0xDEADBEEFDEADBEEF
57649 .xword 0xDEADBEEFDEADBEEF
57650 .xword 0xDEADBEEFDEADBEEF
57651 .xword 0xDEADBEEFDEADBEEF
57652 .xword 0xDEADBEEFDEADBEEF
57653 .xword 0xDEADBEEFDEADBEEF
57654 .xword 0xDEADBEEFDEADBEEF
57655 .xword 0xDEADBEEFDEADBEEF
57656 .xword 0xDEADBEEFDEADBEEF
57657 .xword 0xDEADBEEFDEADBEEF
57658 .xword 0xDEADBEEFDEADBEEF
57659 .xword 0xDEADBEEFDEADBEEF
57660 .xword 0xDEADBEEFDEADBEEF
57661 .xword 0xDEADBEEFDEADBEEF
57662 .xword 0xDEADBEEFDEADBEEF
57663 .xword 0xDEADBEEFDEADBEEF
57664 .xword 0xDEADBEEFDEADBEEF
57665 .xword 0xDEADBEEFDEADBEEF
57666 .xword 0xDEADBEEFDEADBEEF
57667 .xword 0xDEADBEEFDEADBEEF
57668 .xword 0xDEADBEEFDEADBEEF
57669 .xword 0xDEADBEEFDEADBEEF
57670 .xword 0xDEADBEEFDEADBEEF
57671 .xword 0xDEADBEEFDEADBEEF
57672 .xword 0xDEADBEEFDEADBEEF
57673 .xword 0xDEADBEEFDEADBEEF
57674 .xword 0xDEADBEEFDEADBEEF
57675 .xword 0xDEADBEEFDEADBEEF
57676 .xword 0xDEADBEEFDEADBEEF
57677 .xword 0xDEADBEEFDEADBEEF
57678 .xword 0xDEADBEEFDEADBEEF
57679 .xword 0xDEADBEEFDEADBEEF
57680 .xword 0xDEADBEEFDEADBEEF
57681 .xword 0xDEADBEEFDEADBEEF
57682 .xword 0xDEADBEEFDEADBEEF
57683 .xword 0xDEADBEEFDEADBEEF
57684 .xword 0xDEADBEEFDEADBEEF
57685 .xword 0xDEADBEEFDEADBEEF
57686 .xword 0xDEADBEEFDEADBEEF
57687 .xword 0xDEADBEEFDEADBEEF
57688 .xword 0xDEADBEEFDEADBEEF
57689 .xword 0xDEADBEEFDEADBEEF
57690 .xword 0xDEADBEEFDEADBEEF
57691 .xword 0xDEADBEEFDEADBEEF
57692 .xword 0xDEADBEEFDEADBEEF
57693 .xword 0xDEADBEEFDEADBEEF
57694 .xword 0xDEADBEEFDEADBEEF
57695 .xword 0xDEADBEEFDEADBEEF
57696 .xword 0xDEADBEEFDEADBEEF
57697 .xword 0xDEADBEEFDEADBEEF
57698 .xword 0xDEADBEEFDEADBEEF
57699 .xword 0xDEADBEEFDEADBEEF
57700 .xword 0xDEADBEEFDEADBEEF
57701 .xword 0xDEADBEEFDEADBEEF
57702 .xword 0xDEADBEEFDEADBEEF
57703 .xword 0xDEADBEEFDEADBEEF
57704 .xword 0xDEADBEEFDEADBEEF
57705 .xword 0xDEADBEEFDEADBEEF
57706 .xword 0xDEADBEEFDEADBEEF
57707 .xword 0xDEADBEEFDEADBEEF
57708 .xword 0xDEADBEEFDEADBEEF
57709 .xword 0xDEADBEEFDEADBEEF
57710 .xword 0xDEADBEEFDEADBEEF
57711 .xword 0xDEADBEEFDEADBEEF
57712 .xword 0xDEADBEEFDEADBEEF
57713 .xword 0xDEADBEEFDEADBEEF
57714 .xword 0xDEADBEEFDEADBEEF
57715 .xword 0xDEADBEEFDEADBEEF
57716 .xword 0xDEADBEEFDEADBEEF
57717 .xword 0xDEADBEEFDEADBEEF
57718 .xword 0xDEADBEEFDEADBEEF
57719 .xword 0xDEADBEEFDEADBEEF
57720_t1_hmac_auth_key:
57721 .xword 0xb0b158ae2c10c37c
57722 .xword 0x196173dc4df9ad5d
57723 .xword 0x71aa863da5296392
57724 .xword 0x6139d25738eab2e9
57725 .xword 0x459e0da780b85018
57726 .xword 0x4e6d7488de1116b5
57727 .xword 0x419f8bbbdb69bb93
57728 .xword 0x9f158e2939805b77
57729 .xword 0xeec8fce1adf2d868
57730 .xword 0x68fd1b091acfcdb2
57731 .xword 0x71f1e087247d9c92
57732 .xword 0xd888cc95205fccaa
57733 .xword 0x46baf1d2c66b8c1a
57734 .xword 0xb116d0db9c500f3c
57735 .xword 0x4dd183cddbb0ca9b
57736 .xword 0x885331ef55a428a8
57737 .xword 0xd229d7f7265e8ef5
57738 .xword 0x66f3cf5f49e38fde
57739 .xword 0xd15af64e993cdabb
57740 .xword 0x054cc47719974a14
57741 .xword 0xfe51e75b8c8ee36a
57742 .xword 0x16546efed127322d
57743 .xword 0x9fb57c224cc97a6d
57744_t1_hmac_auth_iv:
57745 .xword 0xce0e680a4d7b1a16
57746 .xword 0xbb49013f4e59fa57
57747 .xword 0xdd216b1ca2caeddd
57748 .xword 0xaadbe7001d744691
57749 .xword 0x34ec01216611c7e2
57750 .xword 0x55ddad1848a3f90c
57751 .xword 0xb3857467b5d1caf7
57752 .xword 0xff3593549357322e
57753 .xword 0x22753ccb07c86ed4
57754 .xword 0x1786c14c6a0d138d
57755 .xword 0xb7cde4ae4df143d1
57756 .xword 0x416330754426ff0a
57757 .xword 0x944263fbe736a428
57758 .xword 0xadad215422ee0bee
57759 .xword 0x13708f54d93a0f5c
57760 .xword 0x64502c25c29809d5
57761 .xword 0x00890840d835cbd0
57762 .xword 0x7272bffe81f97370
57763 .xword 0x71b6982e10d34bf3
57764 .xword 0x539fc223642ddbac
57765 .xword 0x9e6cb2a9add57c2b
57766 .xword 0xfb0439999def70eb
57767 .xword 0x66c7155cc828bec0
57768_t1_hmac_fas_result:
57769 .xword 0xDEADBEEFDEADBEEF
57770 .xword 0xDEADBEEFDEADBEEF
57771 .xword 0xDEADBEEFDEADBEEF
57772 .xword 0xDEADBEEFDEADBEEF
57773 .xword 0xDEADBEEFDEADBEEF
57774 .xword 0xDEADBEEFDEADBEEF
57775 .xword 0xDEADBEEFDEADBEEF
57776 .xword 0xDEADBEEFDEADBEEF
57777 .xword 0xDEADBEEFDEADBEEF
57778 .xword 0xDEADBEEFDEADBEEF
57779 .xword 0xDEADBEEFDEADBEEF
57780 .xword 0xDEADBEEFDEADBEEF
57781 .xword 0xDEADBEEFDEADBEEF
57782 .xword 0xDEADBEEFDEADBEEF
57783 .xword 0xDEADBEEFDEADBEEF
57784 .xword 0xDEADBEEFDEADBEEF
57785 .xword 0xDEADBEEFDEADBEEF
57786 .xword 0xDEADBEEFDEADBEEF
57787 .xword 0xDEADBEEFDEADBEEF
57788 .xword 0xDEADBEEFDEADBEEF
57789 .xword 0xDEADBEEFDEADBEEF
57790 .xword 0xDEADBEEFDEADBEEF
57791 .xword 0xDEADBEEFDEADBEEF
57792_t1_rc4_key_array:
57793 .xword 0x8a48e1ef47617034
57794 .xword 0x50634f0d6a6db649
57795 .xword 0x6928b0321705c82a
57796 .xword 0xa008658ccaa176c1
57797 .xword 0x47a5f1fdea2e371e
57798 .xword 0xa92876412f52a614
57799 .xword 0x21b9cc7e44ea06d6
57800 .xword 0x5da9785e9e397dcc
57801 .xword 0xe35bf527f1523a9c
57802 .xword 0x0c041d13ffe0f390
57803 .xword 0x4e4322cf80362788
57804 .xword 0x0bc74d483b64ba82
57805 .xword 0x3d2b594e9534dfee
57806 .xword 0x2f8026202d13a3ef
57807 .xword 0x647ea34328064bcd
57808 .xword 0xe7ad1980ea03a134
57809 .xword 0x43a1094c7619eb31
57810 .xword 0x64beda6020caacbf
57811 .xword 0xa30c18231706e8d1
57812 .xword 0x7706b018008f2801
57813 .xword 0x8a089d3bc1c259ba
57814 .xword 0x9e7351d6e5e2d3a0
57815 .xword 0x581dc697533dec27
57816 .xword 0x5fbcb9e921f0bfa9
57817 .xword 0x91c82ba5aa05d079
57818 .xword 0xc1c60806a1dcaecc
57819 .xword 0xb26dd6d39ee57ba4
57820 .xword 0x6366174baa7d88fe
57821 .xword 0x461c2bc9ee976a5f
57822 .xword 0x3e91d6538b2a5682
57823 .xword 0x83b8f2f858119745
57824 .xword 0x319652564f361902
57825 .xword 0xd92e9ddc43094da8
57826 .xword 0x1edae4c6c0bff736
57827 .xword 0xeb93d251a6a5dc77
57828 .xword 0xbac90439a2519eab
57829 .xword 0x4a287c9c3e5abf63
57830 .xword 0x7a9253dfa8e4f9d4
57831 .xword 0xe20bf6950540da55
57832 .xword 0x7a35b681a17f6282
57833 .xword 0x356365a8f117f3e3
57834 .xword 0xa2cf14567c07b019
57835 .xword 0x385f90f538a51909
57836 .xword 0x9a1bcc54562a4824
57837 .xword 0x0838cab2414dfd24
57838 .xword 0x6e5adf924a579a25
57839 .xword 0x8b47adca16c833c4
57840 .xword 0x57fa2d56b2bab8ef
57841 .xword 0x00f27f531216f3d6
57842 .xword 0x7f6d42ce286637ec
57843 .xword 0xf7d7b3ed1492d173
57844_t1_rc4_iv_array:
57845 .xword 0x74d50221db3b617c
57846 .xword 0xf47c0bf0c92806c7
57847 .xword 0xc11c8a0f0a8fe585
57848 .xword 0xc757640bcbb4faee
57849 .xword 0xd358a64ff404ceec
57850 .xword 0x3dc37b8fb2c9e859
57851 .xword 0xfcddd6ced86d8868
57852 .xword 0x609fb82b08f4452a
57853 .xword 0x3044b67efbee711f
57854 .xword 0x0af80be79509eabe
57855 .xword 0x8d56afb65e580de9
57856 .xword 0xcd559f63fa27c424
57857 .xword 0x43fce6771be9500e
57858 .xword 0x35c34ffc7ebccfbe
57859 .xword 0x1a3166f352d2c680
57860 .xword 0x5e67b3e4ff007d98
57861 .xword 0x10d152687fcfad24
57862 .xword 0x276166e2588bdc3e
57863 .xword 0xef8fef7f9c570f6c
57864 .xword 0xb756facaa2ad3d73
57865 .xword 0x8b11e7a0e95690ef
57866 .xword 0xd7f61ef24a7bcf88
57867 .xword 0xa097e7dc7c502350
57868 .xword 0xf51f48fb856de0c0
57869 .xword 0xba690b6650684a0b
57870 .xword 0x9d98a56d709e4633
57871 .xword 0xe79f94cfafd25840
57872 .xword 0x3a9dc52964b61e81
57873 .xword 0xb4b566230dc06cd0
57874 .xword 0x08a490eb423d8b1d
57875 .xword 0x40d5820e0120326c
57876 .xword 0xc9e0e47eeb60d15b
57877 .xword 0x20ec270b55cf2917
57878 .xword 0x5782df03c32c71a8
57879 .xword 0x1b4635c7ec98ba29
57880 .xword 0x1b3e67719d27d352
57881 .xword 0xbb298fc896e9fbc4
57882 .xword 0xff0173e9e38035cf
57883 .xword 0x3403c0665a853621
57884 .xword 0xe4f19a3b510af26e
57885 .xword 0x8e88ac3570afc497
57886 .xword 0x33fbc58ad82c511d
57887 .xword 0x5561098255a2b719
57888 .xword 0x29aa458c92899784
57889 .xword 0x2fae0255d1b0ff6a
57890_t1_rc4_alignment_array:
57891 .xword 0
57892 .xword 12
57893 .xword 6
57894 .xword 12
57895 .xword 1
57896 .xword 9
57897 .xword 4
57898 .xword 6
57899 .xword 5
57900 .xword 8
57901 .xword 7
57902 .xword 13
57903 .xword 14
57904 .xword 6
57905 .xword 9
57906 .xword 9
57907 .xword 7
57908 .xword 9
57909 .xword 9
57910 .xword 4
57911 .xword 3
57912 .xword 7
57913 .xword 0
57914 .xword 3
57915 .xword 0
57916 .xword 14
57917 .xword 2
57918 .xword 11
57919 .xword 6
57920 .xword 1
57921 .xword 15
57922 .xword 6
57923 .xword 13
57924 .xword 12
57925 .xword 7
57926 .xword 0
57927 .xword 10
57928 .xword 5
57929 .xword 11
57930 .xword 2
57931 .xword 6
57932 .xword 11
57933 .xword 1
57934 .xword 6
57935 .xword 8
57936 .xword 1
57937 .xword 14
57938 .xword 0
57939 .xword 0
57940 .xword 10
57941 .xword 12
57942 .xword 6
57943 .xword 0
57944 .xword 10
57945 .xword 1
57946 .xword 11
57947 .xword 1
57948 .xword 3
57949 .xword 10
57950 .xword 11
57951 .xword 13
57952 .xword 6
57953 .xword 4
57954 .xword 4
57955 .xword 14
57956 .xword 14
57957 .xword 7
57958 .xword 4
57959 .xword 10
57960 .xword 10
57961 .xword 13
57962 .xword 1
57963 .xword 0
57964 .xword 0
57965 .xword 10
57966 .xword 8
57967 .xword 6
57968 .xword 6
57969 .xword 7
57970 .xword 4
57971 .xword 11
57972 .xword 13
57973 .xword 14
57974 .xword 0
57975 .xword 3
57976 .xword 3
57977 .xword 5
57978 .xword 6
57979 .xword 4
57980 .xword 7
57981 .xword 15
57982 .xword 10
57983 .xword 10
57984 .xword 5
57985 .xword 4
57986 .xword 9
57987 .xword 8
57988 .xword 4
57989 .xword 10
57990 .xword 2
57991 .xword 11
57992 .xword 1
57993 .xword 11
57994 .xword 4
57995 .xword 11
57996_t1_rc4_src:
57997 .xword 0x585d96fa90abb7a8
57998 .xword 0x06314c6a05da4ffb
57999 .xword 0x2b78dafe681942b6
58000 .xword 0x957f25f6255e2e29
58001 .xword 0x61a9e38e024edef0
58002 .xword 0x36762255443c30ae
58003 .xword 0x5fcf915c93524347
58004 .xword 0xc9a3937d533a263a
58005 .xword 0x5ff7bd5ed0021758
58006 .xword 0x695cf556041e8f67
58007 .xword 0x7e03a880072e06e1
58008 .xword 0x0fa470887e652bd8
58009 .xword 0x431f5f60da00d50a
58010 .xword 0x3da7579f305d5180
58011 .xword 0xfcffead025df3131
58012 .xword 0xb705af168cae824c
58013 .xword 0x13f6ebf3cdbf6315
58014 .xword 0x8eabb0ee0c9f7664
58015 .xword 0x83d0193ca06de7e3
58016 .xword 0x97aec477f48b99d3
58017 .xword 0x0827767a1d3eed44
58018 .xword 0x6dea2619c09b6e2f
58019 .xword 0xf3c413a331b1ab2e
58020 .xword 0x231404faf68c5402
58021 .xword 0x534295a4b8910590
58022 .xword 0x6e6301b4cb49a7bf
58023 .xword 0x9fa93a21d02d3421
58024 .xword 0xd61b9ce9ec97073e
58025 .xword 0x8c8eb29e64eb16cc
58026 .xword 0x8acda0f35dee83d6
58027 .xword 0x97cb32fc1bc6cb05
58028 .xword 0x698e430d18ce6f9b
58029 .xword 0xd1f8c8980acf35e7
58030 .xword 0xb932b20fb038b708
58031 .xword 0x053e6b6632981ad6
58032 .xword 0xcd0be0f5388ec1df
58033 .xword 0x4d2ce26635a02131
58034 .xword 0x313d08f40c4df74d
58035 .xword 0x87b0199f0429b5f3
58036 .xword 0x56524f71e8b81c43
58037 .xword 0x44ea8ab546802e63
58038 .xword 0x1c03ca4d9e845baa
58039 .xword 0xac359a5a07e5ef04
58040 .xword 0xbc2930ecb5957e5b
58041 .xword 0x6cb5f1bb2086fb63
58042 .xword 0x51dd2a79ed4c1ee6
58043 .xword 0x3868b059fd91e5d7
58044 .xword 0xfab20d97afe11e3d
58045 .xword 0x655c4a062f3752d6
58046 .xword 0xcfe8455f11ad0d9b
58047 .xword 0xcab9acfbf06b2f0f
58048 .xword 0x856e85028c6978ca
58049 .xword 0xd1d3ec89cae50656
58050 .xword 0xaf2e137bf04298ca
58051 .xword 0xa595306076edd7ea
58052 .xword 0xac72134608e92aff
58053 .xword 0x1392ba0cc0039d2d
58054 .xword 0x7b1be60096103a21
58055 .xword 0x6b839b9f03231301
58056 .xword 0x4c090e0cd4ac6807
58057 .xword 0x359c27a0c03956f6
58058 .xword 0x83ae09d9f7d95fe0
58059 .xword 0xb44ecd2ad35622d3
58060 .xword 0x2f07236625f0f420
58061 .xword 0xa42f63e0e366e049
58062 .xword 0x5dc6d45e01c65d41
58063 .xword 0x2bc72d3012933f04
58064 .xword 0x99608f342525ab87
58065 .xword 0x8723fea85e7cd404
58066 .xword 0xe1e301eff07afa9c
58067 .xword 0x630c9b26ffa9a48c
58068 .xword 0x5d7c9c45ce119b1c
58069 .xword 0x2fe5785fdf98a3b9
58070 .xword 0xa9b156ab6d83c914
58071 .xword 0x664c7f06f1b8624b
58072 .xword 0xb1c161bda1e716a8
58073 .xword 0x1b25984f820012e5
58074 .xword 0x1143832bdebb411a
58075 .xword 0xe7ee56ebebd5a742
58076 .xword 0xbf1963c4c7cc3514
58077 .xword 0x8689b0fc78ad47c5
58078 .xword 0xff1b88a40399b43b
58079 .xword 0xdbf6a2c7c32825d0
58080 .xword 0xdaf676bfa0ed2e9d
58081 .xword 0xfcd3748463f32490
58082 .xword 0x3507b0457dc96977
58083 .xword 0x72e465a7023c1981
58084 .xword 0x8bd754369ad07a40
58085 .xword 0xd3c6b9969585dc32
58086 .xword 0x880adf4dfdba31fa
58087 .xword 0x530b255839ba7014
58088 .xword 0x0a93276cb1a3ba5e
58089 .xword 0x4f29e36a187a50cc
58090 .xword 0xbbcead2b2d4976f5
58091 .xword 0x811e69f0c6777a87
58092 .xword 0xbb216df8c08a25d5
58093 .xword 0x2f24a81a00e0439e
58094 .xword 0xaa0fe5a69f742a14
58095 .xword 0x6f5928b1fc124701
58096 .xword 0x5c10bad12ce9edf8
58097 .xword 0x36f3fdebd47481e8
58098 .xword 0x1d4d5342c6801c03
58099 .xword 0xbc18b5f88dad5956
58100 .xword 0x9c754501bdd4d959
58101 .xword 0xe4eddfbdab4285ed
58102 .xword 0xfedeaa2ea49ca4e0
58103 .xword 0x8bc3c520553a9eba
58104 .xword 0xa669259b6202583c
58105 .xword 0x0e28f5302589a479
58106 .xword 0x5571ad26acc171c2
58107 .xword 0x9cf463c8420a3fcf
58108 .xword 0x7506889bfce40b73
58109 .xword 0xbffdf57908e08784
58110 .xword 0x697a7e7369660bef
58111 .xword 0x12dd55b10074def1
58112 .xword 0xf7acc20d2be98e18
58113 .xword 0x0f888c0f1481f361
58114 .xword 0x04c4f369bdab8d12
58115 .xword 0xa8d7820db4760855
58116 .xword 0x08cf929dc6d99fa0
58117 .xword 0x2d70bf49381d1502
58118 .xword 0x15127ec3dcd12132
58119 .xword 0x62bbff0c90a4ead6
58120 .xword 0x8a57c4a20b2f4f11
58121 .xword 0x404de621bd15e919
58122 .xword 0x13d5d72576e97e0d
58123 .xword 0x06ff5110a218aa87
58124 .xword 0xa45af864dc1e1ea6
58125 .xword 0xbd0b09bd80438c95
58126 .xword 0x8dff9ca379aea199
58127 .xword 0x4a5b62d4b78e5587
58128 .xword 0xf9595252974a2c60
58129 .xword 0x1e188a1d8fb27d14
58130 .xword 0x2cb33b751e75135c
58131 .xword 0x01f425046eaaaa40
58132 .xword 0xb79b3681342749ff
58133 .xword 0x3bf2e3bf82e043f5
58134 .xword 0x9752dd3c8bbecbf4
58135 .xword 0x5ab2e51cf998087b
58136 .xword 0x2fe7d7fa690db664
58137 .xword 0x32b7424714297ae8
58138 .xword 0x1723c1f97c7b83a5
58139 .xword 0x47d09b079a80bcf6
58140 .xword 0xc1b1a78e52511209
58141 .xword 0x1cc1dd49f08eb055
58142 .xword 0x3617fe40c848a435
58143 .xword 0x9a8bc0f1593a1483
58144 .xword 0x1fec84c6b66d52a1
58145 .xword 0x987a1ed5dc1a4d24
58146 .xword 0x6b4963ad3b0d1633
58147 .xword 0xd89367235e64e2c7
58148 .xword 0x6d9254f1045a18c9
58149 .xword 0x97a5c98dd7b005ab
58150 .xword 0x4af4994ae98e92ab
58151 .xword 0x4699d8cbd7f5316b
58152 .xword 0xfdb1841412963457
58153 .xword 0x3ff0dc8bfd3f6bc2
58154 .xword 0x94d938a2c5a0a9e8
58155 .xword 0x4bb917e62939d3e5
58156 .xword 0x280f39a471232ba4
58157 .xword 0xdb978dbb4edfbb97
58158 .xword 0x5b80eddd2ede8d2c
58159 .xword 0x6996f76539d2d350
58160 .xword 0x76304afc1cb76b37
58161 .xword 0x168e0e4fe3452523
58162 .xword 0x2a296b4963f78483
58163 .xword 0x735707a6a003dfb3
58164 .xword 0x049fed07f0461042
58165 .xword 0x25aa9ee668a381bb
58166 .xword 0x3c0a04ae2b5cc046
58167 .xword 0x9285d78a4b271208
58168 .xword 0xa7a7ac0d8cd47549
58169 .xword 0x23d4ba19ac877bb5
58170 .xword 0x996657988fe964ff
58171 .xword 0x0babaa26f0e413cd
58172 .xword 0xc33b80b217cbfe88
58173 .xword 0x5b6caca6bc099c29
58174 .xword 0xc68e7fba8222f56b
58175 .xword 0xa2c57d90ba687d39
58176 .xword 0xb3d401f122670180
58177 .xword 0x51625b006a210995
58178 .xword 0x9adeb1167ec8723e
58179 .xword 0x8a5d823531b2035d
58180 .xword 0xd6043887f678aa66
58181 .xword 0xbd03e7b5b4965cd1
58182 .xword 0x9cf34e055da6b105
58183 .xword 0xc1d2e4e0568937e5
58184 .xword 0x9107b7bf56cb8609
58185 .xword 0x65a28bdb319a0ae8
58186 .xword 0xda13eeeb64fc2603
58187 .xword 0x48d9c991c6fb85f9
58188 .xword 0xd4f54bbc2e7a3986
58189 .xword 0x78309a83b505f4cd
58190 .xword 0x8ae411eb48e8fb63
58191 .xword 0xa960c8856e7aefb6
58192 .xword 0x24152327c49a9d05
58193 .xword 0xdd094b545c31ad55
58194 .xword 0x4f08237a0e9df4e2
58195 .xword 0x486737b2dbbdb8c5
58196 .xword 0xd02d7692cc386559
58197 .xword 0x3965bc5c4b21bbff
58198 .xword 0x06e16231098a378d
58199 .xword 0x6c3a72471f4cdb15
58200 .xword 0x160bb740d42279c6
58201 .xword 0x326af6d4fe39564b
58202 .xword 0xd5ef00ea12269e70
58203 .xword 0x9cc2256dc31f0bc3
58204 .xword 0x2b2db67273d407fd
58205 .xword 0x847e665fe375851c
58206 .xword 0x8e2b114d13e2dfe7
58207 .xword 0x5f802652a84f9a53
58208 .xword 0x6ed2c434ab9fb145
58209 .xword 0xf3b2dd19bb2c0e08
58210 .xword 0x948894a97fa1bbd4
58211 .xword 0xf052a8497f1f6ad0
58212 .xword 0x6eb246b86eeb8f72
58213 .xword 0x9bac1ca5ef2215d9
58214 .xword 0x8c4135d215352fdd
58215 .xword 0x7dd7ce365db7980a
58216 .xword 0x3a73a2611b2c4312
58217 .xword 0xce597bec76cb2a6a
58218 .xword 0xa21155a82a3f0576
58219 .xword 0x1b1e89a9697df90f
58220 .xword 0x2b5489d5aa9d76b4
58221 .xword 0x2611c71be52f5dcc
58222 .xword 0xf6153a5daf19f876
58223 .xword 0x4bcba91926d76cbd
58224 .xword 0x6c9bccc1e9f7292a
58225 .xword 0x46cd74317afcb393
58226 .xword 0x994101dcc57ed055
58227 .xword 0x6f459c668eac8cb3
58228 .xword 0x7f7586f6cebc47e1
58229 .xword 0x5840ba471964d4c1
58230 .xword 0x1f352cbb9ae4b44d
58231 .xword 0x01675167062c2573
58232 .xword 0x0abe8f8eefdc5381
58233 .xword 0x29c28d62506a7bf7
58234 .xword 0x383f1ecbd2712af7
58235 .xword 0xf2292f1dd82a870d
58236 .xword 0x13ede17c21c81874
58237 .xword 0x2f300ddd2ffe074c
58238 .xword 0x641f5c3378e71e05
58239 .xword 0xf54bddd961a3ba90
58240 .xword 0x478e274ee1b0d328
58241 .xword 0xf46584e62aa239ce
58242 .xword 0x9190d413c809d0ce
58243 .xword 0x9837e0f06726fc44
58244 .xword 0x0306a982c12b5e79
58245 .xword 0x023aca7231c5bf4a
58246 .xword 0xdbe16f38cf50f393
58247 .xword 0x6201dd4a4a3bc444
58248 .xword 0xff5c20b2a526a539
58249 .xword 0x5bba8ce4cc699ae1
58250 .xword 0x6136477d16a75724
58251 .xword 0x79697929524ac2dc
58252 .xword 0x6d210cba00551ca6
58253 .xword 0x0874d94dae3f023d
58254 .xword 0x0828977efd866655
58255 .xword 0x7f1afc3d0f07b8d3
58256 .xword 0x35fd9626f4f80a9a
58257 .xword 0xeb1a1dcc433630c9
58258 .xword 0x2253c8152cf9d7a4
58259 .xword 0x5b4e30b5cb0a6dc3
58260 .xword 0x919432fc4c3bc679
58261 .xword 0xbb2897926fa90107
58262 .xword 0x2048a4f506645099
58263 .xword 0xbcca42d1b34f33a3
58264 .xword 0xcda8b3ad8589ede7
58265 .xword 0xeca2b8919bcff300
58266 .xword 0x85cea9e8d05a5c96
58267 .xword 0xae8f004105b9e1cb
58268 .xword 0xf65dba1a023d4a40
58269 .xword 0x879b06beb3768b38
58270 .xword 0x28e89fe7dc004f95
58271 .xword 0xab46512b8e4bd143
58272_t1_rc4_dest:
58273 .xword 0xDEADBEEFDEADBEEF
58274 .xword 0xDEADBEEFDEADBEEF
58275 .xword 0xDEADBEEFDEADBEEF
58276 .xword 0xDEADBEEFDEADBEEF
58277 .xword 0xDEADBEEFDEADBEEF
58278 .xword 0xDEADBEEFDEADBEEF
58279 .xword 0xDEADBEEFDEADBEEF
58280 .xword 0xDEADBEEFDEADBEEF
58281 .xword 0xDEADBEEFDEADBEEF
58282 .xword 0xDEADBEEFDEADBEEF
58283 .xword 0xDEADBEEFDEADBEEF
58284 .xword 0xDEADBEEFDEADBEEF
58285 .xword 0xDEADBEEFDEADBEEF
58286 .xword 0xDEADBEEFDEADBEEF
58287 .xword 0xDEADBEEFDEADBEEF
58288 .xword 0xDEADBEEFDEADBEEF
58289 .xword 0xDEADBEEFDEADBEEF
58290 .xword 0xDEADBEEFDEADBEEF
58291 .xword 0xDEADBEEFDEADBEEF
58292 .xword 0xDEADBEEFDEADBEEF
58293 .xword 0xDEADBEEFDEADBEEF
58294 .xword 0xDEADBEEFDEADBEEF
58295 .xword 0xDEADBEEFDEADBEEF
58296 .xword 0xDEADBEEFDEADBEEF
58297 .xword 0xDEADBEEFDEADBEEF
58298 .xword 0xDEADBEEFDEADBEEF
58299 .xword 0xDEADBEEFDEADBEEF
58300 .xword 0xDEADBEEFDEADBEEF
58301 .xword 0xDEADBEEFDEADBEEF
58302 .xword 0xDEADBEEFDEADBEEF
58303 .xword 0xDEADBEEFDEADBEEF
58304 .xword 0xDEADBEEFDEADBEEF
58305 .xword 0xDEADBEEFDEADBEEF
58306 .xword 0xDEADBEEFDEADBEEF
58307 .xword 0xDEADBEEFDEADBEEF
58308 .xword 0xDEADBEEFDEADBEEF
58309 .xword 0xDEADBEEFDEADBEEF
58310 .xword 0xDEADBEEFDEADBEEF
58311 .xword 0xDEADBEEFDEADBEEF
58312 .xword 0xDEADBEEFDEADBEEF
58313 .xword 0xDEADBEEFDEADBEEF
58314 .xword 0xDEADBEEFDEADBEEF
58315 .xword 0xDEADBEEFDEADBEEF
58316 .xword 0xDEADBEEFDEADBEEF
58317 .xword 0xDEADBEEFDEADBEEF
58318 .xword 0xDEADBEEFDEADBEEF
58319 .xword 0xDEADBEEFDEADBEEF
58320 .xword 0xDEADBEEFDEADBEEF
58321 .xword 0xDEADBEEFDEADBEEF
58322 .xword 0xDEADBEEFDEADBEEF
58323 .xword 0xDEADBEEFDEADBEEF
58324 .xword 0xDEADBEEFDEADBEEF
58325 .xword 0xDEADBEEFDEADBEEF
58326 .xword 0xDEADBEEFDEADBEEF
58327 .xword 0xDEADBEEFDEADBEEF
58328 .xword 0xDEADBEEFDEADBEEF
58329 .xword 0xDEADBEEFDEADBEEF
58330 .xword 0xDEADBEEFDEADBEEF
58331 .xword 0xDEADBEEFDEADBEEF
58332 .xword 0xDEADBEEFDEADBEEF
58333 .xword 0xDEADBEEFDEADBEEF
58334 .xword 0xDEADBEEFDEADBEEF
58335 .xword 0xDEADBEEFDEADBEEF
58336 .xword 0xDEADBEEFDEADBEEF
58337 .xword 0xDEADBEEFDEADBEEF
58338 .xword 0xDEADBEEFDEADBEEF
58339 .xword 0xDEADBEEFDEADBEEF
58340 .xword 0xDEADBEEFDEADBEEF
58341 .xword 0xDEADBEEFDEADBEEF
58342 .xword 0xDEADBEEFDEADBEEF
58343 .xword 0xDEADBEEFDEADBEEF
58344 .xword 0xDEADBEEFDEADBEEF
58345 .xword 0xDEADBEEFDEADBEEF
58346 .xword 0xDEADBEEFDEADBEEF
58347 .xword 0xDEADBEEFDEADBEEF
58348 .xword 0xDEADBEEFDEADBEEF
58349 .xword 0xDEADBEEFDEADBEEF
58350 .xword 0xDEADBEEFDEADBEEF
58351 .xword 0xDEADBEEFDEADBEEF
58352 .xword 0xDEADBEEFDEADBEEF
58353 .xword 0xDEADBEEFDEADBEEF
58354 .xword 0xDEADBEEFDEADBEEF
58355 .xword 0xDEADBEEFDEADBEEF
58356 .xword 0xDEADBEEFDEADBEEF
58357 .xword 0xDEADBEEFDEADBEEF
58358 .xword 0xDEADBEEFDEADBEEF
58359 .xword 0xDEADBEEFDEADBEEF
58360 .xword 0xDEADBEEFDEADBEEF
58361 .xword 0xDEADBEEFDEADBEEF
58362 .xword 0xDEADBEEFDEADBEEF
58363 .xword 0xDEADBEEFDEADBEEF
58364 .xword 0xDEADBEEFDEADBEEF
58365 .xword 0xDEADBEEFDEADBEEF
58366 .xword 0xDEADBEEFDEADBEEF
58367 .xword 0xDEADBEEFDEADBEEF
58368 .xword 0xDEADBEEFDEADBEEF
58369 .xword 0xDEADBEEFDEADBEEF
58370 .xword 0xDEADBEEFDEADBEEF
58371 .xword 0xDEADBEEFDEADBEEF
58372 .xword 0xDEADBEEFDEADBEEF
58373 .xword 0xDEADBEEFDEADBEEF
58374 .xword 0xDEADBEEFDEADBEEF
58375 .xword 0xDEADBEEFDEADBEEF
58376 .xword 0xDEADBEEFDEADBEEF
58377 .xword 0xDEADBEEFDEADBEEF
58378 .xword 0xDEADBEEFDEADBEEF
58379 .xword 0xDEADBEEFDEADBEEF
58380 .xword 0xDEADBEEFDEADBEEF
58381 .xword 0xDEADBEEFDEADBEEF
58382 .xword 0xDEADBEEFDEADBEEF
58383 .xword 0xDEADBEEFDEADBEEF
58384 .xword 0xDEADBEEFDEADBEEF
58385 .xword 0xDEADBEEFDEADBEEF
58386 .xword 0xDEADBEEFDEADBEEF
58387 .xword 0xDEADBEEFDEADBEEF
58388 .xword 0xDEADBEEFDEADBEEF
58389 .xword 0xDEADBEEFDEADBEEF
58390 .xword 0xDEADBEEFDEADBEEF
58391 .xword 0xDEADBEEFDEADBEEF
58392 .xword 0xDEADBEEFDEADBEEF
58393 .xword 0xDEADBEEFDEADBEEF
58394 .xword 0xDEADBEEFDEADBEEF
58395 .xword 0xDEADBEEFDEADBEEF
58396 .xword 0xDEADBEEFDEADBEEF
58397 .xword 0xDEADBEEFDEADBEEF
58398 .xword 0xDEADBEEFDEADBEEF
58399 .xword 0xDEADBEEFDEADBEEF
58400 .xword 0xDEADBEEFDEADBEEF
58401 .xword 0xDEADBEEFDEADBEEF
58402 .xword 0xDEADBEEFDEADBEEF
58403 .xword 0xDEADBEEFDEADBEEF
58404 .xword 0xDEADBEEFDEADBEEF
58405 .xword 0xDEADBEEFDEADBEEF
58406 .xword 0xDEADBEEFDEADBEEF
58407 .xword 0xDEADBEEFDEADBEEF
58408 .xword 0xDEADBEEFDEADBEEF
58409 .xword 0xDEADBEEFDEADBEEF
58410 .xword 0xDEADBEEFDEADBEEF
58411 .xword 0xDEADBEEFDEADBEEF
58412 .xword 0xDEADBEEFDEADBEEF
58413 .xword 0xDEADBEEFDEADBEEF
58414 .xword 0xDEADBEEFDEADBEEF
58415 .xword 0xDEADBEEFDEADBEEF
58416 .xword 0xDEADBEEFDEADBEEF
58417 .xword 0xDEADBEEFDEADBEEF
58418 .xword 0xDEADBEEFDEADBEEF
58419 .xword 0xDEADBEEFDEADBEEF
58420 .xword 0xDEADBEEFDEADBEEF
58421 .xword 0xDEADBEEFDEADBEEF
58422 .xword 0xDEADBEEFDEADBEEF
58423 .xword 0xDEADBEEFDEADBEEF
58424 .xword 0xDEADBEEFDEADBEEF
58425 .xword 0xDEADBEEFDEADBEEF
58426 .xword 0xDEADBEEFDEADBEEF
58427 .xword 0xDEADBEEFDEADBEEF
58428 .xword 0xDEADBEEFDEADBEEF
58429 .xword 0xDEADBEEFDEADBEEF
58430 .xword 0xDEADBEEFDEADBEEF
58431 .xword 0xDEADBEEFDEADBEEF
58432 .xword 0xDEADBEEFDEADBEEF
58433 .xword 0xDEADBEEFDEADBEEF
58434 .xword 0xDEADBEEFDEADBEEF
58435 .xword 0xDEADBEEFDEADBEEF
58436 .xword 0xDEADBEEFDEADBEEF
58437 .xword 0xDEADBEEFDEADBEEF
58438 .xword 0xDEADBEEFDEADBEEF
58439 .xword 0xDEADBEEFDEADBEEF
58440 .xword 0xDEADBEEFDEADBEEF
58441 .xword 0xDEADBEEFDEADBEEF
58442 .xword 0xDEADBEEFDEADBEEF
58443 .xword 0xDEADBEEFDEADBEEF
58444 .xword 0xDEADBEEFDEADBEEF
58445 .xword 0xDEADBEEFDEADBEEF
58446 .xword 0xDEADBEEFDEADBEEF
58447 .xword 0xDEADBEEFDEADBEEF
58448 .xword 0xDEADBEEFDEADBEEF
58449 .xword 0xDEADBEEFDEADBEEF
58450 .xword 0xDEADBEEFDEADBEEF
58451 .xword 0xDEADBEEFDEADBEEF
58452 .xword 0xDEADBEEFDEADBEEF
58453 .xword 0xDEADBEEFDEADBEEF
58454 .xword 0xDEADBEEFDEADBEEF
58455 .xword 0xDEADBEEFDEADBEEF
58456 .xword 0xDEADBEEFDEADBEEF
58457 .xword 0xDEADBEEFDEADBEEF
58458 .xword 0xDEADBEEFDEADBEEF
58459 .xword 0xDEADBEEFDEADBEEF
58460 .xword 0xDEADBEEFDEADBEEF
58461 .xword 0xDEADBEEFDEADBEEF
58462 .xword 0xDEADBEEFDEADBEEF
58463 .xword 0xDEADBEEFDEADBEEF
58464 .xword 0xDEADBEEFDEADBEEF
58465 .xword 0xDEADBEEFDEADBEEF
58466 .xword 0xDEADBEEFDEADBEEF
58467 .xword 0xDEADBEEFDEADBEEF
58468 .xword 0xDEADBEEFDEADBEEF
58469 .xword 0xDEADBEEFDEADBEEF
58470 .xword 0xDEADBEEFDEADBEEF
58471 .xword 0xDEADBEEFDEADBEEF
58472 .xword 0xDEADBEEFDEADBEEF
58473 .xword 0xDEADBEEFDEADBEEF
58474 .xword 0xDEADBEEFDEADBEEF
58475 .xword 0xDEADBEEFDEADBEEF
58476 .xword 0xDEADBEEFDEADBEEF
58477 .xword 0xDEADBEEFDEADBEEF
58478 .xword 0xDEADBEEFDEADBEEF
58479 .xword 0xDEADBEEFDEADBEEF
58480 .xword 0xDEADBEEFDEADBEEF
58481 .xword 0xDEADBEEFDEADBEEF
58482 .xword 0xDEADBEEFDEADBEEF
58483 .xword 0xDEADBEEFDEADBEEF
58484 .xword 0xDEADBEEFDEADBEEF
58485 .xword 0xDEADBEEFDEADBEEF
58486 .xword 0xDEADBEEFDEADBEEF
58487 .xword 0xDEADBEEFDEADBEEF
58488 .xword 0xDEADBEEFDEADBEEF
58489 .xword 0xDEADBEEFDEADBEEF
58490 .xword 0xDEADBEEFDEADBEEF
58491 .xword 0xDEADBEEFDEADBEEF
58492 .xword 0xDEADBEEFDEADBEEF
58493 .xword 0xDEADBEEFDEADBEEF
58494 .xword 0xDEADBEEFDEADBEEF
58495 .xword 0xDEADBEEFDEADBEEF
58496 .xword 0xDEADBEEFDEADBEEF
58497 .xword 0xDEADBEEFDEADBEEF
58498 .xword 0xDEADBEEFDEADBEEF
58499 .xword 0xDEADBEEFDEADBEEF
58500 .xword 0xDEADBEEFDEADBEEF
58501 .xword 0xDEADBEEFDEADBEEF
58502 .xword 0xDEADBEEFDEADBEEF
58503 .xword 0xDEADBEEFDEADBEEF
58504 .xword 0xDEADBEEFDEADBEEF
58505 .xword 0xDEADBEEFDEADBEEF
58506 .xword 0xDEADBEEFDEADBEEF
58507 .xword 0xDEADBEEFDEADBEEF
58508 .xword 0xDEADBEEFDEADBEEF
58509 .xword 0xDEADBEEFDEADBEEF
58510 .xword 0xDEADBEEFDEADBEEF
58511 .xword 0xDEADBEEFDEADBEEF
58512 .xword 0xDEADBEEFDEADBEEF
58513 .xword 0xDEADBEEFDEADBEEF
58514 .xword 0xDEADBEEFDEADBEEF
58515 .xword 0xDEADBEEFDEADBEEF
58516 .xword 0xDEADBEEFDEADBEEF
58517 .xword 0xDEADBEEFDEADBEEF
58518 .xword 0xDEADBEEFDEADBEEF
58519 .xword 0xDEADBEEFDEADBEEF
58520 .xword 0xDEADBEEFDEADBEEF
58521 .xword 0xDEADBEEFDEADBEEF
58522 .xword 0xDEADBEEFDEADBEEF
58523 .xword 0xDEADBEEFDEADBEEF
58524 .xword 0xDEADBEEFDEADBEEF
58525 .xword 0xDEADBEEFDEADBEEF
58526 .xword 0xDEADBEEFDEADBEEF
58527 .xword 0xDEADBEEFDEADBEEF
58528 .xword 0xDEADBEEFDEADBEEF
58529 .xword 0xDEADBEEFDEADBEEF
58530 .xword 0xDEADBEEFDEADBEEF
58531 .xword 0xDEADBEEFDEADBEEF
58532 .xword 0xDEADBEEFDEADBEEF
58533 .xword 0xDEADBEEFDEADBEEF
58534 .xword 0xDEADBEEFDEADBEEF
58535 .xword 0xDEADBEEFDEADBEEF
58536 .xword 0xDEADBEEFDEADBEEF
58537 .xword 0xDEADBEEFDEADBEEF
58538 .xword 0xDEADBEEFDEADBEEF
58539 .xword 0xDEADBEEFDEADBEEF
58540 .xword 0xDEADBEEFDEADBEEF
58541 .xword 0xDEADBEEFDEADBEEF
58542 .xword 0xDEADBEEFDEADBEEF
58543 .xword 0xDEADBEEFDEADBEEF
58544 .xword 0xDEADBEEFDEADBEEF
58545 .xword 0xDEADBEEFDEADBEEF
58546 .xword 0xDEADBEEFDEADBEEF
58547 .xword 0xDEADBEEFDEADBEEF
58548_t1_rc4_auth_key:
58549 .xword 0xb46c4ee207c60ec5
58550 .xword 0x6adc43c44b701b29
58551 .xword 0x63776ef3d719bd95
58552 .xword 0xf16ca1e7f34b9f9c
58553 .xword 0x2934b6cf5c52df76
58554 .xword 0xa01feb640c5a86d6
58555 .xword 0x7e5a7155bf9e3744
58556 .xword 0xecc0066628a8f1b1
58557 .xword 0x278199c073179345
58558 .xword 0x1cf34fc6a3c8ab54
58559 .xword 0x8a8dbaafd7910bd5
58560 .xword 0x7be22da39dbacf73
58561 .xword 0xbb8f7bbb2f0c34e3
58562 .xword 0x2c11feb01d4a90f6
58563 .xword 0xed60504ad07ad18c
58564 .xword 0x39c7356b3e3475c3
58565 .xword 0x3bec30e045771c9e
58566 .xword 0x8a508bb36ca02e45
58567 .xword 0x63d8a824beacaf71
58568 .xword 0xc37d27f6d53b7892
58569 .xword 0x33fc8f1501779fa3
58570 .xword 0x086fbdaa03e073f5
58571 .xword 0xc5551ce67956f376
58572_t1_rc4_auth_iv:
58573 .xword 0xdfe9225e47e06a1b
58574 .xword 0x74a987a2b0ddc722
58575 .xword 0xf3f6479377f8e2e8
58576 .xword 0xc2ce141056f2efac
58577 .xword 0x663a9d8b7631118c
58578 .xword 0x715f583bc279ee48
58579 .xword 0xccedd644c02b4dd7
58580 .xword 0xa7ee9e55d49a2f74
58581 .xword 0xaf269993b1657be1
58582 .xword 0x4e88a40d95221213
58583 .xword 0x8ecc6358cb437bd8
58584 .xword 0x7c5106b8bdb329f4
58585 .xword 0x9df593dbc6a1e8d4
58586 .xword 0x0398cfcf2d493e45
58587 .xword 0x83eba080d7b90eed
58588 .xword 0x04ebdd3b188ac59b
58589 .xword 0x8928abb7eb0d0e7f
58590 .xword 0x6bfbd2efada0f528
58591 .xword 0x914c9aad4a2d1420
58592 .xword 0xcb7e76534a6269d3
58593 .xword 0x6635dd93ca36a90d
58594 .xword 0xb715196d3fa893e4
58595 .xword 0x4bc70e494e281b47
58596_t1_rc4_fas_result:
58597 .xword 0xDEADBEEFDEADBEEF
58598 .xword 0xDEADBEEFDEADBEEF
58599 .xword 0xDEADBEEFDEADBEEF
58600 .xword 0xDEADBEEFDEADBEEF
58601 .xword 0xDEADBEEFDEADBEEF
58602 .xword 0xDEADBEEFDEADBEEF
58603 .xword 0xDEADBEEFDEADBEEF
58604 .xword 0xDEADBEEFDEADBEEF
58605 .xword 0xDEADBEEFDEADBEEF
58606 .xword 0xDEADBEEFDEADBEEF
58607 .xword 0xDEADBEEFDEADBEEF
58608 .xword 0xDEADBEEFDEADBEEF
58609 .xword 0xDEADBEEFDEADBEEF
58610 .xword 0xDEADBEEFDEADBEEF
58611 .xword 0xDEADBEEFDEADBEEF
58612 .xword 0xDEADBEEFDEADBEEF
58613 .xword 0xDEADBEEFDEADBEEF
58614 .xword 0xDEADBEEFDEADBEEF
58615 .xword 0xDEADBEEFDEADBEEF
58616 .xword 0xDEADBEEFDEADBEEF
58617 .xword 0xDEADBEEFDEADBEEF
58618 .xword 0xDEADBEEFDEADBEEF
58619 .xword 0xDEADBEEFDEADBEEF
58620_t1_sslkey_key_array:
58621 .xword 0x9b4fa144abcd49a6
58622 .xword 0x84884790f0951d2d
58623 .xword 0x988fb2e174cd4b71
58624 .xword 0x5332b9de30a83f33
58625 .xword 0x562918f1c9ba40f2
58626 .xword 0xda1777a21ab68b8d
58627 .xword 0x1b2d58305c0ec083
58628 .xword 0x347c4826c1c75a0e
58629 .xword 0x94192841f82f5586
58630 .xword 0xe9d843ce83bfe2a0
58631 .xword 0xac24e5d773ab467c
58632 .xword 0xee88e43ef5a12ed6
58633 .xword 0x061871e097bb5f84
58634 .xword 0x26a406b2fe804769
58635 .xword 0x98ca984ff1ece402
58636 .xword 0x8a9cd5a162d667f3
58637 .xword 0x20f8f7dd42f8315c
58638 .xword 0xb8c1814a6dd04bb4
58639 .xword 0x9af74eda470a7e13
58640 .xword 0xe6d63024ffa4b634
58641 .xword 0xb8e130513eed780b
58642 .xword 0x0d55e91994e0a7f3
58643 .xword 0xa8e0ac0fc9068391
58644 .xword 0xbfbebafaf88443a8
58645 .xword 0xac6b53d71c6a46df
58646 .xword 0xccff389dc825b126
58647 .xword 0x3ac0bbacaf692b6e
58648 .xword 0x1a0066148c5a9744
58649 .xword 0xe2f382b47276eda4
58650 .xword 0x70f3630f2486b4ce
58651 .xword 0x175459a679c0354f
58652 .xword 0x074f86d46c2ab504
58653 .xword 0xe144d2d1c1182085
58654 .xword 0xc4778275aae47bd5
58655 .xword 0x6a3a21f1d2ec7fac
58656 .xword 0x471274dceb5d9e41
58657 .xword 0x3a26a652cad81ad1
58658 .xword 0x5d7816a3c81a0a34
58659 .xword 0x5bddc0587f35d2f2
58660 .xword 0x70d6633950b408e5
58661 .xword 0x74c44764154a444e
58662 .xword 0x7535529b203ef125
58663 .xword 0xcb24fc59b9b086be
58664 .xword 0xa364147b1b584909
58665 .xword 0xa5b0a0be8fcc9000
58666 .xword 0xb26d278911677628
58667 .xword 0x72c026cce0219ec3
58668 .xword 0x1765eca4301172ba
58669 .xword 0x939836f887c56493
58670 .xword 0x68697054a6e644cf
58671 .xword 0xee6dc3a1009f201d
58672_t1_sslkey_iv_array:
58673 .xword 0xe2a36c9587e8dbb5
58674 .xword 0x53422a4cf7fa1b2d
58675 .xword 0x393dc2b556b7ab05
58676 .xword 0x64b70598d92bc3f4
58677 .xword 0x48f0b16a1f79e512
58678 .xword 0x9742bec8845f9167
58679 .xword 0x4a028ea04ec2d7ae
58680 .xword 0x74912085c49215c9
58681 .xword 0x2d522e8a784e0260
58682 .xword 0x716fc4cb9445cca3
58683 .xword 0x57b7fde67274ba06
58684 .xword 0xbe31b9ea332237de
58685 .xword 0x04a82c3e9d1aa360
58686 .xword 0x547a21b75776e5be
58687 .xword 0x937249f8c7df0c23
58688 .xword 0xf5733dce51a94adf
58689 .xword 0x3d2b0dcefbd57999
58690 .xword 0x235249e29ae8f85a
58691 .xword 0xd24a6bf61882c1c9
58692 .xword 0xbb88c75ee8246802
58693 .xword 0xc95ca44f933f07f1
58694 .xword 0xa61018f981bd5218
58695 .xword 0x59b4f847c9f96eb6
58696 .xword 0x8b96eebf2640fd58
58697 .xword 0x7f8be0a11b3f6287
58698 .xword 0xa4bc18e45d473676
58699 .xword 0xc719fb2d0a5b09c4
58700 .xword 0xc2e3aebfd3f87410
58701 .xword 0x8d219d9798fc9236
58702 .xword 0x3377abe425e08ad9
58703 .xword 0x58ac3c563876ca10
58704 .xword 0x73b9b5bfe76c0b6a
58705 .xword 0xafe1a8eb3b30e86a
58706 .xword 0xb0df181136d22fbf
58707 .xword 0x1594ddf6aa5be336
58708 .xword 0xf165ad6dc3745ac1
58709 .xword 0xb94684b404b8e141
58710 .xword 0x209f317a9ddd61c4
58711 .xword 0xd3fac69d7c8d9da0
58712 .xword 0x131ecb59e41019eb
58713 .xword 0x80666fb4a654125b
58714 .xword 0xaafa8f29123e00db
58715 .xword 0x5a434f9b5db4a622
58716 .xword 0xcde2112d84c3fd22
58717 .xword 0xec143c788504cb96
58718_t1_sslkey_alignment_array:
58719 .xword 0
58720 .xword 0
58721 .xword 0
58722 .xword 0
58723 .xword 0
58724 .xword 0
58725 .xword 0
58726 .xword 0
58727 .xword 0
58728 .xword 0
58729 .xword 0
58730 .xword 0
58731 .xword 0
58732 .xword 0
58733 .xword 0
58734 .xword 0
58735 .xword 0
58736 .xword 0
58737 .xword 0
58738 .xword 0
58739 .xword 0
58740 .xword 0
58741 .xword 0
58742 .xword 0
58743 .xword 0
58744 .xword 0
58745 .xword 0
58746 .xword 0
58747 .xword 0
58748 .xword 0
58749 .xword 0
58750 .xword 0
58751 .xword 0
58752 .xword 0
58753 .xword 0
58754 .xword 0
58755 .xword 0
58756 .xword 0
58757 .xword 0
58758 .xword 0
58759 .xword 0
58760 .xword 0
58761 .xword 0
58762 .xword 0
58763 .xword 0
58764 .xword 0
58765 .xword 0
58766 .xword 0
58767 .xword 0
58768 .xword 0
58769 .xword 0
58770 .xword 0
58771 .xword 0
58772 .xword 0
58773 .xword 0
58774 .xword 0
58775 .xword 0
58776 .xword 0
58777 .xword 0
58778 .xword 0
58779 .xword 0
58780 .xword 0
58781 .xword 0
58782 .xword 0
58783 .xword 0
58784 .xword 0
58785 .xword 0
58786 .xword 0
58787 .xword 0
58788 .xword 0
58789 .xword 0
58790 .xword 0
58791 .xword 0
58792 .xword 0
58793 .xword 0
58794 .xword 0
58795 .xword 0
58796 .xword 0
58797 .xword 0
58798 .xword 0
58799 .xword 0
58800 .xword 0
58801 .xword 0
58802 .xword 0
58803 .xword 0
58804 .xword 0
58805 .xword 0
58806 .xword 0
58807 .xword 0
58808 .xword 0
58809 .xword 0
58810 .xword 0
58811 .xword 0
58812 .xword 0
58813 .xword 0
58814 .xword 0
58815 .xword 0
58816 .xword 0
58817 .xword 0
58818 .xword 0
58819 .xword 0
58820 .xword 0
58821 .xword 0
58822 .xword 0
58823 .xword 0
58824_t1_sslkey_src:
58825 .xword 0x2d64a1ef1360129e
58826 .xword 0xeff8a45c8feff8d2
58827 .xword 0xae133f054c916e64
58828 .xword 0x767a60b6bf44f75c
58829 .xword 0xae97b57ebc20fe85
58830 .xword 0x36f88493ee47d9d4
58831 .xword 0x518ceeb388867ab8
58832 .xword 0x30558b0fdd794387
58833 .xword 0x62d258c5d080e21a
58834 .xword 0xa4ae2ab7acd15b98
58835 .xword 0x1c625a8b45e38126
58836 .xword 0xb0fb6ddc75297f59
58837 .xword 0x95363b7b792d2d48
58838 .xword 0x05f920f3c718ba98
58839 .xword 0x95e4e4a764d535dc
58840 .xword 0x523303991365db82
58841 .xword 0x83cf58056f69b31a
58842 .xword 0xcd7e2ca064a1bdc2
58843 .xword 0x321b3dd23a7b03bc
58844 .xword 0x90e127663c71828c
58845 .xword 0x239aacb614a3aaee
58846 .xword 0xf0d103db34829857
58847 .xword 0x0eb780d88e91fbe0
58848 .xword 0x43e6126afe58130f
58849 .xword 0x89a3e5e129cf3896
58850 .xword 0xfadab7f2b273ecb5
58851 .xword 0x9190ab14f4c8f35a
58852 .xword 0xec9f2b2fa8ac208d
58853 .xword 0x4c3834d0b574311a
58854 .xword 0x589597590cd44d60
58855 .xword 0x3aa5f259e5343668
58856 .xword 0x16f1f56a7d5295d7
58857 .xword 0x219b76e2a2fb33f9
58858 .xword 0x4d6d95c64efdfffa
58859 .xword 0xa65f2dc221b3148d
58860 .xword 0xeee19947a13c4ee2
58861 .xword 0xd9cd932cb4dda50e
58862 .xword 0x15c8f2eaa2d27a41
58863 .xword 0xbfc190c48969e0e6
58864 .xword 0x5ed0be9a1af55629
58865 .xword 0xfb8c86b2828ca668
58866 .xword 0x203ea0385ddb6720
58867 .xword 0xd7888185fa9286ea
58868 .xword 0x99682a418c5b2170
58869 .xword 0xda9c6ec2b09cb89c
58870 .xword 0x599c845d3b3094ef
58871 .xword 0xd7e5e9d5c590e7ea
58872 .xword 0xd2348bb8e6aee2c7
58873 .xword 0x2823603bbcef4373
58874 .xword 0xcd70b8f537636fff
58875 .xword 0xbc610fc1d81968ae
58876 .xword 0x3f253df52de6c3d9
58877 .xword 0xbf184235178c92d5
58878 .xword 0x5dfe047773cda54d
58879 .xword 0x422fa6711b682191
58880 .xword 0x8c5f06209bbe9f49
58881 .xword 0x47fa8d10909d2e47
58882 .xword 0xeb72e2363aa886cf
58883 .xword 0x28b07889db7c5ef1
58884 .xword 0xd250e3ede8dbf0ac
58885 .xword 0x2cf84996b05a0356
58886 .xword 0xf2bb01b1a3ab33b5
58887 .xword 0x579e46b8caf5abb3
58888 .xword 0xbb9517b89bcf1a5f
58889 .xword 0x2b72c494380db1c6
58890 .xword 0x0175f19ca2960d20
58891 .xword 0xf4101d828aeed01b
58892 .xword 0x0c27badcbb7552fe
58893 .xword 0x3f27b993d6119a46
58894 .xword 0x0c5ed4fa8433feb7
58895 .xword 0x05cb5d2c3ba6f04f
58896 .xword 0x8ee12a967108e654
58897 .xword 0xe18cedef7b74658f
58898 .xword 0x99217b43325bf6a2
58899 .xword 0x4dda68a4dc64d929
58900 .xword 0xb0b1eea80be36b26
58901 .xword 0x33bea9f1f9c90dfa
58902 .xword 0xdd95bd0220ec47e8
58903 .xword 0x8b8add7befd909b4
58904 .xword 0x1770ff83f5e9e86f
58905 .xword 0xe8d9fdf2cab9d0af
58906 .xword 0x1c911c8fe94d50e2
58907 .xword 0xc6d7bf0da786437d
58908 .xword 0x34afcf4d10c9ded6
58909 .xword 0xe9154ddbc5a2931c
58910 .xword 0x6ae3b9fa82307b8b
58911 .xword 0x5010e36c34e9a6e9
58912 .xword 0x1fd03d21fd7b7ee4
58913 .xword 0xd1f3cc67a88fccc9
58914 .xword 0x5192fa0bdd338bec
58915 .xword 0x6a0373ea77984b7b
58916 .xword 0xc3e6d5a9e5227265
58917 .xword 0x4daec2a343e5dbad
58918 .xword 0xe424ceaae415fd66
58919 .xword 0x3e970d2532251951
58920 .xword 0x4444a266ba48072e
58921 .xword 0x0bbf61c954ab9605
58922 .xword 0xda14642ed2b4898c
58923 .xword 0x5b4b74b25f6fc005
58924 .xword 0xff63f7c5315c07e8
58925 .xword 0x9e3d676f982e5def
58926 .xword 0x158ba1bce1469607
58927 .xword 0x9c39162dae223b54
58928 .xword 0x6a2aed6d9e3d0933
58929 .xword 0x1ea536725bc7c74b
58930 .xword 0x5ff03bbed0a6c09e
58931 .xword 0xd64190dcf9518e23
58932 .xword 0x0c24021fc323b603
58933 .xword 0x5b97958ef2206cc2
58934 .xword 0x5d7cd6da95e8055d
58935 .xword 0x049b8709a093e737
58936 .xword 0x229cae65fd082938
58937 .xword 0xc43e7ddcbb83692c
58938 .xword 0xf290bed52f905cf5
58939 .xword 0xc0c09c64f3a9210b
58940 .xword 0xd322ccd962abf001
58941 .xword 0x136b4b169262259d
58942 .xword 0x0cbda8a01cb7b460
58943 .xword 0x4f8a7525ea2b2df2
58944 .xword 0x17e05a28cae28234
58945 .xword 0x59a7a31deafea1de
58946 .xword 0x1e99982bc8c2490f
58947 .xword 0x7fa241add1fd9393
58948 .xword 0x959ccf1dae288901
58949 .xword 0x5f7f0b6b9a3c234d
58950 .xword 0x348e9669a28038ed
58951 .xword 0x135edf4a8fa3ff6f
58952 .xword 0x7e56b916d318f3a8
58953 .xword 0xd680cae73a34731a
58954 .xword 0x1f05a61f1fad50b0
58955 .xword 0x63cc8959cccba39a
58956 .xword 0x65f4643c030db193
58957 .xword 0xb6cff00bb6563849
58958 .xword 0xc70f3d4a13970952
58959 .xword 0xf0f4adb25853895f
58960 .xword 0x853c40185f03406e
58961 .xword 0x7a4995390e0698bd
58962 .xword 0x9f5f419615ccc1e6
58963 .xword 0xdf7c038bc321f428
58964 .xword 0x3591aa28419c4d89
58965 .xword 0x2b92a1fdf9e6533f
58966 .xword 0xbf81d81b5ef23994
58967 .xword 0xb4c6728776331833
58968 .xword 0xb91cd1aae7007c4c
58969 .xword 0xaaf59dde6b421caa
58970 .xword 0x43c76bb5779ba4de
58971 .xword 0x8c0048a440aed094
58972 .xword 0x8dfb2ea3b6965ae0
58973 .xword 0xf1dcbf9f951d2780
58974 .xword 0x650f26a742c56c8c
58975 .xword 0x129161cb12eaf773
58976 .xword 0xfc83689a08a00843
58977 .xword 0x28668956720be512
58978 .xword 0x7596b3eab98d5891
58979 .xword 0xa4577bbe5c730980
58980 .xword 0x443ab634a917ab1f
58981 .xword 0x928c16d2629bd1c9
58982 .xword 0x627af7570393b6fd
58983 .xword 0x42d6b98cae408ef0
58984 .xword 0x06ae4990c5d8cce8
58985 .xword 0x538f99ef57dae287
58986 .xword 0xf59c0d5b0853dd12
58987 .xword 0xfa6a09d48775b68d
58988 .xword 0x0073d80847a0578e
58989 .xword 0xed090f48b917c499
58990 .xword 0x2ffa400acdd4460e
58991 .xword 0x0857169ee6059093
58992 .xword 0xb997a52ed0774f00
58993 .xword 0x6ef9c19b3cbdb7de
58994 .xword 0x7a1e682262039249
58995 .xword 0xc7c52f1f205673b9
58996 .xword 0x242ec7074ec5641c
58997 .xword 0x9858e829201cc992
58998 .xword 0x5f55283009deae1d
58999 .xword 0x11a65ef5d383d461
59000 .xword 0xff387fe23755cfb3
59001 .xword 0xf27507885a6fcab7
59002 .xword 0x5a898657170841f3
59003 .xword 0x3d5668dabbbe3b78
59004 .xword 0xf3513d9743839d5b
59005 .xword 0xf32e6f48cc34da59
59006 .xword 0xf377f3de60316810
59007 .xword 0x659c759ca56f7374
59008 .xword 0x607942ca6c8c262d
59009 .xword 0xdd2c36b3fe09ce3a
59010 .xword 0x93a94fe0b4fd0138
59011 .xword 0xe4b3bc5b1edb43c5
59012 .xword 0x2607bddfa37a6ff3
59013 .xword 0xaef195230b38d6d6
59014 .xword 0x577393e458c3b684
59015 .xword 0x873425db20929440
59016 .xword 0xb6edbf548f699af2
59017 .xword 0x5805cbdda4c9753f
59018 .xword 0x802dd00047dee0b5
59019 .xword 0xae2efca2543fcbbc
59020 .xword 0xa3ac17fd1fb11f0a
59021 .xword 0xe84f90b77eb5df39
59022 .xword 0x16fa27186a5dd53d
59023 .xword 0xfb58a9347e98997b
59024 .xword 0x878a1fd7127a38c2
59025 .xword 0xf939415cde0df211
59026 .xword 0xcf86e22d5ae5d57d
59027 .xword 0x2ac2df808f392e61
59028 .xword 0x19d678350ed43be6
59029 .xword 0x7ea67514d9d6f383
59030 .xword 0x6d99b0138e2ab6d3
59031 .xword 0xcf5c49f696c34bb3
59032 .xword 0x394f0ae1e842ea6f
59033 .xword 0x9b30fcfaf44f7171
59034 .xword 0xcd556e7fa0903785
59035 .xword 0x3396a847cb134aa9
59036 .xword 0x13b23e44716173b2
59037 .xword 0xb3079e7b4fb19d8d
59038 .xword 0xa3462c26aef0b742
59039 .xword 0xb84317b54c573f3f
59040 .xword 0x4683372b6c5cd0ef
59041 .xword 0x02da0a6de4463ca0
59042 .xword 0x91bb9b1033abd13a
59043 .xword 0xb7b820b75019fccd
59044 .xword 0x3b4f0bdf2084bad4
59045 .xword 0x801b0a74add044a6
59046 .xword 0xc6ef4de500d1a64e
59047 .xword 0xf5ee73f079787d13
59048 .xword 0x2cdd325686796c00
59049 .xword 0xdb2c0525459836fe
59050 .xword 0x195a45d7b27bf7dc
59051 .xword 0xbe1d125f9f89694f
59052 .xword 0x0f117e2e1facbf5f
59053 .xword 0x89817d93a2fbdbf0
59054 .xword 0xf3aea82b45afc635
59055 .xword 0xfa970852f9c8b7fc
59056 .xword 0xde15a4d3bdbaba93
59057 .xword 0x8f42bfc45e3754c4
59058 .xword 0x1d0a790fac84d3b8
59059 .xword 0x5c1ecd53c2528bd2
59060 .xword 0x05fdd032accfc278
59061 .xword 0xadac36b0d1aa84a4
59062 .xword 0xfaa9268fc158e49b
59063 .xword 0xd7f4cc7fcc6205c4
59064 .xword 0xfcc33cd7fbf0d5aa
59065 .xword 0xef2ef83d79d693fc
59066 .xword 0xd61652d5ddee87d3
59067 .xword 0x51e1fe137f2557c6
59068 .xword 0xccd0723f31fc6c27
59069 .xword 0x8f72a638c30360b5
59070 .xword 0x13486f689e3f8f7f
59071 .xword 0xb3e79b0b01c957f3
59072 .xword 0x5692ef435cb7b89f
59073 .xword 0x3b1a9cf675717028
59074 .xword 0x9b79f2567f1e60ba
59075 .xword 0xd2b64cc2aea49ed2
59076 .xword 0x9726aa55d0fc3bf7
59077 .xword 0xd26dae2a8c4e4978
59078 .xword 0x8fe2021821177137
59079 .xword 0x64a0933163169bf6
59080 .xword 0x75f8713a4752121b
59081 .xword 0xd1d97b06d1543680
59082 .xword 0xf549d59dc5512b0a
59083 .xword 0x4b49cfa94003d9d4
59084 .xword 0x126aa08d7a0b2244
59085 .xword 0x54a2e28bbcd1a885
59086 .xword 0x5ed35fa5ff63ff71
59087 .xword 0x643f3e19f28af89b
59088 .xword 0x107e7661b87b89d4
59089 .xword 0xf1e3a0197dd9065f
59090 .xword 0x08a4dfefbbfe62ba
59091 .xword 0x047fa0ec8b64ec4f
59092 .xword 0x955588f973caf238
59093 .xword 0xcf6e28764b4d33a4
59094 .xword 0xbcdc261ad12b28aa
59095 .xword 0x062e523ff1c1e976
59096 .xword 0xa77253250787c826
59097 .xword 0xac2fb0747eca126c
59098 .xword 0x46b78d85d8787c28
59099 .xword 0x606fcf9665eb7d98
59100_t1_sslkey_dest:
59101 .xword 0xDEADBEEFDEADBEEF
59102 .xword 0xDEADBEEFDEADBEEF
59103 .xword 0xDEADBEEFDEADBEEF
59104 .xword 0xDEADBEEFDEADBEEF
59105 .xword 0xDEADBEEFDEADBEEF
59106 .xword 0xDEADBEEFDEADBEEF
59107 .xword 0xDEADBEEFDEADBEEF
59108 .xword 0xDEADBEEFDEADBEEF
59109 .xword 0xDEADBEEFDEADBEEF
59110 .xword 0xDEADBEEFDEADBEEF
59111 .xword 0xDEADBEEFDEADBEEF
59112 .xword 0xDEADBEEFDEADBEEF
59113 .xword 0xDEADBEEFDEADBEEF
59114 .xword 0xDEADBEEFDEADBEEF
59115 .xword 0xDEADBEEFDEADBEEF
59116 .xword 0xDEADBEEFDEADBEEF
59117 .xword 0xDEADBEEFDEADBEEF
59118 .xword 0xDEADBEEFDEADBEEF
59119 .xword 0xDEADBEEFDEADBEEF
59120 .xword 0xDEADBEEFDEADBEEF
59121 .xword 0xDEADBEEFDEADBEEF
59122 .xword 0xDEADBEEFDEADBEEF
59123 .xword 0xDEADBEEFDEADBEEF
59124 .xword 0xDEADBEEFDEADBEEF
59125 .xword 0xDEADBEEFDEADBEEF
59126 .xword 0xDEADBEEFDEADBEEF
59127 .xword 0xDEADBEEFDEADBEEF
59128 .xword 0xDEADBEEFDEADBEEF
59129 .xword 0xDEADBEEFDEADBEEF
59130 .xword 0xDEADBEEFDEADBEEF
59131 .xword 0xDEADBEEFDEADBEEF
59132 .xword 0xDEADBEEFDEADBEEF
59133 .xword 0xDEADBEEFDEADBEEF
59134 .xword 0xDEADBEEFDEADBEEF
59135 .xword 0xDEADBEEFDEADBEEF
59136 .xword 0xDEADBEEFDEADBEEF
59137 .xword 0xDEADBEEFDEADBEEF
59138 .xword 0xDEADBEEFDEADBEEF
59139 .xword 0xDEADBEEFDEADBEEF
59140 .xword 0xDEADBEEFDEADBEEF
59141 .xword 0xDEADBEEFDEADBEEF
59142 .xword 0xDEADBEEFDEADBEEF
59143 .xword 0xDEADBEEFDEADBEEF
59144 .xword 0xDEADBEEFDEADBEEF
59145 .xword 0xDEADBEEFDEADBEEF
59146 .xword 0xDEADBEEFDEADBEEF
59147 .xword 0xDEADBEEFDEADBEEF
59148 .xword 0xDEADBEEFDEADBEEF
59149 .xword 0xDEADBEEFDEADBEEF
59150 .xword 0xDEADBEEFDEADBEEF
59151 .xword 0xDEADBEEFDEADBEEF
59152 .xword 0xDEADBEEFDEADBEEF
59153 .xword 0xDEADBEEFDEADBEEF
59154 .xword 0xDEADBEEFDEADBEEF
59155 .xword 0xDEADBEEFDEADBEEF
59156 .xword 0xDEADBEEFDEADBEEF
59157 .xword 0xDEADBEEFDEADBEEF
59158 .xword 0xDEADBEEFDEADBEEF
59159 .xword 0xDEADBEEFDEADBEEF
59160 .xword 0xDEADBEEFDEADBEEF
59161 .xword 0xDEADBEEFDEADBEEF
59162 .xword 0xDEADBEEFDEADBEEF
59163 .xword 0xDEADBEEFDEADBEEF
59164 .xword 0xDEADBEEFDEADBEEF
59165 .xword 0xDEADBEEFDEADBEEF
59166 .xword 0xDEADBEEFDEADBEEF
59167 .xword 0xDEADBEEFDEADBEEF
59168 .xword 0xDEADBEEFDEADBEEF
59169 .xword 0xDEADBEEFDEADBEEF
59170 .xword 0xDEADBEEFDEADBEEF
59171 .xword 0xDEADBEEFDEADBEEF
59172 .xword 0xDEADBEEFDEADBEEF
59173 .xword 0xDEADBEEFDEADBEEF
59174 .xword 0xDEADBEEFDEADBEEF
59175 .xword 0xDEADBEEFDEADBEEF
59176 .xword 0xDEADBEEFDEADBEEF
59177 .xword 0xDEADBEEFDEADBEEF
59178 .xword 0xDEADBEEFDEADBEEF
59179 .xword 0xDEADBEEFDEADBEEF
59180 .xword 0xDEADBEEFDEADBEEF
59181 .xword 0xDEADBEEFDEADBEEF
59182 .xword 0xDEADBEEFDEADBEEF
59183 .xword 0xDEADBEEFDEADBEEF
59184 .xword 0xDEADBEEFDEADBEEF
59185 .xword 0xDEADBEEFDEADBEEF
59186 .xword 0xDEADBEEFDEADBEEF
59187 .xword 0xDEADBEEFDEADBEEF
59188 .xword 0xDEADBEEFDEADBEEF
59189 .xword 0xDEADBEEFDEADBEEF
59190 .xword 0xDEADBEEFDEADBEEF
59191 .xword 0xDEADBEEFDEADBEEF
59192 .xword 0xDEADBEEFDEADBEEF
59193 .xword 0xDEADBEEFDEADBEEF
59194 .xword 0xDEADBEEFDEADBEEF
59195 .xword 0xDEADBEEFDEADBEEF
59196 .xword 0xDEADBEEFDEADBEEF
59197 .xword 0xDEADBEEFDEADBEEF
59198 .xword 0xDEADBEEFDEADBEEF
59199 .xword 0xDEADBEEFDEADBEEF
59200 .xword 0xDEADBEEFDEADBEEF
59201 .xword 0xDEADBEEFDEADBEEF
59202 .xword 0xDEADBEEFDEADBEEF
59203 .xword 0xDEADBEEFDEADBEEF
59204 .xword 0xDEADBEEFDEADBEEF
59205 .xword 0xDEADBEEFDEADBEEF
59206 .xword 0xDEADBEEFDEADBEEF
59207 .xword 0xDEADBEEFDEADBEEF
59208 .xword 0xDEADBEEFDEADBEEF
59209 .xword 0xDEADBEEFDEADBEEF
59210 .xword 0xDEADBEEFDEADBEEF
59211 .xword 0xDEADBEEFDEADBEEF
59212 .xword 0xDEADBEEFDEADBEEF
59213 .xword 0xDEADBEEFDEADBEEF
59214 .xword 0xDEADBEEFDEADBEEF
59215 .xword 0xDEADBEEFDEADBEEF
59216 .xword 0xDEADBEEFDEADBEEF
59217 .xword 0xDEADBEEFDEADBEEF
59218 .xword 0xDEADBEEFDEADBEEF
59219 .xword 0xDEADBEEFDEADBEEF
59220 .xword 0xDEADBEEFDEADBEEF
59221 .xword 0xDEADBEEFDEADBEEF
59222 .xword 0xDEADBEEFDEADBEEF
59223 .xword 0xDEADBEEFDEADBEEF
59224 .xword 0xDEADBEEFDEADBEEF
59225 .xword 0xDEADBEEFDEADBEEF
59226 .xword 0xDEADBEEFDEADBEEF
59227 .xword 0xDEADBEEFDEADBEEF
59228 .xword 0xDEADBEEFDEADBEEF
59229 .xword 0xDEADBEEFDEADBEEF
59230 .xword 0xDEADBEEFDEADBEEF
59231 .xword 0xDEADBEEFDEADBEEF
59232 .xword 0xDEADBEEFDEADBEEF
59233 .xword 0xDEADBEEFDEADBEEF
59234 .xword 0xDEADBEEFDEADBEEF
59235 .xword 0xDEADBEEFDEADBEEF
59236 .xword 0xDEADBEEFDEADBEEF
59237 .xword 0xDEADBEEFDEADBEEF
59238 .xword 0xDEADBEEFDEADBEEF
59239 .xword 0xDEADBEEFDEADBEEF
59240 .xword 0xDEADBEEFDEADBEEF
59241 .xword 0xDEADBEEFDEADBEEF
59242 .xword 0xDEADBEEFDEADBEEF
59243 .xword 0xDEADBEEFDEADBEEF
59244 .xword 0xDEADBEEFDEADBEEF
59245 .xword 0xDEADBEEFDEADBEEF
59246 .xword 0xDEADBEEFDEADBEEF
59247 .xword 0xDEADBEEFDEADBEEF
59248 .xword 0xDEADBEEFDEADBEEF
59249 .xword 0xDEADBEEFDEADBEEF
59250 .xword 0xDEADBEEFDEADBEEF
59251 .xword 0xDEADBEEFDEADBEEF
59252 .xword 0xDEADBEEFDEADBEEF
59253 .xword 0xDEADBEEFDEADBEEF
59254 .xword 0xDEADBEEFDEADBEEF
59255 .xword 0xDEADBEEFDEADBEEF
59256 .xword 0xDEADBEEFDEADBEEF
59257 .xword 0xDEADBEEFDEADBEEF
59258 .xword 0xDEADBEEFDEADBEEF
59259 .xword 0xDEADBEEFDEADBEEF
59260 .xword 0xDEADBEEFDEADBEEF
59261 .xword 0xDEADBEEFDEADBEEF
59262 .xword 0xDEADBEEFDEADBEEF
59263 .xword 0xDEADBEEFDEADBEEF
59264 .xword 0xDEADBEEFDEADBEEF
59265 .xword 0xDEADBEEFDEADBEEF
59266 .xword 0xDEADBEEFDEADBEEF
59267 .xword 0xDEADBEEFDEADBEEF
59268 .xword 0xDEADBEEFDEADBEEF
59269 .xword 0xDEADBEEFDEADBEEF
59270 .xword 0xDEADBEEFDEADBEEF
59271 .xword 0xDEADBEEFDEADBEEF
59272 .xword 0xDEADBEEFDEADBEEF
59273 .xword 0xDEADBEEFDEADBEEF
59274 .xword 0xDEADBEEFDEADBEEF
59275 .xword 0xDEADBEEFDEADBEEF
59276 .xword 0xDEADBEEFDEADBEEF
59277 .xword 0xDEADBEEFDEADBEEF
59278 .xword 0xDEADBEEFDEADBEEF
59279 .xword 0xDEADBEEFDEADBEEF
59280 .xword 0xDEADBEEFDEADBEEF
59281 .xword 0xDEADBEEFDEADBEEF
59282 .xword 0xDEADBEEFDEADBEEF
59283 .xword 0xDEADBEEFDEADBEEF
59284 .xword 0xDEADBEEFDEADBEEF
59285 .xword 0xDEADBEEFDEADBEEF
59286 .xword 0xDEADBEEFDEADBEEF
59287 .xword 0xDEADBEEFDEADBEEF
59288 .xword 0xDEADBEEFDEADBEEF
59289 .xword 0xDEADBEEFDEADBEEF
59290 .xword 0xDEADBEEFDEADBEEF
59291 .xword 0xDEADBEEFDEADBEEF
59292 .xword 0xDEADBEEFDEADBEEF
59293 .xword 0xDEADBEEFDEADBEEF
59294 .xword 0xDEADBEEFDEADBEEF
59295 .xword 0xDEADBEEFDEADBEEF
59296 .xword 0xDEADBEEFDEADBEEF
59297 .xword 0xDEADBEEFDEADBEEF
59298 .xword 0xDEADBEEFDEADBEEF
59299 .xword 0xDEADBEEFDEADBEEF
59300 .xword 0xDEADBEEFDEADBEEF
59301 .xword 0xDEADBEEFDEADBEEF
59302 .xword 0xDEADBEEFDEADBEEF
59303 .xword 0xDEADBEEFDEADBEEF
59304 .xword 0xDEADBEEFDEADBEEF
59305 .xword 0xDEADBEEFDEADBEEF
59306 .xword 0xDEADBEEFDEADBEEF
59307 .xword 0xDEADBEEFDEADBEEF
59308 .xword 0xDEADBEEFDEADBEEF
59309 .xword 0xDEADBEEFDEADBEEF
59310 .xword 0xDEADBEEFDEADBEEF
59311 .xword 0xDEADBEEFDEADBEEF
59312 .xword 0xDEADBEEFDEADBEEF
59313 .xword 0xDEADBEEFDEADBEEF
59314 .xword 0xDEADBEEFDEADBEEF
59315 .xword 0xDEADBEEFDEADBEEF
59316 .xword 0xDEADBEEFDEADBEEF
59317 .xword 0xDEADBEEFDEADBEEF
59318 .xword 0xDEADBEEFDEADBEEF
59319 .xword 0xDEADBEEFDEADBEEF
59320 .xword 0xDEADBEEFDEADBEEF
59321 .xword 0xDEADBEEFDEADBEEF
59322 .xword 0xDEADBEEFDEADBEEF
59323 .xword 0xDEADBEEFDEADBEEF
59324 .xword 0xDEADBEEFDEADBEEF
59325 .xword 0xDEADBEEFDEADBEEF
59326 .xword 0xDEADBEEFDEADBEEF
59327 .xword 0xDEADBEEFDEADBEEF
59328 .xword 0xDEADBEEFDEADBEEF
59329 .xword 0xDEADBEEFDEADBEEF
59330 .xword 0xDEADBEEFDEADBEEF
59331 .xword 0xDEADBEEFDEADBEEF
59332 .xword 0xDEADBEEFDEADBEEF
59333 .xword 0xDEADBEEFDEADBEEF
59334 .xword 0xDEADBEEFDEADBEEF
59335 .xword 0xDEADBEEFDEADBEEF
59336 .xword 0xDEADBEEFDEADBEEF
59337 .xword 0xDEADBEEFDEADBEEF
59338 .xword 0xDEADBEEFDEADBEEF
59339 .xword 0xDEADBEEFDEADBEEF
59340 .xword 0xDEADBEEFDEADBEEF
59341 .xword 0xDEADBEEFDEADBEEF
59342 .xword 0xDEADBEEFDEADBEEF
59343 .xword 0xDEADBEEFDEADBEEF
59344 .xword 0xDEADBEEFDEADBEEF
59345 .xword 0xDEADBEEFDEADBEEF
59346 .xword 0xDEADBEEFDEADBEEF
59347 .xword 0xDEADBEEFDEADBEEF
59348 .xword 0xDEADBEEFDEADBEEF
59349 .xword 0xDEADBEEFDEADBEEF
59350 .xword 0xDEADBEEFDEADBEEF
59351 .xword 0xDEADBEEFDEADBEEF
59352 .xword 0xDEADBEEFDEADBEEF
59353 .xword 0xDEADBEEFDEADBEEF
59354 .xword 0xDEADBEEFDEADBEEF
59355 .xword 0xDEADBEEFDEADBEEF
59356 .xword 0xDEADBEEFDEADBEEF
59357 .xword 0xDEADBEEFDEADBEEF
59358 .xword 0xDEADBEEFDEADBEEF
59359 .xword 0xDEADBEEFDEADBEEF
59360 .xword 0xDEADBEEFDEADBEEF
59361 .xword 0xDEADBEEFDEADBEEF
59362 .xword 0xDEADBEEFDEADBEEF
59363 .xword 0xDEADBEEFDEADBEEF
59364 .xword 0xDEADBEEFDEADBEEF
59365 .xword 0xDEADBEEFDEADBEEF
59366 .xword 0xDEADBEEFDEADBEEF
59367 .xword 0xDEADBEEFDEADBEEF
59368 .xword 0xDEADBEEFDEADBEEF
59369 .xword 0xDEADBEEFDEADBEEF
59370 .xword 0xDEADBEEFDEADBEEF
59371 .xword 0xDEADBEEFDEADBEEF
59372 .xword 0xDEADBEEFDEADBEEF
59373 .xword 0xDEADBEEFDEADBEEF
59374 .xword 0xDEADBEEFDEADBEEF
59375 .xword 0xDEADBEEFDEADBEEF
59376_t1_sslkey_auth_key:
59377 .xword 0xfd1d4083ecece1e0
59378 .xword 0x805742e628c0eff1
59379 .xword 0x84b594bd630cec7e
59380 .xword 0x9e217cf411f888e1
59381 .xword 0x13b8917f35d8fce8
59382 .xword 0x003aacd10d5c6fdc
59383 .xword 0x1eb062ef70973cce
59384 .xword 0x70c7092a34d33baf
59385 .xword 0xe1cbfc2baff21eea
59386 .xword 0xe59b8cc0d01af779
59387 .xword 0x48907eac054d93c3
59388 .xword 0xd220100d808964fc
59389 .xword 0x6db8fb4efc36ec62
59390 .xword 0x4a5a98fe18e2edbf
59391 .xword 0xc46814fc0de4e16f
59392 .xword 0xd03308687c89c8e3
59393 .xword 0x41a4daaa8eeb73de
59394 .xword 0x823a6905eb481c8a
59395 .xword 0x41f081ef8a8e1cef
59396 .xword 0x6f2b722864cb9e5a
59397 .xword 0xadd555ddd2261fef
59398 .xword 0x03c8719c7065e234
59399 .xword 0x73d24cdeb99c6261
59400_t1_sslkey_auth_iv:
59401 .xword 0xc5897c216135df02
59402 .xword 0xc26b31d290d2b430
59403 .xword 0x0e5d94a551f10514
59404 .xword 0xa186fc9bc582def0
59405 .xword 0x403875061279e95b
59406 .xword 0xd22020abebbb4003
59407 .xword 0x57c09ba04f9252fd
59408 .xword 0x44c7f0bff19f174e
59409 .xword 0xa8b23dcd36fd217b
59410 .xword 0x4273cd7e1eaf2855
59411 .xword 0x748b1a9923774a3d
59412 .xword 0x0ac0b8824a091c18
59413 .xword 0xb6697efb4a5e2356
59414 .xword 0x38e65d2cc1aa05eb
59415 .xword 0xca8d06302152969a
59416 .xword 0x26d6aae3ca106f0a
59417 .xword 0x62bfcb88741df348
59418 .xword 0x43a1281b394b6a7b
59419 .xword 0xdf9e82cb3b07227b
59420 .xword 0x4f4b9aa9b4573a19
59421 .xword 0x1c8acc641b77d35c
59422 .xword 0xc23c476a5648e3df
59423 .xword 0x49f445a743c7a71c
59424_t1_sslkey_fas_result:
59425 .xword 0xDEADBEEFDEADBEEF
59426 .xword 0xDEADBEEFDEADBEEF
59427 .xword 0xDEADBEEFDEADBEEF
59428 .xword 0xDEADBEEFDEADBEEF
59429 .xword 0xDEADBEEFDEADBEEF
59430 .xword 0xDEADBEEFDEADBEEF
59431 .xword 0xDEADBEEFDEADBEEF
59432 .xword 0xDEADBEEFDEADBEEF
59433 .xword 0xDEADBEEFDEADBEEF
59434 .xword 0xDEADBEEFDEADBEEF
59435 .xword 0xDEADBEEFDEADBEEF
59436 .xword 0xDEADBEEFDEADBEEF
59437 .xword 0xDEADBEEFDEADBEEF
59438 .xword 0xDEADBEEFDEADBEEF
59439 .xword 0xDEADBEEFDEADBEEF
59440 .xword 0xDEADBEEFDEADBEEF
59441 .xword 0xDEADBEEFDEADBEEF
59442 .xword 0xDEADBEEFDEADBEEF
59443 .xword 0xDEADBEEFDEADBEEF
59444 .xword 0xDEADBEEFDEADBEEF
59445 .xword 0xDEADBEEFDEADBEEF
59446 .xword 0xDEADBEEFDEADBEEF
59447 .xword 0xDEADBEEFDEADBEEF
59448_t1_aes_toc:
59449 .xword _t1_aes_cwd_array
59450 .xword _t1_aes_src
59451 .xword _t1_aes_auth_key
59452 .xword _t1_aes_auth_iv
59453 .xword _t1_aes_fas_result
59454 .xword _t1_aes_key_array
59455 .xword _t1_aes_iv_array
59456 .xword _t1_aes_dest
59457 .xword _t1_aes_alignment_array
59458_t1_des_toc:
59459 .xword _t1_des_cwd_array
59460 .xword _t1_des_src
59461 .xword _t1_des_auth_key
59462 .xword _t1_des_auth_iv
59463 .xword _t1_des_fas_result
59464 .xword _t1_des_key_array
59465 .xword _t1_des_iv_array
59466 .xword _t1_des_dest
59467 .xword _t1_des_alignment_array
59468_t1_copy_toc:
59469 .xword _t1_copy_cwd_array
59470 .xword _t1_copy_src
59471 .xword _t1_copy_auth_key
59472 .xword _t1_copy_auth_iv
59473 .xword _t1_copy_fas_result
59474 .xword _t1_copy_key_array
59475 .xword _t1_copy_iv_array
59476 .xword _t1_copy_dest
59477 .xword _t1_copy_alignment_array
59478_t1_crc_toc:
59479 .xword _t1_crc_cwd_array
59480 .xword _t1_crc_src
59481 .xword _t1_crc_auth_key
59482 .xword _t1_crc_auth_iv
59483 .xword _t1_crc_fas_result
59484 .xword _t1_crc_key_array
59485 .xword _t1_crc_iv_array
59486 .xword _t1_crc_dest
59487 .xword _t1_crc_alignment_array
59488_t1_hash_toc:
59489 .xword _t1_hash_cwd_array
59490 .xword _t1_hash_src
59491 .xword _t1_hash_auth_key
59492 .xword _t1_hash_auth_iv
59493 .xword _t1_hash_fas_result
59494 .xword _t1_hash_key_array
59495 .xword _t1_hash_iv_array
59496 .xword _t1_hash_dest
59497 .xword _t1_hash_alignment_array
59498_t1_hmac_toc:
59499 .xword _t1_hmac_cwd_array
59500 .xword _t1_hmac_src
59501 .xword _t1_hmac_auth_key
59502 .xword _t1_hmac_auth_iv
59503 .xword _t1_hmac_fas_result
59504 .xword _t1_hmac_key_array
59505 .xword _t1_hmac_iv_array
59506 .xword _t1_hmac_dest
59507 .xword _t1_hmac_alignment_array
59508_t1_rc4_toc:
59509 .xword _t1_rc4_cwd_array
59510 .xword _t1_rc4_src
59511 .xword _t1_rc4_auth_key
59512 .xword _t1_rc4_auth_iv
59513 .xword _t1_rc4_fas_result
59514 .xword _t1_rc4_key_array
59515 .xword _t1_rc4_iv_array
59516 .xword _t1_rc4_dest
59517 .xword _t1_rc4_alignment_array
59518_t1_sslkey_toc:
59519 .xword _t1_sslkey_cwd_array
59520 .xword _t1_sslkey_src
59521 .xword _t1_sslkey_auth_key
59522 .xword _t1_sslkey_auth_iv
59523 .xword _t1_sslkey_fas_result
59524 .xword _t1_sslkey_key_array
59525 .xword _t1_sslkey_iv_array
59526 .xword _t1_sslkey_dest
59527 .xword _t1_sslkey_alignment_array
59528_t1_table_of_context5:
59529 .xword _t1_aes_toc
59530 .xword _t1_des_toc
59531 .xword _t1_copy_toc
59532 .xword _t1_crc_toc
59533 .xword _t1_hash_toc
59534 .xword _t1_hmac_toc
59535 .xword _t1_rc4_toc
59536 .xword _t1_sslkey_toc
59537
59538!# CWQ data area, set aside 512 CW's worth
59539!# 512*8*8 = 32KB
59540.align 32*1024
59541_t1_cwq_base5:
59542 .xword 0xAAAAAAAAAAAAAAA
59543 .xword 0xAAAAAAAAAAAAAAA
59544 .xword 0xAAAAAAAAAAAAAAA
59545 .xword 0xAAAAAAAAAAAAAAA
59546 .xword 0xAAAAAAAAAAAAAAA
59547 .xword 0xAAAAAAAAAAAAAAA
59548 .xword 0xAAAAAAAAAAAAAAA
59549 .xword 0xAAAAAAAAAAAAAAA
59550.align 32*1024
59551_t1_cwq_last5:
59552
59553SECTION ._t1_T_CWQ_DATA6 DATA_VA=291504128
59554attr_data {
59555 Name = ._t1_T_CWQ_DATA6
59556 hypervisor
59557}
59558 .data
59559_t1_user_data_start6:
59560_t1_scratch_area6:
59561
59562.align 16
59563_t1_spu_op_array6:
59564 .xword 0
59565 .xword 6
59566 .xword 1
59567 .xword 2
59568 .xword 0
59569 .xword 3
59570 .xword 2
59571 .xword 4
59572 .xword 3
59573 .xword 5
59574 .xword 1
59575 .xword 5
59576 .xword 3
59577 .xword 7
59578 .xword 6
59579_t1_aes_cwd_array6:
59580 .xword 0xc0e100401700001f
59581 .xword 0x406100801300001f
59582 .xword 0xc06100401900002f
59583 .xword 0xc06000001800003f
59584 .xword 0x406100801300002f
59585 .xword 0xc06100e01700001f
59586 .xword 0x40e000a01900003f
59587 .xword 0xc0e100401b00000f
59588 .xword 0x40e000001500001f
59589 .xword 0xc0e100c01700002f
59590 .xword 0xc06000201b00001f
59591 .xword 0x406000001400003f
59592 .xword 0xc06100201300002f
59593 .xword 0xc06000c01900003f
59594 .xword 0x406000401b00000f
59595_t1_des_cwd_array6:
59596 .xword 0x40e100600800000f
59597 .xword 0xc06000400d00001f
59598 .xword 0x406100200d000017
59599 .xword 0x4060002009000007
59600 .xword 0xc0e100600a000017
59601 .xword 0xc0e1002008000007
59602 .xword 0xc0e100400a00000f
59603 .xword 0x4060004009000017
59604 .xword 0xc061004009000017
59605 .xword 0xc06000e00e000007
59606 .xword 0x40e000a00d00001f
59607 .xword 0x40e000400e00000f
59608 .xword 0x406100e00900001f
59609 .xword 0xc06100a00d00000f
59610 .xword 0x406000400800001f
59611_t1_copy_cwd_array6:
59612 .xword 0xa06100e000000004
59613 .xword 0xa06000e00000000b
59614 .xword 0xa06000000000000c
59615 .xword 0xa060002000000003
59616 .xword 0x206000800000000d
59617 .xword 0xa06100600000000f
59618 .xword 0xa060008000000005
59619 .xword 0x206000600000000a
59620 .xword 0xa06100c000000006
59621 .xword 0x206100400000000b
59622 .xword 0xa06100400000000b
59623 .xword 0xa06100600000000f
59624 .xword 0x2061004000000009
59625 .xword 0x206000200000000d
59626 .xword 0xa060008000000000
59627_t1_crc_cwd_array6:
59628 .xword 0xc161032400000009
59629 .xword 0xc163012800000004
59630 .xword 0x4163032400000006
59631 .xword 0x416201c80000000d
59632 .xword 0x4161032400000008
59633 .xword 0x416301a800000004
59634 .xword 0xc160034400000000
59635 .xword 0xc16201e800000009
59636 .xword 0x416103a400000001
59637 .xword 0x416301a800000007
59638 .xword 0x416203040000000f
59639 .xword 0xc161016800000000
59640 .xword 0xc16003c400000008
59641 .xword 0x416101680000000f
59642_t1_hash_cwd_array6:
59643 .xword 0xc1620d6100000029
59644 .xword 0x4162026100000003
59645 .xword 0x416200410000000d
59646 .xword 0xc16302a10000002f
59647 .xword 0x4163054100000034
59648 .xword 0xc1620f8100000002
59649 .xword 0xc1630ae20000003e
59650 .xword 0xc16314030000000a
59651 .xword 0x4162032100000008
59652 .xword 0x41620ca200000039
59653 .xword 0x41620ba300000002
59654 .xword 0x416202210000000b
59655 .xword 0xc160006100000014
59656 .xword 0x4162096100000026
59657 .xword 0xc16104a100000040
59658_t1_hmac_cwd_array6:
59659 .xword 0xc1600885000f0020
59660 .xword 0x416310460013000d
59661 .xword 0x416306c5000f000a
59662 .xword 0x41630269000f0036
59663 .xword 0x416208e5000f003c
59664 .xword 0xc1620d8a00130018
59665 .xword 0xc16204e5000f003a
59666 .xword 0x41610ce600130039
59667 .xword 0x41610fc7001f0039
59668 .xword 0xc160004b001f0020
59669 .xword 0x4162122b001f002b
59670 .xword 0xc16204c600130039
59671 .xword 0x41620cc60013000e
59672 .xword 0xc1620245000f001b
59673 .xword 0x41611907001f0016
59674_t1_rc4_cwd_array6:
59675 .xword 0xc0e1006004000002
59676 .xword 0x40e000e004000007
59677 .xword 0xc0e100e00400000f
59678 .xword 0xc0e1002004000001
59679 .xword 0x40e100400000000e
59680 .xword 0xc0e100a00000000a
59681 .xword 0xc0e100c000000004
59682 .xword 0xc0e000a00000000a
59683 .xword 0xc0e1004000000004
59684 .xword 0x40e1006004000001
59685 .xword 0xc0e1000000000002
59686 .xword 0xc0e0006000000003
59687 .xword 0x40e000c00400000f
59688 .xword 0x40e100a004000003
59689 .xword 0x40e0000000000004
59690_t1_sslkey_cwd_array6:
59691 .xword 0x1060190000000000, 0
59692 .xword 0x1060046000000000, 0
59693 .xword 0x10601b4000000000, 0
59694 .xword 0x106039a000000000, 0
59695 .xword 0x1060268000000000, 0
59696 .xword 0x1060208000000000, 0
59697 .xword 0x1060394000000000, 0
59698 .xword 0x906003e000000000, 0
59699 .xword 0x90603ae000000000, 0
59700 .xword 0x90601d2000000000, 0
59701 .xword 0x9060040000000000, 0
59702 .xword 0x9060096000000000, 0
59703 .xword 0x1060366000000000, 0
59704 .xword 0x9060282000000000, 0
59705 .xword 0x906015e000000000, 0
59706_t1_aes_key_array:
59707 .xword 0x476423a8ac7b4a24
59708 .xword 0xb0033803bd8a7d1b
59709 .xword 0x4755ea7c55c19cde
59710 .xword 0xcd54d5b6cbec3c67
59711 .xword 0x8e4c20755ccaf9cd
59712 .xword 0xabba7b093888c603
59713 .xword 0x0c61acff2bf6646d
59714 .xword 0x6c9b82bfa683cc60
59715 .xword 0x62a6145cf9b90021
59716 .xword 0xd8e8be1ded7c03c9
59717 .xword 0x13061d4e45c0e658
59718 .xword 0x5f6ddd4932370278
59719 .xword 0xbdd73daa39b7dc53
59720 .xword 0xbba315340d2a8d51
59721 .xword 0xbdfb2c19474ad3d1
59722 .xword 0x6d37401b9c15c311
59723 .xword 0xd95410cda145da6f
59724 .xword 0xbe98eb71b05e95d0
59725 .xword 0xa60095209a11c21b
59726 .xword 0x7fa10b359967387b
59727 .xword 0x5dd9e716f835ece9
59728 .xword 0x4e0aa936b6a3a747
59729 .xword 0xbfee224711b44fa8
59730 .xword 0xbb7ecbeb4eee5cd0
59731 .xword 0x5bc5463575497cc0
59732 .xword 0x1c316b603bdf7ab8
59733 .xword 0xfc931bd1b146f0d8
59734 .xword 0xf59c37b80f7e8bbe
59735 .xword 0x8f52ab73de18866f
59736 .xword 0xbebe02ea88b45681
59737 .xword 0x1d60387fa3c96a06
59738 .xword 0xbea68a991ed5c7fb
59739 .xword 0xa49882294afa2905
59740 .xword 0x887c17b0809ee3d3
59741 .xword 0x4dca362edc1a8ee9
59742 .xword 0x87ce7e6a1e46d4a1
59743 .xword 0x86b32f804ef6a677
59744 .xword 0xd9bc2271b0cb8f17
59745 .xword 0xd62b36e0e704d9df
59746 .xword 0x0f82bf879710b64e
59747 .xword 0xa9e0b4bcffef19d6
59748 .xword 0x54aa82d2390d7f7c
59749 .xword 0x0cddec684bddca13
59750 .xword 0x3f96f022d038342e
59751 .xword 0x43bd6fbf3b16873a
59752 .xword 0xa658da9703bb97c6
59753 .xword 0xba21a7ec9f22561c
59754 .xword 0xd138686d9b068c4f
59755 .xword 0x720fce345f0df953
59756 .xword 0x80936bcdcd8ae825
59757 .xword 0x5420a1c555c1f8fc
59758_t1_aes_iv_array:
59759 .xword 0x409c879cc397b39a
59760 .xword 0xa6468c40fcbaa5cf
59761 .xword 0x5bad8d39f2571039
59762 .xword 0x79f6240f8e6c63a3
59763 .xword 0xfcaf83199c5d4489
59764 .xword 0xd62773eb2ef12b4b
59765 .xword 0x6a568135969fdcc9
59766 .xword 0xcf7104eb561ee09c
59767 .xword 0x9487ff1c2321b8ad
59768 .xword 0x9460707157f23de4
59769 .xword 0x30ecabe75c25d05a
59770 .xword 0x54fb1717fbcab98c
59771 .xword 0x145d0f64cf847874
59772 .xword 0x09e1607651b000bc
59773 .xword 0x0de179211e18fbd1
59774 .xword 0xd662b1ee361af52c
59775 .xword 0x868ee54762a22abd
59776 .xword 0xf704b458a3d2ad2e
59777 .xword 0x05a84418e3cd9d16
59778 .xword 0x8089c0d89b110c10
59779 .xword 0xc0240af2e6b27476
59780 .xword 0x4038e25065471cbe
59781 .xword 0xeb982b85bee1a38e
59782 .xword 0x1ec3d4c7403617be
59783 .xword 0x6a43de0223f66704
59784 .xword 0x7fec8caa63e6ba15
59785 .xword 0x07713738118b999d
59786 .xword 0x07a1353b3ff6049b
59787 .xword 0x0540460c0d1db6c1
59788 .xword 0x2330fc8573c79c0d
59789 .xword 0x218ab996bd68ff45
59790 .xword 0x57b44e7edf045ffa
59791 .xword 0x3c72f3f36d80afda
59792 .xword 0x385482f5b3e7653f
59793 .xword 0x75c9bcf4862cfa63
59794 .xword 0xc047ebc763fd345c
59795 .xword 0x16a0b5586357a3a9
59796 .xword 0x21bd7670de6d84b0
59797 .xword 0x6ec0ca5399e3558c
59798 .xword 0x614b65e1f231a368
59799 .xword 0xa1af09cafe55871c
59800 .xword 0x7aeff1ab87238e02
59801 .xword 0x23ba4962bbedc7b7
59802 .xword 0x89dd390ec2e4f869
59803 .xword 0x747736dd2d07d85a
59804_t1_aes_alignment_array:
59805 .xword 9
59806 .xword 12
59807 .xword 10
59808 .xword 6
59809 .xword 13
59810 .xword 2
59811 .xword 8
59812 .xword 10
59813 .xword 3
59814 .xword 4
59815 .xword 9
59816 .xword 3
59817 .xword 3
59818 .xword 0
59819 .xword 15
59820 .xword 14
59821 .xword 11
59822 .xword 13
59823 .xword 2
59824 .xword 3
59825 .xword 11
59826 .xword 3
59827 .xword 15
59828 .xword 2
59829 .xword 5
59830 .xword 4
59831 .xword 9
59832 .xword 5
59833 .xword 0
59834 .xword 0
59835 .xword 14
59836 .xword 13
59837 .xword 3
59838 .xword 12
59839 .xword 9
59840 .xword 4
59841 .xword 14
59842 .xword 1
59843 .xword 11
59844 .xword 12
59845 .xword 5
59846 .xword 4
59847 .xword 9
59848 .xword 0
59849 .xword 5
59850 .xword 0
59851 .xword 1
59852 .xword 2
59853 .xword 6
59854 .xword 15
59855 .xword 7
59856 .xword 4
59857 .xword 8
59858 .xword 12
59859 .xword 7
59860 .xword 15
59861 .xword 10
59862 .xword 10
59863 .xword 8
59864 .xword 13
59865 .xword 14
59866 .xword 9
59867 .xword 10
59868 .xword 15
59869 .xword 1
59870 .xword 12
59871 .xword 15
59872 .xword 4
59873 .xword 6
59874 .xword 12
59875 .xword 7
59876 .xword 14
59877 .xword 15
59878 .xword 5
59879 .xword 13
59880 .xword 14
59881 .xword 14
59882 .xword 12
59883 .xword 3
59884 .xword 8
59885 .xword 11
59886 .xword 14
59887 .xword 7
59888 .xword 7
59889 .xword 13
59890 .xword 13
59891 .xword 8
59892 .xword 7
59893 .xword 3
59894 .xword 4
59895 .xword 9
59896 .xword 12
59897 .xword 8
59898 .xword 0
59899 .xword 3
59900 .xword 10
59901 .xword 7
59902 .xword 5
59903 .xword 3
59904 .xword 11
59905 .xword 1
59906 .xword 9
59907 .xword 5
59908 .xword 9
59909 .xword 7
59910_t1_aes_src:
59911 .xword 0xeb8953814deda640
59912 .xword 0x92947247d5fbfdb2
59913 .xword 0x525292dcbd4a9dfc
59914 .xword 0x30e979198f298324
59915 .xword 0xdc2a56d15e56f952
59916 .xword 0x3f4ceba03487b9dd
59917 .xword 0x897b21edd860dd06
59918 .xword 0x98ef7fde7492e486
59919 .xword 0x1c82ba17395bd080
59920 .xword 0xc9ff4ff8dcb87971
59921 .xword 0xdb6977bcf2393667
59922 .xword 0x094505bda24cd00b
59923 .xword 0xc4d1c4794c7cd737
59924 .xword 0x657c31b0582d9e6f
59925 .xword 0x7acfe962d99b8351
59926 .xword 0xb81eeb160c74f756
59927 .xword 0xec2493917546d152
59928 .xword 0x7bb65ff767cbe664
59929 .xword 0x99528d1e9d1da455
59930 .xword 0xaa1572de6e0135f3
59931 .xword 0xa58953ab8be0bff4
59932 .xword 0x868908cc21d10906
59933 .xword 0x1f34721bc0f031f4
59934 .xword 0x008dc3a3b996af1f
59935 .xword 0x7c3c30e87b5801d4
59936 .xword 0xf5043ff97cb05ba3
59937 .xword 0x2528db13e5ee2e97
59938 .xword 0x9c7f6b151c666577
59939 .xword 0xd27028633f2e55d7
59940 .xword 0x7420fb1028867f41
59941 .xword 0x62e2480f13bcd4fe
59942 .xword 0x185654e52b9c53a8
59943 .xword 0x830d610f8c69a3d1
59944 .xword 0x68e88c30ee3ebb9e
59945 .xword 0x48e231636dc97282
59946 .xword 0x3e2a2a248d265beb
59947 .xword 0xad45767bedb502c0
59948 .xword 0x1421079a2a62898b
59949 .xword 0x4a0e391a74d818f5
59950 .xword 0x42d361f737b1d7ea
59951 .xword 0x0311bf79b239fa44
59952 .xword 0xe80cc6c8e760d11a
59953 .xword 0x6dec1cf7089d7733
59954 .xword 0x7d69355b76cf5c37
59955 .xword 0x6524c62a1b513bf4
59956 .xword 0xfd5d4ab8382d7a8f
59957 .xword 0x901645474790a19c
59958 .xword 0x0e8a24f0706c86bd
59959 .xword 0x3c4f275b7b99ceb6
59960 .xword 0xf5ad7ed1bf2f7fa3
59961 .xword 0x0f93857a41e7d521
59962 .xword 0xb82416ebcf63022c
59963 .xword 0xceb75bc6432e1a97
59964 .xword 0xced9f4e0595ce3a1
59965 .xword 0xd212eceb73fe0b92
59966 .xword 0x7503b568b19b5975
59967 .xword 0x40d86b3109f6d34a
59968 .xword 0x6269b4f1b5bb62c1
59969 .xword 0xb5b43a7ce6953bfc
59970 .xword 0xb35d5120f354d5df
59971 .xword 0xbeb8e0c8060aa8fa
59972 .xword 0xcab9c6ec31d33a3c
59973 .xword 0xcca085e5134ad186
59974 .xword 0x825809f6017e0f77
59975 .xword 0x22aa2ef51207c272
59976 .xword 0x9fa36d403c47d164
59977 .xword 0x6daaaa85e6655db3
59978 .xword 0xd39ce4def3d124ca
59979 .xword 0xc2b96f51c8da6655
59980 .xword 0xc83ef857676af01a
59981 .xword 0xf8529a07c734d5f9
59982 .xword 0xc0110ea44a1527c9
59983 .xword 0x3672a7b0446a82bd
59984 .xword 0x0ee24c13fff4bc64
59985 .xword 0x2ff6e0d8eefe2ef8
59986 .xword 0x65edfa2123c67201
59987 .xword 0xb5382ecb2c76b4b1
59988 .xword 0xb46a5ac8b8a231e7
59989 .xword 0x849453bea8327aca
59990 .xword 0xfd0de7b36f5748e8
59991 .xword 0x400809c2f76a18ef
59992 .xword 0xcc3ded4c39f35245
59993 .xword 0xd106930fe9385f1c
59994 .xword 0x45502ef7f8bb0ab3
59995 .xword 0x300d857cb0761a3a
59996 .xword 0xa437c9b52bb49d94
59997 .xword 0xb467cb72be31a636
59998 .xword 0x970b3b87a35b67cc
59999 .xword 0xb35fa78c2e02f76d
60000 .xword 0x160b69792ca4d1c9
60001 .xword 0xdbf0e00655a7c3a3
60002 .xword 0x2939bfc49ce356f7
60003 .xword 0x60712315c0317973
60004 .xword 0x0783dfa06d761bef
60005 .xword 0xa1f1a64d0313ab70
60006 .xword 0xe854e3e96d112dce
60007 .xword 0x93913e792fd9f193
60008 .xword 0x2e5d0fdeded9ba5f
60009 .xword 0xbbf526cb4d678426
60010 .xword 0xe3e018690b0326af
60011 .xword 0x0f7a96e057b63d0e
60012 .xword 0x7a708e5a59a5284a
60013 .xword 0xb8c8b2390ee68c53
60014 .xword 0x135f08bd69d9a095
60015 .xword 0xdab4c5880969bc41
60016 .xword 0xb48a58f6c9134246
60017 .xword 0xa1503e5ac3750122
60018 .xword 0x245dcc229361238d
60019 .xword 0x35e5a95c39144b4f
60020 .xword 0xa3a5f9e5640e25ff
60021 .xword 0xbc948f66ecdb591b
60022 .xword 0x2b77a2013ccbd2e1
60023 .xword 0x3bb5c7918a3ef4bd
60024 .xword 0xefc19286b3396b3f
60025 .xword 0x5004a9106689ea47
60026 .xword 0x1a46a9187e63ae11
60027 .xword 0xb47607db05a6eb5f
60028 .xword 0x840e7397c27f763e
60029 .xword 0x12c971b0bf30dbaf
60030 .xword 0xb3b56d5244408e5c
60031 .xword 0x334539e12927f212
60032 .xword 0x26f6b14fe2fc150d
60033 .xword 0xa1ed1b97894107a2
60034 .xword 0x65cf1b76b7d4f2d9
60035 .xword 0xebc99e65ad6e4059
60036 .xword 0xdcafb40b4ef395bb
60037 .xword 0x5ac9cf89047c5597
60038 .xword 0x231f7bbcf486125c
60039 .xword 0x348f6e4933df21f0
60040 .xword 0x021c7508d4f65037
60041 .xword 0xbff1528e83d8d44c
60042 .xword 0x8e2eafcd4f30093f
60043 .xword 0xbbbd2b97c2814843
60044 .xword 0x18391153b3ad0139
60045 .xword 0xe4b64b0a43d1367f
60046 .xword 0xdc2015068563b5a6
60047 .xword 0xed34a60e052dae8b
60048 .xword 0x44ac376fa29f3454
60049 .xword 0x38ab995e1f9d25b4
60050 .xword 0xbde9eb24e1198c8e
60051 .xword 0xe9654969fa8729ee
60052 .xword 0x763428d901d7cc3d
60053 .xword 0x3a062140e86a26f4
60054 .xword 0xa616656ef8f7d870
60055 .xword 0xcf818e80dfa124a7
60056 .xword 0xd95fe9fa13305794
60057 .xword 0xa944909ea8aa73d1
60058 .xword 0x10aa910c5d3d424f
60059 .xword 0xddd5f6bfb5501daa
60060 .xword 0xdd21baaf1f33ecdb
60061 .xword 0x20e1d6e4519e29d9
60062 .xword 0x36393867adf32fbe
60063 .xword 0x8c3bf71e8e6712ae
60064 .xword 0x0fc8744b474417de
60065 .xword 0xa2a73e1932443c5a
60066 .xword 0xce6f3c95d56441fb
60067 .xword 0x362a46b5e35e54d0
60068 .xword 0x888d8364f801d0f7
60069 .xword 0x34e925a75710972e
60070 .xword 0x4a773eddadeb48df
60071 .xword 0xe375ad6e36ed558c
60072 .xword 0x303b9b5d108b7421
60073 .xword 0x562d89c5fb082567
60074 .xword 0x9ac7f73a9f29d6da
60075 .xword 0xec0e47504db05c71
60076 .xword 0xf9e255cd9cfe2056
60077 .xword 0x2ffb19ce0b796a94
60078 .xword 0x1ce0c005fed1eace
60079 .xword 0x3411987f5ed718af
60080 .xword 0xde3d905801d87b13
60081 .xword 0x39d600676d22b773
60082 .xword 0x2cfab8959bb1c025
60083 .xword 0x7321bea952d823fb
60084 .xword 0x405b2ba2387b90aa
60085 .xword 0x3f455095b7fa029d
60086 .xword 0x4ac966b9ed558be6
60087 .xword 0x946e75653361f1fc
60088 .xword 0x65ac03f485691dd8
60089 .xword 0x91d34ae34133c680
60090 .xword 0x6bedf11b3e008012
60091 .xword 0x4892fe09192625b2
60092 .xword 0x4de2f91da601b8cc
60093 .xword 0x7db78f3ba67db37a
60094 .xword 0x39236eb2b8a023a3
60095 .xword 0x88a545d0934bd4fe
60096 .xword 0x26014b8bc05e3be6
60097 .xword 0x63ec18675d2a5256
60098 .xword 0x23daf1fc1c4e0e74
60099 .xword 0xae365345706c2a1e
60100 .xword 0xf024561dd24bd279
60101 .xword 0x46e7a44cc2b0c32a
60102 .xword 0x257e57b382214513
60103 .xword 0x1b49d14d91227e4c
60104 .xword 0x6546907e0a973c51
60105 .xword 0x595a963a3110f232
60106 .xword 0xa142aa84bdc26b9d
60107 .xword 0x8c0d67c144c2c914
60108 .xword 0xced10237b4ed84c7
60109 .xword 0x5384cd65931c2d80
60110 .xword 0xf663e46b60e682e7
60111 .xword 0xe027506e80104804
60112 .xword 0x8c66ff54169e5638
60113 .xword 0xa893eefc729a9c2a
60114 .xword 0x1ade3c4b09bdb4d4
60115 .xword 0x052d8126d8671b85
60116 .xword 0x53f6f3c94e453bea
60117 .xword 0x2a8c422ce911d5d3
60118 .xword 0xa65194197820b998
60119 .xword 0x801e8bf5a18a1d5b
60120 .xword 0x394c23ae5ea697c9
60121 .xword 0x56a543f5eb9b9302
60122 .xword 0x6d31b30c29228d8b
60123 .xword 0x3ed5c6d6dd352256
60124 .xword 0xd0d61af1fb965fc9
60125 .xword 0xd9d224282b3e58cf
60126 .xword 0x7292bc3d67610103
60127 .xword 0xc77229310247b51e
60128 .xword 0x77ccc354f7763d87
60129 .xword 0xf7cb69617fb535b1
60130 .xword 0x884049581fff061b
60131 .xword 0xc7309ddee2967730
60132 .xword 0x0d925847400061f9
60133 .xword 0xd60d0e6a7b6f7c34
60134 .xword 0xd96f29be514c6c6c
60135 .xword 0x358eae157ed085fc
60136 .xword 0xad868f74def24d85
60137 .xword 0x3e74b72aede4a068
60138 .xword 0xdfad98d0516be566
60139 .xword 0x3dbc31deffd9df6f
60140 .xword 0x91772b2201fe500a
60141 .xword 0x3f044fee97f2f9a2
60142 .xword 0x614370cf3de0ac78
60143 .xword 0xefb018eac0d2f25d
60144 .xword 0x8728ecbadcea1a0c
60145 .xword 0xcf5ec3cb83e68c08
60146 .xword 0x1b9698beca404643
60147 .xword 0x447ab46edc570c15
60148 .xword 0x71500ee70289acb3
60149 .xword 0x9b6da47fe49e3093
60150 .xword 0xb3a4809ed29b4379
60151 .xword 0xfe537565b70c9f24
60152 .xword 0x10cab25a21beecf9
60153 .xword 0xb42458f223742252
60154 .xword 0x70a0dd839b09f50a
60155 .xword 0x2fb9042b1c808361
60156 .xword 0x261d57ab049a1271
60157 .xword 0x03b92bbd48835428
60158 .xword 0x2d8dd460e414685a
60159 .xword 0xf81b07e70b3b166e
60160 .xword 0x201e071bf3c8996f
60161 .xword 0x8ca1f3c12e1f5fd0
60162 .xword 0x659368157922ab42
60163 .xword 0x43c33b4417683bc1
60164 .xword 0x2ec1793969029595
60165 .xword 0xe1dfaa8717e0e44e
60166 .xword 0x7ef221b4049ad91a
60167 .xword 0xfedee0648e6e530f
60168 .xword 0xe70618b95bce3fec
60169 .xword 0x52adedab730d204b
60170 .xword 0x39ace61a52858bd5
60171 .xword 0x81c4153a4cf5bd1e
60172 .xword 0xd9bd3ae5d50fbd29
60173 .xword 0x1e4c30798bfb1eec
60174 .xword 0xc4d033538c2e7f1a
60175 .xword 0x577a268098ea5e01
60176 .xword 0x96faf80bb348d2b8
60177 .xword 0x8579b2fde08c1805
60178 .xword 0x12f1552282e79da5
60179 .xword 0x0a1e857697ec3f46
60180 .xword 0xbf614f41c50a8ac5
60181 .xword 0xac973fb03f878c3e
60182 .xword 0xc8ff3946eb8a8609
60183 .xword 0xc0151798974d0186
60184 .xword 0xdef69972a1cbdb50
60185 .xword 0x54e973a1b9abac68
60186_t1_aes_dest:
60187 .xword 0xDEADBEEFDEADBEEF
60188 .xword 0xDEADBEEFDEADBEEF
60189 .xword 0xDEADBEEFDEADBEEF
60190 .xword 0xDEADBEEFDEADBEEF
60191 .xword 0xDEADBEEFDEADBEEF
60192 .xword 0xDEADBEEFDEADBEEF
60193 .xword 0xDEADBEEFDEADBEEF
60194 .xword 0xDEADBEEFDEADBEEF
60195 .xword 0xDEADBEEFDEADBEEF
60196 .xword 0xDEADBEEFDEADBEEF
60197 .xword 0xDEADBEEFDEADBEEF
60198 .xword 0xDEADBEEFDEADBEEF
60199 .xword 0xDEADBEEFDEADBEEF
60200 .xword 0xDEADBEEFDEADBEEF
60201 .xword 0xDEADBEEFDEADBEEF
60202 .xword 0xDEADBEEFDEADBEEF
60203 .xword 0xDEADBEEFDEADBEEF
60204 .xword 0xDEADBEEFDEADBEEF
60205 .xword 0xDEADBEEFDEADBEEF
60206 .xword 0xDEADBEEFDEADBEEF
60207 .xword 0xDEADBEEFDEADBEEF
60208 .xword 0xDEADBEEFDEADBEEF
60209 .xword 0xDEADBEEFDEADBEEF
60210 .xword 0xDEADBEEFDEADBEEF
60211 .xword 0xDEADBEEFDEADBEEF
60212 .xword 0xDEADBEEFDEADBEEF
60213 .xword 0xDEADBEEFDEADBEEF
60214 .xword 0xDEADBEEFDEADBEEF
60215 .xword 0xDEADBEEFDEADBEEF
60216 .xword 0xDEADBEEFDEADBEEF
60217 .xword 0xDEADBEEFDEADBEEF
60218 .xword 0xDEADBEEFDEADBEEF
60219 .xword 0xDEADBEEFDEADBEEF
60220 .xword 0xDEADBEEFDEADBEEF
60221 .xword 0xDEADBEEFDEADBEEF
60222 .xword 0xDEADBEEFDEADBEEF
60223 .xword 0xDEADBEEFDEADBEEF
60224 .xword 0xDEADBEEFDEADBEEF
60225 .xword 0xDEADBEEFDEADBEEF
60226 .xword 0xDEADBEEFDEADBEEF
60227 .xword 0xDEADBEEFDEADBEEF
60228 .xword 0xDEADBEEFDEADBEEF
60229 .xword 0xDEADBEEFDEADBEEF
60230 .xword 0xDEADBEEFDEADBEEF
60231 .xword 0xDEADBEEFDEADBEEF
60232 .xword 0xDEADBEEFDEADBEEF
60233 .xword 0xDEADBEEFDEADBEEF
60234 .xword 0xDEADBEEFDEADBEEF
60235 .xword 0xDEADBEEFDEADBEEF
60236 .xword 0xDEADBEEFDEADBEEF
60237 .xword 0xDEADBEEFDEADBEEF
60238 .xword 0xDEADBEEFDEADBEEF
60239 .xword 0xDEADBEEFDEADBEEF
60240 .xword 0xDEADBEEFDEADBEEF
60241 .xword 0xDEADBEEFDEADBEEF
60242 .xword 0xDEADBEEFDEADBEEF
60243 .xword 0xDEADBEEFDEADBEEF
60244 .xword 0xDEADBEEFDEADBEEF
60245 .xword 0xDEADBEEFDEADBEEF
60246 .xword 0xDEADBEEFDEADBEEF
60247 .xword 0xDEADBEEFDEADBEEF
60248 .xword 0xDEADBEEFDEADBEEF
60249 .xword 0xDEADBEEFDEADBEEF
60250 .xword 0xDEADBEEFDEADBEEF
60251 .xword 0xDEADBEEFDEADBEEF
60252 .xword 0xDEADBEEFDEADBEEF
60253 .xword 0xDEADBEEFDEADBEEF
60254 .xword 0xDEADBEEFDEADBEEF
60255 .xword 0xDEADBEEFDEADBEEF
60256 .xword 0xDEADBEEFDEADBEEF
60257 .xword 0xDEADBEEFDEADBEEF
60258 .xword 0xDEADBEEFDEADBEEF
60259 .xword 0xDEADBEEFDEADBEEF
60260 .xword 0xDEADBEEFDEADBEEF
60261 .xword 0xDEADBEEFDEADBEEF
60262 .xword 0xDEADBEEFDEADBEEF
60263 .xword 0xDEADBEEFDEADBEEF
60264 .xword 0xDEADBEEFDEADBEEF
60265 .xword 0xDEADBEEFDEADBEEF
60266 .xword 0xDEADBEEFDEADBEEF
60267 .xword 0xDEADBEEFDEADBEEF
60268 .xword 0xDEADBEEFDEADBEEF
60269 .xword 0xDEADBEEFDEADBEEF
60270 .xword 0xDEADBEEFDEADBEEF
60271 .xword 0xDEADBEEFDEADBEEF
60272 .xword 0xDEADBEEFDEADBEEF
60273 .xword 0xDEADBEEFDEADBEEF
60274 .xword 0xDEADBEEFDEADBEEF
60275 .xword 0xDEADBEEFDEADBEEF
60276 .xword 0xDEADBEEFDEADBEEF
60277 .xword 0xDEADBEEFDEADBEEF
60278 .xword 0xDEADBEEFDEADBEEF
60279 .xword 0xDEADBEEFDEADBEEF
60280 .xword 0xDEADBEEFDEADBEEF
60281 .xword 0xDEADBEEFDEADBEEF
60282 .xword 0xDEADBEEFDEADBEEF
60283 .xword 0xDEADBEEFDEADBEEF
60284 .xword 0xDEADBEEFDEADBEEF
60285 .xword 0xDEADBEEFDEADBEEF
60286 .xword 0xDEADBEEFDEADBEEF
60287 .xword 0xDEADBEEFDEADBEEF
60288 .xword 0xDEADBEEFDEADBEEF
60289 .xword 0xDEADBEEFDEADBEEF
60290 .xword 0xDEADBEEFDEADBEEF
60291 .xword 0xDEADBEEFDEADBEEF
60292 .xword 0xDEADBEEFDEADBEEF
60293 .xword 0xDEADBEEFDEADBEEF
60294 .xword 0xDEADBEEFDEADBEEF
60295 .xword 0xDEADBEEFDEADBEEF
60296 .xword 0xDEADBEEFDEADBEEF
60297 .xword 0xDEADBEEFDEADBEEF
60298 .xword 0xDEADBEEFDEADBEEF
60299 .xword 0xDEADBEEFDEADBEEF
60300 .xword 0xDEADBEEFDEADBEEF
60301 .xword 0xDEADBEEFDEADBEEF
60302 .xword 0xDEADBEEFDEADBEEF
60303 .xword 0xDEADBEEFDEADBEEF
60304 .xword 0xDEADBEEFDEADBEEF
60305 .xword 0xDEADBEEFDEADBEEF
60306 .xword 0xDEADBEEFDEADBEEF
60307 .xword 0xDEADBEEFDEADBEEF
60308 .xword 0xDEADBEEFDEADBEEF
60309 .xword 0xDEADBEEFDEADBEEF
60310 .xword 0xDEADBEEFDEADBEEF
60311 .xword 0xDEADBEEFDEADBEEF
60312 .xword 0xDEADBEEFDEADBEEF
60313 .xword 0xDEADBEEFDEADBEEF
60314 .xword 0xDEADBEEFDEADBEEF
60315 .xword 0xDEADBEEFDEADBEEF
60316 .xword 0xDEADBEEFDEADBEEF
60317 .xword 0xDEADBEEFDEADBEEF
60318 .xword 0xDEADBEEFDEADBEEF
60319 .xword 0xDEADBEEFDEADBEEF
60320 .xword 0xDEADBEEFDEADBEEF
60321 .xword 0xDEADBEEFDEADBEEF
60322 .xword 0xDEADBEEFDEADBEEF
60323 .xword 0xDEADBEEFDEADBEEF
60324 .xword 0xDEADBEEFDEADBEEF
60325 .xword 0xDEADBEEFDEADBEEF
60326 .xword 0xDEADBEEFDEADBEEF
60327 .xword 0xDEADBEEFDEADBEEF
60328 .xword 0xDEADBEEFDEADBEEF
60329 .xword 0xDEADBEEFDEADBEEF
60330 .xword 0xDEADBEEFDEADBEEF
60331 .xword 0xDEADBEEFDEADBEEF
60332 .xword 0xDEADBEEFDEADBEEF
60333 .xword 0xDEADBEEFDEADBEEF
60334 .xword 0xDEADBEEFDEADBEEF
60335 .xword 0xDEADBEEFDEADBEEF
60336 .xword 0xDEADBEEFDEADBEEF
60337 .xword 0xDEADBEEFDEADBEEF
60338 .xword 0xDEADBEEFDEADBEEF
60339 .xword 0xDEADBEEFDEADBEEF
60340 .xword 0xDEADBEEFDEADBEEF
60341 .xword 0xDEADBEEFDEADBEEF
60342 .xword 0xDEADBEEFDEADBEEF
60343 .xword 0xDEADBEEFDEADBEEF
60344 .xword 0xDEADBEEFDEADBEEF
60345 .xword 0xDEADBEEFDEADBEEF
60346 .xword 0xDEADBEEFDEADBEEF
60347 .xword 0xDEADBEEFDEADBEEF
60348 .xword 0xDEADBEEFDEADBEEF
60349 .xword 0xDEADBEEFDEADBEEF
60350 .xword 0xDEADBEEFDEADBEEF
60351 .xword 0xDEADBEEFDEADBEEF
60352 .xword 0xDEADBEEFDEADBEEF
60353 .xword 0xDEADBEEFDEADBEEF
60354 .xword 0xDEADBEEFDEADBEEF
60355 .xword 0xDEADBEEFDEADBEEF
60356 .xword 0xDEADBEEFDEADBEEF
60357 .xword 0xDEADBEEFDEADBEEF
60358 .xword 0xDEADBEEFDEADBEEF
60359 .xword 0xDEADBEEFDEADBEEF
60360 .xword 0xDEADBEEFDEADBEEF
60361 .xword 0xDEADBEEFDEADBEEF
60362 .xword 0xDEADBEEFDEADBEEF
60363 .xword 0xDEADBEEFDEADBEEF
60364 .xword 0xDEADBEEFDEADBEEF
60365 .xword 0xDEADBEEFDEADBEEF
60366 .xword 0xDEADBEEFDEADBEEF
60367 .xword 0xDEADBEEFDEADBEEF
60368 .xword 0xDEADBEEFDEADBEEF
60369 .xword 0xDEADBEEFDEADBEEF
60370 .xword 0xDEADBEEFDEADBEEF
60371 .xword 0xDEADBEEFDEADBEEF
60372 .xword 0xDEADBEEFDEADBEEF
60373 .xword 0xDEADBEEFDEADBEEF
60374 .xword 0xDEADBEEFDEADBEEF
60375 .xword 0xDEADBEEFDEADBEEF
60376 .xword 0xDEADBEEFDEADBEEF
60377 .xword 0xDEADBEEFDEADBEEF
60378 .xword 0xDEADBEEFDEADBEEF
60379 .xword 0xDEADBEEFDEADBEEF
60380 .xword 0xDEADBEEFDEADBEEF
60381 .xword 0xDEADBEEFDEADBEEF
60382 .xword 0xDEADBEEFDEADBEEF
60383 .xword 0xDEADBEEFDEADBEEF
60384 .xword 0xDEADBEEFDEADBEEF
60385 .xword 0xDEADBEEFDEADBEEF
60386 .xword 0xDEADBEEFDEADBEEF
60387 .xword 0xDEADBEEFDEADBEEF
60388 .xword 0xDEADBEEFDEADBEEF
60389 .xword 0xDEADBEEFDEADBEEF
60390 .xword 0xDEADBEEFDEADBEEF
60391 .xword 0xDEADBEEFDEADBEEF
60392 .xword 0xDEADBEEFDEADBEEF
60393 .xword 0xDEADBEEFDEADBEEF
60394 .xword 0xDEADBEEFDEADBEEF
60395 .xword 0xDEADBEEFDEADBEEF
60396 .xword 0xDEADBEEFDEADBEEF
60397 .xword 0xDEADBEEFDEADBEEF
60398 .xword 0xDEADBEEFDEADBEEF
60399 .xword 0xDEADBEEFDEADBEEF
60400 .xword 0xDEADBEEFDEADBEEF
60401 .xword 0xDEADBEEFDEADBEEF
60402 .xword 0xDEADBEEFDEADBEEF
60403 .xword 0xDEADBEEFDEADBEEF
60404 .xword 0xDEADBEEFDEADBEEF
60405 .xword 0xDEADBEEFDEADBEEF
60406 .xword 0xDEADBEEFDEADBEEF
60407 .xword 0xDEADBEEFDEADBEEF
60408 .xword 0xDEADBEEFDEADBEEF
60409 .xword 0xDEADBEEFDEADBEEF
60410 .xword 0xDEADBEEFDEADBEEF
60411 .xword 0xDEADBEEFDEADBEEF
60412 .xword 0xDEADBEEFDEADBEEF
60413 .xword 0xDEADBEEFDEADBEEF
60414 .xword 0xDEADBEEFDEADBEEF
60415 .xword 0xDEADBEEFDEADBEEF
60416 .xword 0xDEADBEEFDEADBEEF
60417 .xword 0xDEADBEEFDEADBEEF
60418 .xword 0xDEADBEEFDEADBEEF
60419 .xword 0xDEADBEEFDEADBEEF
60420 .xword 0xDEADBEEFDEADBEEF
60421 .xword 0xDEADBEEFDEADBEEF
60422 .xword 0xDEADBEEFDEADBEEF
60423 .xword 0xDEADBEEFDEADBEEF
60424 .xword 0xDEADBEEFDEADBEEF
60425 .xword 0xDEADBEEFDEADBEEF
60426 .xword 0xDEADBEEFDEADBEEF
60427 .xword 0xDEADBEEFDEADBEEF
60428 .xword 0xDEADBEEFDEADBEEF
60429 .xword 0xDEADBEEFDEADBEEF
60430 .xword 0xDEADBEEFDEADBEEF
60431 .xword 0xDEADBEEFDEADBEEF
60432 .xword 0xDEADBEEFDEADBEEF
60433 .xword 0xDEADBEEFDEADBEEF
60434 .xword 0xDEADBEEFDEADBEEF
60435 .xword 0xDEADBEEFDEADBEEF
60436 .xword 0xDEADBEEFDEADBEEF
60437 .xword 0xDEADBEEFDEADBEEF
60438 .xword 0xDEADBEEFDEADBEEF
60439 .xword 0xDEADBEEFDEADBEEF
60440 .xword 0xDEADBEEFDEADBEEF
60441 .xword 0xDEADBEEFDEADBEEF
60442 .xword 0xDEADBEEFDEADBEEF
60443 .xword 0xDEADBEEFDEADBEEF
60444 .xword 0xDEADBEEFDEADBEEF
60445 .xword 0xDEADBEEFDEADBEEF
60446 .xword 0xDEADBEEFDEADBEEF
60447 .xword 0xDEADBEEFDEADBEEF
60448 .xword 0xDEADBEEFDEADBEEF
60449 .xword 0xDEADBEEFDEADBEEF
60450 .xword 0xDEADBEEFDEADBEEF
60451 .xword 0xDEADBEEFDEADBEEF
60452 .xword 0xDEADBEEFDEADBEEF
60453 .xword 0xDEADBEEFDEADBEEF
60454 .xword 0xDEADBEEFDEADBEEF
60455 .xword 0xDEADBEEFDEADBEEF
60456 .xword 0xDEADBEEFDEADBEEF
60457 .xword 0xDEADBEEFDEADBEEF
60458 .xword 0xDEADBEEFDEADBEEF
60459 .xword 0xDEADBEEFDEADBEEF
60460 .xword 0xDEADBEEFDEADBEEF
60461 .xword 0xDEADBEEFDEADBEEF
60462_t1_aes_auth_key:
60463 .xword 0x0f491893683c63aa
60464 .xword 0xaabd505e7ce75d97
60465 .xword 0xac3ea9390b83ba7e
60466 .xword 0xc835cd9b0861b15f
60467 .xword 0x8ab65fb02a051175
60468 .xword 0x6204e047155308ec
60469 .xword 0x2924f2f7fdd12694
60470 .xword 0xe3b7ac19ff7d9860
60471 .xword 0xc1fa43df609de2f7
60472 .xword 0xe0b5ee8b47feff5f
60473 .xword 0x428b1bac53a8ec40
60474 .xword 0x0ffc66b1b5f6e5f0
60475 .xword 0xb80c6d1e7703b6b6
60476 .xword 0xd81d5cc68dd65081
60477 .xword 0x1f7e31e666e60fdd
60478 .xword 0xebf60ed40435fbc3
60479 .xword 0x8091a7f16874168f
60480 .xword 0x87a5a6b52cf430d9
60481 .xword 0x7607ff6ec1906768
60482 .xword 0x94fba73fbf8ebeaf
60483 .xword 0xea8cd84aec822c00
60484 .xword 0x4b93ef0e047c03c1
60485 .xword 0x5e58331f10a32659
60486_t1_aes_auth_iv:
60487 .xword 0xa1e3443b95d6f0aa
60488 .xword 0xd25370c5b47e22e4
60489 .xword 0xa6876a96a8efed7a
60490 .xword 0x6dbd4d8f001d2dce
60491 .xword 0x15fa26b5e7a42328
60492 .xword 0x46048e314a26408e
60493 .xword 0x1581f4c29371b599
60494 .xword 0x0698cf678c361910
60495 .xword 0x4bbc78cea1c3fdf5
60496 .xword 0x812ffdf5a8a155f7
60497 .xword 0x477c0a4e8a0217f6
60498 .xword 0x7c6285ff14e02d5a
60499 .xword 0x91d862bb2e6f2cc6
60500 .xword 0xf9e68f1bbab07525
60501 .xword 0xbc0a3a8645f0e426
60502 .xword 0xb8d03aa4bbabf9d5
60503 .xword 0x5dde0eb51a82176f
60504 .xword 0x253688788c582140
60505 .xword 0x5bd6c617f8b72b32
60506 .xword 0x8606e669e461a8ce
60507 .xword 0x1890b4fdf949fc95
60508 .xword 0xfeba564f4e898756
60509 .xword 0xcc6bd0c2364ba763
60510_t1_aes_fas_result:
60511 .xword 0xDEADBEEFDEADBEEF
60512 .xword 0xDEADBEEFDEADBEEF
60513 .xword 0xDEADBEEFDEADBEEF
60514 .xword 0xDEADBEEFDEADBEEF
60515 .xword 0xDEADBEEFDEADBEEF
60516 .xword 0xDEADBEEFDEADBEEF
60517 .xword 0xDEADBEEFDEADBEEF
60518 .xword 0xDEADBEEFDEADBEEF
60519 .xword 0xDEADBEEFDEADBEEF
60520 .xword 0xDEADBEEFDEADBEEF
60521 .xword 0xDEADBEEFDEADBEEF
60522 .xword 0xDEADBEEFDEADBEEF
60523 .xword 0xDEADBEEFDEADBEEF
60524 .xword 0xDEADBEEFDEADBEEF
60525 .xword 0xDEADBEEFDEADBEEF
60526 .xword 0xDEADBEEFDEADBEEF
60527 .xword 0xDEADBEEFDEADBEEF
60528 .xword 0xDEADBEEFDEADBEEF
60529 .xword 0xDEADBEEFDEADBEEF
60530 .xword 0xDEADBEEFDEADBEEF
60531 .xword 0xDEADBEEFDEADBEEF
60532 .xword 0xDEADBEEFDEADBEEF
60533 .xword 0xDEADBEEFDEADBEEF
60534_t1_des_key_array:
60535 .xword 0x7332f02382ef3de7
60536 .xword 0x19d6e0cfb724caf1
60537 .xword 0x4e3a0f1c90cce96b
60538 .xword 0xeb808392d108e7ea
60539 .xword 0xf9d0e5b0ececcd2c
60540 .xword 0x9f70e433d7db23ee
60541 .xword 0x6121b3e43ac82eb0
60542 .xword 0xd61dc37133a19147
60543 .xword 0xc89512e036631fef
60544 .xword 0xb73c2200fe5e3983
60545 .xword 0xfe4bc138204e265f
60546 .xword 0x3203091596c06c11
60547 .xword 0x2c46c0cdf6a6a4c1
60548 .xword 0xa51b1f8a6a674339
60549 .xword 0xcd59c6dcfda2eb16
60550 .xword 0x955ea97ecf094079
60551 .xword 0x6d33346aa81a0e6e
60552 .xword 0xd3535e1bc2e0052e
60553 .xword 0x8e4fbb726b0ec0aa
60554 .xword 0xc64f385025cbf2fe
60555 .xword 0x9ae03abb5fe0de01
60556 .xword 0x72937a4ee9e0ab79
60557 .xword 0x0f834903415d672e
60558 .xword 0x6368a58786686986
60559 .xword 0xf23cc0f880960abe
60560 .xword 0x0b8af19dce9b30d8
60561 .xword 0xf081bfe6bd4b2481
60562 .xword 0x3a4005eb0f38a463
60563 .xword 0xa8922f22f228b715
60564 .xword 0xf9f25ec0e58fcc20
60565 .xword 0x90e69ffab2ea7a49
60566 .xword 0x86f14e3cf9f25993
60567 .xword 0xbb0febf14cd5c4b1
60568 .xword 0x4e5505a612a2df8e
60569 .xword 0xf58bcc16f9556942
60570 .xword 0xc8861a66d0356cae
60571 .xword 0x0daf8ac017a1d3fb
60572 .xword 0x2a4dde73e67027a5
60573 .xword 0x7a9c5696156b88c5
60574 .xword 0xfb18253dc104ae01
60575 .xword 0xe453e379b109b7a7
60576 .xword 0xd02b216beb7208c1
60577 .xword 0x84d19893c38df1b3
60578 .xword 0x0c2db2754b729ace
60579 .xword 0xa52600df17fa3672
60580 .xword 0x4e7a45ecd33e8f3f
60581 .xword 0xfcaf1e626465aaa6
60582 .xword 0xc95a5d20aa2d4df0
60583 .xword 0xab823064247220a7
60584 .xword 0x4bbe12783caf8a72
60585 .xword 0x592abde2ca612a52
60586_t1_des_iv_array:
60587 .xword 0x14ab453aac8a79ef
60588 .xword 0x624c4116bc6eba4e
60589 .xword 0x523065f6146ec7b2
60590 .xword 0x1af56a320f24bdbe
60591 .xword 0x3442095caa76374c
60592 .xword 0x5d65ab2b4757b7ac
60593 .xword 0x99c3466c492cf723
60594 .xword 0x06e6b09a97170b60
60595 .xword 0x4f0ce8608f9676e2
60596 .xword 0xab0b27ccf388f2a1
60597 .xword 0xf17353e1aa4b2e52
60598 .xword 0x6fd065fb198ff2cd
60599 .xword 0x3e898d46ced4773d
60600 .xword 0x61b95b845b78bf62
60601 .xword 0x3fe827886a996901
60602 .xword 0xd6e64d794a47eab6
60603 .xword 0xf9878606dd505784
60604 .xword 0xc7827f79f616a135
60605 .xword 0x47bfd412aea775e1
60606 .xword 0x9efdb6a578d2e425
60607 .xword 0x803c114f438356b0
60608 .xword 0x6ee0d3d6feee9aba
60609 .xword 0x6241bdd9da47c286
60610 .xword 0x82ea1c7407a693ac
60611 .xword 0x1764956a841ff819
60612 .xword 0xc48d1283a4ce1193
60613 .xword 0x02a22a9aa306136c
60614 .xword 0xfaa005fd55871659
60615 .xword 0x309526c6f8a4689e
60616 .xword 0xfb106aa9da524f7b
60617 .xword 0x66bbb84406752b2f
60618 .xword 0x0107ce3c399a0b0b
60619 .xword 0x1473fda13621e0d3
60620 .xword 0x319562a59e0aa98c
60621 .xword 0xd98b45153829864b
60622 .xword 0xa77daa3510f1f769
60623 .xword 0x754db5bb9e7e1bfe
60624 .xword 0x1f1cf2a0089ba19b
60625 .xword 0x7a24f326367064bc
60626 .xword 0x65301aafddc88c45
60627 .xword 0xb21a79ba7fb687c6
60628 .xword 0xa0f971e2d429b034
60629 .xword 0x23a05a3c258dc702
60630 .xword 0x53952c0d0be2f11e
60631 .xword 0xa6057198373bca6e
60632_t1_des_alignment_array:
60633 .xword 15
60634 .xword 14
60635 .xword 10
60636 .xword 1
60637 .xword 9
60638 .xword 14
60639 .xword 10
60640 .xword 4
60641 .xword 11
60642 .xword 2
60643 .xword 12
60644 .xword 0
60645 .xword 4
60646 .xword 11
60647 .xword 4
60648 .xword 9
60649 .xword 11
60650 .xword 9
60651 .xword 11
60652 .xword 0
60653 .xword 11
60654 .xword 12
60655 .xword 11
60656 .xword 0
60657 .xword 3
60658 .xword 7
60659 .xword 10
60660 .xword 0
60661 .xword 8
60662 .xword 14
60663 .xword 6
60664 .xword 10
60665 .xword 1
60666 .xword 0
60667 .xword 0
60668 .xword 11
60669 .xword 5
60670 .xword 6
60671 .xword 3
60672 .xword 3
60673 .xword 11
60674 .xword 7
60675 .xword 5
60676 .xword 10
60677 .xword 12
60678 .xword 9
60679 .xword 4
60680 .xword 6
60681 .xword 5
60682 .xword 0
60683 .xword 0
60684 .xword 3
60685 .xword 5
60686 .xword 13
60687 .xword 5
60688 .xword 15
60689 .xword 15
60690 .xword 3
60691 .xword 1
60692 .xword 4
60693 .xword 2
60694 .xword 13
60695 .xword 1
60696 .xword 11
60697 .xword 9
60698 .xword 14
60699 .xword 2
60700 .xword 10
60701 .xword 3
60702 .xword 3
60703 .xword 5
60704 .xword 15
60705 .xword 8
60706 .xword 10
60707 .xword 0
60708 .xword 2
60709 .xword 4
60710 .xword 7
60711 .xword 0
60712 .xword 10
60713 .xword 10
60714 .xword 0
60715 .xword 3
60716 .xword 10
60717 .xword 3
60718 .xword 6
60719 .xword 7
60720 .xword 2
60721 .xword 1
60722 .xword 7
60723 .xword 7
60724 .xword 15
60725 .xword 15
60726 .xword 13
60727 .xword 15
60728 .xword 4
60729 .xword 2
60730 .xword 1
60731 .xword 14
60732 .xword 5
60733 .xword 7
60734 .xword 9
60735 .xword 15
60736 .xword 12
60737 .xword 9
60738_t1_des_src:
60739 .xword 0x7b1b8f0f30543089
60740 .xword 0xd1583b0d3b6c7e32
60741 .xword 0x7cfd595398f265fc
60742 .xword 0xa7c78f721b708155
60743 .xword 0xe22c1a951f79b8a0
60744 .xword 0xddf63bf91032eece
60745 .xword 0x1c1e4965ad20b3fb
60746 .xword 0x4afefc147e1073ed
60747 .xword 0x65a1b3646e9c52b6
60748 .xword 0x2ae964e527b5d770
60749 .xword 0x192c68b761b5b792
60750 .xword 0xa22a64fadffde969
60751 .xword 0x01ca7de857525d07
60752 .xword 0xdcb90f984af92676
60753 .xword 0x8a13c28879b9442a
60754 .xword 0x0120bdaa8402ff91
60755 .xword 0x45147d8d4b1dbc1e
60756 .xword 0xa3148438600708aa
60757 .xword 0x407c7e81d6ff2db0
60758 .xword 0x573f8dc2e94608c5
60759 .xword 0xfa332206ac72e264
60760 .xword 0xfb1061d156782e24
60761 .xword 0x1e0925bc35ba8ca1
60762 .xword 0x2d5b93e06d355e4b
60763 .xword 0xf6756b3457c3666d
60764 .xword 0x7f8baf472487665d
60765 .xword 0xe77b87435f94b611
60766 .xword 0x600a8e93904c97ff
60767 .xword 0xec9a7117378bbe8d
60768 .xword 0x9a88ccf4ce708441
60769 .xword 0xe2147145ccc46051
60770 .xword 0x34435e9ecc90788a
60771 .xword 0xb2e4980f96f7505a
60772 .xword 0xd5856f137ed25715
60773 .xword 0xc431f04fb764d7fb
60774 .xword 0xf6fd7672bcdb5ddd
60775 .xword 0xaf3e8c718e7dde73
60776 .xword 0x7d6cbbdcc366fa1b
60777 .xword 0x4ae9978d6b502307
60778 .xword 0x79a224e62bee56b0
60779 .xword 0x72d1510dd81520bb
60780 .xword 0x93c24cb992b0c889
60781 .xword 0x233cb476cdff0da0
60782 .xword 0x801e61d20f55b8f8
60783 .xword 0xb8fc31609718ee01
60784 .xword 0xc813f9c23b6ed577
60785 .xword 0x4f80c22713ecc8f5
60786 .xword 0xc45a592fa9145508
60787 .xword 0x264809a75b81722c
60788 .xword 0xac432db0cc04eb07
60789 .xword 0x2ea5c9b5eb9413de
60790 .xword 0xc91c621c7e949e7e
60791 .xword 0x9df05abecc0d6182
60792 .xword 0xf4679f8edb3e5642
60793 .xword 0x0e91bd5fd4b932dc
60794 .xword 0x9146ae8cf1685452
60795 .xword 0xf56a100b73586d40
60796 .xword 0x66b0756796609f79
60797 .xword 0x7fb8f110c29c170d
60798 .xword 0xe2546386ff74ae0c
60799 .xword 0x6b166cdf8169ba05
60800 .xword 0x392216d93f2c4fee
60801 .xword 0xe3a87a712cd02273
60802 .xword 0xd23a7014bb20b654
60803 .xword 0xa98582292de0c6df
60804 .xword 0x2fe0de326d21f1fc
60805 .xword 0x54537364b29ecff4
60806 .xword 0xef81152752eb8888
60807 .xword 0x639f7beaea77e588
60808 .xword 0x3482c5977255d72c
60809 .xword 0x996b4b287f750718
60810 .xword 0x36943a3ad5b4dc54
60811 .xword 0x2f1beb2f64f520b2
60812 .xword 0x7ec6d026006d16fc
60813 .xword 0x3ce3bc0c18ee0c2e
60814 .xword 0x30943b6b4c803cd7
60815 .xword 0x78c719a93bd01adb
60816 .xword 0x0594aa2288e76f41
60817 .xword 0xff5c438bde1cb92f
60818 .xword 0xfa208badc1ef5b8d
60819 .xword 0xea62517b62fb99f1
60820 .xword 0x2921be41a2bf55ff
60821 .xword 0xafd6e903ece0d678
60822 .xword 0x07aba84b2ab55de1
60823 .xword 0x7990052b742bbd71
60824 .xword 0xa65943f0d346d158
60825 .xword 0xb18850deed2ad662
60826 .xword 0x5859cea65d7f4b90
60827 .xword 0xab000e837e782486
60828 .xword 0xe697cc90ed64112e
60829 .xword 0xc6bf7a721a8147e9
60830 .xword 0x612eb1652dd4a3ef
60831 .xword 0xc5ed6abf8a0fe3e7
60832 .xword 0x358a17fd1c292a56
60833 .xword 0x5ff270b8948be019
60834 .xword 0x83634738e4993e82
60835 .xword 0x788b9ed56a3eb075
60836 .xword 0x859e226176f3648a
60837 .xword 0x7772b4d357fe7260
60838 .xword 0xad9fa99d7768c500
60839 .xword 0x54e047ab84e0298d
60840 .xword 0x45bdbad7f993f853
60841 .xword 0x9314590ed8ee05fc
60842 .xword 0x63077a0452cb6827
60843 .xword 0xcd1e9abe9f708649
60844 .xword 0x79b47cf6ae9409e6
60845 .xword 0x717c1017fb5e608a
60846 .xword 0xf78694bfcdd8bb6b
60847 .xword 0xa360e241114052b5
60848 .xword 0x2fb1c98fa4a36b14
60849 .xword 0xf159372e2fa9b809
60850 .xword 0xa13494ede96dcb5c
60851 .xword 0x588a9a163e056fe0
60852 .xword 0x2645d9d31114ed8b
60853 .xword 0xf4e1f2fe3e1dae7c
60854 .xword 0xc19cef23ecf04168
60855 .xword 0xcc22afdf8622a122
60856 .xword 0xcba09f36798a3fd9
60857 .xword 0x21db0ae726172f1e
60858 .xword 0x97a72a6c3488ba9c
60859 .xword 0xa147a31f94444489
60860 .xword 0x992a8520e8c74c01
60861 .xword 0x0eedee4d9a617ef6
60862 .xword 0xcbfc0ce45efed6bd
60863 .xword 0x864c5f6ba7615eb3
60864 .xword 0x32d38cafd9d87fec
60865 .xword 0x27e84364b9b23b82
60866 .xword 0x2b49e2a50ed74ead
60867 .xword 0xd3f95e596a3b6432
60868 .xword 0x3ebc50c8d4008fb0
60869 .xword 0xb489968dc669c5bd
60870 .xword 0x748d17d060843475
60871 .xword 0xcec5188f7018e5d5
60872 .xword 0x61774dc08b1501f9
60873 .xword 0x12320992b2dcb6d1
60874 .xword 0x10cbdeb8d06e3942
60875 .xword 0x4bf416a8fe996730
60876 .xword 0x5d8a00a67be2809b
60877 .xword 0xf74da6f46b409622
60878 .xword 0xc3b1aeee49c00eed
60879 .xword 0x5aaad949e7184e32
60880 .xword 0xd41d33240504a90c
60881 .xword 0xa9ab392aa0a1c06b
60882 .xword 0x41bc8cc74a2ad25a
60883 .xword 0x92fbffc30c07ade6
60884 .xword 0xdfc89e133a89117d
60885 .xword 0x4d2361e0d8105472
60886 .xword 0x9ee44281d16ee9b2
60887 .xword 0xdba8b84d37259158
60888 .xword 0xc0aa140d5b00d680
60889 .xword 0x3828dbd4872f6c53
60890 .xword 0x7e7d9d430d51f3d7
60891 .xword 0xf0b75444536acca9
60892 .xword 0xaea587c8232a2e56
60893 .xword 0x88ab2d5d9378db4b
60894 .xword 0x4ba0b482e4c8770f
60895 .xword 0x5dd4b0a149eae771
60896 .xword 0x7b6d06bc7128a9d7
60897 .xword 0xdd33b69c37b4c1f3
60898 .xword 0x257ef0ac4b797306
60899 .xword 0x5d553543203f65bd
60900 .xword 0x643f6f48ef25761d
60901 .xword 0xbe0436c91ae9be96
60902 .xword 0xb122fc6d59e871d9
60903 .xword 0x194ace7342c9c4d3
60904 .xword 0x96a493408a27aa57
60905 .xword 0xeaab077351e23ec1
60906 .xword 0x40d85dbe555f4240
60907 .xword 0xf42e98ba0d260e9d
60908 .xword 0x1158a6158d7fd16f
60909 .xword 0x1a6f1cd0fc750953
60910 .xword 0x55a112bfcbd213cb
60911 .xword 0x6bb4ee8e80b3b766
60912 .xword 0xd971a16d5a41e239
60913 .xword 0xf08b7aa2db4a8bd9
60914 .xword 0xc7f06eb57cb77451
60915 .xword 0x3919b7283c482ee2
60916 .xword 0x1407c828f5facbd9
60917 .xword 0xce8cc6f409e2eaa6
60918 .xword 0x1c1a2d46dfd23ade
60919 .xword 0xcc757e86672e4414
60920 .xword 0x5215dc1cab792efe
60921 .xword 0xbfee25b23068d10f
60922 .xword 0xb2cda8c2d5c83224
60923 .xword 0xce1aa8b5ca176b56
60924 .xword 0x85f2a7932cc15f4d
60925 .xword 0x8988be8f0e20dad5
60926 .xword 0xce3d87319779a8bd
60927 .xword 0xbdce494392e871fc
60928 .xword 0x83d1ea60a8b8965d
60929 .xword 0x7900fc79dbcd2493
60930 .xword 0xcafc8fe747468b00
60931 .xword 0xe1e3232ecdfc812d
60932 .xword 0x9438011a174227ef
60933 .xword 0x8f328f7fa767a442
60934 .xword 0x1a6af1da9be3642f
60935 .xword 0x0c953c8d3380b9d7
60936 .xword 0x07d7b2f1023534a9
60937 .xword 0xc6c08c119be47f3f
60938 .xword 0x87337e02e742dd14
60939 .xword 0x431d13d836eed773
60940 .xword 0xa25b31d5069ff65b
60941 .xword 0xfcca85b63a2b62a5
60942 .xword 0x04470a771f823ff7
60943 .xword 0x9efef8fd5d77c3b4
60944 .xword 0x5b63fd5f9e2f872e
60945 .xword 0x57e3ca79b5dd96e0
60946 .xword 0xc1b06bc6cfad92c6
60947 .xword 0x48bf71e512a7f144
60948 .xword 0xbe0cf9c206c232f3
60949 .xword 0x4605da07bd3843bd
60950 .xword 0x4649ca060eae210d
60951 .xword 0x4142caf94364926b
60952 .xword 0xf231e70e36a2ef60
60953 .xword 0x94013c225764c092
60954 .xword 0x60c20ad9b98f5422
60955 .xword 0x60502f288f93a401
60956 .xword 0x6d568c5ff1c129f5
60957 .xword 0x5faba0df2effebfa
60958 .xword 0x4d0ccc110f5d950e
60959 .xword 0x3c58915858a255c1
60960 .xword 0x1ecdb2089c2caedd
60961 .xword 0xc3483bcf9dfe28dc
60962 .xword 0x6c6e78b3c7c1dd6b
60963 .xword 0xfd32b5b8b611a031
60964 .xword 0xfbeb7e2f4bcded3d
60965 .xword 0x831415d3a5714be2
60966 .xword 0x3447c1d8d753a77f
60967 .xword 0xadedcbb43319d2cc
60968 .xword 0x5ef79535876a36e3
60969 .xword 0xf264d22bf9451c13
60970 .xword 0xa70b84fc239580da
60971 .xword 0x2521d5841c3aa7ac
60972 .xword 0x686390725bcfd300
60973 .xword 0xd3f40050bfb79d56
60974 .xword 0xe14e797dc8d136f5
60975 .xword 0x6294002973785c2b
60976 .xword 0xd787a3d24a793b09
60977 .xword 0xab043614fcf8aed3
60978 .xword 0xddcb1c865f7fb5e4
60979 .xword 0xc2dcd2acfe9ce81f
60980 .xword 0xa6f48d7365747a2c
60981 .xword 0xc2226fa05eb837ae
60982 .xword 0x625d3b7c813b85bb
60983 .xword 0x43844f8af88e0cda
60984 .xword 0x4a99603e49c6c8ec
60985 .xword 0x6cbb65494a19e603
60986 .xword 0xa970c0cfd1aa0e99
60987 .xword 0x4053f4c83057d634
60988 .xword 0x883a89f5bf87c459
60989 .xword 0x7a522930f790b61d
60990 .xword 0xbcb925cd17ae0245
60991 .xword 0xa608e91869ad7f7d
60992 .xword 0xe5511e6c4db737c5
60993 .xword 0x8367af8038758f0d
60994 .xword 0xf8537dd879385560
60995 .xword 0x355006a458860d21
60996 .xword 0xe19f848d84bd588e
60997 .xword 0xecf49e7585bef1ab
60998 .xword 0x50c6074a91c3c5da
60999 .xword 0xa929da99c5ceb4bf
61000 .xword 0xcfef5680de3ca86a
61001 .xword 0x2097fb48fec25024
61002 .xword 0xe1df8110ab53bba0
61003 .xword 0xd5213d4546fd4ea1
61004 .xword 0x2682f0e39285b851
61005 .xword 0x7c64a36527bd660e
61006 .xword 0x2f6f58eb94b55f76
61007 .xword 0xa9a8b59e65f85dd4
61008 .xword 0xe342c6f485377974
61009 .xword 0xbec312013e7a3474
61010 .xword 0x926c0f02e7a5f663
61011 .xword 0x562b8faeacbc7b95
61012 .xword 0xbda2c316fda5d8e8
61013 .xword 0x0dd08a92e80632a6
61014_t1_des_dest:
61015 .xword 0xDEADBEEFDEADBEEF
61016 .xword 0xDEADBEEFDEADBEEF
61017 .xword 0xDEADBEEFDEADBEEF
61018 .xword 0xDEADBEEFDEADBEEF
61019 .xword 0xDEADBEEFDEADBEEF
61020 .xword 0xDEADBEEFDEADBEEF
61021 .xword 0xDEADBEEFDEADBEEF
61022 .xword 0xDEADBEEFDEADBEEF
61023 .xword 0xDEADBEEFDEADBEEF
61024 .xword 0xDEADBEEFDEADBEEF
61025 .xword 0xDEADBEEFDEADBEEF
61026 .xword 0xDEADBEEFDEADBEEF
61027 .xword 0xDEADBEEFDEADBEEF
61028 .xword 0xDEADBEEFDEADBEEF
61029 .xword 0xDEADBEEFDEADBEEF
61030 .xword 0xDEADBEEFDEADBEEF
61031 .xword 0xDEADBEEFDEADBEEF
61032 .xword 0xDEADBEEFDEADBEEF
61033 .xword 0xDEADBEEFDEADBEEF
61034 .xword 0xDEADBEEFDEADBEEF
61035 .xword 0xDEADBEEFDEADBEEF
61036 .xword 0xDEADBEEFDEADBEEF
61037 .xword 0xDEADBEEFDEADBEEF
61038 .xword 0xDEADBEEFDEADBEEF
61039 .xword 0xDEADBEEFDEADBEEF
61040 .xword 0xDEADBEEFDEADBEEF
61041 .xword 0xDEADBEEFDEADBEEF
61042 .xword 0xDEADBEEFDEADBEEF
61043 .xword 0xDEADBEEFDEADBEEF
61044 .xword 0xDEADBEEFDEADBEEF
61045 .xword 0xDEADBEEFDEADBEEF
61046 .xword 0xDEADBEEFDEADBEEF
61047 .xword 0xDEADBEEFDEADBEEF
61048 .xword 0xDEADBEEFDEADBEEF
61049 .xword 0xDEADBEEFDEADBEEF
61050 .xword 0xDEADBEEFDEADBEEF
61051 .xword 0xDEADBEEFDEADBEEF
61052 .xword 0xDEADBEEFDEADBEEF
61053 .xword 0xDEADBEEFDEADBEEF
61054 .xword 0xDEADBEEFDEADBEEF
61055 .xword 0xDEADBEEFDEADBEEF
61056 .xword 0xDEADBEEFDEADBEEF
61057 .xword 0xDEADBEEFDEADBEEF
61058 .xword 0xDEADBEEFDEADBEEF
61059 .xword 0xDEADBEEFDEADBEEF
61060 .xword 0xDEADBEEFDEADBEEF
61061 .xword 0xDEADBEEFDEADBEEF
61062 .xword 0xDEADBEEFDEADBEEF
61063 .xword 0xDEADBEEFDEADBEEF
61064 .xword 0xDEADBEEFDEADBEEF
61065 .xword 0xDEADBEEFDEADBEEF
61066 .xword 0xDEADBEEFDEADBEEF
61067 .xword 0xDEADBEEFDEADBEEF
61068 .xword 0xDEADBEEFDEADBEEF
61069 .xword 0xDEADBEEFDEADBEEF
61070 .xword 0xDEADBEEFDEADBEEF
61071 .xword 0xDEADBEEFDEADBEEF
61072 .xword 0xDEADBEEFDEADBEEF
61073 .xword 0xDEADBEEFDEADBEEF
61074 .xword 0xDEADBEEFDEADBEEF
61075 .xword 0xDEADBEEFDEADBEEF
61076 .xword 0xDEADBEEFDEADBEEF
61077 .xword 0xDEADBEEFDEADBEEF
61078 .xword 0xDEADBEEFDEADBEEF
61079 .xword 0xDEADBEEFDEADBEEF
61080 .xword 0xDEADBEEFDEADBEEF
61081 .xword 0xDEADBEEFDEADBEEF
61082 .xword 0xDEADBEEFDEADBEEF
61083 .xword 0xDEADBEEFDEADBEEF
61084 .xword 0xDEADBEEFDEADBEEF
61085 .xword 0xDEADBEEFDEADBEEF
61086 .xword 0xDEADBEEFDEADBEEF
61087 .xword 0xDEADBEEFDEADBEEF
61088 .xword 0xDEADBEEFDEADBEEF
61089 .xword 0xDEADBEEFDEADBEEF
61090 .xword 0xDEADBEEFDEADBEEF
61091 .xword 0xDEADBEEFDEADBEEF
61092 .xword 0xDEADBEEFDEADBEEF
61093 .xword 0xDEADBEEFDEADBEEF
61094 .xword 0xDEADBEEFDEADBEEF
61095 .xword 0xDEADBEEFDEADBEEF
61096 .xword 0xDEADBEEFDEADBEEF
61097 .xword 0xDEADBEEFDEADBEEF
61098 .xword 0xDEADBEEFDEADBEEF
61099 .xword 0xDEADBEEFDEADBEEF
61100 .xword 0xDEADBEEFDEADBEEF
61101 .xword 0xDEADBEEFDEADBEEF
61102 .xword 0xDEADBEEFDEADBEEF
61103 .xword 0xDEADBEEFDEADBEEF
61104 .xword 0xDEADBEEFDEADBEEF
61105 .xword 0xDEADBEEFDEADBEEF
61106 .xword 0xDEADBEEFDEADBEEF
61107 .xword 0xDEADBEEFDEADBEEF
61108 .xword 0xDEADBEEFDEADBEEF
61109 .xword 0xDEADBEEFDEADBEEF
61110 .xword 0xDEADBEEFDEADBEEF
61111 .xword 0xDEADBEEFDEADBEEF
61112 .xword 0xDEADBEEFDEADBEEF
61113 .xword 0xDEADBEEFDEADBEEF
61114 .xword 0xDEADBEEFDEADBEEF
61115 .xword 0xDEADBEEFDEADBEEF
61116 .xword 0xDEADBEEFDEADBEEF
61117 .xword 0xDEADBEEFDEADBEEF
61118 .xword 0xDEADBEEFDEADBEEF
61119 .xword 0xDEADBEEFDEADBEEF
61120 .xword 0xDEADBEEFDEADBEEF
61121 .xword 0xDEADBEEFDEADBEEF
61122 .xword 0xDEADBEEFDEADBEEF
61123 .xword 0xDEADBEEFDEADBEEF
61124 .xword 0xDEADBEEFDEADBEEF
61125 .xword 0xDEADBEEFDEADBEEF
61126 .xword 0xDEADBEEFDEADBEEF
61127 .xword 0xDEADBEEFDEADBEEF
61128 .xword 0xDEADBEEFDEADBEEF
61129 .xword 0xDEADBEEFDEADBEEF
61130 .xword 0xDEADBEEFDEADBEEF
61131 .xword 0xDEADBEEFDEADBEEF
61132 .xword 0xDEADBEEFDEADBEEF
61133 .xword 0xDEADBEEFDEADBEEF
61134 .xword 0xDEADBEEFDEADBEEF
61135 .xword 0xDEADBEEFDEADBEEF
61136 .xword 0xDEADBEEFDEADBEEF
61137 .xword 0xDEADBEEFDEADBEEF
61138 .xword 0xDEADBEEFDEADBEEF
61139 .xword 0xDEADBEEFDEADBEEF
61140 .xword 0xDEADBEEFDEADBEEF
61141 .xword 0xDEADBEEFDEADBEEF
61142 .xword 0xDEADBEEFDEADBEEF
61143 .xword 0xDEADBEEFDEADBEEF
61144 .xword 0xDEADBEEFDEADBEEF
61145 .xword 0xDEADBEEFDEADBEEF
61146 .xword 0xDEADBEEFDEADBEEF
61147 .xword 0xDEADBEEFDEADBEEF
61148 .xword 0xDEADBEEFDEADBEEF
61149 .xword 0xDEADBEEFDEADBEEF
61150 .xword 0xDEADBEEFDEADBEEF
61151 .xword 0xDEADBEEFDEADBEEF
61152 .xword 0xDEADBEEFDEADBEEF
61153 .xword 0xDEADBEEFDEADBEEF
61154 .xword 0xDEADBEEFDEADBEEF
61155 .xword 0xDEADBEEFDEADBEEF
61156 .xword 0xDEADBEEFDEADBEEF
61157 .xword 0xDEADBEEFDEADBEEF
61158 .xword 0xDEADBEEFDEADBEEF
61159 .xword 0xDEADBEEFDEADBEEF
61160 .xword 0xDEADBEEFDEADBEEF
61161 .xword 0xDEADBEEFDEADBEEF
61162 .xword 0xDEADBEEFDEADBEEF
61163 .xword 0xDEADBEEFDEADBEEF
61164 .xword 0xDEADBEEFDEADBEEF
61165 .xword 0xDEADBEEFDEADBEEF
61166 .xword 0xDEADBEEFDEADBEEF
61167 .xword 0xDEADBEEFDEADBEEF
61168 .xword 0xDEADBEEFDEADBEEF
61169 .xword 0xDEADBEEFDEADBEEF
61170 .xword 0xDEADBEEFDEADBEEF
61171 .xword 0xDEADBEEFDEADBEEF
61172 .xword 0xDEADBEEFDEADBEEF
61173 .xword 0xDEADBEEFDEADBEEF
61174 .xword 0xDEADBEEFDEADBEEF
61175 .xword 0xDEADBEEFDEADBEEF
61176 .xword 0xDEADBEEFDEADBEEF
61177 .xword 0xDEADBEEFDEADBEEF
61178 .xword 0xDEADBEEFDEADBEEF
61179 .xword 0xDEADBEEFDEADBEEF
61180 .xword 0xDEADBEEFDEADBEEF
61181 .xword 0xDEADBEEFDEADBEEF
61182 .xword 0xDEADBEEFDEADBEEF
61183 .xword 0xDEADBEEFDEADBEEF
61184 .xword 0xDEADBEEFDEADBEEF
61185 .xword 0xDEADBEEFDEADBEEF
61186 .xword 0xDEADBEEFDEADBEEF
61187 .xword 0xDEADBEEFDEADBEEF
61188 .xword 0xDEADBEEFDEADBEEF
61189 .xword 0xDEADBEEFDEADBEEF
61190 .xword 0xDEADBEEFDEADBEEF
61191 .xword 0xDEADBEEFDEADBEEF
61192 .xword 0xDEADBEEFDEADBEEF
61193 .xword 0xDEADBEEFDEADBEEF
61194 .xword 0xDEADBEEFDEADBEEF
61195 .xword 0xDEADBEEFDEADBEEF
61196 .xword 0xDEADBEEFDEADBEEF
61197 .xword 0xDEADBEEFDEADBEEF
61198 .xword 0xDEADBEEFDEADBEEF
61199 .xword 0xDEADBEEFDEADBEEF
61200 .xword 0xDEADBEEFDEADBEEF
61201 .xword 0xDEADBEEFDEADBEEF
61202 .xword 0xDEADBEEFDEADBEEF
61203 .xword 0xDEADBEEFDEADBEEF
61204 .xword 0xDEADBEEFDEADBEEF
61205 .xword 0xDEADBEEFDEADBEEF
61206 .xword 0xDEADBEEFDEADBEEF
61207 .xword 0xDEADBEEFDEADBEEF
61208 .xword 0xDEADBEEFDEADBEEF
61209 .xword 0xDEADBEEFDEADBEEF
61210 .xword 0xDEADBEEFDEADBEEF
61211 .xword 0xDEADBEEFDEADBEEF
61212 .xword 0xDEADBEEFDEADBEEF
61213 .xword 0xDEADBEEFDEADBEEF
61214 .xword 0xDEADBEEFDEADBEEF
61215 .xword 0xDEADBEEFDEADBEEF
61216 .xword 0xDEADBEEFDEADBEEF
61217 .xword 0xDEADBEEFDEADBEEF
61218 .xword 0xDEADBEEFDEADBEEF
61219 .xword 0xDEADBEEFDEADBEEF
61220 .xword 0xDEADBEEFDEADBEEF
61221 .xword 0xDEADBEEFDEADBEEF
61222 .xword 0xDEADBEEFDEADBEEF
61223 .xword 0xDEADBEEFDEADBEEF
61224 .xword 0xDEADBEEFDEADBEEF
61225 .xword 0xDEADBEEFDEADBEEF
61226 .xword 0xDEADBEEFDEADBEEF
61227 .xword 0xDEADBEEFDEADBEEF
61228 .xword 0xDEADBEEFDEADBEEF
61229 .xword 0xDEADBEEFDEADBEEF
61230 .xword 0xDEADBEEFDEADBEEF
61231 .xword 0xDEADBEEFDEADBEEF
61232 .xword 0xDEADBEEFDEADBEEF
61233 .xword 0xDEADBEEFDEADBEEF
61234 .xword 0xDEADBEEFDEADBEEF
61235 .xword 0xDEADBEEFDEADBEEF
61236 .xword 0xDEADBEEFDEADBEEF
61237 .xword 0xDEADBEEFDEADBEEF
61238 .xword 0xDEADBEEFDEADBEEF
61239 .xword 0xDEADBEEFDEADBEEF
61240 .xword 0xDEADBEEFDEADBEEF
61241 .xword 0xDEADBEEFDEADBEEF
61242 .xword 0xDEADBEEFDEADBEEF
61243 .xword 0xDEADBEEFDEADBEEF
61244 .xword 0xDEADBEEFDEADBEEF
61245 .xword 0xDEADBEEFDEADBEEF
61246 .xword 0xDEADBEEFDEADBEEF
61247 .xword 0xDEADBEEFDEADBEEF
61248 .xword 0xDEADBEEFDEADBEEF
61249 .xword 0xDEADBEEFDEADBEEF
61250 .xword 0xDEADBEEFDEADBEEF
61251 .xword 0xDEADBEEFDEADBEEF
61252 .xword 0xDEADBEEFDEADBEEF
61253 .xword 0xDEADBEEFDEADBEEF
61254 .xword 0xDEADBEEFDEADBEEF
61255 .xword 0xDEADBEEFDEADBEEF
61256 .xword 0xDEADBEEFDEADBEEF
61257 .xword 0xDEADBEEFDEADBEEF
61258 .xword 0xDEADBEEFDEADBEEF
61259 .xword 0xDEADBEEFDEADBEEF
61260 .xword 0xDEADBEEFDEADBEEF
61261 .xword 0xDEADBEEFDEADBEEF
61262 .xword 0xDEADBEEFDEADBEEF
61263 .xword 0xDEADBEEFDEADBEEF
61264 .xword 0xDEADBEEFDEADBEEF
61265 .xword 0xDEADBEEFDEADBEEF
61266 .xword 0xDEADBEEFDEADBEEF
61267 .xword 0xDEADBEEFDEADBEEF
61268 .xword 0xDEADBEEFDEADBEEF
61269 .xword 0xDEADBEEFDEADBEEF
61270 .xword 0xDEADBEEFDEADBEEF
61271 .xword 0xDEADBEEFDEADBEEF
61272 .xword 0xDEADBEEFDEADBEEF
61273 .xword 0xDEADBEEFDEADBEEF
61274 .xword 0xDEADBEEFDEADBEEF
61275 .xword 0xDEADBEEFDEADBEEF
61276 .xword 0xDEADBEEFDEADBEEF
61277 .xword 0xDEADBEEFDEADBEEF
61278 .xword 0xDEADBEEFDEADBEEF
61279 .xword 0xDEADBEEFDEADBEEF
61280 .xword 0xDEADBEEFDEADBEEF
61281 .xword 0xDEADBEEFDEADBEEF
61282 .xword 0xDEADBEEFDEADBEEF
61283 .xword 0xDEADBEEFDEADBEEF
61284 .xword 0xDEADBEEFDEADBEEF
61285 .xword 0xDEADBEEFDEADBEEF
61286 .xword 0xDEADBEEFDEADBEEF
61287 .xword 0xDEADBEEFDEADBEEF
61288 .xword 0xDEADBEEFDEADBEEF
61289 .xword 0xDEADBEEFDEADBEEF
61290_t1_des_auth_key:
61291 .xword 0x5fd931ab0e0c77b7
61292 .xword 0xdde5b232cbffb5c0
61293 .xword 0xcaa36cc809908127
61294 .xword 0x4bbae3e39e54e222
61295 .xword 0x087622d60758df49
61296 .xword 0xc06e39f568bafa1e
61297 .xword 0x6feffca4d934e274
61298 .xword 0x53a25a7c00bf0a60
61299 .xword 0x221536da82936525
61300 .xword 0xde16e1bf1855663e
61301 .xword 0x2f27c2362d0129b9
61302 .xword 0xc32c336cac1c74a9
61303 .xword 0xa621161cc1dc829a
61304 .xword 0xc9e801af14663ec8
61305 .xword 0x2ffcd6d6978995fa
61306 .xword 0x802ed3e0d3274120
61307 .xword 0x16c4855635b4a7d7
61308 .xword 0xc8e58c68c6db6acd
61309 .xword 0x9a65d4d1e1e4e647
61310 .xword 0x9a472d090f35bb2b
61311 .xword 0xbc1906783df2020d
61312 .xword 0xd9982c03f0803ed2
61313 .xword 0xc0564471ef399f31
61314_t1_des_auth_iv:
61315 .xword 0xd7293fc411bec87c
61316 .xword 0x64e25027c5de871e
61317 .xword 0x0aaf36ac480ee709
61318 .xword 0xdb41dff910d35f30
61319 .xword 0x224f31398d9f47a3
61320 .xword 0x2bb1a7dc1171ae65
61321 .xword 0x55d0a283b5f11cd9
61322 .xword 0x13cce2d12b1f07ee
61323 .xword 0x50d8953295dc8b61
61324 .xword 0x3cf00aa7d39630eb
61325 .xword 0xdf659e61ce32039f
61326 .xword 0x097cdc3e0b91262f
61327 .xword 0x4cbe3cfea0e9abdc
61328 .xword 0xfa0b81498b82dbec
61329 .xword 0xe85aba20b2a8cee5
61330 .xword 0x22fcd580f3e57e9e
61331 .xword 0x744743dbc1680eaf
61332 .xword 0x133b12f2a193b408
61333 .xword 0x549a25e5c3bca003
61334 .xword 0x62b0d7c30c8fec1f
61335 .xword 0xbb2c8ffa2cb078f9
61336 .xword 0x5ce42b8f3602e200
61337 .xword 0xca1b5d46f59133c0
61338_t1_des_fas_result:
61339 .xword 0xDEADBEEFDEADBEEF
61340 .xword 0xDEADBEEFDEADBEEF
61341 .xword 0xDEADBEEFDEADBEEF
61342 .xword 0xDEADBEEFDEADBEEF
61343 .xword 0xDEADBEEFDEADBEEF
61344 .xword 0xDEADBEEFDEADBEEF
61345 .xword 0xDEADBEEFDEADBEEF
61346 .xword 0xDEADBEEFDEADBEEF
61347 .xword 0xDEADBEEFDEADBEEF
61348 .xword 0xDEADBEEFDEADBEEF
61349 .xword 0xDEADBEEFDEADBEEF
61350 .xword 0xDEADBEEFDEADBEEF
61351 .xword 0xDEADBEEFDEADBEEF
61352 .xword 0xDEADBEEFDEADBEEF
61353 .xword 0xDEADBEEFDEADBEEF
61354 .xword 0xDEADBEEFDEADBEEF
61355 .xword 0xDEADBEEFDEADBEEF
61356 .xword 0xDEADBEEFDEADBEEF
61357 .xword 0xDEADBEEFDEADBEEF
61358 .xword 0xDEADBEEFDEADBEEF
61359 .xword 0xDEADBEEFDEADBEEF
61360 .xword 0xDEADBEEFDEADBEEF
61361 .xword 0xDEADBEEFDEADBEEF
61362_t1_copy_key_array:
61363 .xword 0xa40ff6f920cc6740
61364 .xword 0xa753516cd80321fb
61365 .xword 0x62c50a6871b4e27d
61366 .xword 0x9e09403fe5b5964a
61367 .xword 0x78a6a98cb12e3557
61368 .xword 0x3a7f12eb8a0a06cb
61369 .xword 0xce20d7305fd501c4
61370 .xword 0x5dab26b020798d52
61371 .xword 0xc8df74ce13bbbcc1
61372 .xword 0x7d1e2be05884babe
61373 .xword 0xcb208cae7b999fe9
61374 .xword 0x2e7ed3eae0e6f601
61375 .xword 0x66a0f50df21b74ca
61376 .xword 0x9e0951782c7d6484
61377 .xword 0x31360f6b64c1854e
61378 .xword 0xf874298f6d164beb
61379 .xword 0xeb22d4756eab9819
61380 .xword 0x1797b9a2fa781023
61381 .xword 0x63815ff7a72b4044
61382 .xword 0xa3388ab033307e0d
61383 .xword 0xff0a7fac473c7215
61384 .xword 0x24b8327c69b6de14
61385 .xword 0x3f8bd21c05692b69
61386 .xword 0x1ddbca17f50254ba
61387 .xword 0xdfabcd6ba4fedd43
61388 .xword 0xb81bba5c7ab3edab
61389 .xword 0xc362f45cf435adb1
61390 .xword 0xc58af26baa5ec7c4
61391 .xword 0x3a148c62eea05b5c
61392 .xword 0xed34f70b3da1813b
61393 .xword 0x9d0ad257bd550273
61394 .xword 0xa1a8b4af4f8240c1
61395 .xword 0x142c892e88277f9b
61396 .xword 0x2209e18e870d024e
61397 .xword 0x507dfc344cdbc024
61398 .xword 0xddb9c81f1286c974
61399 .xword 0x2c84af3879697ce0
61400 .xword 0xa686e122f073b361
61401 .xword 0x64fc7176d99fe945
61402 .xword 0x8699c43d62da5cfb
61403 .xword 0xdbbef7113607946f
61404 .xword 0x5ab77389271f8bef
61405 .xword 0x0f206e32f6bb777b
61406 .xword 0x5d7336c8554c5547
61407 .xword 0xd0189b90a80495f4
61408 .xword 0xa51dea682fb4bcf2
61409 .xword 0xe124561f16f60f8e
61410 .xword 0xb82aaf28459d4894
61411 .xword 0x3c5102c02d287866
61412 .xword 0x18626be87cdb34d7
61413 .xword 0x53e9b7d3745e7287
61414_t1_copy_iv_array:
61415 .xword 0x9bccf6718416266a
61416 .xword 0x31fd596f94d84e84
61417 .xword 0xf5e1e877b183da59
61418 .xword 0x08bc7a0d788817d0
61419 .xword 0xf022bae8b5ea623c
61420 .xword 0x214f730609d0ee7a
61421 .xword 0x5b732df6c081669a
61422 .xword 0x8945f5371f576775
61423 .xword 0x9a408c10ceea5460
61424 .xword 0xa1b8021a6c22370b
61425 .xword 0xfa1c7b9d98cd911b
61426 .xword 0x22cd314a8f28e0c0
61427 .xword 0xb22270637ee6d579
61428 .xword 0x552a965be7721e0a
61429 .xword 0x4c4804526cbf6897
61430 .xword 0x16a1b2b1db8f817e
61431 .xword 0x5057583c9494784c
61432 .xword 0x0cce1e0de1e1c309
61433 .xword 0x00e4e04f19cf4667
61434 .xword 0x4da50c67bf80adb9
61435 .xword 0x30d6b1b9c3f7f450
61436 .xword 0x11e27c3a248ffcbd
61437 .xword 0x53877eda46899689
61438 .xword 0xfe5bbb2ed2b92529
61439 .xword 0xaa238a46242225f5
61440 .xword 0xf1ee31f103295fe7
61441 .xword 0xd472669e3c6ddfda
61442 .xword 0x1a91a19c8072e48c
61443 .xword 0x2ab83d690f0d1df6
61444 .xword 0x27522c17329fb18b
61445 .xword 0x3e77a9d920c2cf38
61446 .xword 0x76466a4216bf2425
61447 .xword 0x69537d0a9a0f0cf7
61448 .xword 0x9ba00dd5172e77e0
61449 .xword 0xd18210d5f23e2e74
61450 .xword 0x58b1d4416d1b3285
61451 .xword 0x1c844134edd8bced
61452 .xword 0x2f46102a9e0fac82
61453 .xword 0x69abea26836d54dd
61454 .xword 0xe6d79c004d34bee5
61455 .xword 0xa1aa5fb9c6735c9f
61456 .xword 0xf83d64ae111d83a1
61457 .xword 0x0ed1b50284136878
61458 .xword 0x565b108790a0d4d2
61459 .xword 0x1efad6c9520ae29a
61460_t1_copy_alignment_array:
61461 .xword 6
61462 .xword 11
61463 .xword 10
61464 .xword 0
61465 .xword 13
61466 .xword 4
61467 .xword 13
61468 .xword 8
61469 .xword 0
61470 .xword 12
61471 .xword 15
61472 .xword 11
61473 .xword 1
61474 .xword 8
61475 .xword 15
61476 .xword 4
61477 .xword 0
61478 .xword 0
61479 .xword 2
61480 .xword 4
61481 .xword 7
61482 .xword 11
61483 .xword 0
61484 .xword 5
61485 .xword 7
61486 .xword 12
61487 .xword 10
61488 .xword 14
61489 .xword 11
61490 .xword 4
61491 .xword 11
61492 .xword 13
61493 .xword 1
61494 .xword 7
61495 .xword 2
61496 .xword 9
61497 .xword 0
61498 .xword 8
61499 .xword 3
61500 .xword 5
61501 .xword 4
61502 .xword 3
61503 .xword 4
61504 .xword 1
61505 .xword 2
61506 .xword 12
61507 .xword 2
61508 .xword 4
61509 .xword 10
61510 .xword 11
61511 .xword 15
61512 .xword 12
61513 .xword 4
61514 .xword 1
61515 .xword 15
61516 .xword 15
61517 .xword 9
61518 .xword 13
61519 .xword 9
61520 .xword 11
61521 .xword 1
61522 .xword 8
61523 .xword 4
61524 .xword 11
61525 .xword 11
61526 .xword 12
61527 .xword 8
61528 .xword 11
61529 .xword 7
61530 .xword 1
61531 .xword 13
61532 .xword 3
61533 .xword 4
61534 .xword 10
61535 .xword 10
61536 .xword 9
61537 .xword 0
61538 .xword 14
61539 .xword 2
61540 .xword 2
61541 .xword 13
61542 .xword 8
61543 .xword 11
61544 .xword 8
61545 .xword 7
61546 .xword 0
61547 .xword 4
61548 .xword 3
61549 .xword 10
61550 .xword 7
61551 .xword 12
61552 .xword 8
61553 .xword 14
61554 .xword 2
61555 .xword 1
61556 .xword 6
61557 .xword 13
61558 .xword 14
61559 .xword 13
61560 .xword 5
61561 .xword 12
61562 .xword 0
61563 .xword 15
61564 .xword 8
61565 .xword 6
61566_t1_copy_src:
61567 .xword 0x7685171a86c802f8
61568 .xword 0x3ca48b292db991a9
61569 .xword 0x2d94a6ddb3d45b2f
61570 .xword 0x18f3b26cf06f0eeb
61571 .xword 0xacf45cfe0a1fe573
61572 .xword 0x5e6fa2449cf9c599
61573 .xword 0xa19453b8f956bcd2
61574 .xword 0x0a917eb0e1c25a92
61575 .xword 0x736d21101169a8ca
61576 .xword 0x658e51a80728da4a
61577 .xword 0xbd360d34453aad4f
61578 .xword 0xedd735b6f61faad5
61579 .xword 0xe4c2fc90d305bd1f
61580 .xword 0x7312c66c409a6822
61581 .xword 0xa80ee63c1d8d49c4
61582 .xword 0x48a908262ead6bb5
61583 .xword 0x0f89f4a98b781dd0
61584 .xword 0x4f0d25a6ec42f912
61585 .xword 0xbcd02f9c49230f69
61586 .xword 0x872270bc6bc566a1
61587 .xword 0xe003fef2f84fb456
61588 .xword 0x1075a9484df60633
61589 .xword 0xf51ed77fe49ee5fe
61590 .xword 0xdf6e7aa60701b8fb
61591 .xword 0xac993fc67e5cb6d2
61592 .xword 0xa38a15927f0e5031
61593 .xword 0xa39a5fd21496e95f
61594 .xword 0x442fb7c4b289ec8e
61595 .xword 0x72aae74a1798776d
61596 .xword 0xc0e4f192c689047d
61597 .xword 0x2a41c0b133c136aa
61598 .xword 0xf308221baf6ce5e0
61599 .xword 0xfada1772e77a3f92
61600 .xword 0x4c207c217f89650d
61601 .xword 0x838daeb753629939
61602 .xword 0x9ccfe01437da3637
61603 .xword 0x2c921a3f3b3704fc
61604 .xword 0x113c10bc9ad9bb91
61605 .xword 0xcf9fce7ccd4ff897
61606 .xword 0x31591e2c98d206ac
61607 .xword 0x50687ad756992a70
61608 .xword 0xc16c9e2d0a3c3083
61609 .xword 0x48fbe174e08c9250
61610 .xword 0xb60b79c70da3c198
61611 .xword 0xe958541f44ab1d6d
61612 .xword 0x2017758daa655937
61613 .xword 0x91b5c283ff3c8be7
61614 .xword 0xedc0a9eefd047bbb
61615 .xword 0x00ba7f7efde56707
61616 .xword 0xaf598d59261fcca7
61617 .xword 0xc434f95b6357d641
61618 .xword 0x9ee3de896e8f14b2
61619 .xword 0x1c513de925fff59a
61620 .xword 0x5b4611600740f6a6
61621 .xword 0x1c324a76c97f2bdb
61622 .xword 0x4be862526d76a839
61623 .xword 0x25e6a6d8bd9d141d
61624 .xword 0x4a7eb2ca002a9ef5
61625 .xword 0x44128b1ab072ddad
61626 .xword 0x407d2c124f180109
61627 .xword 0xcac06a71866e35ed
61628 .xword 0x755a3baa56bf5445
61629 .xword 0x092ed19d6a594e36
61630 .xword 0x04b26a59b8bcc3db
61631 .xword 0x57e3d811e47c6a2e
61632 .xword 0x407bd89ede89703b
61633 .xword 0x7524171075d12bb3
61634 .xword 0x0563876a5bed712a
61635 .xword 0xf25eac493daf5939
61636 .xword 0xf0d76867aebd444d
61637 .xword 0xfa92954a3a4d5e09
61638 .xword 0x3682fa97468eb0a7
61639 .xword 0x3e3484e7f9d0c138
61640 .xword 0x7c3a450a7233c85d
61641 .xword 0xe9e0cc1e9ee070b2
61642 .xword 0x99b1b5a09c7d8f5f
61643 .xword 0xb85a1a888f88630a
61644 .xword 0x610e1cb477f94fc1
61645 .xword 0xe03198eb8aa2d8fb
61646 .xword 0xae1cb736cb21b2f0
61647 .xword 0x52b64d0ab0353b47
61648 .xword 0x1d76793da4f37446
61649 .xword 0xc55444ff544d2086
61650 .xword 0xd93cf3f5f6ff0287
61651 .xword 0xc0ebda456910a5c6
61652 .xword 0xc00e1e5405d2e0e4
61653 .xword 0xcca009efda3957d5
61654 .xword 0x523df7d32f2e54f1
61655 .xword 0xe2c8da70eb99c4fe
61656 .xword 0x199b1e0dc7b80f92
61657 .xword 0xb6c5901b616ef0c5
61658 .xword 0x42aaa30bacc8997e
61659 .xword 0x438dfb7346b32b5e
61660 .xword 0xedd3746f279e16f3
61661 .xword 0xfe3838b11baa182a
61662 .xword 0x96b38cbbd4525c15
61663 .xword 0x63efbb9e45564535
61664 .xword 0x3093894e5c284b62
61665 .xword 0x767e4a731fb68d93
61666 .xword 0xa074fdb679983fdd
61667 .xword 0xa033c93459e5acaf
61668 .xword 0x575be853d4953a25
61669 .xword 0x69b9bb1e4166daee
61670 .xword 0xa419d93d38fad515
61671 .xword 0x5cceb298f7f958c6
61672 .xword 0x0b63ef34453d6463
61673 .xword 0xa667e02a3cd93e38
61674 .xword 0xcf8d22cb78c06277
61675 .xword 0x4aac807e361ce96d
61676 .xword 0x5b7ca6794d6e3110
61677 .xword 0x2ec556d649243756
61678 .xword 0x4dd450f41ef04902
61679 .xword 0xe674d54f79fe9713
61680 .xword 0xfd093fb828cdd016
61681 .xword 0xf35e98a41a37e02b
61682 .xword 0x38705cdcf4313741
61683 .xword 0x6c7537add90f57c0
61684 .xword 0xe8e4bd4269e0600c
61685 .xword 0xf3ab5f8de9ac4011
61686 .xword 0x41deb7e455ebbaac
61687 .xword 0x118ff867b3e55075
61688 .xword 0x36ffa9e2069c9055
61689 .xword 0xfe0c60add2589516
61690 .xword 0x1c3ebf654ac23530
61691 .xword 0x4dca6e7c4aab7e89
61692 .xword 0x8b9a975089242587
61693 .xword 0xf8903d00295c1690
61694 .xword 0xd691bc3437990fbf
61695 .xword 0x77b24e370dc5d65b
61696 .xword 0xccd54853df810dce
61697 .xword 0x748d06b23c9b7376
61698 .xword 0x6e44d08c326134d2
61699 .xword 0x4cbb5e7737928989
61700 .xword 0x48b1fb542eabd017
61701 .xword 0xadc988572bc8d790
61702 .xword 0x5f1b9d59162a099e
61703 .xword 0x14ff562407e70d6e
61704 .xword 0x6d7c6c74b1d3f1f0
61705 .xword 0x26801bddfcb35030
61706 .xword 0x4c58dfe76ae417c3
61707 .xword 0x3d1adc5ec56b17b5
61708 .xword 0x8fcd0201131cd69d
61709 .xword 0x11b5323f3e12c7e3
61710 .xword 0x0ca7fa765800f7c6
61711 .xword 0x8eef231d153099c0
61712 .xword 0x07f43aaea5e99f32
61713 .xword 0xcda013b1e482c851
61714 .xword 0x28e50b1875222c5e
61715 .xword 0x7425dc1ac162ac6d
61716 .xword 0x702682defb8ea425
61717 .xword 0x26432086070bb341
61718 .xword 0x85404013744ac349
61719 .xword 0xf7a1a377daccd8f2
61720 .xword 0xc466a5f0a3ba641c
61721 .xword 0x7313cbfeaf736693
61722 .xword 0xd99cf0171d8d3475
61723 .xword 0x73a4a9f9582b68d0
61724 .xword 0x28881587a675b4e1
61725 .xword 0x9b0be59a7f1dd1ae
61726 .xword 0x79cf0447bad01d95
61727 .xword 0x1c0fe5f25f92a90a
61728 .xword 0xea121f887cbb121f
61729 .xword 0xfbca4a14cc12be7d
61730 .xword 0x54de5b6518b64fc7
61731 .xword 0x86ae0df7a42caf37
61732 .xword 0xee05a03d2c5ba625
61733 .xword 0x571693914b209f54
61734 .xword 0x314080c872511c0f
61735 .xword 0x76df244d19c84ad6
61736 .xword 0xe8de4a1943e472d8
61737 .xword 0xdfab05dde36deb0c
61738 .xword 0x21d1f0c1b916eba1
61739 .xword 0xf7ef1697ce137185
61740 .xword 0x1293cca0acce33ea
61741 .xword 0x35536dad10ddf202
61742 .xword 0xd3ce6c1c0811e16e
61743 .xword 0xa1b88c41af8af53b
61744 .xword 0xaf73951e29eb74ab
61745 .xword 0x43e1cce26d29e2fb
61746 .xword 0x372906c910b2ebe7
61747 .xword 0x013ad48d70b5a3a0
61748 .xword 0x56f4d3d053d5fe94
61749 .xword 0x9d04c9dd0089f1cb
61750 .xword 0x03762293af0ea494
61751 .xword 0xdacc8a3fbfe7b47a
61752 .xword 0x1fc515744f568682
61753 .xword 0xa25413da172b1b07
61754 .xword 0x650d7e5a9250c07b
61755 .xword 0x8df4dc8a1b1b9ac4
61756 .xword 0xeceb07fd02a5e73e
61757 .xword 0xdaface0ba8b4ca45
61758 .xword 0xa998f96e00969856
61759 .xword 0xf301a287eab3e031
61760 .xword 0xe8fdac5f7e03dacb
61761 .xword 0x96553f485baaf004
61762 .xword 0x0459a63982792dad
61763 .xword 0x6d3e18fe42e9cea8
61764 .xword 0xb7e5e510327ebc9e
61765 .xword 0xdfb847fb48df4b06
61766 .xword 0x51adcc9ca6c191c3
61767 .xword 0xee4adc40e4aa77a4
61768 .xword 0xfc0456df46478636
61769 .xword 0x57c3a8dded631070
61770 .xword 0x83895d56e7697881
61771 .xword 0x18f364b5d022db8a
61772 .xword 0x7dd03e3a3db90e3a
61773 .xword 0x0c428326c007d397
61774 .xword 0x00517b58cb301ede
61775 .xword 0x875559ded81dc659
61776 .xword 0x1fa3d5bf0d11c6e3
61777 .xword 0x424e829cc7ada02b
61778 .xword 0xeba193846d6379ae
61779 .xword 0x9bf271d879474b97
61780 .xword 0xd969c604df1d3cb1
61781 .xword 0x3b46f4077048ef1b
61782 .xword 0xcced37b0061565ae
61783 .xword 0xfa563f7bf9dab395
61784 .xword 0xb18af3f8b9d01e3f
61785 .xword 0x1535b36a33790e56
61786 .xword 0xcce34dd7b743b25f
61787 .xword 0x47e53c1a2644b3f9
61788 .xword 0x26a057ab407adc0f
61789 .xword 0x14a88f81d1cfd1f3
61790 .xword 0x7e219b114d46e62f
61791 .xword 0x389dcc15c608b1f8
61792 .xword 0xb7c003fee830fe3c
61793 .xword 0x060855d13a2c29da
61794 .xword 0x01b6741e89fe95c2
61795 .xword 0x46c7dedb3ccdb5b4
61796 .xword 0xc51ff3db02ee4c43
61797 .xword 0x683df825bd62bfc1
61798 .xword 0xf073bf5781abdc30
61799 .xword 0xafa1a59939a74f80
61800 .xword 0x7714dbc04bbfaa09
61801 .xword 0xde3f4612f28a6597
61802 .xword 0xf2ade69cfa34fdd6
61803 .xword 0xdd26b022cf09ff6e
61804 .xword 0xec92f93a82d7b7a6
61805 .xword 0x01b404b920b7f48f
61806 .xword 0xb6f1fac293a77310
61807 .xword 0x32874df2debd22b8
61808 .xword 0xef7be713d36b6ef7
61809 .xword 0x891bb16ac78591b6
61810 .xword 0x08164f3ec6cb03bd
61811 .xword 0x04cde4cf5130f4e9
61812 .xword 0x076c11e06958d985
61813 .xword 0x41de820b59d273d4
61814 .xword 0x7acbef1a1d3287ee
61815 .xword 0x1228ace0f32d121a
61816 .xword 0xa1d928a924580b7a
61817 .xword 0x62ed877790a13c66
61818 .xword 0x3cd3e46f52d2e1bd
61819 .xword 0x060c68b979709a1e
61820 .xword 0x40b0beaa85477dfb
61821 .xword 0x1897ad80e415b3c3
61822 .xword 0x5cc3334f38aac998
61823 .xword 0x81102e7634b647b7
61824 .xword 0xf1627ca910c3d681
61825 .xword 0x993ac0a476a81f39
61826 .xword 0x38df62a8501cd522
61827 .xword 0x3f8b7353da199494
61828 .xword 0x541c73223a0c0a83
61829 .xword 0xedb01d2a9ca9af28
61830 .xword 0x2bdd3be3b11e5750
61831 .xword 0xda04bef7bc231b35
61832 .xword 0x64e8795b746139ac
61833 .xword 0xb48e2c9a447eb077
61834 .xword 0x3a738e486f94026c
61835 .xword 0x4ad60adae5fa5d69
61836 .xword 0xc72bf9bd0289cc29
61837 .xword 0x3cae6b95c8ba0d1f
61838 .xword 0xfc2039c11cb6ddea
61839 .xword 0x11db9fbc55dd893f
61840 .xword 0x7806498c7928c71d
61841 .xword 0xcec935694b819ebc
61842_t1_copy_dest:
61843 .xword 0xDEADBEEFDEADBEEF
61844 .xword 0xDEADBEEFDEADBEEF
61845 .xword 0xDEADBEEFDEADBEEF
61846 .xword 0xDEADBEEFDEADBEEF
61847 .xword 0xDEADBEEFDEADBEEF
61848 .xword 0xDEADBEEFDEADBEEF
61849 .xword 0xDEADBEEFDEADBEEF
61850 .xword 0xDEADBEEFDEADBEEF
61851 .xword 0xDEADBEEFDEADBEEF
61852 .xword 0xDEADBEEFDEADBEEF
61853 .xword 0xDEADBEEFDEADBEEF
61854 .xword 0xDEADBEEFDEADBEEF
61855 .xword 0xDEADBEEFDEADBEEF
61856 .xword 0xDEADBEEFDEADBEEF
61857 .xword 0xDEADBEEFDEADBEEF
61858 .xword 0xDEADBEEFDEADBEEF
61859 .xword 0xDEADBEEFDEADBEEF
61860 .xword 0xDEADBEEFDEADBEEF
61861 .xword 0xDEADBEEFDEADBEEF
61862 .xword 0xDEADBEEFDEADBEEF
61863 .xword 0xDEADBEEFDEADBEEF
61864 .xword 0xDEADBEEFDEADBEEF
61865 .xword 0xDEADBEEFDEADBEEF
61866 .xword 0xDEADBEEFDEADBEEF
61867 .xword 0xDEADBEEFDEADBEEF
61868 .xword 0xDEADBEEFDEADBEEF
61869 .xword 0xDEADBEEFDEADBEEF
61870 .xword 0xDEADBEEFDEADBEEF
61871 .xword 0xDEADBEEFDEADBEEF
61872 .xword 0xDEADBEEFDEADBEEF
61873 .xword 0xDEADBEEFDEADBEEF
61874 .xword 0xDEADBEEFDEADBEEF
61875 .xword 0xDEADBEEFDEADBEEF
61876 .xword 0xDEADBEEFDEADBEEF
61877 .xword 0xDEADBEEFDEADBEEF
61878 .xword 0xDEADBEEFDEADBEEF
61879 .xword 0xDEADBEEFDEADBEEF
61880 .xword 0xDEADBEEFDEADBEEF
61881 .xword 0xDEADBEEFDEADBEEF
61882 .xword 0xDEADBEEFDEADBEEF
61883 .xword 0xDEADBEEFDEADBEEF
61884 .xword 0xDEADBEEFDEADBEEF
61885 .xword 0xDEADBEEFDEADBEEF
61886 .xword 0xDEADBEEFDEADBEEF
61887 .xword 0xDEADBEEFDEADBEEF
61888 .xword 0xDEADBEEFDEADBEEF
61889 .xword 0xDEADBEEFDEADBEEF
61890 .xword 0xDEADBEEFDEADBEEF
61891 .xword 0xDEADBEEFDEADBEEF
61892 .xword 0xDEADBEEFDEADBEEF
61893 .xword 0xDEADBEEFDEADBEEF
61894 .xword 0xDEADBEEFDEADBEEF
61895 .xword 0xDEADBEEFDEADBEEF
61896 .xword 0xDEADBEEFDEADBEEF
61897 .xword 0xDEADBEEFDEADBEEF
61898 .xword 0xDEADBEEFDEADBEEF
61899 .xword 0xDEADBEEFDEADBEEF
61900 .xword 0xDEADBEEFDEADBEEF
61901 .xword 0xDEADBEEFDEADBEEF
61902 .xword 0xDEADBEEFDEADBEEF
61903 .xword 0xDEADBEEFDEADBEEF
61904 .xword 0xDEADBEEFDEADBEEF
61905 .xword 0xDEADBEEFDEADBEEF
61906 .xword 0xDEADBEEFDEADBEEF
61907 .xword 0xDEADBEEFDEADBEEF
61908 .xword 0xDEADBEEFDEADBEEF
61909 .xword 0xDEADBEEFDEADBEEF
61910 .xword 0xDEADBEEFDEADBEEF
61911 .xword 0xDEADBEEFDEADBEEF
61912 .xword 0xDEADBEEFDEADBEEF
61913 .xword 0xDEADBEEFDEADBEEF
61914 .xword 0xDEADBEEFDEADBEEF
61915 .xword 0xDEADBEEFDEADBEEF
61916 .xword 0xDEADBEEFDEADBEEF
61917 .xword 0xDEADBEEFDEADBEEF
61918 .xword 0xDEADBEEFDEADBEEF
61919 .xword 0xDEADBEEFDEADBEEF
61920 .xword 0xDEADBEEFDEADBEEF
61921 .xword 0xDEADBEEFDEADBEEF
61922 .xword 0xDEADBEEFDEADBEEF
61923 .xword 0xDEADBEEFDEADBEEF
61924 .xword 0xDEADBEEFDEADBEEF
61925 .xword 0xDEADBEEFDEADBEEF
61926 .xword 0xDEADBEEFDEADBEEF
61927 .xword 0xDEADBEEFDEADBEEF
61928 .xword 0xDEADBEEFDEADBEEF
61929 .xword 0xDEADBEEFDEADBEEF
61930 .xword 0xDEADBEEFDEADBEEF
61931 .xword 0xDEADBEEFDEADBEEF
61932 .xword 0xDEADBEEFDEADBEEF
61933 .xword 0xDEADBEEFDEADBEEF
61934 .xword 0xDEADBEEFDEADBEEF
61935 .xword 0xDEADBEEFDEADBEEF
61936 .xword 0xDEADBEEFDEADBEEF
61937 .xword 0xDEADBEEFDEADBEEF
61938 .xword 0xDEADBEEFDEADBEEF
61939 .xword 0xDEADBEEFDEADBEEF
61940 .xword 0xDEADBEEFDEADBEEF
61941 .xword 0xDEADBEEFDEADBEEF
61942 .xword 0xDEADBEEFDEADBEEF
61943 .xword 0xDEADBEEFDEADBEEF
61944 .xword 0xDEADBEEFDEADBEEF
61945 .xword 0xDEADBEEFDEADBEEF
61946 .xword 0xDEADBEEFDEADBEEF
61947 .xword 0xDEADBEEFDEADBEEF
61948 .xword 0xDEADBEEFDEADBEEF
61949 .xword 0xDEADBEEFDEADBEEF
61950 .xword 0xDEADBEEFDEADBEEF
61951 .xword 0xDEADBEEFDEADBEEF
61952 .xword 0xDEADBEEFDEADBEEF
61953 .xword 0xDEADBEEFDEADBEEF
61954 .xword 0xDEADBEEFDEADBEEF
61955 .xword 0xDEADBEEFDEADBEEF
61956 .xword 0xDEADBEEFDEADBEEF
61957 .xword 0xDEADBEEFDEADBEEF
61958 .xword 0xDEADBEEFDEADBEEF
61959 .xword 0xDEADBEEFDEADBEEF
61960 .xword 0xDEADBEEFDEADBEEF
61961 .xword 0xDEADBEEFDEADBEEF
61962 .xword 0xDEADBEEFDEADBEEF
61963 .xword 0xDEADBEEFDEADBEEF
61964 .xword 0xDEADBEEFDEADBEEF
61965 .xword 0xDEADBEEFDEADBEEF
61966 .xword 0xDEADBEEFDEADBEEF
61967 .xword 0xDEADBEEFDEADBEEF
61968 .xword 0xDEADBEEFDEADBEEF
61969 .xword 0xDEADBEEFDEADBEEF
61970 .xword 0xDEADBEEFDEADBEEF
61971 .xword 0xDEADBEEFDEADBEEF
61972 .xword 0xDEADBEEFDEADBEEF
61973 .xword 0xDEADBEEFDEADBEEF
61974 .xword 0xDEADBEEFDEADBEEF
61975 .xword 0xDEADBEEFDEADBEEF
61976 .xword 0xDEADBEEFDEADBEEF
61977 .xword 0xDEADBEEFDEADBEEF
61978 .xword 0xDEADBEEFDEADBEEF
61979 .xword 0xDEADBEEFDEADBEEF
61980 .xword 0xDEADBEEFDEADBEEF
61981 .xword 0xDEADBEEFDEADBEEF
61982 .xword 0xDEADBEEFDEADBEEF
61983 .xword 0xDEADBEEFDEADBEEF
61984 .xword 0xDEADBEEFDEADBEEF
61985 .xword 0xDEADBEEFDEADBEEF
61986 .xword 0xDEADBEEFDEADBEEF
61987 .xword 0xDEADBEEFDEADBEEF
61988 .xword 0xDEADBEEFDEADBEEF
61989 .xword 0xDEADBEEFDEADBEEF
61990 .xword 0xDEADBEEFDEADBEEF
61991 .xword 0xDEADBEEFDEADBEEF
61992 .xword 0xDEADBEEFDEADBEEF
61993 .xword 0xDEADBEEFDEADBEEF
61994 .xword 0xDEADBEEFDEADBEEF
61995 .xword 0xDEADBEEFDEADBEEF
61996 .xword 0xDEADBEEFDEADBEEF
61997 .xword 0xDEADBEEFDEADBEEF
61998 .xword 0xDEADBEEFDEADBEEF
61999 .xword 0xDEADBEEFDEADBEEF
62000 .xword 0xDEADBEEFDEADBEEF
62001 .xword 0xDEADBEEFDEADBEEF
62002 .xword 0xDEADBEEFDEADBEEF
62003 .xword 0xDEADBEEFDEADBEEF
62004 .xword 0xDEADBEEFDEADBEEF
62005 .xword 0xDEADBEEFDEADBEEF
62006 .xword 0xDEADBEEFDEADBEEF
62007 .xword 0xDEADBEEFDEADBEEF
62008 .xword 0xDEADBEEFDEADBEEF
62009 .xword 0xDEADBEEFDEADBEEF
62010 .xword 0xDEADBEEFDEADBEEF
62011 .xword 0xDEADBEEFDEADBEEF
62012 .xword 0xDEADBEEFDEADBEEF
62013 .xword 0xDEADBEEFDEADBEEF
62014 .xword 0xDEADBEEFDEADBEEF
62015 .xword 0xDEADBEEFDEADBEEF
62016 .xword 0xDEADBEEFDEADBEEF
62017 .xword 0xDEADBEEFDEADBEEF
62018 .xword 0xDEADBEEFDEADBEEF
62019 .xword 0xDEADBEEFDEADBEEF
62020 .xword 0xDEADBEEFDEADBEEF
62021 .xword 0xDEADBEEFDEADBEEF
62022 .xword 0xDEADBEEFDEADBEEF
62023 .xword 0xDEADBEEFDEADBEEF
62024 .xword 0xDEADBEEFDEADBEEF
62025 .xword 0xDEADBEEFDEADBEEF
62026 .xword 0xDEADBEEFDEADBEEF
62027 .xword 0xDEADBEEFDEADBEEF
62028 .xword 0xDEADBEEFDEADBEEF
62029 .xword 0xDEADBEEFDEADBEEF
62030 .xword 0xDEADBEEFDEADBEEF
62031 .xword 0xDEADBEEFDEADBEEF
62032 .xword 0xDEADBEEFDEADBEEF
62033 .xword 0xDEADBEEFDEADBEEF
62034 .xword 0xDEADBEEFDEADBEEF
62035 .xword 0xDEADBEEFDEADBEEF
62036 .xword 0xDEADBEEFDEADBEEF
62037 .xword 0xDEADBEEFDEADBEEF
62038 .xword 0xDEADBEEFDEADBEEF
62039 .xword 0xDEADBEEFDEADBEEF
62040 .xword 0xDEADBEEFDEADBEEF
62041 .xword 0xDEADBEEFDEADBEEF
62042 .xword 0xDEADBEEFDEADBEEF
62043 .xword 0xDEADBEEFDEADBEEF
62044 .xword 0xDEADBEEFDEADBEEF
62045 .xword 0xDEADBEEFDEADBEEF
62046 .xword 0xDEADBEEFDEADBEEF
62047 .xword 0xDEADBEEFDEADBEEF
62048 .xword 0xDEADBEEFDEADBEEF
62049 .xword 0xDEADBEEFDEADBEEF
62050 .xword 0xDEADBEEFDEADBEEF
62051 .xword 0xDEADBEEFDEADBEEF
62052 .xword 0xDEADBEEFDEADBEEF
62053 .xword 0xDEADBEEFDEADBEEF
62054 .xword 0xDEADBEEFDEADBEEF
62055 .xword 0xDEADBEEFDEADBEEF
62056 .xword 0xDEADBEEFDEADBEEF
62057 .xword 0xDEADBEEFDEADBEEF
62058 .xword 0xDEADBEEFDEADBEEF
62059 .xword 0xDEADBEEFDEADBEEF
62060 .xword 0xDEADBEEFDEADBEEF
62061 .xword 0xDEADBEEFDEADBEEF
62062 .xword 0xDEADBEEFDEADBEEF
62063 .xword 0xDEADBEEFDEADBEEF
62064 .xword 0xDEADBEEFDEADBEEF
62065 .xword 0xDEADBEEFDEADBEEF
62066 .xword 0xDEADBEEFDEADBEEF
62067 .xword 0xDEADBEEFDEADBEEF
62068 .xword 0xDEADBEEFDEADBEEF
62069 .xword 0xDEADBEEFDEADBEEF
62070 .xword 0xDEADBEEFDEADBEEF
62071 .xword 0xDEADBEEFDEADBEEF
62072 .xword 0xDEADBEEFDEADBEEF
62073 .xword 0xDEADBEEFDEADBEEF
62074 .xword 0xDEADBEEFDEADBEEF
62075 .xword 0xDEADBEEFDEADBEEF
62076 .xword 0xDEADBEEFDEADBEEF
62077 .xword 0xDEADBEEFDEADBEEF
62078 .xword 0xDEADBEEFDEADBEEF
62079 .xword 0xDEADBEEFDEADBEEF
62080 .xword 0xDEADBEEFDEADBEEF
62081 .xword 0xDEADBEEFDEADBEEF
62082 .xword 0xDEADBEEFDEADBEEF
62083 .xword 0xDEADBEEFDEADBEEF
62084 .xword 0xDEADBEEFDEADBEEF
62085 .xword 0xDEADBEEFDEADBEEF
62086 .xword 0xDEADBEEFDEADBEEF
62087 .xword 0xDEADBEEFDEADBEEF
62088 .xword 0xDEADBEEFDEADBEEF
62089 .xword 0xDEADBEEFDEADBEEF
62090 .xword 0xDEADBEEFDEADBEEF
62091 .xword 0xDEADBEEFDEADBEEF
62092 .xword 0xDEADBEEFDEADBEEF
62093 .xword 0xDEADBEEFDEADBEEF
62094 .xword 0xDEADBEEFDEADBEEF
62095 .xword 0xDEADBEEFDEADBEEF
62096 .xword 0xDEADBEEFDEADBEEF
62097 .xword 0xDEADBEEFDEADBEEF
62098 .xword 0xDEADBEEFDEADBEEF
62099 .xword 0xDEADBEEFDEADBEEF
62100 .xword 0xDEADBEEFDEADBEEF
62101 .xword 0xDEADBEEFDEADBEEF
62102 .xword 0xDEADBEEFDEADBEEF
62103 .xword 0xDEADBEEFDEADBEEF
62104 .xword 0xDEADBEEFDEADBEEF
62105 .xword 0xDEADBEEFDEADBEEF
62106 .xword 0xDEADBEEFDEADBEEF
62107 .xword 0xDEADBEEFDEADBEEF
62108 .xword 0xDEADBEEFDEADBEEF
62109 .xword 0xDEADBEEFDEADBEEF
62110 .xword 0xDEADBEEFDEADBEEF
62111 .xword 0xDEADBEEFDEADBEEF
62112 .xword 0xDEADBEEFDEADBEEF
62113 .xword 0xDEADBEEFDEADBEEF
62114 .xword 0xDEADBEEFDEADBEEF
62115 .xword 0xDEADBEEFDEADBEEF
62116 .xword 0xDEADBEEFDEADBEEF
62117 .xword 0xDEADBEEFDEADBEEF
62118_t1_copy_auth_key:
62119 .xword 0x00948ab717a05546
62120 .xword 0xe5a1c84fdd28ec77
62121 .xword 0x7573458afe0fe5b8
62122 .xword 0x2629ae6f95f0ea63
62123 .xword 0x2b8f5a3a42511a16
62124 .xword 0x8af9e39aa69be03b
62125 .xword 0x883cdd73a41e5757
62126 .xword 0x12b0597677565448
62127 .xword 0xd32cdcf91af3055c
62128 .xword 0xe866077a2860579e
62129 .xword 0x37a04c90dc9f0681
62130 .xword 0x9312fcede96ff8ff
62131 .xword 0xcdd79d9f2aa4837d
62132 .xword 0x8f15ad26cf65693b
62133 .xword 0xe3b2c1a7233c1faf
62134 .xword 0xcfd4ca3f03a66cd2
62135 .xword 0xc0e4878e1cd954f5
62136 .xword 0x3200be22f17bc09f
62137 .xword 0x01b524ee5e71b98e
62138 .xword 0x55b606c18bcdeed1
62139 .xword 0xab95033e21073377
62140 .xword 0xb721b9e54d7f9ca8
62141 .xword 0x5d360c2b8676851e
62142_t1_copy_auth_iv:
62143 .xword 0x0228618cac15ffa4
62144 .xword 0x30946434c393635a
62145 .xword 0xf2af1d28f2910018
62146 .xword 0x63e7430a3f1adca8
62147 .xword 0xee4b23a379093600
62148 .xword 0xf4ca0b0628ae3f2b
62149 .xword 0xa96977a4bf2bea38
62150 .xword 0x4c7fbc9aa660fddb
62151 .xword 0x85c13c1fd573121a
62152 .xword 0xc20b90c50b7ade52
62153 .xword 0x6f9567aecc65dd91
62154 .xword 0x7b68b4f522e02df6
62155 .xword 0xc6ee001579037555
62156 .xword 0xbe3c3cc8e2fc6401
62157 .xword 0x257eee269ec5a224
62158 .xword 0xda585213532109db
62159 .xword 0x7d0defaf8dc45deb
62160 .xword 0x121b89d59defa39b
62161 .xword 0x3f6c857065dab4aa
62162 .xword 0x630c630bdab3915f
62163 .xword 0x37dd0fa66085a03e
62164 .xword 0xa343c54932ba08a8
62165 .xword 0x106cf908a79be90a
62166_t1_copy_fas_result:
62167 .xword 0xDEADBEEFDEADBEEF
62168 .xword 0xDEADBEEFDEADBEEF
62169 .xword 0xDEADBEEFDEADBEEF
62170 .xword 0xDEADBEEFDEADBEEF
62171 .xword 0xDEADBEEFDEADBEEF
62172 .xword 0xDEADBEEFDEADBEEF
62173 .xword 0xDEADBEEFDEADBEEF
62174 .xword 0xDEADBEEFDEADBEEF
62175 .xword 0xDEADBEEFDEADBEEF
62176 .xword 0xDEADBEEFDEADBEEF
62177 .xword 0xDEADBEEFDEADBEEF
62178 .xword 0xDEADBEEFDEADBEEF
62179 .xword 0xDEADBEEFDEADBEEF
62180 .xword 0xDEADBEEFDEADBEEF
62181 .xword 0xDEADBEEFDEADBEEF
62182 .xword 0xDEADBEEFDEADBEEF
62183 .xword 0xDEADBEEFDEADBEEF
62184 .xword 0xDEADBEEFDEADBEEF
62185 .xword 0xDEADBEEFDEADBEEF
62186 .xword 0xDEADBEEFDEADBEEF
62187 .xword 0xDEADBEEFDEADBEEF
62188 .xword 0xDEADBEEFDEADBEEF
62189 .xword 0xDEADBEEFDEADBEEF
62190_t1_crc_key_array:
62191 .xword 0x058ad3aa812befd0
62192 .xword 0xa19f425dc78d4880
62193 .xword 0xab311e08974da1b0
62194 .xword 0x705ac2c644b5e5fb
62195 .xword 0x93bf06b9db6f9a22
62196 .xword 0x4bb6fe57f72da813
62197 .xword 0x276f8bf09b6d5dd8
62198 .xword 0x453edf02300e1b24
62199 .xword 0x81c29dea6986ad74
62200 .xword 0x2721b3ce4fc81b80
62201 .xword 0xf17b882cf5c99cb0
62202 .xword 0x8821f6434b50cf28
62203 .xword 0xd2b7413251192c0b
62204 .xword 0x2e6d16ab759cc38a
62205 .xword 0x785bbf1682be7d4a
62206 .xword 0x8214f5cb595dc972
62207 .xword 0xa3186d1823e787d5
62208 .xword 0x2fedfc986e532d28
62209 .xword 0x7e6ce829cc41b7bb
62210 .xword 0x52c48eb346c93e2d
62211 .xword 0x3d3c5e2c32e27bc7
62212 .xword 0x3ee898e37cfd7643
62213 .xword 0xdf99f82cfef084f6
62214 .xword 0xe0e6dfa588a67379
62215 .xword 0x137c92df5f7d1f44
62216 .xword 0xf6e3254ecd70d92d
62217 .xword 0x148285da6881156f
62218 .xword 0x081ec1b14622a161
62219 .xword 0xaeda8f4c6bfc6097
62220 .xword 0x8f63f277206764ec
62221 .xword 0x257e8ddbb5568249
62222 .xword 0xc5a385132806f2d9
62223 .xword 0xc344f487bf55f201
62224 .xword 0xef8a254cef52cf0e
62225 .xword 0x100d538a439786eb
62226 .xword 0xab02554e7b7c74c8
62227 .xword 0x410a12f157d8d927
62228 .xword 0x68138a18d6a97981
62229 .xword 0xd4a7b86db487e6fd
62230 .xword 0xbdb3e0c322cbc263
62231 .xword 0x46ac3af4bfbfbc49
62232 .xword 0x6c8adba8041357ef
62233 .xword 0x8b277716df2aed3f
62234 .xword 0xe19ee96819b930cd
62235 .xword 0xcb29b6147183c08a
62236 .xword 0x210abb0de5eb78c1
62237 .xword 0xf9a11c7b04f915aa
62238 .xword 0x8e61b9ae6819993a
62239 .xword 0x43b254269c41da3a
62240 .xword 0x8172d6828758e12f
62241 .xword 0x86b646eef06118ba
62242_t1_crc_iv_array:
62243 .xword 0x194cc7ba988bbdff
62244 .xword 0x1132cfdf8a659521
62245 .xword 0x3b92f98b756f286b
62246 .xword 0x874823a85d830a86
62247 .xword 0x15c1e3d7f886490a
62248 .xword 0xd5e8ff44a6c2924e
62249 .xword 0xc975737d91f54623
62250 .xword 0x213bf3f5a4cc456d
62251 .xword 0x36359c7620ed51cd
62252 .xword 0x7fa9d38524c6b190
62253 .xword 0xd030c51081e89c56
62254 .xword 0x780a6bbb28435000
62255 .xword 0xeba97a0780db66e3
62256 .xword 0x21a3860ed779eb52
62257 .xword 0xdc1e39c3113555a0
62258 .xword 0x70e0b2eaad08a4b8
62259 .xword 0x270bc798efdbaaea
62260 .xword 0x467e14b56fdcc162
62261 .xword 0xee90e58aec45a59f
62262 .xword 0xa6979f2ee0a031fe
62263 .xword 0x1ce327e54aa38332
62264 .xword 0xc0e5a8a18981696b
62265 .xword 0x8d24d0398ae6ab68
62266 .xword 0x1654bf6d2cf31f48
62267 .xword 0x916bff7eced76046
62268 .xword 0x6f2c625af7a4f199
62269 .xword 0x85ef302c8daeb9d8
62270 .xword 0x59f0e2e6034d7894
62271 .xword 0x0fecca6a847646aa
62272 .xword 0xa711c211a53c967a
62273 .xword 0x21a6020f40b312b5
62274 .xword 0x579851f50c64c509
62275 .xword 0x1604f92f19ce5df4
62276 .xword 0x2901c515b43351a4
62277 .xword 0x35be108cb7371649
62278 .xword 0x8b48b6a02a837216
62279 .xword 0xbfeef4c0e61c11df
62280 .xword 0xe96c554c104e5593
62281 .xword 0xb9af2d120a98116e
62282 .xword 0x5c469e99a8bd75a9
62283 .xword 0x1886ce453de93b29
62284 .xword 0xd1366ecb9666b971
62285 .xword 0xdf02407e11638097
62286 .xword 0x580855694296c6e0
62287 .xword 0xf8bafc1e9556b17d
62288_t1_crc_alignment_array:
62289 .xword 12
62290 .xword 14
62291 .xword 11
62292 .xword 8
62293 .xword 8
62294 .xword 10
62295 .xword 3
62296 .xword 8
62297 .xword 7
62298 .xword 12
62299 .xword 3
62300 .xword 9
62301 .xword 0
62302 .xword 8
62303 .xword 4
62304 .xword 4
62305 .xword 4
62306 .xword 2
62307 .xword 0
62308 .xword 11
62309 .xword 2
62310 .xword 1
62311 .xword 14
62312 .xword 10
62313 .xword 0
62314 .xword 9
62315 .xword 7
62316 .xword 14
62317 .xword 4
62318 .xword 11
62319 .xword 4
62320 .xword 13
62321 .xword 5
62322 .xword 0
62323 .xword 3
62324 .xword 0
62325 .xword 2
62326 .xword 7
62327 .xword 10
62328 .xword 1
62329 .xword 15
62330 .xword 2
62331 .xword 9
62332 .xword 15
62333 .xword 2
62334 .xword 12
62335 .xword 6
62336 .xword 6
62337 .xword 15
62338 .xword 5
62339 .xword 3
62340 .xword 13
62341 .xword 1
62342 .xword 9
62343 .xword 0
62344 .xword 11
62345 .xword 12
62346 .xword 6
62347 .xword 15
62348 .xword 15
62349 .xword 6
62350 .xword 0
62351 .xword 14
62352 .xword 1
62353 .xword 4
62354 .xword 6
62355 .xword 9
62356 .xword 3
62357 .xword 8
62358 .xword 0
62359 .xword 10
62360 .xword 0
62361 .xword 9
62362 .xword 9
62363 .xword 14
62364 .xword 14
62365 .xword 4
62366 .xword 12
62367 .xword 13
62368 .xword 13
62369 .xword 7
62370 .xword 6
62371 .xword 4
62372 .xword 11
62373 .xword 13
62374 .xword 10
62375 .xword 0
62376 .xword 12
62377 .xword 13
62378 .xword 5
62379 .xword 14
62380 .xword 8
62381 .xword 10
62382 .xword 0
62383 .xword 4
62384 .xword 5
62385 .xword 4
62386 .xword 3
62387 .xword 2
62388 .xword 6
62389 .xword 14
62390 .xword 15
62391 .xword 1
62392 .xword 8
62393 .xword 12
62394_t1_crc_src:
62395 .xword 0x123bd8e25ec8eb2c
62396 .xword 0x10a55fb75900bd9a
62397 .xword 0x83b4186332dca7f9
62398 .xword 0xc212e142bdafe806
62399 .xword 0xb7c22267e5693f42
62400 .xword 0x557c60811244eb81
62401 .xword 0xfd6ccb99ca401d02
62402 .xword 0xea827a7464377059
62403 .xword 0xde9cf69aadbf5f22
62404 .xword 0x7eaf92bbed976894
62405 .xword 0xfb6c9bd5de025629
62406 .xword 0x2d065eabe7da99bf
62407 .xword 0x326e9c4aa2943e47
62408 .xword 0x0fbee92b9aa8bcb7
62409 .xword 0x437d8bd8d7900b76
62410 .xword 0xc318e58b3b8249d4
62411 .xword 0xefc37fe37fd1e85d
62412 .xword 0x97876cea22d2005f
62413 .xword 0x394796481fb32216
62414 .xword 0x4eb35f9313f5c76f
62415 .xword 0xe1cc09970817baf8
62416 .xword 0x81a953401c6b070a
62417 .xword 0xe1a67f275027340a
62418 .xword 0x3932efc6b6f5e57d
62419 .xword 0x3e467fed33e7f159
62420 .xword 0x58bd9ad6452651e2
62421 .xword 0x4d78621fdff17863
62422 .xword 0xf27353661a8beffc
62423 .xword 0xea02bf2338d50e22
62424 .xword 0x77c878319beadd4a
62425 .xword 0xe6470472d23b6cdd
62426 .xword 0x9ead8b83a7239ab4
62427 .xword 0x7caf37ad7405256e
62428 .xword 0x7aaf8ef60e7f3554
62429 .xword 0x9898e2e865361d44
62430 .xword 0xf27813900ad42ec5
62431 .xword 0x74ac5dbfbbfae745
62432 .xword 0x2860689102a905fd
62433 .xword 0x9d77c21ca738a16b
62434 .xword 0x255726e0db47e2f4
62435 .xword 0x489a1c048c6f3165
62436 .xword 0xb5e1f5fcbc9a1066
62437 .xword 0xe965d266507db174
62438 .xword 0xf3e40701db951dd4
62439 .xword 0xf589011e1e684e02
62440 .xword 0x2446392c350f09df
62441 .xword 0x8a88ec1eac970355
62442 .xword 0x6f8e375a106f24f6
62443 .xword 0x2bee6fe9654d6155
62444 .xword 0x57f891f601fbc5a4
62445 .xword 0x0c08e49128a5a033
62446 .xword 0xb2afbcff1d09cb89
62447 .xword 0x5f172282f3ca3f9e
62448 .xword 0x69ce4a719748cff2
62449 .xword 0x612522aedcd38c75
62450 .xword 0xde5f94fa86f666d7
62451 .xword 0xe79cf7d1b45725a4
62452 .xword 0x4b677e1e8193a873
62453 .xword 0x58042ccf989f564f
62454 .xword 0x6bb9811ca3d15aeb
62455 .xword 0x56d32c6d7c47d414
62456 .xword 0x852ac0e8bd372e75
62457 .xword 0x583fb05dbeff0187
62458 .xword 0x9045ef21ea5b10d7
62459 .xword 0x50ec2d8c58e8ada5
62460 .xword 0x1ab276c5d2f738e7
62461 .xword 0x14c3df9786e7e660
62462 .xword 0xcc5da9db16dd7866
62463 .xword 0xccbf3b881e8036bc
62464 .xword 0x2a285b23f321ef13
62465 .xword 0xde3f0ea2b5d40f7c
62466 .xword 0x73b5776cc2b757d1
62467 .xword 0x927593b6eb26c83a
62468 .xword 0x5bde83b9fd8dc591
62469 .xword 0xd69cd590cd712e4b
62470 .xword 0x3e82e56bbea06adf
62471 .xword 0x96faa027dc6ff1b6
62472 .xword 0x795336c32ae2e0a4
62473 .xword 0xa75046eb37ae77a9
62474 .xword 0xef7106400ff641ce
62475 .xword 0x78e90280b839809f
62476 .xword 0xf87d1b33f7a8dba2
62477 .xword 0x715d5860deec3322
62478 .xword 0x7f5c7e8b749d2d66
62479 .xword 0xe8f6f96add284652
62480 .xword 0xca768aefe801eda2
62481 .xword 0x62a861bac0ccab1f
62482 .xword 0xbb6e2ef318db962f
62483 .xword 0xc7ace1be7e41ef33
62484 .xword 0x76372af6ec45b0bf
62485 .xword 0x5cda8adb2824dce6
62486 .xword 0xeef1de738ef6d4b6
62487 .xword 0xcbcb96c0070e92cb
62488 .xword 0x0482875d3cf9626e
62489 .xword 0x232f9b6f41cc8188
62490 .xword 0x480ace4c61871333
62491 .xword 0x831c4a35ef6ba1f1
62492 .xword 0x62d75edae84016e7
62493 .xword 0xcd4efaee14670ae0
62494 .xword 0x3be7cb1c851e7f30
62495 .xword 0x8e20a280e6e38e87
62496 .xword 0xabfeead9fc2a2837
62497 .xword 0x06f8d2100908a204
62498 .xword 0x06981e9f7aa715c1
62499 .xword 0x4e4c53b06bea9fd3
62500 .xword 0x290ed4c7bc68e824
62501 .xword 0x56b7e6c4f9ed977e
62502 .xword 0x4627b07b52f41ae6
62503 .xword 0xe580496358c47a7f
62504 .xword 0x534d6cbb69c3c756
62505 .xword 0x83536a3080ea3c93
62506 .xword 0x6df6fd8a5ff5e250
62507 .xword 0x309c001ecb5a0268
62508 .xword 0x70536b6b4b916d1f
62509 .xword 0x9c262179e5786245
62510 .xword 0xae8b6f69cd458f02
62511 .xword 0xc094d373ec830cfb
62512 .xword 0xaa0360daa3a39af3
62513 .xword 0x5f84013f0dc7475c
62514 .xword 0xc700bf82b8ba5e10
62515 .xword 0xc8d4e83c5d00dacd
62516 .xword 0x4504c32805ea9450
62517 .xword 0x970134e47297cdb2
62518 .xword 0x50269baeb3ededf6
62519 .xword 0xb26cd80372f6d33a
62520 .xword 0xe3a14b27300d9c8b
62521 .xword 0x0e8200cf2240f81f
62522 .xword 0xaf495dc87fc870ed
62523 .xword 0x3b9f406c0c9d7954
62524 .xword 0xbc6672e5bafa6b31
62525 .xword 0x6177a0cdffe83409
62526 .xword 0x11ccb46a48967315
62527 .xword 0x4e439d3d683aaa61
62528 .xword 0xcaba4f8f698ce5e9
62529 .xword 0xecaf3aa513f665b2
62530 .xword 0xb0e87d8a9f04af01
62531 .xword 0x2ac9c5853c97fb53
62532 .xword 0x63de4ddf2ef785e9
62533 .xword 0xa4285024d7f187f6
62534 .xword 0x7fec9cb2a49495fd
62535 .xword 0x7aafc6578450f810
62536 .xword 0x97df32a2eee69a97
62537 .xword 0x66442ffe40dbc666
62538 .xword 0xfe0f792ff186c51b
62539 .xword 0x205761ce13a0e630
62540 .xword 0x96d89e674556bfda
62541 .xword 0x7a3a0709fcb17f45
62542 .xword 0x49900473e30e3892
62543 .xword 0x053c8ab853337947
62544 .xword 0x890f1df4b8db3eb3
62545 .xword 0xa68c241c0a1827a5
62546 .xword 0xc8cb3536d49a538b
62547 .xword 0x7a67f844613f9989
62548 .xword 0xb799688bcc8aa2e8
62549 .xword 0x1f20e95a8444505c
62550 .xword 0xf08c26ba5b65feff
62551 .xword 0xbd602a9ba484e383
62552 .xword 0x8d01f7d2659efd7b
62553 .xword 0x29198bd31fc87a84
62554 .xword 0x4f0c91c3bea32c59
62555 .xword 0xa534345673e0e2f2
62556 .xword 0xbaba6f5824b63dab
62557 .xword 0x21ec6f954f6abd80
62558 .xword 0xc67d305d4c8e7806
62559 .xword 0x36de2871a94119e3
62560 .xword 0x51cad71c3171f69d
62561 .xword 0x2acf70f12ead438b
62562 .xword 0xbec316f89ae161cd
62563 .xword 0xcba60f0807f11b40
62564 .xword 0x00c7ce5c782fabb2
62565 .xword 0x3a23999d30659ff5
62566 .xword 0xe5fabd0795c3041b
62567 .xword 0x4ff71e8b46828edb
62568 .xword 0xe3fc9a8e8dbe4182
62569 .xword 0x23dbae79b6fbfd8b
62570 .xword 0x3297061249e63861
62571 .xword 0xb4b040388c725f5f
62572 .xword 0x50e4a6cb0dc40128
62573 .xword 0xe1f59f028a8142f5
62574 .xword 0xb60db2c3dcbf26be
62575 .xword 0xd9e43a49c652a0b1
62576 .xword 0x0807aebf54895f8c
62577 .xword 0x43f228b19925d4fa
62578 .xword 0x6638c99f8d4d408d
62579 .xword 0x60d0fec4f99f2cd3
62580 .xword 0xf0412f1a74c32090
62581 .xword 0xcfb90bb5904f691b
62582 .xword 0xfafbaeee0738a69f
62583 .xword 0xc9bde4657954f65f
62584 .xword 0xf7b2d06f0285bd79
62585 .xword 0x4589b66a8904dd65
62586 .xword 0x3119596501e9bc82
62587 .xword 0xc431a7e3c46dd595
62588 .xword 0xa2f7628ec5cde0c6
62589 .xword 0xf010b9fc61318246
62590 .xword 0xa10d1d22ff442211
62591 .xword 0x21c4e1e874b7d31c
62592 .xword 0xa6123b242296d95e
62593 .xword 0xd8f58e15764ec587
62594 .xword 0x12567735bda73065
62595 .xword 0xf34247650b57b55a
62596 .xword 0xd977566c16d0bbf6
62597 .xword 0x35c2ce6b2533f523
62598 .xword 0x33baa20073176112
62599 .xword 0xec67d5e59e3194d4
62600 .xword 0x9c9707189f75adb8
62601 .xword 0x360af68d9521c302
62602 .xword 0x17349510eded9a7b
62603 .xword 0x447d0c239dac99cb
62604 .xword 0x335ad23122138f31
62605 .xword 0x7dfb7a1601da66ee
62606 .xword 0xc7edf684f9af6305
62607 .xword 0x65b8bf5d85d70c6d
62608 .xword 0x09e3bfa4f1b1e629
62609 .xword 0x27381dc42154e077
62610 .xword 0x5a879e9a052cbb56
62611 .xword 0xb899a90d20c7d3f9
62612 .xword 0x33915872cd1219ce
62613 .xword 0x046aafdedc5372ae
62614 .xword 0xd5dbac68708a1aa4
62615 .xword 0xa5052d2116c75ce0
62616 .xword 0x4e3dd54e39d2804e
62617 .xword 0xca0f4923ca34410b
62618 .xword 0x8e524d5f650be981
62619 .xword 0xeb5c3181e97cac5c
62620 .xword 0xa35824e65fbc7e49
62621 .xword 0x4eaa0dbd1ad2f8de
62622 .xword 0x6e24f86d8a4208b9
62623 .xword 0x8c2cb6bafc6b0fb5
62624 .xword 0xecacfba0d9190f7e
62625 .xword 0xdf102301f2db231f
62626 .xword 0x668af0ad4fa807c6
62627 .xword 0xbb62a7e358920335
62628 .xword 0x85f5edf422008c7c
62629 .xword 0x8247bfe82cc92afc
62630 .xword 0xb98659f6652a3242
62631 .xword 0xbcdf74f608c8ae83
62632 .xword 0xae52db83bbd51ade
62633 .xword 0x7db129df105c649b
62634 .xword 0xb8b2c1b7eb63cc00
62635 .xword 0x121092c0772a7129
62636 .xword 0x4831b621fd0e4b77
62637 .xword 0xe23187a1bde31da1
62638 .xword 0xcf8d7eae16dc8b28
62639 .xword 0x6cda29976484b967
62640 .xword 0x2bb19d770c3784df
62641 .xword 0xcc6538aef6b49642
62642 .xword 0xbdc1cd491e1cada0
62643 .xword 0xe6efe73674059d79
62644 .xword 0x48e6ea3d3b4e7044
62645 .xword 0x6eb561ed8f83d65b
62646 .xword 0xcc3ae0ce6caef616
62647 .xword 0xcdf7dabba1f8572a
62648 .xword 0x39617e0678c7bd40
62649 .xword 0xe6d304906638339e
62650 .xword 0x6abe5741bf3b99a1
62651 .xword 0x38a7228fd35fa353
62652 .xword 0x6db0c7af92179daa
62653 .xword 0x7c86e956c6bf43ef
62654 .xword 0xb70c6df187d9ba73
62655 .xword 0x8764be9249552349
62656 .xword 0xf6557d2533b19e49
62657 .xword 0xb30b19632847218b
62658 .xword 0x14040c2cbef03e8c
62659 .xword 0xa3d148e6776e2b31
62660 .xword 0x45b34d7fb5afa09a
62661 .xword 0x57db4ae66f58ca74
62662 .xword 0x194c2664c060089c
62663 .xword 0x647aac1ba596ddb7
62664 .xword 0x7bda03df93db2eb7
62665 .xword 0xe5c83864e6490505
62666 .xword 0x236c65321b0057b2
62667 .xword 0xb684fb9fdc600177
62668 .xword 0x902b675c18908f22
62669 .xword 0x713ea6beec28aab8
62670_t1_crc_dest:
62671 .xword 0xDEADBEEFDEADBEEF
62672 .xword 0xDEADBEEFDEADBEEF
62673 .xword 0xDEADBEEFDEADBEEF
62674 .xword 0xDEADBEEFDEADBEEF
62675 .xword 0xDEADBEEFDEADBEEF
62676 .xword 0xDEADBEEFDEADBEEF
62677 .xword 0xDEADBEEFDEADBEEF
62678 .xword 0xDEADBEEFDEADBEEF
62679 .xword 0xDEADBEEFDEADBEEF
62680 .xword 0xDEADBEEFDEADBEEF
62681 .xword 0xDEADBEEFDEADBEEF
62682 .xword 0xDEADBEEFDEADBEEF
62683 .xword 0xDEADBEEFDEADBEEF
62684 .xword 0xDEADBEEFDEADBEEF
62685 .xword 0xDEADBEEFDEADBEEF
62686 .xword 0xDEADBEEFDEADBEEF
62687 .xword 0xDEADBEEFDEADBEEF
62688 .xword 0xDEADBEEFDEADBEEF
62689 .xword 0xDEADBEEFDEADBEEF
62690 .xword 0xDEADBEEFDEADBEEF
62691 .xword 0xDEADBEEFDEADBEEF
62692 .xword 0xDEADBEEFDEADBEEF
62693 .xword 0xDEADBEEFDEADBEEF
62694 .xword 0xDEADBEEFDEADBEEF
62695 .xword 0xDEADBEEFDEADBEEF
62696 .xword 0xDEADBEEFDEADBEEF
62697 .xword 0xDEADBEEFDEADBEEF
62698 .xword 0xDEADBEEFDEADBEEF
62699 .xword 0xDEADBEEFDEADBEEF
62700 .xword 0xDEADBEEFDEADBEEF
62701 .xword 0xDEADBEEFDEADBEEF
62702 .xword 0xDEADBEEFDEADBEEF
62703 .xword 0xDEADBEEFDEADBEEF
62704 .xword 0xDEADBEEFDEADBEEF
62705 .xword 0xDEADBEEFDEADBEEF
62706 .xword 0xDEADBEEFDEADBEEF
62707 .xword 0xDEADBEEFDEADBEEF
62708 .xword 0xDEADBEEFDEADBEEF
62709 .xword 0xDEADBEEFDEADBEEF
62710 .xword 0xDEADBEEFDEADBEEF
62711 .xword 0xDEADBEEFDEADBEEF
62712 .xword 0xDEADBEEFDEADBEEF
62713 .xword 0xDEADBEEFDEADBEEF
62714 .xword 0xDEADBEEFDEADBEEF
62715 .xword 0xDEADBEEFDEADBEEF
62716 .xword 0xDEADBEEFDEADBEEF
62717 .xword 0xDEADBEEFDEADBEEF
62718 .xword 0xDEADBEEFDEADBEEF
62719 .xword 0xDEADBEEFDEADBEEF
62720 .xword 0xDEADBEEFDEADBEEF
62721 .xword 0xDEADBEEFDEADBEEF
62722 .xword 0xDEADBEEFDEADBEEF
62723 .xword 0xDEADBEEFDEADBEEF
62724 .xword 0xDEADBEEFDEADBEEF
62725 .xword 0xDEADBEEFDEADBEEF
62726 .xword 0xDEADBEEFDEADBEEF
62727 .xword 0xDEADBEEFDEADBEEF
62728 .xword 0xDEADBEEFDEADBEEF
62729 .xword 0xDEADBEEFDEADBEEF
62730 .xword 0xDEADBEEFDEADBEEF
62731 .xword 0xDEADBEEFDEADBEEF
62732 .xword 0xDEADBEEFDEADBEEF
62733 .xword 0xDEADBEEFDEADBEEF
62734 .xword 0xDEADBEEFDEADBEEF
62735 .xword 0xDEADBEEFDEADBEEF
62736 .xword 0xDEADBEEFDEADBEEF
62737 .xword 0xDEADBEEFDEADBEEF
62738 .xword 0xDEADBEEFDEADBEEF
62739 .xword 0xDEADBEEFDEADBEEF
62740 .xword 0xDEADBEEFDEADBEEF
62741 .xword 0xDEADBEEFDEADBEEF
62742 .xword 0xDEADBEEFDEADBEEF
62743 .xword 0xDEADBEEFDEADBEEF
62744 .xword 0xDEADBEEFDEADBEEF
62745 .xword 0xDEADBEEFDEADBEEF
62746 .xword 0xDEADBEEFDEADBEEF
62747 .xword 0xDEADBEEFDEADBEEF
62748 .xword 0xDEADBEEFDEADBEEF
62749 .xword 0xDEADBEEFDEADBEEF
62750 .xword 0xDEADBEEFDEADBEEF
62751 .xword 0xDEADBEEFDEADBEEF
62752 .xword 0xDEADBEEFDEADBEEF
62753 .xword 0xDEADBEEFDEADBEEF
62754 .xword 0xDEADBEEFDEADBEEF
62755 .xword 0xDEADBEEFDEADBEEF
62756 .xword 0xDEADBEEFDEADBEEF
62757 .xword 0xDEADBEEFDEADBEEF
62758 .xword 0xDEADBEEFDEADBEEF
62759 .xword 0xDEADBEEFDEADBEEF
62760 .xword 0xDEADBEEFDEADBEEF
62761 .xword 0xDEADBEEFDEADBEEF
62762 .xword 0xDEADBEEFDEADBEEF
62763 .xword 0xDEADBEEFDEADBEEF
62764 .xword 0xDEADBEEFDEADBEEF
62765 .xword 0xDEADBEEFDEADBEEF
62766 .xword 0xDEADBEEFDEADBEEF
62767 .xword 0xDEADBEEFDEADBEEF
62768 .xword 0xDEADBEEFDEADBEEF
62769 .xword 0xDEADBEEFDEADBEEF
62770 .xword 0xDEADBEEFDEADBEEF
62771 .xword 0xDEADBEEFDEADBEEF
62772 .xword 0xDEADBEEFDEADBEEF
62773 .xword 0xDEADBEEFDEADBEEF
62774 .xword 0xDEADBEEFDEADBEEF
62775 .xword 0xDEADBEEFDEADBEEF
62776 .xword 0xDEADBEEFDEADBEEF
62777 .xword 0xDEADBEEFDEADBEEF
62778 .xword 0xDEADBEEFDEADBEEF
62779 .xword 0xDEADBEEFDEADBEEF
62780 .xword 0xDEADBEEFDEADBEEF
62781 .xword 0xDEADBEEFDEADBEEF
62782 .xword 0xDEADBEEFDEADBEEF
62783 .xword 0xDEADBEEFDEADBEEF
62784 .xword 0xDEADBEEFDEADBEEF
62785 .xword 0xDEADBEEFDEADBEEF
62786 .xword 0xDEADBEEFDEADBEEF
62787 .xword 0xDEADBEEFDEADBEEF
62788 .xword 0xDEADBEEFDEADBEEF
62789 .xword 0xDEADBEEFDEADBEEF
62790 .xword 0xDEADBEEFDEADBEEF
62791 .xword 0xDEADBEEFDEADBEEF
62792 .xword 0xDEADBEEFDEADBEEF
62793 .xword 0xDEADBEEFDEADBEEF
62794 .xword 0xDEADBEEFDEADBEEF
62795 .xword 0xDEADBEEFDEADBEEF
62796 .xword 0xDEADBEEFDEADBEEF
62797 .xword 0xDEADBEEFDEADBEEF
62798 .xword 0xDEADBEEFDEADBEEF
62799 .xword 0xDEADBEEFDEADBEEF
62800 .xword 0xDEADBEEFDEADBEEF
62801 .xword 0xDEADBEEFDEADBEEF
62802 .xword 0xDEADBEEFDEADBEEF
62803 .xword 0xDEADBEEFDEADBEEF
62804 .xword 0xDEADBEEFDEADBEEF
62805 .xword 0xDEADBEEFDEADBEEF
62806 .xword 0xDEADBEEFDEADBEEF
62807 .xword 0xDEADBEEFDEADBEEF
62808 .xword 0xDEADBEEFDEADBEEF
62809 .xword 0xDEADBEEFDEADBEEF
62810 .xword 0xDEADBEEFDEADBEEF
62811 .xword 0xDEADBEEFDEADBEEF
62812 .xword 0xDEADBEEFDEADBEEF
62813 .xword 0xDEADBEEFDEADBEEF
62814 .xword 0xDEADBEEFDEADBEEF
62815 .xword 0xDEADBEEFDEADBEEF
62816 .xword 0xDEADBEEFDEADBEEF
62817 .xword 0xDEADBEEFDEADBEEF
62818 .xword 0xDEADBEEFDEADBEEF
62819 .xword 0xDEADBEEFDEADBEEF
62820 .xword 0xDEADBEEFDEADBEEF
62821 .xword 0xDEADBEEFDEADBEEF
62822 .xword 0xDEADBEEFDEADBEEF
62823 .xword 0xDEADBEEFDEADBEEF
62824 .xword 0xDEADBEEFDEADBEEF
62825 .xword 0xDEADBEEFDEADBEEF
62826 .xword 0xDEADBEEFDEADBEEF
62827 .xword 0xDEADBEEFDEADBEEF
62828 .xword 0xDEADBEEFDEADBEEF
62829 .xword 0xDEADBEEFDEADBEEF
62830 .xword 0xDEADBEEFDEADBEEF
62831 .xword 0xDEADBEEFDEADBEEF
62832 .xword 0xDEADBEEFDEADBEEF
62833 .xword 0xDEADBEEFDEADBEEF
62834 .xword 0xDEADBEEFDEADBEEF
62835 .xword 0xDEADBEEFDEADBEEF
62836 .xword 0xDEADBEEFDEADBEEF
62837 .xword 0xDEADBEEFDEADBEEF
62838 .xword 0xDEADBEEFDEADBEEF
62839 .xword 0xDEADBEEFDEADBEEF
62840 .xword 0xDEADBEEFDEADBEEF
62841 .xword 0xDEADBEEFDEADBEEF
62842 .xword 0xDEADBEEFDEADBEEF
62843 .xword 0xDEADBEEFDEADBEEF
62844 .xword 0xDEADBEEFDEADBEEF
62845 .xword 0xDEADBEEFDEADBEEF
62846 .xword 0xDEADBEEFDEADBEEF
62847 .xword 0xDEADBEEFDEADBEEF
62848 .xword 0xDEADBEEFDEADBEEF
62849 .xword 0xDEADBEEFDEADBEEF
62850 .xword 0xDEADBEEFDEADBEEF
62851 .xword 0xDEADBEEFDEADBEEF
62852 .xword 0xDEADBEEFDEADBEEF
62853 .xword 0xDEADBEEFDEADBEEF
62854 .xword 0xDEADBEEFDEADBEEF
62855 .xword 0xDEADBEEFDEADBEEF
62856 .xword 0xDEADBEEFDEADBEEF
62857 .xword 0xDEADBEEFDEADBEEF
62858 .xword 0xDEADBEEFDEADBEEF
62859 .xword 0xDEADBEEFDEADBEEF
62860 .xword 0xDEADBEEFDEADBEEF
62861 .xword 0xDEADBEEFDEADBEEF
62862 .xword 0xDEADBEEFDEADBEEF
62863 .xword 0xDEADBEEFDEADBEEF
62864 .xword 0xDEADBEEFDEADBEEF
62865 .xword 0xDEADBEEFDEADBEEF
62866 .xword 0xDEADBEEFDEADBEEF
62867 .xword 0xDEADBEEFDEADBEEF
62868 .xword 0xDEADBEEFDEADBEEF
62869 .xword 0xDEADBEEFDEADBEEF
62870 .xword 0xDEADBEEFDEADBEEF
62871 .xword 0xDEADBEEFDEADBEEF
62872 .xword 0xDEADBEEFDEADBEEF
62873 .xword 0xDEADBEEFDEADBEEF
62874 .xword 0xDEADBEEFDEADBEEF
62875 .xword 0xDEADBEEFDEADBEEF
62876 .xword 0xDEADBEEFDEADBEEF
62877 .xword 0xDEADBEEFDEADBEEF
62878 .xword 0xDEADBEEFDEADBEEF
62879 .xword 0xDEADBEEFDEADBEEF
62880 .xword 0xDEADBEEFDEADBEEF
62881 .xword 0xDEADBEEFDEADBEEF
62882 .xword 0xDEADBEEFDEADBEEF
62883 .xword 0xDEADBEEFDEADBEEF
62884 .xword 0xDEADBEEFDEADBEEF
62885 .xword 0xDEADBEEFDEADBEEF
62886 .xword 0xDEADBEEFDEADBEEF
62887 .xword 0xDEADBEEFDEADBEEF
62888 .xword 0xDEADBEEFDEADBEEF
62889 .xword 0xDEADBEEFDEADBEEF
62890 .xword 0xDEADBEEFDEADBEEF
62891 .xword 0xDEADBEEFDEADBEEF
62892 .xword 0xDEADBEEFDEADBEEF
62893 .xword 0xDEADBEEFDEADBEEF
62894 .xword 0xDEADBEEFDEADBEEF
62895 .xword 0xDEADBEEFDEADBEEF
62896 .xword 0xDEADBEEFDEADBEEF
62897 .xword 0xDEADBEEFDEADBEEF
62898 .xword 0xDEADBEEFDEADBEEF
62899 .xword 0xDEADBEEFDEADBEEF
62900 .xword 0xDEADBEEFDEADBEEF
62901 .xword 0xDEADBEEFDEADBEEF
62902 .xword 0xDEADBEEFDEADBEEF
62903 .xword 0xDEADBEEFDEADBEEF
62904 .xword 0xDEADBEEFDEADBEEF
62905 .xword 0xDEADBEEFDEADBEEF
62906 .xword 0xDEADBEEFDEADBEEF
62907 .xword 0xDEADBEEFDEADBEEF
62908 .xword 0xDEADBEEFDEADBEEF
62909 .xword 0xDEADBEEFDEADBEEF
62910 .xword 0xDEADBEEFDEADBEEF
62911 .xword 0xDEADBEEFDEADBEEF
62912 .xword 0xDEADBEEFDEADBEEF
62913 .xword 0xDEADBEEFDEADBEEF
62914 .xword 0xDEADBEEFDEADBEEF
62915 .xword 0xDEADBEEFDEADBEEF
62916 .xword 0xDEADBEEFDEADBEEF
62917 .xword 0xDEADBEEFDEADBEEF
62918 .xword 0xDEADBEEFDEADBEEF
62919 .xword 0xDEADBEEFDEADBEEF
62920 .xword 0xDEADBEEFDEADBEEF
62921 .xword 0xDEADBEEFDEADBEEF
62922 .xword 0xDEADBEEFDEADBEEF
62923 .xword 0xDEADBEEFDEADBEEF
62924 .xword 0xDEADBEEFDEADBEEF
62925 .xword 0xDEADBEEFDEADBEEF
62926 .xword 0xDEADBEEFDEADBEEF
62927 .xword 0xDEADBEEFDEADBEEF
62928 .xword 0xDEADBEEFDEADBEEF
62929 .xword 0xDEADBEEFDEADBEEF
62930 .xword 0xDEADBEEFDEADBEEF
62931 .xword 0xDEADBEEFDEADBEEF
62932 .xword 0xDEADBEEFDEADBEEF
62933 .xword 0xDEADBEEFDEADBEEF
62934 .xword 0xDEADBEEFDEADBEEF
62935 .xword 0xDEADBEEFDEADBEEF
62936 .xword 0xDEADBEEFDEADBEEF
62937 .xword 0xDEADBEEFDEADBEEF
62938 .xword 0xDEADBEEFDEADBEEF
62939 .xword 0xDEADBEEFDEADBEEF
62940 .xword 0xDEADBEEFDEADBEEF
62941 .xword 0xDEADBEEFDEADBEEF
62942 .xword 0xDEADBEEFDEADBEEF
62943 .xword 0xDEADBEEFDEADBEEF
62944 .xword 0xDEADBEEFDEADBEEF
62945 .xword 0xDEADBEEFDEADBEEF
62946_t1_crc_auth_key:
62947 .xword 0xc0ffc59b74d21352
62948 .xword 0x2912e045481bf765
62949 .xword 0xc61432ea7240ed69
62950 .xword 0x23c9c5d90c84944f
62951 .xword 0x66d18addc0da1d37
62952 .xword 0xe876ecfcfc1f3d09
62953 .xword 0xa1f563e129356520
62954 .xword 0x3c59d75e46558c83
62955 .xword 0xb06f0729269a208b
62956 .xword 0x9d95a69ad873f122
62957 .xword 0xb9d5d9ce36c70f55
62958 .xword 0xf048a262f1b97a0b
62959 .xword 0xff986f51e061987f
62960 .xword 0xfe5214cd141f1aba
62961 .xword 0x995d9f36878235a9
62962 .xword 0xb0911743ead81855
62963 .xword 0x84e5e60d5964fc16
62964 .xword 0x354faa17b923dad6
62965 .xword 0xe7cd4561049b9665
62966 .xword 0x4f1e52ebdcd00460
62967 .xword 0xeb5bd01c49844025
62968 .xword 0x4b286e4349e8b7ec
62969 .xword 0x523ce3ab3635840f
62970_t1_crc_auth_iv:
62971 .xword 0xd27735ac582572ba
62972 .xword 0x8898c9a07dc1b59d
62973 .xword 0xce53ac13c54a933f
62974 .xword 0xe0deb339efe0def6
62975 .xword 0xa8e71527366e7573
62976 .xword 0xaed005fdfc4e6a07
62977 .xword 0x23bb08dd358613d9
62978 .xword 0xe856089693a079c5
62979 .xword 0x9146e044836dddf9
62980 .xword 0xd5e833adf4e6021d
62981 .xword 0x541eb649d23b1f78
62982 .xword 0x471726aefeeef5be
62983 .xword 0xaa244ae40616ba5f
62984 .xword 0x896c01aea9e89f68
62985 .xword 0x888da446284e3492
62986 .xword 0x780bd17f3dd5127d
62987 .xword 0x6c0e1b045d3277af
62988 .xword 0x23d018887d219b1d
62989 .xword 0x5e1b5cc259babcf1
62990 .xword 0x2c1cd820cdb14f85
62991 .xword 0xbb341a00c65f1c1d
62992 .xword 0x3fa0e7a82343fe9b
62993 .xword 0xccd4a6ac79d51294
62994_t1_crc_fas_result:
62995 .xword 0xDEADBEEFDEADBEEF
62996 .xword 0xDEADBEEFDEADBEEF
62997 .xword 0xDEADBEEFDEADBEEF
62998 .xword 0xDEADBEEFDEADBEEF
62999 .xword 0xDEADBEEFDEADBEEF
63000 .xword 0xDEADBEEFDEADBEEF
63001 .xword 0xDEADBEEFDEADBEEF
63002 .xword 0xDEADBEEFDEADBEEF
63003 .xword 0xDEADBEEFDEADBEEF
63004 .xword 0xDEADBEEFDEADBEEF
63005 .xword 0xDEADBEEFDEADBEEF
63006 .xword 0xDEADBEEFDEADBEEF
63007 .xword 0xDEADBEEFDEADBEEF
63008 .xword 0xDEADBEEFDEADBEEF
63009 .xword 0xDEADBEEFDEADBEEF
63010 .xword 0xDEADBEEFDEADBEEF
63011 .xword 0xDEADBEEFDEADBEEF
63012 .xword 0xDEADBEEFDEADBEEF
63013 .xword 0xDEADBEEFDEADBEEF
63014 .xword 0xDEADBEEFDEADBEEF
63015 .xword 0xDEADBEEFDEADBEEF
63016 .xword 0xDEADBEEFDEADBEEF
63017 .xword 0xDEADBEEFDEADBEEF
63018_t1_hash_key_array:
63019 .xword 0xb51e9ce1cd15561e
63020 .xword 0x58d921dd9baece6e
63021 .xword 0x56578012a175184d
63022 .xword 0x77a052bd0d2efd84
63023 .xword 0x99fc706cef5c63d7
63024 .xword 0x0be97c173350c57d
63025 .xword 0x2d2ed3e9a1007f9a
63026 .xword 0x51fc99e49e36adac
63027 .xword 0xb34558fcacf33b54
63028 .xword 0x54096710a233f2c5
63029 .xword 0x80e41790fd880296
63030 .xword 0x9af7973ab9465d70
63031 .xword 0x64969d07103702f7
63032 .xword 0x7251c21aa41aba03
63033 .xword 0xeaed26383d489755
63034 .xword 0x1b55b630a00e8460
63035 .xword 0xa3aa0c01b61bb3c2
63036 .xword 0xf8d54c9689d0be17
63037 .xword 0x67277461695caf30
63038 .xword 0xba1dacd331978520
63039 .xword 0x282398bc52a2ac45
63040 .xword 0xc262a05d49f1b53c
63041 .xword 0x13f8481a622fe93a
63042 .xword 0x2c201861236c259a
63043 .xword 0xde07efeae7f54342
63044 .xword 0xe84e0a485867687f
63045 .xword 0x9c5c1c09c3dd4875
63046 .xword 0x397315b1cfbad833
63047 .xword 0x6b955fbd21efb3e2
63048 .xword 0x7c6ea4c8700549b8
63049 .xword 0x4578ea4cca856366
63050 .xword 0x3c507a5297e05f67
63051 .xword 0xd920913637de37ca
63052 .xword 0x934bfe81aa7bfdbb
63053 .xword 0x465eddb75b0369cf
63054 .xword 0x83eb01b87666172f
63055 .xword 0x8b6e11fdeef26b26
63056 .xword 0x9196166bba479c5f
63057 .xword 0xc566226216b5bb11
63058 .xword 0x6daab87e2ad087ba
63059 .xword 0x57c5fa395580767d
63060 .xword 0x51921be728972635
63061 .xword 0x87a07c1cb37cbaa8
63062 .xword 0x001f526b0e93dc49
63063 .xword 0x6df0783bbabda2d8
63064 .xword 0x046b40c2271bdd74
63065 .xword 0x3a913953bf5b839f
63066 .xword 0x8aa9a9b1c20940a8
63067 .xword 0x37a674202297bbdd
63068 .xword 0x2b8b7a1bafe80f1c
63069 .xword 0x57401b4656f37ed6
63070_t1_hash_iv_array:
63071 .xword 0xcdb396aa36751d14
63072 .xword 0x799f7ac5004e62a9
63073 .xword 0x25f61497dc5c605a
63074 .xword 0xd8bc4238d20a0260
63075 .xword 0x3a1ad9fcf90a24d3
63076 .xword 0xaf7b584c3fa140bd
63077 .xword 0xc645f98f296222a2
63078 .xword 0x457fac3eb54a4a6f
63079 .xword 0xb83103c981fe9942
63080 .xword 0xd1c6416a7efe1d26
63081 .xword 0x18bd3e57ed959da6
63082 .xword 0x4f933dccb5735e2f
63083 .xword 0x295ffa09c4283dd9
63084 .xword 0xa610d6edb2cb97e2
63085 .xword 0xefccd00ae8a0c54f
63086 .xword 0x3413749e84aa525d
63087 .xword 0xbbde31a4b671d2ec
63088 .xword 0x93f2b523a9c738c7
63089 .xword 0x5af483c7326ea7cb
63090 .xword 0x8fe263c28eba0ed8
63091 .xword 0x8a5ff91b8354546d
63092 .xword 0x20f5574d050871a0
63093 .xword 0xedeaf074def87a09
63094 .xword 0xba7c2a75f365d836
63095 .xword 0x480d6a67703878e3
63096 .xword 0xfd49f0e5b2f059a9
63097 .xword 0x19880d3c8d7cd8a0
63098 .xword 0x9b0821e79779a623
63099 .xword 0x7159315e77b465a4
63100 .xword 0xc638195de801b2ab
63101 .xword 0xff3deeaa18c9213b
63102 .xword 0x0e0ffff026789e50
63103 .xword 0xb0cb6d4b13916c95
63104 .xword 0xd36c108e5856f1ed
63105 .xword 0x1ba3b5ab9594100a
63106 .xword 0xfe4f19a889650601
63107 .xword 0x68b32643c8cb3a49
63108 .xword 0x0c3d4a54b33244d5
63109 .xword 0x26605d6a08f1a080
63110 .xword 0x9aab80cfc77c85c3
63111 .xword 0x0e3a2241441372d9
63112 .xword 0x1d0db03c5888e0e7
63113 .xword 0x16307a4a74f9b2d6
63114 .xword 0xde1dc7290b27373c
63115 .xword 0xa553d741ec46b2e5
63116_t1_hash_alignment_array:
63117 .xword 1
63118 .xword 0
63119 .xword 7
63120 .xword 3
63121 .xword 0
63122 .xword 1
63123 .xword 14
63124 .xword 15
63125 .xword 12
63126 .xword 3
63127 .xword 12
63128 .xword 6
63129 .xword 8
63130 .xword 10
63131 .xword 6
63132 .xword 11
63133 .xword 3
63134 .xword 13
63135 .xword 5
63136 .xword 3
63137 .xword 1
63138 .xword 1
63139 .xword 9
63140 .xword 2
63141 .xword 13
63142 .xword 6
63143 .xword 13
63144 .xword 3
63145 .xword 4
63146 .xword 15
63147 .xword 2
63148 .xword 8
63149 .xword 11
63150 .xword 5
63151 .xword 6
63152 .xword 3
63153 .xword 8
63154 .xword 10
63155 .xword 6
63156 .xword 4
63157 .xword 9
63158 .xword 14
63159 .xword 11
63160 .xword 15
63161 .xword 4
63162 .xword 15
63163 .xword 8
63164 .xword 8
63165 .xword 0
63166 .xword 12
63167 .xword 11
63168 .xword 8
63169 .xword 5
63170 .xword 1
63171 .xword 0
63172 .xword 4
63173 .xword 1
63174 .xword 15
63175 .xword 13
63176 .xword 13
63177 .xword 13
63178 .xword 0
63179 .xword 12
63180 .xword 2
63181 .xword 11
63182 .xword 4
63183 .xword 11
63184 .xword 10
63185 .xword 12
63186 .xword 7
63187 .xword 15
63188 .xword 15
63189 .xword 5
63190 .xword 4
63191 .xword 15
63192 .xword 7
63193 .xword 0
63194 .xword 12
63195 .xword 6
63196 .xword 10
63197 .xword 13
63198 .xword 8
63199 .xword 4
63200 .xword 3
63201 .xword 15
63202 .xword 11
63203 .xword 6
63204 .xword 6
63205 .xword 5
63206 .xword 7
63207 .xword 2
63208 .xword 2
63209 .xword 14
63210 .xword 5
63211 .xword 5
63212 .xword 1
63213 .xword 9
63214 .xword 15
63215 .xword 15
63216 .xword 13
63217 .xword 5
63218 .xword 6
63219 .xword 1
63220 .xword 8
63221 .xword 9
63222_t1_hash_src:
63223 .xword 0x372e3bbc137b41a4
63224 .xword 0xfcf9124b5b6505fe
63225 .xword 0xdfecc67f109063a0
63226 .xword 0xb63f3661c63168d3
63227 .xword 0xb7d848f7ba2de584
63228 .xword 0x79a6267b498f20f4
63229 .xword 0x058811bcf3d73871
63230 .xword 0x7883768d7d79aaeb
63231 .xword 0xb3c34a89c38148a4
63232 .xword 0x3b14186d6156c897
63233 .xword 0xa82d112d1427e15f
63234 .xword 0x22594c5f0ef38479
63235 .xword 0xba477b23629b6d3d
63236 .xword 0x093fa5ae06367a4e
63237 .xword 0x04a10358ea530cfd
63238 .xword 0xe85d9be35a33ca5d
63239 .xword 0xe16444266bc6c732
63240 .xword 0x48d1a2c4aeeb4b0a
63241 .xword 0xdbba5f5d86b0a017
63242 .xword 0xe48e4c1d7791a314
63243 .xword 0x8d776445fd6140a6
63244 .xword 0x6c3e21ebc60689d9
63245 .xword 0x0898f9884d31777e
63246 .xword 0xc2e55b4f7b57499f
63247 .xword 0x9e2cc462f12f48d4
63248 .xword 0x40e1f8ba94172535
63249 .xword 0xa08294a24432f962
63250 .xword 0xd5acd69cfe23ab17
63251 .xword 0x68f850b8e6c74a42
63252 .xword 0x4bea2548f10c38d8
63253 .xword 0x2943ce2fe4ea73c4
63254 .xword 0x28c730da360024ea
63255 .xword 0x22b15fefec327f2d
63256 .xword 0x8791b808adcd2fdf
63257 .xword 0x22d2b83f47655837
63258 .xword 0x221c1f12114d985c
63259 .xword 0x78ddfd6df4863234
63260 .xword 0x7f1127f70fd9119c
63261 .xword 0xe3bc1c0c3b27d75e
63262 .xword 0xb4f1651f53247b76
63263 .xword 0xdf15c5678bdf6d0f
63264 .xword 0xc7a9cce2d5b5a7a3
63265 .xword 0xb857af8ca48ca60d
63266 .xword 0xf46bb5603cdc17dd
63267 .xword 0x66d81876a1ab37e7
63268 .xword 0xf847ed1a7b71bdaa
63269 .xword 0x8eaa5a6a59836ccd
63270 .xword 0xe467170202f3fced
63271 .xword 0x4e70f4a578d8223b
63272 .xword 0x485e6120a0021573
63273 .xword 0x2cc9bca2a68fc95f
63274 .xword 0xeae9d469738bd03c
63275 .xword 0xb9d0f5a82c1e6d2a
63276 .xword 0xf7f6289236c9b1ba
63277 .xword 0x0aea94948ed8cd21
63278 .xword 0xf20ff57079924ece
63279 .xword 0xa9530f9550b74a19
63280 .xword 0x4e1d524350aad829
63281 .xword 0x38f63154789634a0
63282 .xword 0xe2b3cfe9a674d235
63283 .xword 0x6ad006d06f4c71e9
63284 .xword 0x8fcc3fcf87795f12
63285 .xword 0x4b95028c58ace062
63286 .xword 0xa1c44508b9ad880c
63287 .xword 0x615866ff48e29b92
63288 .xword 0x1aa2b0b46dbf3a15
63289 .xword 0x5893fa67fc994c3f
63290 .xword 0x0d291b4fb138b77d
63291 .xword 0x2361e8fe7b6585f8
63292 .xword 0xef367b3d939fa649
63293 .xword 0x9b4fc10f2e727592
63294 .xword 0x22045c0a453e324d
63295 .xword 0x98c73c4985e41ac1
63296 .xword 0xfd85f2b302fed508
63297 .xword 0xd8a87463a536fc23
63298 .xword 0x19801ac305bdd63b
63299 .xword 0xc7e332e968e03755
63300 .xword 0x753c1d4c9a93f86f
63301 .xword 0x694bdd9df22051fb
63302 .xword 0x31101c5aa1e56a20
63303 .xword 0x87fe511edb4748b8
63304 .xword 0xba3b3019b76e09f0
63305 .xword 0x58653e090fb1d4b0
63306 .xword 0x3e0230118e98ebf7
63307 .xword 0x8a28379e18a4c357
63308 .xword 0x503081b3c4df8bce
63309 .xword 0x5f9c2ed5c9cef2c4
63310 .xword 0x0100e47f5c43e32c
63311 .xword 0xd3b010a7e7c975fe
63312 .xword 0xec4e2a64c687ea8d
63313 .xword 0x6270d37e96e534a8
63314 .xword 0x2b736e87413816ed
63315 .xword 0x3b33bdfe7fb98e05
63316 .xword 0x42d27b6f2729b404
63317 .xword 0xa5b50b6ef786162d
63318 .xword 0x304e919071b0d3ca
63319 .xword 0x8d19e95a6d8e4bbc
63320 .xword 0x854b0975afddbb2e
63321 .xword 0x27763b06520805cb
63322 .xword 0x27dcea253dde9c3f
63323 .xword 0x6c14dc330b5af1e2
63324 .xword 0xb8929e8b1da746ea
63325 .xword 0xacda65eb4d598ed7
63326 .xword 0xb930f35bf9419f5f
63327 .xword 0xdf12b1d04704a728
63328 .xword 0x0a759f8a3064e6a8
63329 .xword 0x3ed4a3d7a898c652
63330 .xword 0x7c3bcf47737cf9e6
63331 .xword 0xbbb55243602de8cd
63332 .xword 0xd52ad4f1a732a19b
63333 .xword 0x74f9d602ba6c95bf
63334 .xword 0x060743c985e73a8f
63335 .xword 0xb1dddef01d29b255
63336 .xword 0x6d4c05f4c913c260
63337 .xword 0xa4dd18477b5be014
63338 .xword 0x8369bd3bb109e76d
63339 .xword 0x2adc4248dde00b90
63340 .xword 0xfaef2df210f018dd
63341 .xword 0x40d6d85a057bd8ba
63342 .xword 0xfc1f51169e1996b6
63343 .xword 0x34e6714b410e8e17
63344 .xword 0xe858629b39307bb7
63345 .xword 0x74557428cdbb5322
63346 .xword 0x757bd2223abe7cf0
63347 .xword 0xc28cbc3b8576b2d1
63348 .xword 0xd3ed8c34434813cd
63349 .xword 0x7502d64f3921e875
63350 .xword 0x7e283e615f86fce7
63351 .xword 0x4b93298488782dc8
63352 .xword 0xec0a9ad756d40443
63353 .xword 0x8cff974eb0b3a199
63354 .xword 0x3cf0a92e164ca411
63355 .xword 0x010382351ea83775
63356 .xword 0xf07522f4e720c4fb
63357 .xword 0x5246c3a0c122c4d7
63358 .xword 0x535cb1a1596fded6
63359 .xword 0xe893b4897862126c
63360 .xword 0x023dae06e66bf530
63361 .xword 0xfb260b21a1d2677c
63362 .xword 0x41d0736a69e6e8fd
63363 .xword 0x090f4c097d1857ba
63364 .xword 0x0774a90f15bada44
63365 .xword 0xbda25a02ab236e31
63366 .xword 0x7937144c461f3ab9
63367 .xword 0x036b38439c940ea7
63368 .xword 0xc08916574ce12606
63369 .xword 0x07eb7e5c99a72eaf
63370 .xword 0xdb3b8bbec9ada2a6
63371 .xword 0xde6a1a7e6620d30e
63372 .xword 0xfc8d3f2c23479f86
63373 .xword 0x7935a0387681c074
63374 .xword 0x50c9fd9880769ae4
63375 .xword 0x51cd1228de833b71
63376 .xword 0x6fee9afa7a0bc7c4
63377 .xword 0x05c94c3e37090ce9
63378 .xword 0x3fc3045e22b65c7e
63379 .xword 0x779f6feaeb9d08bd
63380 .xword 0x6fb3261b49456f5c
63381 .xword 0xf8cd1aab85279e78
63382 .xword 0x34f5ff0b8e047cbe
63383 .xword 0x806a6d1ce7b4a440
63384 .xword 0x987093194e2392ed
63385 .xword 0x1dc271f92e2b46e2
63386 .xword 0x7062bb414d378f7b
63387 .xword 0xa3ed0943ae918320
63388 .xword 0x8590ea04caa91675
63389 .xword 0x5b88fbad94b67b2b
63390 .xword 0x463c9d6b4a228cac
63391 .xword 0x3e0d7ac62329fcb8
63392 .xword 0x0b74d53148019119
63393 .xword 0xd77764ac200d4f63
63394 .xword 0x478619fd7d310952
63395 .xword 0x8da59f050c6adb2e
63396 .xword 0xb32b9767b8c29720
63397 .xword 0x4348ce4a2adf93be
63398 .xword 0x7d77fc91c1a522c8
63399 .xword 0x09785fa196ee7baa
63400 .xword 0x493b1117efc38370
63401 .xword 0x86e122a5fc7ae88e
63402 .xword 0xa149ce8ecb29170b
63403 .xword 0x4591c9db39953416
63404 .xword 0xf19e68d06730b9af
63405 .xword 0xa95b6ddb26351621
63406 .xword 0x717d8e5e5541d642
63407 .xword 0x47c212bd4a26baf5
63408 .xword 0x8780b8a0f69bc68c
63409 .xword 0x495e65a1b9cf363d
63410 .xword 0xb727b0d45d6574d6
63411 .xword 0x00f15e388255cce3
63412 .xword 0xdd51a17cfb88caed
63413 .xword 0xbe1ab36e7c7aa069
63414 .xword 0x11258825efb33b4b
63415 .xword 0x5ba32cd5d417f90f
63416 .xword 0x4215d9117f5a8e17
63417 .xword 0x3ee8cc2b87fe07af
63418 .xword 0xc47a1052c0070a4b
63419 .xword 0x5e982352a5195d06
63420 .xword 0x6f9d9857261a4e5c
63421 .xword 0x64f6872fc7320012
63422 .xword 0x95139ab0b98b8ac2
63423 .xword 0x30f3a9a338c20322
63424 .xword 0x1c805e058c979b39
63425 .xword 0x591dee8cbed8a347
63426 .xword 0xf9a6137291818f64
63427 .xword 0x7d11e78452fe331f
63428 .xword 0x6a635df94e39be02
63429 .xword 0x0147cc0681287bca
63430 .xword 0x454adfba41de63da
63431 .xword 0x478387ef7f6078da
63432 .xword 0xfbcb2392242d23e6
63433 .xword 0x5bdc65e34066dcf2
63434 .xword 0x4230016ef3d95611
63435 .xword 0x16178db6f5fa5f43
63436 .xword 0x9d497773f96b7f0e
63437 .xword 0xdd80db2a47ccc7d7
63438 .xword 0x85c09127c1dbee4a
63439 .xword 0xb55c1dc0466b773c
63440 .xword 0xbed1a87fef22d8c2
63441 .xword 0x76c5bee33d304532
63442 .xword 0x9378480befec05bd
63443 .xword 0x022dd7043faee2ef
63444 .xword 0x9397a9b3da10a148
63445 .xword 0x7916b5f76acb5c35
63446 .xword 0x97bd9a43705bfa78
63447 .xword 0xad19dfe1f9bb74d9
63448 .xword 0x2a9e01a8d6ad6fe3
63449 .xword 0x809df1ee086fddd3
63450 .xword 0xaca072a9ef452d69
63451 .xword 0x28cb11d5c9a63207
63452 .xword 0xe2397cad696f9d19
63453 .xword 0xcdcd8cc1da03ecb3
63454 .xword 0x0b5a786974ce00b3
63455 .xword 0x1dff8aaab551fa04
63456 .xword 0xc6cac0a7c99642d4
63457 .xword 0x4f52141b46633453
63458 .xword 0xe0d0a8842246ab0a
63459 .xword 0x5dbcbab2a134ef27
63460 .xword 0xa2c9af5a03f09aff
63461 .xword 0xfc2ebfd5272bd09c
63462 .xword 0x4ae217f2afb5f506
63463 .xword 0x709f6bba2ac300d8
63464 .xword 0x987ea996897cd47a
63465 .xword 0x76f04b844c4e52b2
63466 .xword 0xe9689ef088062b06
63467 .xword 0xbbfdb8473609f813
63468 .xword 0x6a32dd696e929bfc
63469 .xword 0x23de33d57b8fdee5
63470 .xword 0x0343b998b5a5442a
63471 .xword 0x0cbcd5ae19468a5c
63472 .xword 0xf6dea909c66b66dd
63473 .xword 0xa36d6a8c07603407
63474 .xword 0x6a26e994ed85af45
63475 .xword 0x880f67dd7127587a
63476 .xword 0x5881f7d2182c5366
63477 .xword 0x669faf68a0aa9c14
63478 .xword 0xf56907ba9fa652c8
63479 .xword 0xa375f8a1c7506b03
63480 .xword 0x14e7c723dbb8cbc7
63481 .xword 0x0d6804c1f1fecd60
63482 .xword 0x604b08efa006d049
63483 .xword 0x3a3f69ec1d5e8e6c
63484 .xword 0xb1dd09591b240f99
63485 .xword 0x67fc733a24c724d1
63486 .xword 0x5ed3a1a75ecd57bc
63487 .xword 0x820ebba67540f57d
63488 .xword 0xc43783c303647d6e
63489 .xword 0xa20556bd57be5858
63490 .xword 0xfb1cfefdb0b53c1a
63491 .xword 0x28e7ffaeddd0a710
63492 .xword 0x125ace78dd818c2a
63493 .xword 0xc11f883aa7478bf8
63494 .xword 0x63371d3d07445470
63495 .xword 0xdd25ba1510289fd0
63496 .xword 0xb609a6655bfc3c1d
63497 .xword 0x78ed2760bd202544
63498_t1_hash_dest:
63499 .xword 0xDEADBEEFDEADBEEF
63500 .xword 0xDEADBEEFDEADBEEF
63501 .xword 0xDEADBEEFDEADBEEF
63502 .xword 0xDEADBEEFDEADBEEF
63503 .xword 0xDEADBEEFDEADBEEF
63504 .xword 0xDEADBEEFDEADBEEF
63505 .xword 0xDEADBEEFDEADBEEF
63506 .xword 0xDEADBEEFDEADBEEF
63507 .xword 0xDEADBEEFDEADBEEF
63508 .xword 0xDEADBEEFDEADBEEF
63509 .xword 0xDEADBEEFDEADBEEF
63510 .xword 0xDEADBEEFDEADBEEF
63511 .xword 0xDEADBEEFDEADBEEF
63512 .xword 0xDEADBEEFDEADBEEF
63513 .xword 0xDEADBEEFDEADBEEF
63514 .xword 0xDEADBEEFDEADBEEF
63515 .xword 0xDEADBEEFDEADBEEF
63516 .xword 0xDEADBEEFDEADBEEF
63517 .xword 0xDEADBEEFDEADBEEF
63518 .xword 0xDEADBEEFDEADBEEF
63519 .xword 0xDEADBEEFDEADBEEF
63520 .xword 0xDEADBEEFDEADBEEF
63521 .xword 0xDEADBEEFDEADBEEF
63522 .xword 0xDEADBEEFDEADBEEF
63523 .xword 0xDEADBEEFDEADBEEF
63524 .xword 0xDEADBEEFDEADBEEF
63525 .xword 0xDEADBEEFDEADBEEF
63526 .xword 0xDEADBEEFDEADBEEF
63527 .xword 0xDEADBEEFDEADBEEF
63528 .xword 0xDEADBEEFDEADBEEF
63529 .xword 0xDEADBEEFDEADBEEF
63530 .xword 0xDEADBEEFDEADBEEF
63531 .xword 0xDEADBEEFDEADBEEF
63532 .xword 0xDEADBEEFDEADBEEF
63533 .xword 0xDEADBEEFDEADBEEF
63534 .xword 0xDEADBEEFDEADBEEF
63535 .xword 0xDEADBEEFDEADBEEF
63536 .xword 0xDEADBEEFDEADBEEF
63537 .xword 0xDEADBEEFDEADBEEF
63538 .xword 0xDEADBEEFDEADBEEF
63539 .xword 0xDEADBEEFDEADBEEF
63540 .xword 0xDEADBEEFDEADBEEF
63541 .xword 0xDEADBEEFDEADBEEF
63542 .xword 0xDEADBEEFDEADBEEF
63543 .xword 0xDEADBEEFDEADBEEF
63544 .xword 0xDEADBEEFDEADBEEF
63545 .xword 0xDEADBEEFDEADBEEF
63546 .xword 0xDEADBEEFDEADBEEF
63547 .xword 0xDEADBEEFDEADBEEF
63548 .xword 0xDEADBEEFDEADBEEF
63549 .xword 0xDEADBEEFDEADBEEF
63550 .xword 0xDEADBEEFDEADBEEF
63551 .xword 0xDEADBEEFDEADBEEF
63552 .xword 0xDEADBEEFDEADBEEF
63553 .xword 0xDEADBEEFDEADBEEF
63554 .xword 0xDEADBEEFDEADBEEF
63555 .xword 0xDEADBEEFDEADBEEF
63556 .xword 0xDEADBEEFDEADBEEF
63557 .xword 0xDEADBEEFDEADBEEF
63558 .xword 0xDEADBEEFDEADBEEF
63559 .xword 0xDEADBEEFDEADBEEF
63560 .xword 0xDEADBEEFDEADBEEF
63561 .xword 0xDEADBEEFDEADBEEF
63562 .xword 0xDEADBEEFDEADBEEF
63563 .xword 0xDEADBEEFDEADBEEF
63564 .xword 0xDEADBEEFDEADBEEF
63565 .xword 0xDEADBEEFDEADBEEF
63566 .xword 0xDEADBEEFDEADBEEF
63567 .xword 0xDEADBEEFDEADBEEF
63568 .xword 0xDEADBEEFDEADBEEF
63569 .xword 0xDEADBEEFDEADBEEF
63570 .xword 0xDEADBEEFDEADBEEF
63571 .xword 0xDEADBEEFDEADBEEF
63572 .xword 0xDEADBEEFDEADBEEF
63573 .xword 0xDEADBEEFDEADBEEF
63574 .xword 0xDEADBEEFDEADBEEF
63575 .xword 0xDEADBEEFDEADBEEF
63576 .xword 0xDEADBEEFDEADBEEF
63577 .xword 0xDEADBEEFDEADBEEF
63578 .xword 0xDEADBEEFDEADBEEF
63579 .xword 0xDEADBEEFDEADBEEF
63580 .xword 0xDEADBEEFDEADBEEF
63581 .xword 0xDEADBEEFDEADBEEF
63582 .xword 0xDEADBEEFDEADBEEF
63583 .xword 0xDEADBEEFDEADBEEF
63584 .xword 0xDEADBEEFDEADBEEF
63585 .xword 0xDEADBEEFDEADBEEF
63586 .xword 0xDEADBEEFDEADBEEF
63587 .xword 0xDEADBEEFDEADBEEF
63588 .xword 0xDEADBEEFDEADBEEF
63589 .xword 0xDEADBEEFDEADBEEF
63590 .xword 0xDEADBEEFDEADBEEF
63591 .xword 0xDEADBEEFDEADBEEF
63592 .xword 0xDEADBEEFDEADBEEF
63593 .xword 0xDEADBEEFDEADBEEF
63594 .xword 0xDEADBEEFDEADBEEF
63595 .xword 0xDEADBEEFDEADBEEF
63596 .xword 0xDEADBEEFDEADBEEF
63597 .xword 0xDEADBEEFDEADBEEF
63598 .xword 0xDEADBEEFDEADBEEF
63599 .xword 0xDEADBEEFDEADBEEF
63600 .xword 0xDEADBEEFDEADBEEF
63601 .xword 0xDEADBEEFDEADBEEF
63602 .xword 0xDEADBEEFDEADBEEF
63603 .xword 0xDEADBEEFDEADBEEF
63604 .xword 0xDEADBEEFDEADBEEF
63605 .xword 0xDEADBEEFDEADBEEF
63606 .xword 0xDEADBEEFDEADBEEF
63607 .xword 0xDEADBEEFDEADBEEF
63608 .xword 0xDEADBEEFDEADBEEF
63609 .xword 0xDEADBEEFDEADBEEF
63610 .xword 0xDEADBEEFDEADBEEF
63611 .xword 0xDEADBEEFDEADBEEF
63612 .xword 0xDEADBEEFDEADBEEF
63613 .xword 0xDEADBEEFDEADBEEF
63614 .xword 0xDEADBEEFDEADBEEF
63615 .xword 0xDEADBEEFDEADBEEF
63616 .xword 0xDEADBEEFDEADBEEF
63617 .xword 0xDEADBEEFDEADBEEF
63618 .xword 0xDEADBEEFDEADBEEF
63619 .xword 0xDEADBEEFDEADBEEF
63620 .xword 0xDEADBEEFDEADBEEF
63621 .xword 0xDEADBEEFDEADBEEF
63622 .xword 0xDEADBEEFDEADBEEF
63623 .xword 0xDEADBEEFDEADBEEF
63624 .xword 0xDEADBEEFDEADBEEF
63625 .xword 0xDEADBEEFDEADBEEF
63626 .xword 0xDEADBEEFDEADBEEF
63627 .xword 0xDEADBEEFDEADBEEF
63628 .xword 0xDEADBEEFDEADBEEF
63629 .xword 0xDEADBEEFDEADBEEF
63630 .xword 0xDEADBEEFDEADBEEF
63631 .xword 0xDEADBEEFDEADBEEF
63632 .xword 0xDEADBEEFDEADBEEF
63633 .xword 0xDEADBEEFDEADBEEF
63634 .xword 0xDEADBEEFDEADBEEF
63635 .xword 0xDEADBEEFDEADBEEF
63636 .xword 0xDEADBEEFDEADBEEF
63637 .xword 0xDEADBEEFDEADBEEF
63638 .xword 0xDEADBEEFDEADBEEF
63639 .xword 0xDEADBEEFDEADBEEF
63640 .xword 0xDEADBEEFDEADBEEF
63641 .xword 0xDEADBEEFDEADBEEF
63642 .xword 0xDEADBEEFDEADBEEF
63643 .xword 0xDEADBEEFDEADBEEF
63644 .xword 0xDEADBEEFDEADBEEF
63645 .xword 0xDEADBEEFDEADBEEF
63646 .xword 0xDEADBEEFDEADBEEF
63647 .xword 0xDEADBEEFDEADBEEF
63648 .xword 0xDEADBEEFDEADBEEF
63649 .xword 0xDEADBEEFDEADBEEF
63650 .xword 0xDEADBEEFDEADBEEF
63651 .xword 0xDEADBEEFDEADBEEF
63652 .xword 0xDEADBEEFDEADBEEF
63653 .xword 0xDEADBEEFDEADBEEF
63654 .xword 0xDEADBEEFDEADBEEF
63655 .xword 0xDEADBEEFDEADBEEF
63656 .xword 0xDEADBEEFDEADBEEF
63657 .xword 0xDEADBEEFDEADBEEF
63658 .xword 0xDEADBEEFDEADBEEF
63659 .xword 0xDEADBEEFDEADBEEF
63660 .xword 0xDEADBEEFDEADBEEF
63661 .xword 0xDEADBEEFDEADBEEF
63662 .xword 0xDEADBEEFDEADBEEF
63663 .xword 0xDEADBEEFDEADBEEF
63664 .xword 0xDEADBEEFDEADBEEF
63665 .xword 0xDEADBEEFDEADBEEF
63666 .xword 0xDEADBEEFDEADBEEF
63667 .xword 0xDEADBEEFDEADBEEF
63668 .xword 0xDEADBEEFDEADBEEF
63669 .xword 0xDEADBEEFDEADBEEF
63670 .xword 0xDEADBEEFDEADBEEF
63671 .xword 0xDEADBEEFDEADBEEF
63672 .xword 0xDEADBEEFDEADBEEF
63673 .xword 0xDEADBEEFDEADBEEF
63674 .xword 0xDEADBEEFDEADBEEF
63675 .xword 0xDEADBEEFDEADBEEF
63676 .xword 0xDEADBEEFDEADBEEF
63677 .xword 0xDEADBEEFDEADBEEF
63678 .xword 0xDEADBEEFDEADBEEF
63679 .xword 0xDEADBEEFDEADBEEF
63680 .xword 0xDEADBEEFDEADBEEF
63681 .xword 0xDEADBEEFDEADBEEF
63682 .xword 0xDEADBEEFDEADBEEF
63683 .xword 0xDEADBEEFDEADBEEF
63684 .xword 0xDEADBEEFDEADBEEF
63685 .xword 0xDEADBEEFDEADBEEF
63686 .xword 0xDEADBEEFDEADBEEF
63687 .xword 0xDEADBEEFDEADBEEF
63688 .xword 0xDEADBEEFDEADBEEF
63689 .xword 0xDEADBEEFDEADBEEF
63690 .xword 0xDEADBEEFDEADBEEF
63691 .xword 0xDEADBEEFDEADBEEF
63692 .xword 0xDEADBEEFDEADBEEF
63693 .xword 0xDEADBEEFDEADBEEF
63694 .xword 0xDEADBEEFDEADBEEF
63695 .xword 0xDEADBEEFDEADBEEF
63696 .xword 0xDEADBEEFDEADBEEF
63697 .xword 0xDEADBEEFDEADBEEF
63698 .xword 0xDEADBEEFDEADBEEF
63699 .xword 0xDEADBEEFDEADBEEF
63700 .xword 0xDEADBEEFDEADBEEF
63701 .xword 0xDEADBEEFDEADBEEF
63702 .xword 0xDEADBEEFDEADBEEF
63703 .xword 0xDEADBEEFDEADBEEF
63704 .xword 0xDEADBEEFDEADBEEF
63705 .xword 0xDEADBEEFDEADBEEF
63706 .xword 0xDEADBEEFDEADBEEF
63707 .xword 0xDEADBEEFDEADBEEF
63708 .xword 0xDEADBEEFDEADBEEF
63709 .xword 0xDEADBEEFDEADBEEF
63710 .xword 0xDEADBEEFDEADBEEF
63711 .xword 0xDEADBEEFDEADBEEF
63712 .xword 0xDEADBEEFDEADBEEF
63713 .xword 0xDEADBEEFDEADBEEF
63714 .xword 0xDEADBEEFDEADBEEF
63715 .xword 0xDEADBEEFDEADBEEF
63716 .xword 0xDEADBEEFDEADBEEF
63717 .xword 0xDEADBEEFDEADBEEF
63718 .xword 0xDEADBEEFDEADBEEF
63719 .xword 0xDEADBEEFDEADBEEF
63720 .xword 0xDEADBEEFDEADBEEF
63721 .xword 0xDEADBEEFDEADBEEF
63722 .xword 0xDEADBEEFDEADBEEF
63723 .xword 0xDEADBEEFDEADBEEF
63724 .xword 0xDEADBEEFDEADBEEF
63725 .xword 0xDEADBEEFDEADBEEF
63726 .xword 0xDEADBEEFDEADBEEF
63727 .xword 0xDEADBEEFDEADBEEF
63728 .xword 0xDEADBEEFDEADBEEF
63729 .xword 0xDEADBEEFDEADBEEF
63730 .xword 0xDEADBEEFDEADBEEF
63731 .xword 0xDEADBEEFDEADBEEF
63732 .xword 0xDEADBEEFDEADBEEF
63733 .xword 0xDEADBEEFDEADBEEF
63734 .xword 0xDEADBEEFDEADBEEF
63735 .xword 0xDEADBEEFDEADBEEF
63736 .xword 0xDEADBEEFDEADBEEF
63737 .xword 0xDEADBEEFDEADBEEF
63738 .xword 0xDEADBEEFDEADBEEF
63739 .xword 0xDEADBEEFDEADBEEF
63740 .xword 0xDEADBEEFDEADBEEF
63741 .xword 0xDEADBEEFDEADBEEF
63742 .xword 0xDEADBEEFDEADBEEF
63743 .xword 0xDEADBEEFDEADBEEF
63744 .xword 0xDEADBEEFDEADBEEF
63745 .xword 0xDEADBEEFDEADBEEF
63746 .xword 0xDEADBEEFDEADBEEF
63747 .xword 0xDEADBEEFDEADBEEF
63748 .xword 0xDEADBEEFDEADBEEF
63749 .xword 0xDEADBEEFDEADBEEF
63750 .xword 0xDEADBEEFDEADBEEF
63751 .xword 0xDEADBEEFDEADBEEF
63752 .xword 0xDEADBEEFDEADBEEF
63753 .xword 0xDEADBEEFDEADBEEF
63754 .xword 0xDEADBEEFDEADBEEF
63755 .xword 0xDEADBEEFDEADBEEF
63756 .xword 0xDEADBEEFDEADBEEF
63757 .xword 0xDEADBEEFDEADBEEF
63758 .xword 0xDEADBEEFDEADBEEF
63759 .xword 0xDEADBEEFDEADBEEF
63760 .xword 0xDEADBEEFDEADBEEF
63761 .xword 0xDEADBEEFDEADBEEF
63762 .xword 0xDEADBEEFDEADBEEF
63763 .xword 0xDEADBEEFDEADBEEF
63764 .xword 0xDEADBEEFDEADBEEF
63765 .xword 0xDEADBEEFDEADBEEF
63766 .xword 0xDEADBEEFDEADBEEF
63767 .xword 0xDEADBEEFDEADBEEF
63768 .xword 0xDEADBEEFDEADBEEF
63769 .xword 0xDEADBEEFDEADBEEF
63770 .xword 0xDEADBEEFDEADBEEF
63771 .xword 0xDEADBEEFDEADBEEF
63772 .xword 0xDEADBEEFDEADBEEF
63773 .xword 0xDEADBEEFDEADBEEF
63774_t1_hash_auth_key:
63775 .xword 0xc461b4e11fbd2563
63776 .xword 0x4fd56e7e0867ca29
63777 .xword 0xeb16bf474bea0330
63778 .xword 0xa7329bba08f07e2c
63779 .xword 0x1cdefd328ff3d0a9
63780 .xword 0x85ada25e5e154d14
63781 .xword 0xc00c6fddb27281b7
63782 .xword 0x2f42636756fe1359
63783 .xword 0x7c3dd20884681fcd
63784 .xword 0xc39ced5a4c722e31
63785 .xword 0x5929164bc70a70cd
63786 .xword 0x0c38859c053c1b9c
63787 .xword 0xa92fd5c050100b5c
63788 .xword 0xa34418d161d53411
63789 .xword 0x3e04740017b73bd7
63790 .xword 0xfd2957c028510a6b
63791 .xword 0x5bb998ba7051a751
63792 .xword 0x7244450847442528
63793 .xword 0x4ccb3104698c718d
63794 .xword 0xb49ddf8b42cf19d5
63795 .xword 0xf4ef212a48b8495b
63796 .xword 0xce59799481757269
63797 .xword 0xb277ca8e56fdd317
63798_t1_hash_auth_iv:
63799 .xword 0xd4a59f66eb2815f3
63800 .xword 0x80afcdd431bfc23f
63801 .xword 0x3927d4cbf62360d4
63802 .xword 0x316af975ee44d6c1
63803 .xword 0x861f9aa915d236b4
63804 .xword 0xb0de34dc0c2ce7c5
63805 .xword 0xb59410a443a0b8e9
63806 .xword 0x0a128ca344a0a291
63807 .xword 0xe0884fbc761e8abd
63808 .xword 0x281edb6ae9d99765
63809 .xword 0xe2d60e3656410a14
63810 .xword 0x66b5891daf1b8068
63811 .xword 0x81cd8b383291a61c
63812 .xword 0xa3973a39a63df61b
63813 .xword 0xd2b6b0f3c51ad615
63814 .xword 0x03d4e3eeb93f615b
63815 .xword 0xdacf5196108a0af6
63816 .xword 0xbc2fef3c337c6ad2
63817 .xword 0x250b1f8008aab6dc
63818 .xword 0x551a92885d470107
63819 .xword 0x2f535ee7d37bde7b
63820 .xword 0x5e9d3b9257583227
63821 .xword 0xed77a4ca2aff9e37
63822_t1_hash_fas_result:
63823 .xword 0xDEADBEEFDEADBEEF
63824 .xword 0xDEADBEEFDEADBEEF
63825 .xword 0xDEADBEEFDEADBEEF
63826 .xword 0xDEADBEEFDEADBEEF
63827 .xword 0xDEADBEEFDEADBEEF
63828 .xword 0xDEADBEEFDEADBEEF
63829 .xword 0xDEADBEEFDEADBEEF
63830 .xword 0xDEADBEEFDEADBEEF
63831 .xword 0xDEADBEEFDEADBEEF
63832 .xword 0xDEADBEEFDEADBEEF
63833 .xword 0xDEADBEEFDEADBEEF
63834 .xword 0xDEADBEEFDEADBEEF
63835 .xword 0xDEADBEEFDEADBEEF
63836 .xword 0xDEADBEEFDEADBEEF
63837 .xword 0xDEADBEEFDEADBEEF
63838 .xword 0xDEADBEEFDEADBEEF
63839 .xword 0xDEADBEEFDEADBEEF
63840 .xword 0xDEADBEEFDEADBEEF
63841 .xword 0xDEADBEEFDEADBEEF
63842 .xword 0xDEADBEEFDEADBEEF
63843 .xword 0xDEADBEEFDEADBEEF
63844 .xword 0xDEADBEEFDEADBEEF
63845 .xword 0xDEADBEEFDEADBEEF
63846_t1_hmac_key_array:
63847 .xword 0x56a264e2ff0eb678
63848 .xword 0x697fc0f1cb34fd3f
63849 .xword 0x1942e344e7e5af50
63850 .xword 0x09137d746932d4be
63851 .xword 0x433151bbb8b2a990
63852 .xword 0x84c95456d1e402c8
63853 .xword 0x0ea50315abda2292
63854 .xword 0x6198d33662b0564d
63855 .xword 0xa7c01aa0ccb7360f
63856 .xword 0xd1e621617a24e2c1
63857 .xword 0xaea3872f882e0e1b
63858 .xword 0xa77a5bcf71624d59
63859 .xword 0xcf41aab600dd4ded
63860 .xword 0x4ed4e9bd00158945
63861 .xword 0xc9a92b682b59ba0e
63862 .xword 0xca8a1cbbc27eea13
63863 .xword 0x5f3b6be5273862a6
63864 .xword 0x73866fd2f3a3e434
63865 .xword 0xbabb5ee02998a2bc
63866 .xword 0x2979efdc9b44fb54
63867 .xword 0x4b927d0accf2cb9a
63868 .xword 0xfef20d846bd4e305
63869 .xword 0xcf91c6d5ae11000c
63870 .xword 0x386e8545ff896810
63871 .xword 0xc0e990ec2a8e1ec8
63872 .xword 0xd334f1605a04f2ea
63873 .xword 0x6c3616ee3016484b
63874 .xword 0xa083aa84fa26168a
63875 .xword 0xd944eeef702e2e8e
63876 .xword 0x210dbc73b950998f
63877 .xword 0x2dea24a0ae190440
63878 .xword 0x7e57a3e414b974f1
63879 .xword 0xec40288cb5e06909
63880 .xword 0x1cd0cec78670056d
63881 .xword 0x732436ba266cc915
63882 .xword 0x284e14418d5571e8
63883 .xword 0x9a2435c918022cdd
63884 .xword 0x84b9bc224946dc83
63885 .xword 0x43dd6f1c0e91441f
63886 .xword 0x06027bcc9f531e30
63887 .xword 0xf963173d99b34e4b
63888 .xword 0x417737922be0d55e
63889 .xword 0x88bf03deae5e3caf
63890 .xword 0xd25f955e4237d25c
63891 .xword 0xa2811c15a5e4ebae
63892 .xword 0x4074a3baadb3b34e
63893 .xword 0x8014d91f8e3c152f
63894 .xword 0x7f0af9e4a344642f
63895 .xword 0x5ab311f9df1777ca
63896 .xword 0x13d7266e410af639
63897 .xword 0x565358338ea5fa1d
63898_t1_hmac_iv_array:
63899 .xword 0x8f2684bfc712ede4
63900 .xword 0xe2c479b62f0b6205
63901 .xword 0x8d37f7bece4daa2b
63902 .xword 0xaf9a76ed542aa8fe
63903 .xword 0xb3cb97173362a685
63904 .xword 0x13ef992e05f59a0c
63905 .xword 0xf8c4f641403d6e41
63906 .xword 0x37f967bf9b51da72
63907 .xword 0x4022d913ab13fbbe
63908 .xword 0xe16f936aeb2a687b
63909 .xword 0xec54d5a2924aebfe
63910 .xword 0xd8231a0b9cd31b9f
63911 .xword 0x252fc8cedb58ed54
63912 .xword 0x2df789da0b5c92cc
63913 .xword 0x3a20abf8f5966ce6
63914 .xword 0xb181315463c44514
63915 .xword 0xdf8e71491609b819
63916 .xword 0xf640cf3c6dd572ff
63917 .xword 0xf2abd4a8182db116
63918 .xword 0xc53f27023a0db298
63919 .xword 0x56813d213098dfc7
63920 .xword 0xe6674f93f3f53d6e
63921 .xword 0x9257539715828521
63922 .xword 0x3b0c0c7cb00d2f6b
63923 .xword 0xafaa54d95f20c736
63924 .xword 0xa13c2f7abf11f7d1
63925 .xword 0xba69165a1e087e0b
63926 .xword 0x46dd0e8d2b832c15
63927 .xword 0xac5cd62947610730
63928 .xword 0x51085e17082b6058
63929 .xword 0xf908da9285b0f8a4
63930 .xword 0xf28c6c2bc6aea3c3
63931 .xword 0xe024dcc878e6765b
63932 .xword 0xccc1b4366f2ae250
63933 .xword 0x16d741db04cbaa17
63934 .xword 0x36e40eb018405ee0
63935 .xword 0x1ec514b980f5636c
63936 .xword 0xf5a67cf4d643ff63
63937 .xword 0x1477d6652b843cb0
63938 .xword 0x7425ec1aec0eb1d5
63939 .xword 0x46b9b0f291a3c167
63940 .xword 0xbe37f323da9e044c
63941 .xword 0xd61da09bb702c845
63942 .xword 0x8d512e519ff3e7b8
63943 .xword 0x36337641f5ab9c3d
63944_t1_hmac_alignment_array:
63945 .xword 6
63946 .xword 8
63947 .xword 2
63948 .xword 2
63949 .xword 8
63950 .xword 9
63951 .xword 1
63952 .xword 0
63953 .xword 10
63954 .xword 5
63955 .xword 6
63956 .xword 13
63957 .xword 7
63958 .xword 3
63959 .xword 2
63960 .xword 0
63961 .xword 1
63962 .xword 13
63963 .xword 1
63964 .xword 12
63965 .xword 8
63966 .xword 14
63967 .xword 3
63968 .xword 10
63969 .xword 5
63970 .xword 13
63971 .xword 11
63972 .xword 10
63973 .xword 14
63974 .xword 2
63975 .xword 2
63976 .xword 4
63977 .xword 11
63978 .xword 2
63979 .xword 5
63980 .xword 4
63981 .xword 11
63982 .xword 5
63983 .xword 12
63984 .xword 15
63985 .xword 9
63986 .xword 8
63987 .xword 7
63988 .xword 5
63989 .xword 15
63990 .xword 9
63991 .xword 4
63992 .xword 14
63993 .xword 3
63994 .xword 4
63995 .xword 11
63996 .xword 8
63997 .xword 4
63998 .xword 7
63999 .xword 14
64000 .xword 3
64001 .xword 7
64002 .xword 7
64003 .xword 3
64004 .xword 15
64005 .xword 11
64006 .xword 14
64007 .xword 5
64008 .xword 5
64009 .xword 4
64010 .xword 5
64011 .xword 8
64012 .xword 15
64013 .xword 2
64014 .xword 13
64015 .xword 11
64016 .xword 2
64017 .xword 3
64018 .xword 1
64019 .xword 1
64020 .xword 8
64021 .xword 7
64022 .xword 3
64023 .xword 2
64024 .xword 2
64025 .xword 6
64026 .xword 2
64027 .xword 7
64028 .xword 8
64029 .xword 13
64030 .xword 4
64031 .xword 1
64032 .xword 4
64033 .xword 4
64034 .xword 14
64035 .xword 13
64036 .xword 10
64037 .xword 9
64038 .xword 13
64039 .xword 0
64040 .xword 2
64041 .xword 5
64042 .xword 2
64043 .xword 15
64044 .xword 1
64045 .xword 11
64046 .xword 14
64047 .xword 3
64048 .xword 9
64049 .xword 13
64050_t1_hmac_src:
64051 .xword 0xf1509d8d5b1741d1
64052 .xword 0x7918d11bba7d49e0
64053 .xword 0xea03a54d6622c9af
64054 .xword 0xb1c914b5398a95ef
64055 .xword 0x889441508a954824
64056 .xword 0xe0deb0e56cad1517
64057 .xword 0x8a0877886ce02b4b
64058 .xword 0xab38d577bd5819a5
64059 .xword 0x4c9093364ef0e3a7
64060 .xword 0x87dc3753f48fdd51
64061 .xword 0x6c261d15b68b2d3c
64062 .xword 0x2b00d0bc0a83fc10
64063 .xword 0x2cd68e056ae9e74c
64064 .xword 0x3a2b71d4de72aa14
64065 .xword 0x0a3fa8dd47aae849
64066 .xword 0x032b05bcb96c178a
64067 .xword 0x335e222258dd00cb
64068 .xword 0xaefeafcd1a1c3892
64069 .xword 0xdbc48d090ea04525
64070 .xword 0x9922a4e77090d007
64071 .xword 0x153c5dae1a9e7d78
64072 .xword 0x44d4ba3b16d25e4d
64073 .xword 0xe9bffdb4560b1783
64074 .xword 0x0e1355e3b77540bd
64075 .xword 0x4b3f805ce79369d5
64076 .xword 0xfe1e05b92162a2e2
64077 .xword 0x88ab02b66a939882
64078 .xword 0x4eab361b3b529b0e
64079 .xword 0x4eac42a4c0e52dee
64080 .xword 0x38390f2ca1a7c641
64081 .xword 0x20c7725b9319db29
64082 .xword 0xb330113328bc8f9a
64083 .xword 0x4070bb55fe3bae30
64084 .xword 0x9e4e950513075177
64085 .xword 0xe2ea34e30db30e6c
64086 .xword 0x4f5215e84bce6c3d
64087 .xword 0xc400a84ee3d6e0e3
64088 .xword 0xc7c2e80794ce9d56
64089 .xword 0xd18ceb15dfb60f06
64090 .xword 0x9aa0ec4795cb4214
64091 .xword 0xd59636ad153af064
64092 .xword 0x35c4ce6bfb413396
64093 .xword 0x0a6849bba0141a33
64094 .xword 0x71a07e7a2e26862b
64095 .xword 0xda7865a2da269c77
64096 .xword 0x06c5a2cb680e97aa
64097 .xword 0xf3a4d04001b38fe6
64098 .xword 0xd9b05825b7656838
64099 .xword 0x1630561962b3265d
64100 .xword 0x8c72d75434262f91
64101 .xword 0x089a4553671f839f
64102 .xword 0x6d45e14c8742da3e
64103 .xword 0x3a3f53860a79577f
64104 .xword 0xe84441af0390210b
64105 .xword 0xba1305200c80262c
64106 .xword 0xcb27d14661c0a8ee
64107 .xword 0xf280f6ecf7fd2220
64108 .xword 0x78bd83d1a484f320
64109 .xword 0x767b327f512a19a8
64110 .xword 0x04214239addaef10
64111 .xword 0x04dd7bcf0f6a6f3b
64112 .xword 0xd321cd64300babf6
64113 .xword 0x7c5870d0e8edb477
64114 .xword 0x3f712106d4adf266
64115 .xword 0x43fd657822345133
64116 .xword 0x0c9bad9226477fe8
64117 .xword 0xd6f4f868d7a2ecde
64118 .xword 0x54421b4ffbc8fb51
64119 .xword 0xcd2ad9f9f2880476
64120 .xword 0x95f3d22c28fed6f5
64121 .xword 0x7bd8bf179e5105e5
64122 .xword 0xcc383117bb371790
64123 .xword 0x8758d20c00e42f39
64124 .xword 0x32d4dafe155ef522
64125 .xword 0x877ab7f62af6c624
64126 .xword 0xee6fdadafc21e288
64127 .xword 0x82f1a6b25276db88
64128 .xword 0x0cabb901258dc0e2
64129 .xword 0x2ffec0a12dadd33b
64130 .xword 0xf4e7b0e983daedbb
64131 .xword 0xb7c21c884980e9ea
64132 .xword 0x7ffeea54d30c33ab
64133 .xword 0x75a9ef482047bdb6
64134 .xword 0x3536268c755141cd
64135 .xword 0x8a0538e36fac9b06
64136 .xword 0xf514172603897350
64137 .xword 0x223cb37c875f4c3c
64138 .xword 0xf88d07d2dc2084cd
64139 .xword 0xf0d8890058da9ec0
64140 .xword 0xdde5add5ab43f131
64141 .xword 0x91159c0f3891c47a
64142 .xword 0x2bd4df48595cffa3
64143 .xword 0x52fe9b4c0ec4caa7
64144 .xword 0x07058729c4917c53
64145 .xword 0xbff6b01ee04e1e85
64146 .xword 0x700ab0cc9b21a1a2
64147 .xword 0xa1277c14bf912e4b
64148 .xword 0xd7ffee9cb9093427
64149 .xword 0x6b78cd6e388160a3
64150 .xword 0x18479eb5a3bef8f7
64151 .xword 0x0eac51aad0431b6a
64152 .xword 0x04624c1e1edbf5f8
64153 .xword 0xeb662743ccfc6294
64154 .xword 0xff80999d8768cd6d
64155 .xword 0xb124055f7fe02a2e
64156 .xword 0xc019c62ce7c5348c
64157 .xword 0xd46210938ec4a218
64158 .xword 0x88c341e605780a19
64159 .xword 0x171a7957af90ee69
64160 .xword 0xc1fb7bb0d07f226a
64161 .xword 0xf038ea7f644f9fb8
64162 .xword 0x4eb12ca5be6c8a13
64163 .xword 0xc9d69b34ee812980
64164 .xword 0x70ff713d3f33776d
64165 .xword 0x5f44a99a768d2461
64166 .xword 0xb3ffa484ffef4680
64167 .xword 0x41bfd5fe12cf050a
64168 .xword 0xe853aa81998b3374
64169 .xword 0xbe30cea0329487d8
64170 .xword 0x331a13b17ac54713
64171 .xword 0xf0a963fcd12d977a
64172 .xword 0x5b363a86515fd808
64173 .xword 0x2c1f9555cd6b242a
64174 .xword 0x15dca68f3dc5eee0
64175 .xword 0x14e9f57df231d26d
64176 .xword 0x31f37a6a0dd7ca77
64177 .xword 0xaae92a5606cc1f69
64178 .xword 0xa61753b118b30b1f
64179 .xword 0x02d5e60d4fce5011
64180 .xword 0x097913dbe7ee45fa
64181 .xword 0x30275c90b064e519
64182 .xword 0xba42bdf46c59e6a5
64183 .xword 0x82648a618e66009b
64184 .xword 0x22d7b6055e6090d2
64185 .xword 0x9ef851b25a8b2da6
64186 .xword 0xc7a6f715f7232964
64187 .xword 0x211fd09b8dc2f810
64188 .xword 0x452293a455aae557
64189 .xword 0x897392a6094f0258
64190 .xword 0xd62e64535b986a89
64191 .xword 0x444590a7eef9d463
64192 .xword 0xf19d26d3bd8e63ca
64193 .xword 0x4a91a39352e918e9
64194 .xword 0x7619af976c449678
64195 .xword 0x795d69c7b47f8295
64196 .xword 0xdde1eabe8edf6389
64197 .xword 0x46ed584d72501635
64198 .xword 0xe947f9c1d5a0758f
64199 .xword 0x76b8031e90b0a30d
64200 .xword 0x355fec62c62c0a17
64201 .xword 0x56107c3458bbeafe
64202 .xword 0xc827363dbc29ec5e
64203 .xword 0x8c346cae2f91af9e
64204 .xword 0x6b221a033f513623
64205 .xword 0x74046c9cc08d301b
64206 .xword 0xc6be72d8f549c6c1
64207 .xword 0x2308403ce59bd498
64208 .xword 0x47c5b330effc539c
64209 .xword 0x089dab64a1680454
64210 .xword 0x18a60b84a18bfda9
64211 .xword 0x9505891d46c8bf1e
64212 .xword 0x1ed26c4b24c53fe0
64213 .xword 0x421e14f77fc07d38
64214 .xword 0x75b96b9a569e2034
64215 .xword 0x37ac50f5dd6a9e6d
64216 .xword 0xddb415351dba9d83
64217 .xword 0x96d015cbc23b68d7
64218 .xword 0x338495feecbabea2
64219 .xword 0x05638e4008281780
64220 .xword 0xfb3e720d835530fc
64221 .xword 0x975e30c33941e4a1
64222 .xword 0xa6f68abc6598bfc0
64223 .xword 0x1f267644b26340ce
64224 .xword 0xe11fcca8b5c3ee8a
64225 .xword 0x130ef9e07fd70247
64226 .xword 0xfdead190d9d344cb
64227 .xword 0x64e70c8db560434e
64228 .xword 0xda3d5f96e0336d2f
64229 .xword 0x215e719edc0c29ce
64230 .xword 0xf291762b5fd7c752
64231 .xword 0xffff2710e4fb822a
64232 .xword 0x0e4e2a78a59be33c
64233 .xword 0x56231dcb34b1e9c6
64234 .xword 0xf52faff6f6bfb76c
64235 .xword 0xf34aaebb8c472a36
64236 .xword 0x72adbf62094133ac
64237 .xword 0x2d9b39f17061f4cf
64238 .xword 0xfdc9018d2ba9fbe4
64239 .xword 0x8611c93191522b09
64240 .xword 0xb61b0a4571a36305
64241 .xword 0x1187ebaa7858585d
64242 .xword 0xc95531688126b011
64243 .xword 0x156eafbe3018d199
64244 .xword 0xd08bdb2c29e7f650
64245 .xword 0xdb28c8538a738848
64246 .xword 0xb90af2c42a1878ef
64247 .xword 0x8982275774c41567
64248 .xword 0x734a947589bd81b8
64249 .xword 0x1b1a0bb2580d8b2f
64250 .xword 0x517ae78c05d5f51a
64251 .xword 0x88b5d445aecc2a48
64252 .xword 0x61a260aa8be99c5c
64253 .xword 0x26111e5b76fbfd15
64254 .xword 0x03326559b312fa43
64255 .xword 0x2f6265c7963469b8
64256 .xword 0x71ed33a77dcbf8bc
64257 .xword 0x6298e83b65ead2df
64258 .xword 0xa15c5175e55300ff
64259 .xword 0xc8a4e2c78c0f8f24
64260 .xword 0xe11b7173aa761efd
64261 .xword 0x47e4be672c3ba76d
64262 .xword 0x25f2c41f49e81d02
64263 .xword 0xfe2584a08909bdc1
64264 .xword 0xde6498f3877e49e5
64265 .xword 0x6d6b3fa8bf29715a
64266 .xword 0x7fcfb16ce719119b
64267 .xword 0x40eda32b98dbbd53
64268 .xword 0x543db51fdb7b42d1
64269 .xword 0x22c67464725d53dd
64270 .xword 0xe68b6793c568235b
64271 .xword 0xcedbca0e665912ed
64272 .xword 0xfde384cbf645911b
64273 .xword 0xc4c0dac9cae97811
64274 .xword 0xeb383281be76df5b
64275 .xword 0xf4e30c674f140268
64276 .xword 0x413d1199d24fed63
64277 .xword 0x9ad2f862482c89a7
64278 .xword 0x570b98477cc06a9e
64279 .xword 0x3fb616f1786d862e
64280 .xword 0x0a8e4ae819e55e05
64281 .xword 0x178f71d2bdad91b5
64282 .xword 0x8ce1361bf3937d74
64283 .xword 0x7e41a37f9286ad1c
64284 .xword 0x890ce6b4b640f28d
64285 .xword 0x52c0f02d2dd7ac65
64286 .xword 0x15f6eedbf9611e89
64287 .xword 0x4edd6630b52e7f15
64288 .xword 0xd53b29c22ef3b294
64289 .xword 0x2e801a16337c18dd
64290 .xword 0x35c53778c5bbda1a
64291 .xword 0xad3df26e322078e9
64292 .xword 0x8d4babadf1487fcc
64293 .xword 0x5fff5c6f3d90abd5
64294 .xword 0x262157aaa73ede35
64295 .xword 0xb934524cd469f24c
64296 .xword 0x6516b957f53ffaa1
64297 .xword 0xf9ef0576ad4434fe
64298 .xword 0x313b9592114aebed
64299 .xword 0x87067f827ee5cb57
64300 .xword 0x7120089192830a50
64301 .xword 0xa090210ddb358a4f
64302 .xword 0x7c1a43e8eb9cfe02
64303 .xword 0x49a41480ccac6f40
64304 .xword 0x1b298834e203ab71
64305 .xword 0xac0792898fe6fef5
64306 .xword 0x85c2de2e58092a52
64307 .xword 0xf893d341cbe414ba
64308 .xword 0xdba31cb7125b4652
64309 .xword 0xf607d50e97e1cca7
64310 .xword 0xbb4a752fb4369655
64311 .xword 0x0dd42dab889b0705
64312 .xword 0x7be8663101f53dbf
64313 .xword 0x1f28bc9b08724ae7
64314 .xword 0x9214a8449fec381a
64315 .xword 0x01cbd500340c1c6a
64316 .xword 0x71c32e5d233f96e2
64317 .xword 0x293732774d181c27
64318 .xword 0xe5ad07c9670249e5
64319 .xword 0xa217db2a58d0a508
64320 .xword 0x39e889e074932d42
64321 .xword 0xe52645bb1e53f77c
64322 .xword 0xc0f005fa1ba50e5b
64323 .xword 0x02b949a7884ef290
64324 .xword 0x581a32ad710b3306
64325 .xword 0x3abc67d1fdf0949e
64326_t1_hmac_dest:
64327 .xword 0xDEADBEEFDEADBEEF
64328 .xword 0xDEADBEEFDEADBEEF
64329 .xword 0xDEADBEEFDEADBEEF
64330 .xword 0xDEADBEEFDEADBEEF
64331 .xword 0xDEADBEEFDEADBEEF
64332 .xword 0xDEADBEEFDEADBEEF
64333 .xword 0xDEADBEEFDEADBEEF
64334 .xword 0xDEADBEEFDEADBEEF
64335 .xword 0xDEADBEEFDEADBEEF
64336 .xword 0xDEADBEEFDEADBEEF
64337 .xword 0xDEADBEEFDEADBEEF
64338 .xword 0xDEADBEEFDEADBEEF
64339 .xword 0xDEADBEEFDEADBEEF
64340 .xword 0xDEADBEEFDEADBEEF
64341 .xword 0xDEADBEEFDEADBEEF
64342 .xword 0xDEADBEEFDEADBEEF
64343 .xword 0xDEADBEEFDEADBEEF
64344 .xword 0xDEADBEEFDEADBEEF
64345 .xword 0xDEADBEEFDEADBEEF
64346 .xword 0xDEADBEEFDEADBEEF
64347 .xword 0xDEADBEEFDEADBEEF
64348 .xword 0xDEADBEEFDEADBEEF
64349 .xword 0xDEADBEEFDEADBEEF
64350 .xword 0xDEADBEEFDEADBEEF
64351 .xword 0xDEADBEEFDEADBEEF
64352 .xword 0xDEADBEEFDEADBEEF
64353 .xword 0xDEADBEEFDEADBEEF
64354 .xword 0xDEADBEEFDEADBEEF
64355 .xword 0xDEADBEEFDEADBEEF
64356 .xword 0xDEADBEEFDEADBEEF
64357 .xword 0xDEADBEEFDEADBEEF
64358 .xword 0xDEADBEEFDEADBEEF
64359 .xword 0xDEADBEEFDEADBEEF
64360 .xword 0xDEADBEEFDEADBEEF
64361 .xword 0xDEADBEEFDEADBEEF
64362 .xword 0xDEADBEEFDEADBEEF
64363 .xword 0xDEADBEEFDEADBEEF
64364 .xword 0xDEADBEEFDEADBEEF
64365 .xword 0xDEADBEEFDEADBEEF
64366 .xword 0xDEADBEEFDEADBEEF
64367 .xword 0xDEADBEEFDEADBEEF
64368 .xword 0xDEADBEEFDEADBEEF
64369 .xword 0xDEADBEEFDEADBEEF
64370 .xword 0xDEADBEEFDEADBEEF
64371 .xword 0xDEADBEEFDEADBEEF
64372 .xword 0xDEADBEEFDEADBEEF
64373 .xword 0xDEADBEEFDEADBEEF
64374 .xword 0xDEADBEEFDEADBEEF
64375 .xword 0xDEADBEEFDEADBEEF
64376 .xword 0xDEADBEEFDEADBEEF
64377 .xword 0xDEADBEEFDEADBEEF
64378 .xword 0xDEADBEEFDEADBEEF
64379 .xword 0xDEADBEEFDEADBEEF
64380 .xword 0xDEADBEEFDEADBEEF
64381 .xword 0xDEADBEEFDEADBEEF
64382 .xword 0xDEADBEEFDEADBEEF
64383 .xword 0xDEADBEEFDEADBEEF
64384 .xword 0xDEADBEEFDEADBEEF
64385 .xword 0xDEADBEEFDEADBEEF
64386 .xword 0xDEADBEEFDEADBEEF
64387 .xword 0xDEADBEEFDEADBEEF
64388 .xword 0xDEADBEEFDEADBEEF
64389 .xword 0xDEADBEEFDEADBEEF
64390 .xword 0xDEADBEEFDEADBEEF
64391 .xword 0xDEADBEEFDEADBEEF
64392 .xword 0xDEADBEEFDEADBEEF
64393 .xword 0xDEADBEEFDEADBEEF
64394 .xword 0xDEADBEEFDEADBEEF
64395 .xword 0xDEADBEEFDEADBEEF
64396 .xword 0xDEADBEEFDEADBEEF
64397 .xword 0xDEADBEEFDEADBEEF
64398 .xword 0xDEADBEEFDEADBEEF
64399 .xword 0xDEADBEEFDEADBEEF
64400 .xword 0xDEADBEEFDEADBEEF
64401 .xword 0xDEADBEEFDEADBEEF
64402 .xword 0xDEADBEEFDEADBEEF
64403 .xword 0xDEADBEEFDEADBEEF
64404 .xword 0xDEADBEEFDEADBEEF
64405 .xword 0xDEADBEEFDEADBEEF
64406 .xword 0xDEADBEEFDEADBEEF
64407 .xword 0xDEADBEEFDEADBEEF
64408 .xword 0xDEADBEEFDEADBEEF
64409 .xword 0xDEADBEEFDEADBEEF
64410 .xword 0xDEADBEEFDEADBEEF
64411 .xword 0xDEADBEEFDEADBEEF
64412 .xword 0xDEADBEEFDEADBEEF
64413 .xword 0xDEADBEEFDEADBEEF
64414 .xword 0xDEADBEEFDEADBEEF
64415 .xword 0xDEADBEEFDEADBEEF
64416 .xword 0xDEADBEEFDEADBEEF
64417 .xword 0xDEADBEEFDEADBEEF
64418 .xword 0xDEADBEEFDEADBEEF
64419 .xword 0xDEADBEEFDEADBEEF
64420 .xword 0xDEADBEEFDEADBEEF
64421 .xword 0xDEADBEEFDEADBEEF
64422 .xword 0xDEADBEEFDEADBEEF
64423 .xword 0xDEADBEEFDEADBEEF
64424 .xword 0xDEADBEEFDEADBEEF
64425 .xword 0xDEADBEEFDEADBEEF
64426 .xword 0xDEADBEEFDEADBEEF
64427 .xword 0xDEADBEEFDEADBEEF
64428 .xword 0xDEADBEEFDEADBEEF
64429 .xword 0xDEADBEEFDEADBEEF
64430 .xword 0xDEADBEEFDEADBEEF
64431 .xword 0xDEADBEEFDEADBEEF
64432 .xword 0xDEADBEEFDEADBEEF
64433 .xword 0xDEADBEEFDEADBEEF
64434 .xword 0xDEADBEEFDEADBEEF
64435 .xword 0xDEADBEEFDEADBEEF
64436 .xword 0xDEADBEEFDEADBEEF
64437 .xword 0xDEADBEEFDEADBEEF
64438 .xword 0xDEADBEEFDEADBEEF
64439 .xword 0xDEADBEEFDEADBEEF
64440 .xword 0xDEADBEEFDEADBEEF
64441 .xword 0xDEADBEEFDEADBEEF
64442 .xword 0xDEADBEEFDEADBEEF
64443 .xword 0xDEADBEEFDEADBEEF
64444 .xword 0xDEADBEEFDEADBEEF
64445 .xword 0xDEADBEEFDEADBEEF
64446 .xword 0xDEADBEEFDEADBEEF
64447 .xword 0xDEADBEEFDEADBEEF
64448 .xword 0xDEADBEEFDEADBEEF
64449 .xword 0xDEADBEEFDEADBEEF
64450 .xword 0xDEADBEEFDEADBEEF
64451 .xword 0xDEADBEEFDEADBEEF
64452 .xword 0xDEADBEEFDEADBEEF
64453 .xword 0xDEADBEEFDEADBEEF
64454 .xword 0xDEADBEEFDEADBEEF
64455 .xword 0xDEADBEEFDEADBEEF
64456 .xword 0xDEADBEEFDEADBEEF
64457 .xword 0xDEADBEEFDEADBEEF
64458 .xword 0xDEADBEEFDEADBEEF
64459 .xword 0xDEADBEEFDEADBEEF
64460 .xword 0xDEADBEEFDEADBEEF
64461 .xword 0xDEADBEEFDEADBEEF
64462 .xword 0xDEADBEEFDEADBEEF
64463 .xword 0xDEADBEEFDEADBEEF
64464 .xword 0xDEADBEEFDEADBEEF
64465 .xword 0xDEADBEEFDEADBEEF
64466 .xword 0xDEADBEEFDEADBEEF
64467 .xword 0xDEADBEEFDEADBEEF
64468 .xword 0xDEADBEEFDEADBEEF
64469 .xword 0xDEADBEEFDEADBEEF
64470 .xword 0xDEADBEEFDEADBEEF
64471 .xword 0xDEADBEEFDEADBEEF
64472 .xword 0xDEADBEEFDEADBEEF
64473 .xword 0xDEADBEEFDEADBEEF
64474 .xword 0xDEADBEEFDEADBEEF
64475 .xword 0xDEADBEEFDEADBEEF
64476 .xword 0xDEADBEEFDEADBEEF
64477 .xword 0xDEADBEEFDEADBEEF
64478 .xword 0xDEADBEEFDEADBEEF
64479 .xword 0xDEADBEEFDEADBEEF
64480 .xword 0xDEADBEEFDEADBEEF
64481 .xword 0xDEADBEEFDEADBEEF
64482 .xword 0xDEADBEEFDEADBEEF
64483 .xword 0xDEADBEEFDEADBEEF
64484 .xword 0xDEADBEEFDEADBEEF
64485 .xword 0xDEADBEEFDEADBEEF
64486 .xword 0xDEADBEEFDEADBEEF
64487 .xword 0xDEADBEEFDEADBEEF
64488 .xword 0xDEADBEEFDEADBEEF
64489 .xword 0xDEADBEEFDEADBEEF
64490 .xword 0xDEADBEEFDEADBEEF
64491 .xword 0xDEADBEEFDEADBEEF
64492 .xword 0xDEADBEEFDEADBEEF
64493 .xword 0xDEADBEEFDEADBEEF
64494 .xword 0xDEADBEEFDEADBEEF
64495 .xword 0xDEADBEEFDEADBEEF
64496 .xword 0xDEADBEEFDEADBEEF
64497 .xword 0xDEADBEEFDEADBEEF
64498 .xword 0xDEADBEEFDEADBEEF
64499 .xword 0xDEADBEEFDEADBEEF
64500 .xword 0xDEADBEEFDEADBEEF
64501 .xword 0xDEADBEEFDEADBEEF
64502 .xword 0xDEADBEEFDEADBEEF
64503 .xword 0xDEADBEEFDEADBEEF
64504 .xword 0xDEADBEEFDEADBEEF
64505 .xword 0xDEADBEEFDEADBEEF
64506 .xword 0xDEADBEEFDEADBEEF
64507 .xword 0xDEADBEEFDEADBEEF
64508 .xword 0xDEADBEEFDEADBEEF
64509 .xword 0xDEADBEEFDEADBEEF
64510 .xword 0xDEADBEEFDEADBEEF
64511 .xword 0xDEADBEEFDEADBEEF
64512 .xword 0xDEADBEEFDEADBEEF
64513 .xword 0xDEADBEEFDEADBEEF
64514 .xword 0xDEADBEEFDEADBEEF
64515 .xword 0xDEADBEEFDEADBEEF
64516 .xword 0xDEADBEEFDEADBEEF
64517 .xword 0xDEADBEEFDEADBEEF
64518 .xword 0xDEADBEEFDEADBEEF
64519 .xword 0xDEADBEEFDEADBEEF
64520 .xword 0xDEADBEEFDEADBEEF
64521 .xword 0xDEADBEEFDEADBEEF
64522 .xword 0xDEADBEEFDEADBEEF
64523 .xword 0xDEADBEEFDEADBEEF
64524 .xword 0xDEADBEEFDEADBEEF
64525 .xword 0xDEADBEEFDEADBEEF
64526 .xword 0xDEADBEEFDEADBEEF
64527 .xword 0xDEADBEEFDEADBEEF
64528 .xword 0xDEADBEEFDEADBEEF
64529 .xword 0xDEADBEEFDEADBEEF
64530 .xword 0xDEADBEEFDEADBEEF
64531 .xword 0xDEADBEEFDEADBEEF
64532 .xword 0xDEADBEEFDEADBEEF
64533 .xword 0xDEADBEEFDEADBEEF
64534 .xword 0xDEADBEEFDEADBEEF
64535 .xword 0xDEADBEEFDEADBEEF
64536 .xword 0xDEADBEEFDEADBEEF
64537 .xword 0xDEADBEEFDEADBEEF
64538 .xword 0xDEADBEEFDEADBEEF
64539 .xword 0xDEADBEEFDEADBEEF
64540 .xword 0xDEADBEEFDEADBEEF
64541 .xword 0xDEADBEEFDEADBEEF
64542 .xword 0xDEADBEEFDEADBEEF
64543 .xword 0xDEADBEEFDEADBEEF
64544 .xword 0xDEADBEEFDEADBEEF
64545 .xword 0xDEADBEEFDEADBEEF
64546 .xword 0xDEADBEEFDEADBEEF
64547 .xword 0xDEADBEEFDEADBEEF
64548 .xword 0xDEADBEEFDEADBEEF
64549 .xword 0xDEADBEEFDEADBEEF
64550 .xword 0xDEADBEEFDEADBEEF
64551 .xword 0xDEADBEEFDEADBEEF
64552 .xword 0xDEADBEEFDEADBEEF
64553 .xword 0xDEADBEEFDEADBEEF
64554 .xword 0xDEADBEEFDEADBEEF
64555 .xword 0xDEADBEEFDEADBEEF
64556 .xword 0xDEADBEEFDEADBEEF
64557 .xword 0xDEADBEEFDEADBEEF
64558 .xword 0xDEADBEEFDEADBEEF
64559 .xword 0xDEADBEEFDEADBEEF
64560 .xword 0xDEADBEEFDEADBEEF
64561 .xword 0xDEADBEEFDEADBEEF
64562 .xword 0xDEADBEEFDEADBEEF
64563 .xword 0xDEADBEEFDEADBEEF
64564 .xword 0xDEADBEEFDEADBEEF
64565 .xword 0xDEADBEEFDEADBEEF
64566 .xword 0xDEADBEEFDEADBEEF
64567 .xword 0xDEADBEEFDEADBEEF
64568 .xword 0xDEADBEEFDEADBEEF
64569 .xword 0xDEADBEEFDEADBEEF
64570 .xword 0xDEADBEEFDEADBEEF
64571 .xword 0xDEADBEEFDEADBEEF
64572 .xword 0xDEADBEEFDEADBEEF
64573 .xword 0xDEADBEEFDEADBEEF
64574 .xword 0xDEADBEEFDEADBEEF
64575 .xword 0xDEADBEEFDEADBEEF
64576 .xword 0xDEADBEEFDEADBEEF
64577 .xword 0xDEADBEEFDEADBEEF
64578 .xword 0xDEADBEEFDEADBEEF
64579 .xword 0xDEADBEEFDEADBEEF
64580 .xword 0xDEADBEEFDEADBEEF
64581 .xword 0xDEADBEEFDEADBEEF
64582 .xword 0xDEADBEEFDEADBEEF
64583 .xword 0xDEADBEEFDEADBEEF
64584 .xword 0xDEADBEEFDEADBEEF
64585 .xword 0xDEADBEEFDEADBEEF
64586 .xword 0xDEADBEEFDEADBEEF
64587 .xword 0xDEADBEEFDEADBEEF
64588 .xword 0xDEADBEEFDEADBEEF
64589 .xword 0xDEADBEEFDEADBEEF
64590 .xword 0xDEADBEEFDEADBEEF
64591 .xword 0xDEADBEEFDEADBEEF
64592 .xword 0xDEADBEEFDEADBEEF
64593 .xword 0xDEADBEEFDEADBEEF
64594 .xword 0xDEADBEEFDEADBEEF
64595 .xword 0xDEADBEEFDEADBEEF
64596 .xword 0xDEADBEEFDEADBEEF
64597 .xword 0xDEADBEEFDEADBEEF
64598 .xword 0xDEADBEEFDEADBEEF
64599 .xword 0xDEADBEEFDEADBEEF
64600 .xword 0xDEADBEEFDEADBEEF
64601 .xword 0xDEADBEEFDEADBEEF
64602_t1_hmac_auth_key:
64603 .xword 0xb6fe268867cb8ba2
64604 .xword 0x84b709bcf036e4b4
64605 .xword 0x38c33b3acecfbfff
64606 .xword 0xedcf76a0c3443765
64607 .xword 0x4b1e2daefc911381
64608 .xword 0x6e4c0026cdf64da6
64609 .xword 0x32c9ae06e0b5bfd8
64610 .xword 0xe70f906afa8424e8
64611 .xword 0x7c49a879e4bb235a
64612 .xword 0x7d0c64e797900606
64613 .xword 0x603d5da6b3fcb7bc
64614 .xword 0x9e4ca691493b3513
64615 .xword 0xd839fbd9d1f7eb89
64616 .xword 0xc38bb224234182a5
64617 .xword 0x86f01232fb715cd2
64618 .xword 0xfc5427dfd70aa898
64619 .xword 0x498f9032947c9bd0
64620 .xword 0xf704ff9234aac3ae
64621 .xword 0x8844560e32e2a12d
64622 .xword 0xc000917f8af8f403
64623 .xword 0x5d790dfd61506429
64624 .xword 0xbb74891426707203
64625 .xword 0xde762ea73fd23980
64626_t1_hmac_auth_iv:
64627 .xword 0xb24143ddc45afb6b
64628 .xword 0xda401ae5ef4f388c
64629 .xword 0x6e092b243ae2e4ee
64630 .xword 0xcd4031db0a782f26
64631 .xword 0xf1f6911aeab1f6c2
64632 .xword 0x1e30e917007a36dd
64633 .xword 0x54cc441113781eee
64634 .xword 0x8a72ce92d59c1190
64635 .xword 0x9600f9967d2c6cfd
64636 .xword 0xb0f801a2a4b54004
64637 .xword 0x8a616018928d2c57
64638 .xword 0x8643c363f6a364a0
64639 .xword 0x834e329f5c33031d
64640 .xword 0x6182432a6e6f98c3
64641 .xword 0x6eab269fdcbe331b
64642 .xword 0x5a9981395f7b7ca2
64643 .xword 0x6881d3ff1eda2350
64644 .xword 0xc2c37aee2c26f486
64645 .xword 0x80f177db1751a06b
64646 .xword 0x00eaf5de82d12097
64647 .xword 0xb1e896174e44c232
64648 .xword 0x9618e0b8e6317300
64649 .xword 0x67295f8c899d2a75
64650_t1_hmac_fas_result:
64651 .xword 0xDEADBEEFDEADBEEF
64652 .xword 0xDEADBEEFDEADBEEF
64653 .xword 0xDEADBEEFDEADBEEF
64654 .xword 0xDEADBEEFDEADBEEF
64655 .xword 0xDEADBEEFDEADBEEF
64656 .xword 0xDEADBEEFDEADBEEF
64657 .xword 0xDEADBEEFDEADBEEF
64658 .xword 0xDEADBEEFDEADBEEF
64659 .xword 0xDEADBEEFDEADBEEF
64660 .xword 0xDEADBEEFDEADBEEF
64661 .xword 0xDEADBEEFDEADBEEF
64662 .xword 0xDEADBEEFDEADBEEF
64663 .xword 0xDEADBEEFDEADBEEF
64664 .xword 0xDEADBEEFDEADBEEF
64665 .xword 0xDEADBEEFDEADBEEF
64666 .xword 0xDEADBEEFDEADBEEF
64667 .xword 0xDEADBEEFDEADBEEF
64668 .xword 0xDEADBEEFDEADBEEF
64669 .xword 0xDEADBEEFDEADBEEF
64670 .xword 0xDEADBEEFDEADBEEF
64671 .xword 0xDEADBEEFDEADBEEF
64672 .xword 0xDEADBEEFDEADBEEF
64673 .xword 0xDEADBEEFDEADBEEF
64674_t1_rc4_key_array:
64675 .xword 0x5d83b1d7255120e7
64676 .xword 0x6270eb52aa0e4211
64677 .xword 0xb5e4d7f23fcbfb08
64678 .xword 0xabb9e7d5183cb734
64679 .xword 0x788bffd96ebae1f3
64680 .xword 0xef48bfaeee06ca7e
64681 .xword 0xd803e3fd77467bd3
64682 .xword 0x7591299e35743e00
64683 .xword 0xfddcaa20ac4bb1bf
64684 .xword 0x6b40c52d94d3c819
64685 .xword 0xc3606d49743f3c69
64686 .xword 0x4f790fe4409c3594
64687 .xword 0xb442cbd51a51cafc
64688 .xword 0x21660fa22c3b5fb3
64689 .xword 0x99b1776def3c5732
64690 .xword 0x969459f75cefb1da
64691 .xword 0x041ff7ccef3f2b0d
64692 .xword 0x347a6165b4c985af
64693 .xword 0x5096b9dd5afe90d3
64694 .xword 0xf068a93bb96af985
64695 .xword 0x8bf87abf26b3d67b
64696 .xword 0x33490a783b6d8db2
64697 .xword 0xb7b50bd7a08c33a0
64698 .xword 0xf383ba6c3ae106f7
64699 .xword 0xb9749e2c536053cc
64700 .xword 0xcc2c632635f4cf92
64701 .xword 0xa022274d9242c4af
64702 .xword 0x4f2d61e65fee6531
64703 .xword 0xd4e9e123d4ba1306
64704 .xword 0x774dd191672120fa
64705 .xword 0x104c7dfee26a84bc
64706 .xword 0x3b4cb46628e7cf50
64707 .xword 0xf1e2c8e2e1ae9a19
64708 .xword 0x794614f5713da78d
64709 .xword 0x1830131a0318be3d
64710 .xword 0xd901b6027febe309
64711 .xword 0xfc94c01ed9acc1d2
64712 .xword 0x9557f574e64ec33e
64713 .xword 0x029aae7858bace65
64714 .xword 0xc534dc12414c9526
64715 .xword 0xcf3369b4c8a64963
64716 .xword 0x6b71b19d855c3db3
64717 .xword 0x900d42fe9ad061d2
64718 .xword 0xf34b3dd82b653506
64719 .xword 0xf25a8720d14b6439
64720 .xword 0x9df5ada02083fc30
64721 .xword 0xed693fb0a0f8a23e
64722 .xword 0x65427ccb3aa6d59c
64723 .xword 0x5b33e138dc37c127
64724 .xword 0x6038cfd743970f36
64725 .xword 0xf5fb1f0a200e6e9d
64726_t1_rc4_iv_array:
64727 .xword 0xc9ada5e64939faba
64728 .xword 0x9513924f8ff5e53e
64729 .xword 0xbbc97ce3b2d6c07a
64730 .xword 0x901cd1d756868fa9
64731 .xword 0x7111491e0a97e011
64732 .xword 0x9fc2aa1c08987ab7
64733 .xword 0xa7070c976ff98ffe
64734 .xword 0x9078bd4793dc2d95
64735 .xword 0x0d9ebafc508eedda
64736 .xword 0x72b9a524f52d326f
64737 .xword 0x5eae530d53d7ac95
64738 .xword 0x293602b26eccee53
64739 .xword 0xc76a0ba5d65227a5
64740 .xword 0x48e55c296f1a3373
64741 .xword 0x9189fb1cb391e37b
64742 .xword 0x6ac2ad32b9f5b54e
64743 .xword 0xd56ca9e53163457d
64744 .xword 0x2bd7456dde00b19d
64745 .xword 0x3955350fe723515c
64746 .xword 0xb32cd3ac98bd9666
64747 .xword 0x9936bbe8b0d6c290
64748 .xword 0x6544fc8c56eec9c5
64749 .xword 0xe12308403ac877a8
64750 .xword 0xca9cc12c0c90ba73
64751 .xword 0x85348ef8271ebb79
64752 .xword 0xed03d40533b5d5df
64753 .xword 0x196a7d2da3ca8937
64754 .xword 0xf7fc9529f9e7ff47
64755 .xword 0xd8f87943147bc190
64756 .xword 0x8974db1d2fec9324
64757 .xword 0x9637e1515561fa5e
64758 .xword 0x0fddb1c8e8c58e30
64759 .xword 0x915906a03ca1280c
64760 .xword 0x19d818f08c2fad19
64761 .xword 0x6569a6a872415e77
64762 .xword 0x72bcfe3e72052d36
64763 .xword 0x4709bd05c5002ab3
64764 .xword 0x2a61e56a1cf7d4f0
64765 .xword 0xf001456197e31b33
64766 .xword 0xb4642b679fd4d4c3
64767 .xword 0xc3f29d47a95344be
64768 .xword 0x261b9b23754e3a73
64769 .xword 0xf7a7b9e60c3bfbc2
64770 .xword 0x84bd7356b6ac2528
64771 .xword 0x739634e9d2f4632e
64772_t1_rc4_alignment_array:
64773 .xword 14
64774 .xword 8
64775 .xword 6
64776 .xword 14
64777 .xword 0
64778 .xword 10
64779 .xword 2
64780 .xword 9
64781 .xword 14
64782 .xword 13
64783 .xword 11
64784 .xword 15
64785 .xword 15
64786 .xword 4
64787 .xword 4
64788 .xword 8
64789 .xword 7
64790 .xword 9
64791 .xword 4
64792 .xword 10
64793 .xword 0
64794 .xword 7
64795 .xword 12
64796 .xword 7
64797 .xword 12
64798 .xword 0
64799 .xword 6
64800 .xword 4
64801 .xword 7
64802 .xword 8
64803 .xword 12
64804 .xword 13
64805 .xword 0
64806 .xword 4
64807 .xword 12
64808 .xword 3
64809 .xword 4
64810 .xword 11
64811 .xword 13
64812 .xword 14
64813 .xword 2
64814 .xword 4
64815 .xword 4
64816 .xword 5
64817 .xword 9
64818 .xword 3
64819 .xword 14
64820 .xword 5
64821 .xword 10
64822 .xword 9
64823 .xword 7
64824 .xword 7
64825 .xword 2
64826 .xword 10
64827 .xword 7
64828 .xword 2
64829 .xword 12
64830 .xword 2
64831 .xword 4
64832 .xword 4
64833 .xword 11
64834 .xword 14
64835 .xword 4
64836 .xword 5
64837 .xword 13
64838 .xword 12
64839 .xword 5
64840 .xword 7
64841 .xword 2
64842 .xword 13
64843 .xword 10
64844 .xword 1
64845 .xword 15
64846 .xword 7
64847 .xword 12
64848 .xword 3
64849 .xword 15
64850 .xword 2
64851 .xword 3
64852 .xword 1
64853 .xword 10
64854 .xword 5
64855 .xword 5
64856 .xword 0
64857 .xword 13
64858 .xword 4
64859 .xword 14
64860 .xword 12
64861 .xword 1
64862 .xword 7
64863 .xword 9
64864 .xword 2
64865 .xword 0
64866 .xword 8
64867 .xword 3
64868 .xword 8
64869 .xword 3
64870 .xword 1
64871 .xword 2
64872 .xword 8
64873 .xword 12
64874 .xword 2
64875 .xword 8
64876 .xword 6
64877 .xword 9
64878_t1_rc4_src:
64879 .xword 0x1c9f0f8940590152
64880 .xword 0x20513fbb5515971b
64881 .xword 0x5c38c519d5a1f28c
64882 .xword 0x25a63a14b428f277
64883 .xword 0xb3bd06e1df4732fd
64884 .xword 0x6ddabf2ad7c5f4a2
64885 .xword 0x64175fa4dd9e407f
64886 .xword 0xd21bba5462f35717
64887 .xword 0x6b4e1e178503a7c0
64888 .xword 0x209fb52a0c4564fa
64889 .xword 0xd3d9d4ef5bf5c24c
64890 .xword 0xe868fe483057a7e5
64891 .xword 0x01c16ab446a1a0c1
64892 .xword 0x0d8c151c886062de
64893 .xword 0x702b20b845913c79
64894 .xword 0x9d9f4bcbdcb8b6ab
64895 .xword 0x66d4d808507d33b9
64896 .xword 0x0ef1e4ea017bf0da
64897 .xword 0xa60f682488409eed
64898 .xword 0x6d44f5b63ea66098
64899 .xword 0xa9973d6666edce3a
64900 .xword 0x25993602cdb54b7b
64901 .xword 0x6c5cc5229a9c816d
64902 .xword 0xa4783c3b7cdf5556
64903 .xword 0x3da10a311a0c8d5a
64904 .xword 0x55640c35dd576775
64905 .xword 0x4bc83d8075825acf
64906 .xword 0x31611080f844d807
64907 .xword 0x13c99e1e71cfe089
64908 .xword 0xe9b6a7940d8e2dcb
64909 .xword 0xd5748f825a1dd145
64910 .xword 0xe5d300a2be12849f
64911 .xword 0xc0129ea22f9dc812
64912 .xword 0x60331ce7e8458f56
64913 .xword 0x137454cc364c5099
64914 .xword 0x4020a9654e64c574
64915 .xword 0x9e5662d27cbe481f
64916 .xword 0x7c19a69cd011f358
64917 .xword 0xba6beb3259da96b7
64918 .xword 0x33e9410a2541335f
64919 .xword 0xd1d3dfdf6c32ece7
64920 .xword 0x5f42eebc998bc456
64921 .xword 0x5ca44174c4abb9c9
64922 .xword 0x6a52ae91c8e87445
64923 .xword 0x8bf467cd559583ba
64924 .xword 0x27eed1cc916a48ff
64925 .xword 0x5f970b6d12fd5bce
64926 .xword 0xf9ee1bd16862f449
64927 .xword 0xacf819374faf8d4a
64928 .xword 0xd6c44dc26f81f4d3
64929 .xword 0x187a444c1c087d1e
64930 .xword 0x393dc94139ffc163
64931 .xword 0x6969916c8380a935
64932 .xword 0x8e338f5c90d90e2d
64933 .xword 0x565e71dd3a4aa266
64934 .xword 0x906717177ac37f2e
64935 .xword 0x0953f9b324054c64
64936 .xword 0x6aa13ec75240f620
64937 .xword 0x172ab9d4b8973f81
64938 .xword 0x3364f020e9375bc1
64939 .xword 0xedc5014ac16e463f
64940 .xword 0xfcc4d6172c0e02e7
64941 .xword 0xd11eb7df195d092e
64942 .xword 0x0368f84cff9225e3
64943 .xword 0x0c6f1e840f6beb2d
64944 .xword 0xd57e859bd333a093
64945 .xword 0x9df0c0292838ebf6
64946 .xword 0xca382af6a31ccab4
64947 .xword 0xc709dfc6a30736f6
64948 .xword 0x1df7d1263ea51f9f
64949 .xword 0xdeab85086846b7d9
64950 .xword 0x837c9d4ec2dda0da
64951 .xword 0xb48750e8661e679b
64952 .xword 0xc5e6ec376fbd71ef
64953 .xword 0xef177a83bfa90191
64954 .xword 0x0d37ca1ac6798119
64955 .xword 0x690fec1c132f872e
64956 .xword 0x6edb41f1d7283183
64957 .xword 0xc848e53f1684e722
64958 .xword 0x3e01160002ab4a75
64959 .xword 0x45baf5561a7a03f5
64960 .xword 0x763f94b2b7c1901d
64961 .xword 0x0c405137f2df31b5
64962 .xword 0x2a17a3e6f619d20c
64963 .xword 0x2bace085e67b28f5
64964 .xword 0x1ce3a4c5d69b00e1
64965 .xword 0x05a89f4426930091
64966 .xword 0x6b897821e2a7cd6f
64967 .xword 0xd983d910796c94a2
64968 .xword 0xcf728d2ee31c82a6
64969 .xword 0xd639bf8da2fac4f9
64970 .xword 0xd28e4b515a3ab185
64971 .xword 0x8515189a2a3c24be
64972 .xword 0xd58ba84e9e4015d7
64973 .xword 0x1a2b6b790dcb4ec4
64974 .xword 0xb1efcc3595d2799b
64975 .xword 0x7f1a2321a72af1c7
64976 .xword 0x49ac051f5f67bf80
64977 .xword 0x3b1a10f8b4fcf8fb
64978 .xword 0xa26cfab27190b367
64979 .xword 0xa1b8c319a5446b4e
64980 .xword 0xac6dc8f8d907c8ea
64981 .xword 0x0bb6e8bcdcd75dd1
64982 .xword 0x7880a5e99f8f7231
64983 .xword 0x4ad8e7a9a05f2775
64984 .xword 0x2e533e28e16cb320
64985 .xword 0x7854dc8739fd58ae
64986 .xword 0xd0ccc8bbaa4299b3
64987 .xword 0x11465d5036321aa2
64988 .xword 0x1b76fe239f9b8157
64989 .xword 0x7a80eecbf5f85cad
64990 .xword 0xe13978571bd83ba8
64991 .xword 0xd695802c6044b0d9
64992 .xword 0x54d3e5f4991eba24
64993 .xword 0xf566c98e4903967d
64994 .xword 0xd1fe52950b4fe3f3
64995 .xword 0x37a9c5fb741941f3
64996 .xword 0xb095767d6c76a9c2
64997 .xword 0x76a177703785108e
64998 .xword 0x914ecd56f0a2bf10
64999 .xword 0xa14874a2cb23ff35
65000 .xword 0x760fe1d3a3f7de63
65001 .xword 0x1e08a6fbcaa5ab57
65002 .xword 0x2f34a09c5d70e397
65003 .xword 0xe053a66f70ceb803
65004 .xword 0x596a0afc5c058f43
65005 .xword 0x7fba6b923331dcf3
65006 .xword 0x5b8a1a78e87148ab
65007 .xword 0x201348ab133c1bee
65008 .xword 0x74ec11c8ba4cf7fb
65009 .xword 0x36f2c6ef56e707c6
65010 .xword 0xe8bdc3fcda4dfe79
65011 .xword 0x125448e3b893da55
65012 .xword 0xa3a5271874c8cba1
65013 .xword 0x65d41798086261e4
65014 .xword 0x18933b9928a8e60f
65015 .xword 0xb628ae1b772e3eed
65016 .xword 0xcc0c213ce873737f
65017 .xword 0x6014d34c856117e1
65018 .xword 0xbbf4360a311de822
65019 .xword 0x72d6d11aab412913
65020 .xword 0x818e786fedf212ff
65021 .xword 0x6d308df2dddf7038
65022 .xword 0x37079ba257aff56a
65023 .xword 0x66aa1f06be121329
65024 .xword 0x680fb932ba88f9c0
65025 .xword 0xd078089ceb0f4621
65026 .xword 0x702cb14bbaadf4dc
65027 .xword 0xe0ee3835e20693df
65028 .xword 0x493d0bf03ad327af
65029 .xword 0x08935452d5c2b1d3
65030 .xword 0x654680bd2953a96b
65031 .xword 0x62ea3ac97383a29f
65032 .xword 0xc613409d8166d278
65033 .xword 0x5b7f20b7883ad29c
65034 .xword 0xe43a0b87386edbc5
65035 .xword 0x8386e64de8977e5e
65036 .xword 0xab70ce9e4aa6b26a
65037 .xword 0xb1149744c44ec8e0
65038 .xword 0x1943139ad77a3dda
65039 .xword 0xd622fe714856cd11
65040 .xword 0x2af5293af94ed0ba
65041 .xword 0xff555b1a65d1f69b
65042 .xword 0xe0c018edfbdebde0
65043 .xword 0xda1d380e372450cf
65044 .xword 0x6961cdd67ced3017
65045 .xword 0x6fe9781818fee49f
65046 .xword 0xc0327655c56572a7
65047 .xword 0xd6e841378c204304
65048 .xword 0x63f0a4621570bbfc
65049 .xword 0x0b5d82073f3aff61
65050 .xword 0xa271a5bb88138f91
65051 .xword 0x05bb63230eda2cbf
65052 .xword 0x3dd5abc769b4f65b
65053 .xword 0xfc1ef1f7e813d3f4
65054 .xword 0xe61ad797783fe1f5
65055 .xword 0x0f63804fb0cc8acc
65056 .xword 0xe15a1108f1eed835
65057 .xword 0xd56fcf496f2c6f94
65058 .xword 0x1c4d86075f153909
65059 .xword 0x7497cdeb2f97fc18
65060 .xword 0xcb580558acd8ccfc
65061 .xword 0xc30daa99738565d2
65062 .xword 0x684398a61b061aa4
65063 .xword 0xb112fbff3087714f
65064 .xword 0x5a09c7222d6ff7dd
65065 .xword 0x29e9371ea2b187bb
65066 .xword 0xb1ed5f9c6ae75089
65067 .xword 0x528d20cd28707e5e
65068 .xword 0x1fdea2e99a214c71
65069 .xword 0x2b4b28d6efd9cfa3
65070 .xword 0x3cdb0e171c7d3317
65071 .xword 0x08e37ed5c92d5815
65072 .xword 0x0cc792a909ce11e6
65073 .xword 0xe84806b85bf532d9
65074 .xword 0xc5f6b0e43e0331e2
65075 .xword 0x9325a20698f50d17
65076 .xword 0xf054ee4f6f1767ae
65077 .xword 0x37f3cf2855d4459b
65078 .xword 0xf94636dde669d97f
65079 .xword 0x32cdc8c553a7bff0
65080 .xword 0x12441ae11b4f1808
65081 .xword 0xb7104513379e8ae6
65082 .xword 0x259dc57f5abc3eb8
65083 .xword 0x93b83089bcd5a2fa
65084 .xword 0x77496efc9d829c63
65085 .xword 0xf46ca5a2f82d8069
65086 .xword 0x9145ae73df8a066c
65087 .xword 0xee5adbe8be3974ac
65088 .xword 0xd02f363780384917
65089 .xword 0xb62c01ccb4baf4a0
65090 .xword 0x0a93fc7f484fff98
65091 .xword 0x909b19df178e3f9a
65092 .xword 0x68f5c737410345fb
65093 .xword 0xd53a7c7b0c6578fd
65094 .xword 0xf29e37d7a69174a1
65095 .xword 0x47c7243b4e4c43e8
65096 .xword 0xa7373e329e2f2fbc
65097 .xword 0x1dae737556e83115
65098 .xword 0x158d995391bd245b
65099 .xword 0x82e569a9beb9b042
65100 .xword 0x62d1de35be793cdb
65101 .xword 0xa7d0a6d8428f6da4
65102 .xword 0x62f8c34b5f54ad06
65103 .xword 0xe74168ddd5152250
65104 .xword 0x26eff9e26dfc36cd
65105 .xword 0xd7edf2668cd786df
65106 .xword 0xce86cb4615d49e92
65107 .xword 0x8b7ae2687491df81
65108 .xword 0x8174bebe200888c5
65109 .xword 0x49bb14d0ff5329fc
65110 .xword 0x301c91e043ae8918
65111 .xword 0xa608a91c97651b4b
65112 .xword 0x5f0ac258a6c933e0
65113 .xword 0x597e85bbfc595b04
65114 .xword 0x1abaad682982b36c
65115 .xword 0xdfe0ff48e43612fa
65116 .xword 0xa233f931379b053a
65117 .xword 0xdd089e855267391a
65118 .xword 0x8e2991d02236b51d
65119 .xword 0x4ffff243b2f511a0
65120 .xword 0x3fbe8ba0d28ebabd
65121 .xword 0x668295058c32474d
65122 .xword 0xc4d9d5b7b1664402
65123 .xword 0xc3e3376cbc4d5892
65124 .xword 0x0245089f9446ec05
65125 .xword 0x23c87d346674d529
65126 .xword 0xbe7feb8462bf6fe8
65127 .xword 0x59131a784b3455f3
65128 .xword 0x7fc40fddabdd5b24
65129 .xword 0xed1bdb4e604534c0
65130 .xword 0x5706f4c46216dcee
65131 .xword 0xc24348c04b267cc9
65132 .xword 0x20e8d3660945a09f
65133 .xword 0xb0cb5edcea62b901
65134 .xword 0xd2158c68c3f02db3
65135 .xword 0x2055edb1e183d3fb
65136 .xword 0xa676550ea85a991f
65137 .xword 0x190992365f383887
65138 .xword 0xe246867abcc18407
65139 .xword 0xf0df85bad70d4873
65140 .xword 0xc3caa8f02f3bbbb2
65141 .xword 0x029411819f033400
65142 .xword 0xec6ba4654084b365
65143 .xword 0xffec4502e2fd1319
65144 .xword 0x2b053d60e84cea10
65145 .xword 0x3cbccfe128038e88
65146 .xword 0xfed80f312f554d68
65147 .xword 0x949cb802f21ec995
65148 .xword 0x237a66b91d10d734
65149 .xword 0x52d21e25a1fe46b0
65150 .xword 0x86113325c7e87daa
65151 .xword 0x0c97c1b43d9f0606
65152 .xword 0xf5e46bb493b71ae3
65153 .xword 0x9853af4f48c2211e
65154_t1_rc4_dest:
65155 .xword 0xDEADBEEFDEADBEEF
65156 .xword 0xDEADBEEFDEADBEEF
65157 .xword 0xDEADBEEFDEADBEEF
65158 .xword 0xDEADBEEFDEADBEEF
65159 .xword 0xDEADBEEFDEADBEEF
65160 .xword 0xDEADBEEFDEADBEEF
65161 .xword 0xDEADBEEFDEADBEEF
65162 .xword 0xDEADBEEFDEADBEEF
65163 .xword 0xDEADBEEFDEADBEEF
65164 .xword 0xDEADBEEFDEADBEEF
65165 .xword 0xDEADBEEFDEADBEEF
65166 .xword 0xDEADBEEFDEADBEEF
65167 .xword 0xDEADBEEFDEADBEEF
65168 .xword 0xDEADBEEFDEADBEEF
65169 .xword 0xDEADBEEFDEADBEEF
65170 .xword 0xDEADBEEFDEADBEEF
65171 .xword 0xDEADBEEFDEADBEEF
65172 .xword 0xDEADBEEFDEADBEEF
65173 .xword 0xDEADBEEFDEADBEEF
65174 .xword 0xDEADBEEFDEADBEEF
65175 .xword 0xDEADBEEFDEADBEEF
65176 .xword 0xDEADBEEFDEADBEEF
65177 .xword 0xDEADBEEFDEADBEEF
65178 .xword 0xDEADBEEFDEADBEEF
65179 .xword 0xDEADBEEFDEADBEEF
65180 .xword 0xDEADBEEFDEADBEEF
65181 .xword 0xDEADBEEFDEADBEEF
65182 .xword 0xDEADBEEFDEADBEEF
65183 .xword 0xDEADBEEFDEADBEEF
65184 .xword 0xDEADBEEFDEADBEEF
65185 .xword 0xDEADBEEFDEADBEEF
65186 .xword 0xDEADBEEFDEADBEEF
65187 .xword 0xDEADBEEFDEADBEEF
65188 .xword 0xDEADBEEFDEADBEEF
65189 .xword 0xDEADBEEFDEADBEEF
65190 .xword 0xDEADBEEFDEADBEEF
65191 .xword 0xDEADBEEFDEADBEEF
65192 .xword 0xDEADBEEFDEADBEEF
65193 .xword 0xDEADBEEFDEADBEEF
65194 .xword 0xDEADBEEFDEADBEEF
65195 .xword 0xDEADBEEFDEADBEEF
65196 .xword 0xDEADBEEFDEADBEEF
65197 .xword 0xDEADBEEFDEADBEEF
65198 .xword 0xDEADBEEFDEADBEEF
65199 .xword 0xDEADBEEFDEADBEEF
65200 .xword 0xDEADBEEFDEADBEEF
65201 .xword 0xDEADBEEFDEADBEEF
65202 .xword 0xDEADBEEFDEADBEEF
65203 .xword 0xDEADBEEFDEADBEEF
65204 .xword 0xDEADBEEFDEADBEEF
65205 .xword 0xDEADBEEFDEADBEEF
65206 .xword 0xDEADBEEFDEADBEEF
65207 .xword 0xDEADBEEFDEADBEEF
65208 .xword 0xDEADBEEFDEADBEEF
65209 .xword 0xDEADBEEFDEADBEEF
65210 .xword 0xDEADBEEFDEADBEEF
65211 .xword 0xDEADBEEFDEADBEEF
65212 .xword 0xDEADBEEFDEADBEEF
65213 .xword 0xDEADBEEFDEADBEEF
65214 .xword 0xDEADBEEFDEADBEEF
65215 .xword 0xDEADBEEFDEADBEEF
65216 .xword 0xDEADBEEFDEADBEEF
65217 .xword 0xDEADBEEFDEADBEEF
65218 .xword 0xDEADBEEFDEADBEEF
65219 .xword 0xDEADBEEFDEADBEEF
65220 .xword 0xDEADBEEFDEADBEEF
65221 .xword 0xDEADBEEFDEADBEEF
65222 .xword 0xDEADBEEFDEADBEEF
65223 .xword 0xDEADBEEFDEADBEEF
65224 .xword 0xDEADBEEFDEADBEEF
65225 .xword 0xDEADBEEFDEADBEEF
65226 .xword 0xDEADBEEFDEADBEEF
65227 .xword 0xDEADBEEFDEADBEEF
65228 .xword 0xDEADBEEFDEADBEEF
65229 .xword 0xDEADBEEFDEADBEEF
65230 .xword 0xDEADBEEFDEADBEEF
65231 .xword 0xDEADBEEFDEADBEEF
65232 .xword 0xDEADBEEFDEADBEEF
65233 .xword 0xDEADBEEFDEADBEEF
65234 .xword 0xDEADBEEFDEADBEEF
65235 .xword 0xDEADBEEFDEADBEEF
65236 .xword 0xDEADBEEFDEADBEEF
65237 .xword 0xDEADBEEFDEADBEEF
65238 .xword 0xDEADBEEFDEADBEEF
65239 .xword 0xDEADBEEFDEADBEEF
65240 .xword 0xDEADBEEFDEADBEEF
65241 .xword 0xDEADBEEFDEADBEEF
65242 .xword 0xDEADBEEFDEADBEEF
65243 .xword 0xDEADBEEFDEADBEEF
65244 .xword 0xDEADBEEFDEADBEEF
65245 .xword 0xDEADBEEFDEADBEEF
65246 .xword 0xDEADBEEFDEADBEEF
65247 .xword 0xDEADBEEFDEADBEEF
65248 .xword 0xDEADBEEFDEADBEEF
65249 .xword 0xDEADBEEFDEADBEEF
65250 .xword 0xDEADBEEFDEADBEEF
65251 .xword 0xDEADBEEFDEADBEEF
65252 .xword 0xDEADBEEFDEADBEEF
65253 .xword 0xDEADBEEFDEADBEEF
65254 .xword 0xDEADBEEFDEADBEEF
65255 .xword 0xDEADBEEFDEADBEEF
65256 .xword 0xDEADBEEFDEADBEEF
65257 .xword 0xDEADBEEFDEADBEEF
65258 .xword 0xDEADBEEFDEADBEEF
65259 .xword 0xDEADBEEFDEADBEEF
65260 .xword 0xDEADBEEFDEADBEEF
65261 .xword 0xDEADBEEFDEADBEEF
65262 .xword 0xDEADBEEFDEADBEEF
65263 .xword 0xDEADBEEFDEADBEEF
65264 .xword 0xDEADBEEFDEADBEEF
65265 .xword 0xDEADBEEFDEADBEEF
65266 .xword 0xDEADBEEFDEADBEEF
65267 .xword 0xDEADBEEFDEADBEEF
65268 .xword 0xDEADBEEFDEADBEEF
65269 .xword 0xDEADBEEFDEADBEEF
65270 .xword 0xDEADBEEFDEADBEEF
65271 .xword 0xDEADBEEFDEADBEEF
65272 .xword 0xDEADBEEFDEADBEEF
65273 .xword 0xDEADBEEFDEADBEEF
65274 .xword 0xDEADBEEFDEADBEEF
65275 .xword 0xDEADBEEFDEADBEEF
65276 .xword 0xDEADBEEFDEADBEEF
65277 .xword 0xDEADBEEFDEADBEEF
65278 .xword 0xDEADBEEFDEADBEEF
65279 .xword 0xDEADBEEFDEADBEEF
65280 .xword 0xDEADBEEFDEADBEEF
65281 .xword 0xDEADBEEFDEADBEEF
65282 .xword 0xDEADBEEFDEADBEEF
65283 .xword 0xDEADBEEFDEADBEEF
65284 .xword 0xDEADBEEFDEADBEEF
65285 .xword 0xDEADBEEFDEADBEEF
65286 .xword 0xDEADBEEFDEADBEEF
65287 .xword 0xDEADBEEFDEADBEEF
65288 .xword 0xDEADBEEFDEADBEEF
65289 .xword 0xDEADBEEFDEADBEEF
65290 .xword 0xDEADBEEFDEADBEEF
65291 .xword 0xDEADBEEFDEADBEEF
65292 .xword 0xDEADBEEFDEADBEEF
65293 .xword 0xDEADBEEFDEADBEEF
65294 .xword 0xDEADBEEFDEADBEEF
65295 .xword 0xDEADBEEFDEADBEEF
65296 .xword 0xDEADBEEFDEADBEEF
65297 .xword 0xDEADBEEFDEADBEEF
65298 .xword 0xDEADBEEFDEADBEEF
65299 .xword 0xDEADBEEFDEADBEEF
65300 .xword 0xDEADBEEFDEADBEEF
65301 .xword 0xDEADBEEFDEADBEEF
65302 .xword 0xDEADBEEFDEADBEEF
65303 .xword 0xDEADBEEFDEADBEEF
65304 .xword 0xDEADBEEFDEADBEEF
65305 .xword 0xDEADBEEFDEADBEEF
65306 .xword 0xDEADBEEFDEADBEEF
65307 .xword 0xDEADBEEFDEADBEEF
65308 .xword 0xDEADBEEFDEADBEEF
65309 .xword 0xDEADBEEFDEADBEEF
65310 .xword 0xDEADBEEFDEADBEEF
65311 .xword 0xDEADBEEFDEADBEEF
65312 .xword 0xDEADBEEFDEADBEEF
65313 .xword 0xDEADBEEFDEADBEEF
65314 .xword 0xDEADBEEFDEADBEEF
65315 .xword 0xDEADBEEFDEADBEEF
65316 .xword 0xDEADBEEFDEADBEEF
65317 .xword 0xDEADBEEFDEADBEEF
65318 .xword 0xDEADBEEFDEADBEEF
65319 .xword 0xDEADBEEFDEADBEEF
65320 .xword 0xDEADBEEFDEADBEEF
65321 .xword 0xDEADBEEFDEADBEEF
65322 .xword 0xDEADBEEFDEADBEEF
65323 .xword 0xDEADBEEFDEADBEEF
65324 .xword 0xDEADBEEFDEADBEEF
65325 .xword 0xDEADBEEFDEADBEEF
65326 .xword 0xDEADBEEFDEADBEEF
65327 .xword 0xDEADBEEFDEADBEEF
65328 .xword 0xDEADBEEFDEADBEEF
65329 .xword 0xDEADBEEFDEADBEEF
65330 .xword 0xDEADBEEFDEADBEEF
65331 .xword 0xDEADBEEFDEADBEEF
65332 .xword 0xDEADBEEFDEADBEEF
65333 .xword 0xDEADBEEFDEADBEEF
65334 .xword 0xDEADBEEFDEADBEEF
65335 .xword 0xDEADBEEFDEADBEEF
65336 .xword 0xDEADBEEFDEADBEEF
65337 .xword 0xDEADBEEFDEADBEEF
65338 .xword 0xDEADBEEFDEADBEEF
65339 .xword 0xDEADBEEFDEADBEEF
65340 .xword 0xDEADBEEFDEADBEEF
65341 .xword 0xDEADBEEFDEADBEEF
65342 .xword 0xDEADBEEFDEADBEEF
65343 .xword 0xDEADBEEFDEADBEEF
65344 .xword 0xDEADBEEFDEADBEEF
65345 .xword 0xDEADBEEFDEADBEEF
65346 .xword 0xDEADBEEFDEADBEEF
65347 .xword 0xDEADBEEFDEADBEEF
65348 .xword 0xDEADBEEFDEADBEEF
65349 .xword 0xDEADBEEFDEADBEEF
65350 .xword 0xDEADBEEFDEADBEEF
65351 .xword 0xDEADBEEFDEADBEEF
65352 .xword 0xDEADBEEFDEADBEEF
65353 .xword 0xDEADBEEFDEADBEEF
65354 .xword 0xDEADBEEFDEADBEEF
65355 .xword 0xDEADBEEFDEADBEEF
65356 .xword 0xDEADBEEFDEADBEEF
65357 .xword 0xDEADBEEFDEADBEEF
65358 .xword 0xDEADBEEFDEADBEEF
65359 .xword 0xDEADBEEFDEADBEEF
65360 .xword 0xDEADBEEFDEADBEEF
65361 .xword 0xDEADBEEFDEADBEEF
65362 .xword 0xDEADBEEFDEADBEEF
65363 .xword 0xDEADBEEFDEADBEEF
65364 .xword 0xDEADBEEFDEADBEEF
65365 .xword 0xDEADBEEFDEADBEEF
65366 .xword 0xDEADBEEFDEADBEEF
65367 .xword 0xDEADBEEFDEADBEEF
65368 .xword 0xDEADBEEFDEADBEEF
65369 .xword 0xDEADBEEFDEADBEEF
65370 .xword 0xDEADBEEFDEADBEEF
65371 .xword 0xDEADBEEFDEADBEEF
65372 .xword 0xDEADBEEFDEADBEEF
65373 .xword 0xDEADBEEFDEADBEEF
65374 .xword 0xDEADBEEFDEADBEEF
65375 .xword 0xDEADBEEFDEADBEEF
65376 .xword 0xDEADBEEFDEADBEEF
65377 .xword 0xDEADBEEFDEADBEEF
65378 .xword 0xDEADBEEFDEADBEEF
65379 .xword 0xDEADBEEFDEADBEEF
65380 .xword 0xDEADBEEFDEADBEEF
65381 .xword 0xDEADBEEFDEADBEEF
65382 .xword 0xDEADBEEFDEADBEEF
65383 .xword 0xDEADBEEFDEADBEEF
65384 .xword 0xDEADBEEFDEADBEEF
65385 .xword 0xDEADBEEFDEADBEEF
65386 .xword 0xDEADBEEFDEADBEEF
65387 .xword 0xDEADBEEFDEADBEEF
65388 .xword 0xDEADBEEFDEADBEEF
65389 .xword 0xDEADBEEFDEADBEEF
65390 .xword 0xDEADBEEFDEADBEEF
65391 .xword 0xDEADBEEFDEADBEEF
65392 .xword 0xDEADBEEFDEADBEEF
65393 .xword 0xDEADBEEFDEADBEEF
65394 .xword 0xDEADBEEFDEADBEEF
65395 .xword 0xDEADBEEFDEADBEEF
65396 .xword 0xDEADBEEFDEADBEEF
65397 .xword 0xDEADBEEFDEADBEEF
65398 .xword 0xDEADBEEFDEADBEEF
65399 .xword 0xDEADBEEFDEADBEEF
65400 .xword 0xDEADBEEFDEADBEEF
65401 .xword 0xDEADBEEFDEADBEEF
65402 .xword 0xDEADBEEFDEADBEEF
65403 .xword 0xDEADBEEFDEADBEEF
65404 .xword 0xDEADBEEFDEADBEEF
65405 .xword 0xDEADBEEFDEADBEEF
65406 .xword 0xDEADBEEFDEADBEEF
65407 .xword 0xDEADBEEFDEADBEEF
65408 .xword 0xDEADBEEFDEADBEEF
65409 .xword 0xDEADBEEFDEADBEEF
65410 .xword 0xDEADBEEFDEADBEEF
65411 .xword 0xDEADBEEFDEADBEEF
65412 .xword 0xDEADBEEFDEADBEEF
65413 .xword 0xDEADBEEFDEADBEEF
65414 .xword 0xDEADBEEFDEADBEEF
65415 .xword 0xDEADBEEFDEADBEEF
65416 .xword 0xDEADBEEFDEADBEEF
65417 .xword 0xDEADBEEFDEADBEEF
65418 .xword 0xDEADBEEFDEADBEEF
65419 .xword 0xDEADBEEFDEADBEEF
65420 .xword 0xDEADBEEFDEADBEEF
65421 .xword 0xDEADBEEFDEADBEEF
65422 .xword 0xDEADBEEFDEADBEEF
65423 .xword 0xDEADBEEFDEADBEEF
65424 .xword 0xDEADBEEFDEADBEEF
65425 .xword 0xDEADBEEFDEADBEEF
65426 .xword 0xDEADBEEFDEADBEEF
65427 .xword 0xDEADBEEFDEADBEEF
65428 .xword 0xDEADBEEFDEADBEEF
65429 .xword 0xDEADBEEFDEADBEEF
65430_t1_rc4_auth_key:
65431 .xword 0xde1c4fd2defaa4a8
65432 .xword 0x40fb19fcd015ce64
65433 .xword 0x43588b967dab40a5
65434 .xword 0xd8b84282d29869ab
65435 .xword 0x21ebd11b83982f74
65436 .xword 0x2ad2683cc0e641b3
65437 .xword 0x8ad9f9fc97afdbe7
65438 .xword 0xe5af2cf47049c2c7
65439 .xword 0x4e602edcf16574f7
65440 .xword 0x0a2fc11b236eb9ee
65441 .xword 0x5e2b99bee52c5f1c
65442 .xword 0x76a0b5a7efebac8c
65443 .xword 0x3b7286b10f46f02e
65444 .xword 0xa36b68d62fffe29e
65445 .xword 0xfae0ecb5e3e08b4a
65446 .xword 0xc3c08d15daf4cd5c
65447 .xword 0xf3dff6b76f75d7e1
65448 .xword 0x42a1b0a6a195971c
65449 .xword 0x2b2c3cd60c8df40b
65450 .xword 0xe036f578d2e2b79f
65451 .xword 0x79b84070644d8ef8
65452 .xword 0xc901f490ee7bee93
65453 .xword 0x0dc57544ac3b4fb6
65454_t1_rc4_auth_iv:
65455 .xword 0xee8ed40e51ad77af
65456 .xword 0x632e4414d7a5b217
65457 .xword 0xca1d1ecad2c1919d
65458 .xword 0x3085097031358da8
65459 .xword 0x83429b281408e1d9
65460 .xword 0xc7c69da14c4fc141
65461 .xword 0xb3afa308e19a10e2
65462 .xword 0xe2928d46d71e0305
65463 .xword 0xdc2c3ac164edf475
65464 .xword 0xd21c9a3592381865
65465 .xword 0x07823bbd1a2bccf4
65466 .xword 0x790726cf99a207ef
65467 .xword 0x3a0e33aa9bf1e58e
65468 .xword 0x773eafe3fa706763
65469 .xword 0xccd3c7f272624939
65470 .xword 0x86ccc55be038fa98
65471 .xword 0x2b3a4d2c8abc0860
65472 .xword 0x4bef9e3ae5959b61
65473 .xword 0x88255d03ee5b8777
65474 .xword 0x43cf41f5d440cebf
65475 .xword 0x7825cb28afc17fa5
65476 .xword 0x4acd31c74a13d350
65477 .xword 0x73e2da5415e41040
65478_t1_rc4_fas_result:
65479 .xword 0xDEADBEEFDEADBEEF
65480 .xword 0xDEADBEEFDEADBEEF
65481 .xword 0xDEADBEEFDEADBEEF
65482 .xword 0xDEADBEEFDEADBEEF
65483 .xword 0xDEADBEEFDEADBEEF
65484 .xword 0xDEADBEEFDEADBEEF
65485 .xword 0xDEADBEEFDEADBEEF
65486 .xword 0xDEADBEEFDEADBEEF
65487 .xword 0xDEADBEEFDEADBEEF
65488 .xword 0xDEADBEEFDEADBEEF
65489 .xword 0xDEADBEEFDEADBEEF
65490 .xword 0xDEADBEEFDEADBEEF
65491 .xword 0xDEADBEEFDEADBEEF
65492 .xword 0xDEADBEEFDEADBEEF
65493 .xword 0xDEADBEEFDEADBEEF
65494 .xword 0xDEADBEEFDEADBEEF
65495 .xword 0xDEADBEEFDEADBEEF
65496 .xword 0xDEADBEEFDEADBEEF
65497 .xword 0xDEADBEEFDEADBEEF
65498 .xword 0xDEADBEEFDEADBEEF
65499 .xword 0xDEADBEEFDEADBEEF
65500 .xword 0xDEADBEEFDEADBEEF
65501 .xword 0xDEADBEEFDEADBEEF
65502_t1_sslkey_key_array:
65503 .xword 0x92339b69306478e1
65504 .xword 0x79d7e8d6e94d9fc3
65505 .xword 0xf03f2691f314a6ff
65506 .xword 0xc29811eb36837321
65507 .xword 0x23bce0da4d2c6daf
65508 .xword 0x92dcff11717ffd98
65509 .xword 0xfbebf70d100c097b
65510 .xword 0xf8bf3ed8458b5b7c
65511 .xword 0x40736c1def77f511
65512 .xword 0xb46f1ffd43a8d1c4
65513 .xword 0x848f8380074404f4
65514 .xword 0x3cd76fff3361de4f
65515 .xword 0x72ada64a70b6f0a1
65516 .xword 0xaf52140bf569badc
65517 .xword 0xa813e0e12539ef7f
65518 .xword 0xbc8935421c65e0bf
65519 .xword 0x9927a2817a33354c
65520 .xword 0x6aa5bd9641a61357
65521 .xword 0x4e77b96583df9786
65522 .xword 0x168f3d34497f4fde
65523 .xword 0xbae3447b178c2cb2
65524 .xword 0x599fde11e81951fc
65525 .xword 0x662a0065af0cb5c5
65526 .xword 0x6440b411caf7cc47
65527 .xword 0x787cc757421ee7e0
65528 .xword 0xd6fd19253f5380ff
65529 .xword 0x34d85f5dee9e2a33
65530 .xword 0x3ec802bb85d937e1
65531 .xword 0x08ac6824e7bc9500
65532 .xword 0xc8e4d3701780e08c
65533 .xword 0xbabd4db9b7a39755
65534 .xword 0x6d202376001bc13d
65535 .xword 0x1a976a5bd852865c
65536 .xword 0x65fdc2834d3360ad
65537 .xword 0xc2a9efc3ba2bc740
65538 .xword 0xe8959ec94a0c011e
65539 .xword 0x4a87c9a976549d67
65540 .xword 0xa6f768b0bd55d8b5
65541 .xword 0xd831c2fd3f1609db
65542 .xword 0x585845dcb1f980fa
65543 .xword 0x2c3f3c083225739e
65544 .xword 0x9fad391def4f6290
65545 .xword 0xedbcd7ffe8aa0dc8
65546 .xword 0xf9e79cac448bf278
65547 .xword 0xd373c7cf307838b1
65548 .xword 0x0805d9d99e8ac37d
65549 .xword 0x9343edee04e1fe4f
65550 .xword 0x670d883812f10e06
65551 .xword 0x61b880727a9f08e8
65552 .xword 0x965108849caf14d6
65553 .xword 0xec5f88b74abd6a4a
65554_t1_sslkey_iv_array:
65555 .xword 0xfd25500e2cbb6eb7
65556 .xword 0xeb4e80fd5142104d
65557 .xword 0x84521b8039501893
65558 .xword 0x497249dde441c053
65559 .xword 0x4dc8875951558085
65560 .xword 0x480031fc11d9ac65
65561 .xword 0x8044b55d3ded7ac6
65562 .xword 0x095696c424f4186c
65563 .xword 0xe6f2b3b5a67e611e
65564 .xword 0x012c985b0f699d02
65565 .xword 0xf56a58ec710ad28a
65566 .xword 0x8be99d6ca8c3a4eb
65567 .xword 0xba977f2dae26af7c
65568 .xword 0xdd3ba027d88122c4
65569 .xword 0x84b538f938caf780
65570 .xword 0x04c1fea9507515fc
65571 .xword 0x4334e3d2f42357a7
65572 .xword 0x451cd0b4eadff831
65573 .xword 0x4d30e9da06d74c52
65574 .xword 0xe08dad55c7027c42
65575 .xword 0xf95cb0c86ea6e9d0
65576 .xword 0xa0a3d90d7bde9a4a
65577 .xword 0x11eee2650f298369
65578 .xword 0x7bf67631d5714755
65579 .xword 0x7d13f5958c28c686
65580 .xword 0x47dd197a069b5a3a
65581 .xword 0x58847cdb2563e329
65582 .xword 0xdfbde298a220d209
65583 .xword 0x7ddd14104eaea653
65584 .xword 0x94f9c219db123f27
65585 .xword 0x3d1ba0daea471b44
65586 .xword 0x74504294417a4485
65587 .xword 0x76bb8aa582a703ea
65588 .xword 0x093e4a76bb8e697d
65589 .xword 0xb12bfc93fa167f3d
65590 .xword 0x9af61fe3c4ce7b38
65591 .xword 0x710c7f1831cf3e06
65592 .xword 0xf2ff4b9e4fb134be
65593 .xword 0xf3814defc44e87ae
65594 .xword 0x0430d6f6c4291cb0
65595 .xword 0x2b4c7a5252ec62b7
65596 .xword 0xdf082143f48e0292
65597 .xword 0x054d1095f8a42510
65598 .xword 0xeb7421a27722c544
65599 .xword 0x1fa66a30eab08e6b
65600_t1_sslkey_alignment_array:
65601 .xword 0
65602 .xword 0
65603 .xword 0
65604 .xword 0
65605 .xword 0
65606 .xword 0
65607 .xword 0
65608 .xword 0
65609 .xword 0
65610 .xword 0
65611 .xword 0
65612 .xword 0
65613 .xword 0
65614 .xword 0
65615 .xword 0
65616 .xword 0
65617 .xword 0
65618 .xword 0
65619 .xword 0
65620 .xword 0
65621 .xword 0
65622 .xword 0
65623 .xword 0
65624 .xword 0
65625 .xword 0
65626 .xword 0
65627 .xword 0
65628 .xword 0
65629 .xword 0
65630 .xword 0
65631 .xword 0
65632 .xword 0
65633 .xword 0
65634 .xword 0
65635 .xword 0
65636 .xword 0
65637 .xword 0
65638 .xword 0
65639 .xword 0
65640 .xword 0
65641 .xword 0
65642 .xword 0
65643 .xword 0
65644 .xword 0
65645 .xword 0
65646 .xword 0
65647 .xword 0
65648 .xword 0
65649 .xword 0
65650 .xword 0
65651 .xword 0
65652 .xword 0
65653 .xword 0
65654 .xword 0
65655 .xword 0
65656 .xword 0
65657 .xword 0
65658 .xword 0
65659 .xword 0
65660 .xword 0
65661 .xword 0
65662 .xword 0
65663 .xword 0
65664 .xword 0
65665 .xword 0
65666 .xword 0
65667 .xword 0
65668 .xword 0
65669 .xword 0
65670 .xword 0
65671 .xword 0
65672 .xword 0
65673 .xword 0
65674 .xword 0
65675 .xword 0
65676 .xword 0
65677 .xword 0
65678 .xword 0
65679 .xword 0
65680 .xword 0
65681 .xword 0
65682 .xword 0
65683 .xword 0
65684 .xword 0
65685 .xword 0
65686 .xword 0
65687 .xword 0
65688 .xword 0
65689 .xword 0
65690 .xword 0
65691 .xword 0
65692 .xword 0
65693 .xword 0
65694 .xword 0
65695 .xword 0
65696 .xword 0
65697 .xword 0
65698 .xword 0
65699 .xword 0
65700 .xword 0
65701 .xword 0
65702 .xword 0
65703 .xword 0
65704 .xword 0
65705 .xword 0
65706_t1_sslkey_src:
65707 .xword 0x6f9e627ac7860827
65708 .xword 0xd68f531e296a7a8f
65709 .xword 0xb4f9053d15ef1c70
65710 .xword 0x84aefead3260920c
65711 .xword 0x456b00207f32519c
65712 .xword 0x37d5936597774381
65713 .xword 0x4ed5cde3525c9737
65714 .xword 0x6ab318c615d6a892
65715 .xword 0x2e7c0eab399d2953
65716 .xword 0x0f0a28709ce3f69d
65717 .xword 0x787829b9d5197cd1
65718 .xword 0xe1544f986a8448f3
65719 .xword 0xbf7f6775d756591c
65720 .xword 0x10b7a88ffc32fc46
65721 .xword 0x15cfa510a171237f
65722 .xword 0xfb0c16ddb7c4978a
65723 .xword 0x144b2af00aadd234
65724 .xword 0x9de67aece56abf87
65725 .xword 0xd9bf244219740f80
65726 .xword 0x49a856fc4a701cdc
65727 .xword 0xf86010aced88696d
65728 .xword 0xbbf121e2d293ace9
65729 .xword 0x4f24e15bd6f87869
65730 .xword 0xf9d0b3aeadc2eb6f
65731 .xword 0xfc529212fc13cb3d
65732 .xword 0x966da2841fd41367
65733 .xword 0x841c16bfc740ec81
65734 .xword 0xea92ad6c31cbd19c
65735 .xword 0xb9e58958a2bf484d
65736 .xword 0x197ee3a31ca11aee
65737 .xword 0xa3386ae3031eedbe
65738 .xword 0x3641468e5f3aa1e1
65739 .xword 0xc37da3045bc83a32
65740 .xword 0xd156f5bb495174b9
65741 .xword 0x85a30d8f93a059d4
65742 .xword 0x4cd4a92cfe8482df
65743 .xword 0x3d4586c86d0b50d0
65744 .xword 0x604ff3bd4fc67439
65745 .xword 0xdefd39c4d109006b
65746 .xword 0x33b92a7007751e2d
65747 .xword 0x1364a361e1b8ecbe
65748 .xword 0x870e6d23ec152ddf
65749 .xword 0xb9bf2f08cfb8801b
65750 .xword 0xadb84dd2828723af
65751 .xword 0x1c7af31d1315ffce
65752 .xword 0x90e80d4d2db2312a
65753 .xword 0x7549b69d9d669e3d
65754 .xword 0xd4a33bc3910a933b
65755 .xword 0x4342eb9263891770
65756 .xword 0x4f5939d587655ddb
65757 .xword 0x9e82073005ad4be5
65758 .xword 0x2d062be09f349fff
65759 .xword 0xede67afaa698fe71
65760 .xword 0xc48f9d2957b8e1bd
65761 .xword 0x101276af13abbc9f
65762 .xword 0x6bb2d67a3d9fa25d
65763 .xword 0x73dd0faa56edc0b2
65764 .xword 0xda6debe7ad780dde
65765 .xword 0x9f28a61c3f376c83
65766 .xword 0xcc5db8e6a4e23b44
65767 .xword 0xcfa64e32e8839884
65768 .xword 0x6d4b1c20d51c4f38
65769 .xword 0x2f906d17b4a3fcd1
65770 .xword 0x30fad38d9dade212
65771 .xword 0x07090d92287d830c
65772 .xword 0x5d29d3acaaf7c2ad
65773 .xword 0xa7c1bab94604c567
65774 .xword 0x49e7e57cc0a6e937
65775 .xword 0x630814fecbfcee25
65776 .xword 0x5d01ee3b6bf1fd5d
65777 .xword 0xb49363d7789540f3
65778 .xword 0xc8771c54f4f4cfa6
65779 .xword 0x1c7d13e16364afb7
65780 .xword 0xdb97d7f62a359000
65781 .xword 0x226c888962151108
65782 .xword 0x06ba80b3fa919832
65783 .xword 0x1acaecd6fdfb96aa
65784 .xword 0xd8e5059e51bd4be0
65785 .xword 0x1e0eeffe56e302b7
65786 .xword 0xffa2f8c5827fd8b8
65787 .xword 0xa09e532ee33e55b5
65788 .xword 0x4a1f516be28155fa
65789 .xword 0xe0d1508af50e1135
65790 .xword 0xe22236935be28dcc
65791 .xword 0x85ebb5da52361ce2
65792 .xword 0x476be82c58636d9e
65793 .xword 0xb3e023136fe600ff
65794 .xword 0x3c18d6923ffb1458
65795 .xword 0x74783ac2bcc50324
65796 .xword 0xc95ae4b745eac6a6
65797 .xword 0xc861520d33efbfa4
65798 .xword 0x219d09ca941d97e9
65799 .xword 0xad36626078c31c85
65800 .xword 0x6e32fbfc039382ab
65801 .xword 0x4a3033b1886ca42c
65802 .xword 0x250e32415f32a716
65803 .xword 0xa96886fd1706855c
65804 .xword 0xc7960e8f19aa9f50
65805 .xword 0x331192efc2e42a91
65806 .xword 0x80b7cdc41d9d5648
65807 .xword 0xc82b97307730ebe9
65808 .xword 0x44c76dd370322004
65809 .xword 0xc40f9d6daa5e450b
65810 .xword 0x46d57b82e7207af2
65811 .xword 0xac71da7c81cdaa8e
65812 .xword 0xb403e128e38efacc
65813 .xword 0xb8fd13449e639112
65814 .xword 0xef9d4bf85fee4a0e
65815 .xword 0xc9bb2ab921074042
65816 .xword 0xd2bccd2829e34d72
65817 .xword 0xe2bb0531fc611238
65818 .xword 0x466aca385f4ec81f
65819 .xword 0xa71d64293779a82a
65820 .xword 0x9674f49dd165ad3b
65821 .xword 0xd17294a114a82a4e
65822 .xword 0x2d117efde5d1fb5f
65823 .xword 0xc50de3e16fefb2b2
65824 .xword 0x1dec65cb2674568e
65825 .xword 0xd22708892ca20031
65826 .xword 0x0a0a744a743eed96
65827 .xword 0x83bad8caddee4a7c
65828 .xword 0x7d1f0c16f6b87f8a
65829 .xword 0x29d5d8c32529c40f
65830 .xword 0x2678872576bc0191
65831 .xword 0xd2596c425fc66631
65832 .xword 0x9f80f9a1cbb127bc
65833 .xword 0x778a5d7dbfe3b06c
65834 .xword 0xae4f09f2521a31f6
65835 .xword 0xee3309bb42de9cb9
65836 .xword 0x17a6bda5e1b8635b
65837 .xword 0xa7f8367376cb267e
65838 .xword 0x299249dee3ceab46
65839 .xword 0xf93d8bae772ff5aa
65840 .xword 0xfb12d1392d739dc9
65841 .xword 0x5645ed1587f69d17
65842 .xword 0xa8c02ef807dafb9a
65843 .xword 0x04fa74a09d212e6f
65844 .xword 0xc2d030d7a9fab1e3
65845 .xword 0x8ece4ac9d8b8d36e
65846 .xword 0x27bb975542a3403f
65847 .xword 0x74770ef01053e886
65848 .xword 0x5206d72762bc1ead
65849 .xword 0x7dcdcec20b492e8f
65850 .xword 0xc9d8b27c76c08fd0
65851 .xword 0xcee2720fdef8063c
65852 .xword 0xa9d02c65ddfd5131
65853 .xword 0x474acb61f08e420c
65854 .xword 0xa7df97e209e5de1a
65855 .xword 0x9112efd35043524b
65856 .xword 0xc19729189376c23d
65857 .xword 0x7f5b10d484cb531e
65858 .xword 0x13e98067b6afc4fd
65859 .xword 0x18ae75b475cdb8f9
65860 .xword 0xb4477bb679bb2ea6
65861 .xword 0xaed18a8313aca46b
65862 .xword 0x55ce4879b0549e9b
65863 .xword 0x4afcb91b79bfee1f
65864 .xword 0xe81130f1c6c5fedc
65865 .xword 0x2e8670e5cdb38f75
65866 .xword 0x687bfdbe677e4ece
65867 .xword 0xd93f518e17c92cb7
65868 .xword 0x2691b69c30706a48
65869 .xword 0xf21457f8061b3b64
65870 .xword 0x0412ec2e5f6f7edb
65871 .xword 0x47f11aa7eb578e8f
65872 .xword 0xa9bc32599d781a26
65873 .xword 0xd83adc79e11d83f7
65874 .xword 0x31ab40ecce8c6a82
65875 .xword 0xd7c612c48c071ca0
65876 .xword 0x9bc786699e8b0c02
65877 .xword 0xa302d21f7b8c9922
65878 .xword 0x1ab79277cabea27e
65879 .xword 0xe43397b4653832a5
65880 .xword 0x2fa5c5b721e1034e
65881 .xword 0xd84bff97446eb1a9
65882 .xword 0x570b1bd7dba2d2b9
65883 .xword 0xb9d6be6bba5b150d
65884 .xword 0x6f0ee2dbefa234f1
65885 .xword 0x17db679378eb2625
65886 .xword 0x2d5d21b3e75fc75c
65887 .xword 0x276343e62769861d
65888 .xword 0xde160a994c143385
65889 .xword 0x5c00e7cbd1f289ac
65890 .xword 0x8086ede19c085a55
65891 .xword 0xe0f2ea68376d436c
65892 .xword 0xfa37b116686770c3
65893 .xword 0xd9d98212ac921d26
65894 .xword 0x8cc43a72c4f24806
65895 .xword 0x929b499c9dc5cbf3
65896 .xword 0xbce5aa6aa116331f
65897 .xword 0x70359b207ba6f325
65898 .xword 0x8c8512bfbf17ba52
65899 .xword 0x871b01f71c63df36
65900 .xword 0x4a655d694441fc9c
65901 .xword 0xad74cba02f1358a2
65902 .xword 0xae2aef3be096f41d
65903 .xword 0x1a53e895a4b9a7a5
65904 .xword 0x1180dfd110f0a37d
65905 .xword 0x3a1b134fd0971337
65906 .xword 0x3fceb7b7ced48d6b
65907 .xword 0x1e5ab17f4c297bf8
65908 .xword 0x9b8cb0e411a8ce18
65909 .xword 0x27816c29e4dc9302
65910 .xword 0x49ead845578dc606
65911 .xword 0xfaa034778c0eaa07
65912 .xword 0xbef415540c64533a
65913 .xword 0xcbd1447e50d1ec10
65914 .xword 0x44dfc92198e4a3a2
65915 .xword 0xa21fad85893ce14b
65916 .xword 0x95ec4b8d139fa343
65917 .xword 0x864ca475fcd53737
65918 .xword 0x616a5d352b15015c
65919 .xword 0x87b9cea8af2c7cd4
65920 .xword 0x5a43fe6a75b921a5
65921 .xword 0x1486c9b145d802b7
65922 .xword 0xae837404b55367db
65923 .xword 0x27983bee315043fc
65924 .xword 0xa2c35a69a66a453b
65925 .xword 0x3e251796a4558e54
65926 .xword 0xdede987eb86f8943
65927 .xword 0x60e10f9e50809ad9
65928 .xword 0x5e1c40ec3b52f4fa
65929 .xword 0x2dfb4d494d6ca871
65930 .xword 0x3bee662cbc2bc4c2
65931 .xword 0x5348bf06c4c76d48
65932 .xword 0xd2d9513146a0a00e
65933 .xword 0x23562b57537ce7ef
65934 .xword 0x8e9dddd156114d31
65935 .xword 0x68d7623190ddcfdb
65936 .xword 0xde49fa3c23004849
65937 .xword 0x81a7f3c2ce108d6a
65938 .xword 0xa550de153f4e5858
65939 .xword 0xa68ddac680e79265
65940 .xword 0xcc53d2b086d9b6b0
65941 .xword 0x39a27dd08fb35276
65942 .xword 0x3bc71bdef86748bf
65943 .xword 0xb9e15a8547244dc3
65944 .xword 0x015b996185cf010e
65945 .xword 0xb03a1c1022ed3f09
65946 .xword 0xc594fffb666611db
65947 .xword 0x3f1648b6a395d2a0
65948 .xword 0x107e46181e848450
65949 .xword 0xe8452776547f5757
65950 .xword 0xec3eb4581bd01993
65951 .xword 0x5b64e83102b63dac
65952 .xword 0x0dc86b3684d72767
65953 .xword 0x2287e87d950184a9
65954 .xword 0x5dada10bcbb7c1a5
65955 .xword 0x2177a8976e3ddde7
65956 .xword 0x71087dd0a71f5569
65957 .xword 0x13b7a0e5c7d9d542
65958 .xword 0x3e282bdc836ae57e
65959 .xword 0x08bba4f05c443648
65960 .xword 0x0427d7faf5027198
65961 .xword 0x42f32d116c9ec879
65962 .xword 0x34f1010206b56dc9
65963 .xword 0x27db6578072f6afe
65964 .xword 0x45fc8f7e2a2f0c23
65965 .xword 0x10473d065fa39dfd
65966 .xword 0xe36bc05d0b95697b
65967 .xword 0xce744301307931d0
65968 .xword 0xef40caaa2b5f1c1a
65969 .xword 0x60f8cf46929077d1
65970 .xword 0x0b4434bf8b57b7ba
65971 .xword 0xd29b52e0ccfa5ca0
65972 .xword 0x7d92377ef971c844
65973 .xword 0xd4e438d29e5bb546
65974 .xword 0x087c56fffca4b99f
65975 .xword 0xc82cc73ec0944758
65976 .xword 0xfb80d39359932eec
65977 .xword 0xdeded06dd8c22735
65978 .xword 0xeafbbd33f1641f43
65979 .xword 0x3a6ef5108fbd9e65
65980 .xword 0x0f3554848784fa2b
65981 .xword 0x844b80ba35f0db53
65982_t1_sslkey_dest:
65983 .xword 0xDEADBEEFDEADBEEF
65984 .xword 0xDEADBEEFDEADBEEF
65985 .xword 0xDEADBEEFDEADBEEF
65986 .xword 0xDEADBEEFDEADBEEF
65987 .xword 0xDEADBEEFDEADBEEF
65988 .xword 0xDEADBEEFDEADBEEF
65989 .xword 0xDEADBEEFDEADBEEF
65990 .xword 0xDEADBEEFDEADBEEF
65991 .xword 0xDEADBEEFDEADBEEF
65992 .xword 0xDEADBEEFDEADBEEF
65993 .xword 0xDEADBEEFDEADBEEF
65994 .xword 0xDEADBEEFDEADBEEF
65995 .xword 0xDEADBEEFDEADBEEF
65996 .xword 0xDEADBEEFDEADBEEF
65997 .xword 0xDEADBEEFDEADBEEF
65998 .xword 0xDEADBEEFDEADBEEF
65999 .xword 0xDEADBEEFDEADBEEF
66000 .xword 0xDEADBEEFDEADBEEF
66001 .xword 0xDEADBEEFDEADBEEF
66002 .xword 0xDEADBEEFDEADBEEF
66003 .xword 0xDEADBEEFDEADBEEF
66004 .xword 0xDEADBEEFDEADBEEF
66005 .xword 0xDEADBEEFDEADBEEF
66006 .xword 0xDEADBEEFDEADBEEF
66007 .xword 0xDEADBEEFDEADBEEF
66008 .xword 0xDEADBEEFDEADBEEF
66009 .xword 0xDEADBEEFDEADBEEF
66010 .xword 0xDEADBEEFDEADBEEF
66011 .xword 0xDEADBEEFDEADBEEF
66012 .xword 0xDEADBEEFDEADBEEF
66013 .xword 0xDEADBEEFDEADBEEF
66014 .xword 0xDEADBEEFDEADBEEF
66015 .xword 0xDEADBEEFDEADBEEF
66016 .xword 0xDEADBEEFDEADBEEF
66017 .xword 0xDEADBEEFDEADBEEF
66018 .xword 0xDEADBEEFDEADBEEF
66019 .xword 0xDEADBEEFDEADBEEF
66020 .xword 0xDEADBEEFDEADBEEF
66021 .xword 0xDEADBEEFDEADBEEF
66022 .xword 0xDEADBEEFDEADBEEF
66023 .xword 0xDEADBEEFDEADBEEF
66024 .xword 0xDEADBEEFDEADBEEF
66025 .xword 0xDEADBEEFDEADBEEF
66026 .xword 0xDEADBEEFDEADBEEF
66027 .xword 0xDEADBEEFDEADBEEF
66028 .xword 0xDEADBEEFDEADBEEF
66029 .xword 0xDEADBEEFDEADBEEF
66030 .xword 0xDEADBEEFDEADBEEF
66031 .xword 0xDEADBEEFDEADBEEF
66032 .xword 0xDEADBEEFDEADBEEF
66033 .xword 0xDEADBEEFDEADBEEF
66034 .xword 0xDEADBEEFDEADBEEF
66035 .xword 0xDEADBEEFDEADBEEF
66036 .xword 0xDEADBEEFDEADBEEF
66037 .xword 0xDEADBEEFDEADBEEF
66038 .xword 0xDEADBEEFDEADBEEF
66039 .xword 0xDEADBEEFDEADBEEF
66040 .xword 0xDEADBEEFDEADBEEF
66041 .xword 0xDEADBEEFDEADBEEF
66042 .xword 0xDEADBEEFDEADBEEF
66043 .xword 0xDEADBEEFDEADBEEF
66044 .xword 0xDEADBEEFDEADBEEF
66045 .xword 0xDEADBEEFDEADBEEF
66046 .xword 0xDEADBEEFDEADBEEF
66047 .xword 0xDEADBEEFDEADBEEF
66048 .xword 0xDEADBEEFDEADBEEF
66049 .xword 0xDEADBEEFDEADBEEF
66050 .xword 0xDEADBEEFDEADBEEF
66051 .xword 0xDEADBEEFDEADBEEF
66052 .xword 0xDEADBEEFDEADBEEF
66053 .xword 0xDEADBEEFDEADBEEF
66054 .xword 0xDEADBEEFDEADBEEF
66055 .xword 0xDEADBEEFDEADBEEF
66056 .xword 0xDEADBEEFDEADBEEF
66057 .xword 0xDEADBEEFDEADBEEF
66058 .xword 0xDEADBEEFDEADBEEF
66059 .xword 0xDEADBEEFDEADBEEF
66060 .xword 0xDEADBEEFDEADBEEF
66061 .xword 0xDEADBEEFDEADBEEF
66062 .xword 0xDEADBEEFDEADBEEF
66063 .xword 0xDEADBEEFDEADBEEF
66064 .xword 0xDEADBEEFDEADBEEF
66065 .xword 0xDEADBEEFDEADBEEF
66066 .xword 0xDEADBEEFDEADBEEF
66067 .xword 0xDEADBEEFDEADBEEF
66068 .xword 0xDEADBEEFDEADBEEF
66069 .xword 0xDEADBEEFDEADBEEF
66070 .xword 0xDEADBEEFDEADBEEF
66071 .xword 0xDEADBEEFDEADBEEF
66072 .xword 0xDEADBEEFDEADBEEF
66073 .xword 0xDEADBEEFDEADBEEF
66074 .xword 0xDEADBEEFDEADBEEF
66075 .xword 0xDEADBEEFDEADBEEF
66076 .xword 0xDEADBEEFDEADBEEF
66077 .xword 0xDEADBEEFDEADBEEF
66078 .xword 0xDEADBEEFDEADBEEF
66079 .xword 0xDEADBEEFDEADBEEF
66080 .xword 0xDEADBEEFDEADBEEF
66081 .xword 0xDEADBEEFDEADBEEF
66082 .xword 0xDEADBEEFDEADBEEF
66083 .xword 0xDEADBEEFDEADBEEF
66084 .xword 0xDEADBEEFDEADBEEF
66085 .xword 0xDEADBEEFDEADBEEF
66086 .xword 0xDEADBEEFDEADBEEF
66087 .xword 0xDEADBEEFDEADBEEF
66088 .xword 0xDEADBEEFDEADBEEF
66089 .xword 0xDEADBEEFDEADBEEF
66090 .xword 0xDEADBEEFDEADBEEF
66091 .xword 0xDEADBEEFDEADBEEF
66092 .xword 0xDEADBEEFDEADBEEF
66093 .xword 0xDEADBEEFDEADBEEF
66094 .xword 0xDEADBEEFDEADBEEF
66095 .xword 0xDEADBEEFDEADBEEF
66096 .xword 0xDEADBEEFDEADBEEF
66097 .xword 0xDEADBEEFDEADBEEF
66098 .xword 0xDEADBEEFDEADBEEF
66099 .xword 0xDEADBEEFDEADBEEF
66100 .xword 0xDEADBEEFDEADBEEF
66101 .xword 0xDEADBEEFDEADBEEF
66102 .xword 0xDEADBEEFDEADBEEF
66103 .xword 0xDEADBEEFDEADBEEF
66104 .xword 0xDEADBEEFDEADBEEF
66105 .xword 0xDEADBEEFDEADBEEF
66106 .xword 0xDEADBEEFDEADBEEF
66107 .xword 0xDEADBEEFDEADBEEF
66108 .xword 0xDEADBEEFDEADBEEF
66109 .xword 0xDEADBEEFDEADBEEF
66110 .xword 0xDEADBEEFDEADBEEF
66111 .xword 0xDEADBEEFDEADBEEF
66112 .xword 0xDEADBEEFDEADBEEF
66113 .xword 0xDEADBEEFDEADBEEF
66114 .xword 0xDEADBEEFDEADBEEF
66115 .xword 0xDEADBEEFDEADBEEF
66116 .xword 0xDEADBEEFDEADBEEF
66117 .xword 0xDEADBEEFDEADBEEF
66118 .xword 0xDEADBEEFDEADBEEF
66119 .xword 0xDEADBEEFDEADBEEF
66120 .xword 0xDEADBEEFDEADBEEF
66121 .xword 0xDEADBEEFDEADBEEF
66122 .xword 0xDEADBEEFDEADBEEF
66123 .xword 0xDEADBEEFDEADBEEF
66124 .xword 0xDEADBEEFDEADBEEF
66125 .xword 0xDEADBEEFDEADBEEF
66126 .xword 0xDEADBEEFDEADBEEF
66127 .xword 0xDEADBEEFDEADBEEF
66128 .xword 0xDEADBEEFDEADBEEF
66129 .xword 0xDEADBEEFDEADBEEF
66130 .xword 0xDEADBEEFDEADBEEF
66131 .xword 0xDEADBEEFDEADBEEF
66132 .xword 0xDEADBEEFDEADBEEF
66133 .xword 0xDEADBEEFDEADBEEF
66134 .xword 0xDEADBEEFDEADBEEF
66135 .xword 0xDEADBEEFDEADBEEF
66136 .xword 0xDEADBEEFDEADBEEF
66137 .xword 0xDEADBEEFDEADBEEF
66138 .xword 0xDEADBEEFDEADBEEF
66139 .xword 0xDEADBEEFDEADBEEF
66140 .xword 0xDEADBEEFDEADBEEF
66141 .xword 0xDEADBEEFDEADBEEF
66142 .xword 0xDEADBEEFDEADBEEF
66143 .xword 0xDEADBEEFDEADBEEF
66144 .xword 0xDEADBEEFDEADBEEF
66145 .xword 0xDEADBEEFDEADBEEF
66146 .xword 0xDEADBEEFDEADBEEF
66147 .xword 0xDEADBEEFDEADBEEF
66148 .xword 0xDEADBEEFDEADBEEF
66149 .xword 0xDEADBEEFDEADBEEF
66150 .xword 0xDEADBEEFDEADBEEF
66151 .xword 0xDEADBEEFDEADBEEF
66152 .xword 0xDEADBEEFDEADBEEF
66153 .xword 0xDEADBEEFDEADBEEF
66154 .xword 0xDEADBEEFDEADBEEF
66155 .xword 0xDEADBEEFDEADBEEF
66156 .xword 0xDEADBEEFDEADBEEF
66157 .xword 0xDEADBEEFDEADBEEF
66158 .xword 0xDEADBEEFDEADBEEF
66159 .xword 0xDEADBEEFDEADBEEF
66160 .xword 0xDEADBEEFDEADBEEF
66161 .xword 0xDEADBEEFDEADBEEF
66162 .xword 0xDEADBEEFDEADBEEF
66163 .xword 0xDEADBEEFDEADBEEF
66164 .xword 0xDEADBEEFDEADBEEF
66165 .xword 0xDEADBEEFDEADBEEF
66166 .xword 0xDEADBEEFDEADBEEF
66167 .xword 0xDEADBEEFDEADBEEF
66168 .xword 0xDEADBEEFDEADBEEF
66169 .xword 0xDEADBEEFDEADBEEF
66170 .xword 0xDEADBEEFDEADBEEF
66171 .xword 0xDEADBEEFDEADBEEF
66172 .xword 0xDEADBEEFDEADBEEF
66173 .xword 0xDEADBEEFDEADBEEF
66174 .xword 0xDEADBEEFDEADBEEF
66175 .xword 0xDEADBEEFDEADBEEF
66176 .xword 0xDEADBEEFDEADBEEF
66177 .xword 0xDEADBEEFDEADBEEF
66178 .xword 0xDEADBEEFDEADBEEF
66179 .xword 0xDEADBEEFDEADBEEF
66180 .xword 0xDEADBEEFDEADBEEF
66181 .xword 0xDEADBEEFDEADBEEF
66182 .xword 0xDEADBEEFDEADBEEF
66183 .xword 0xDEADBEEFDEADBEEF
66184 .xword 0xDEADBEEFDEADBEEF
66185 .xword 0xDEADBEEFDEADBEEF
66186 .xword 0xDEADBEEFDEADBEEF
66187 .xword 0xDEADBEEFDEADBEEF
66188 .xword 0xDEADBEEFDEADBEEF
66189 .xword 0xDEADBEEFDEADBEEF
66190 .xword 0xDEADBEEFDEADBEEF
66191 .xword 0xDEADBEEFDEADBEEF
66192 .xword 0xDEADBEEFDEADBEEF
66193 .xword 0xDEADBEEFDEADBEEF
66194 .xword 0xDEADBEEFDEADBEEF
66195 .xword 0xDEADBEEFDEADBEEF
66196 .xword 0xDEADBEEFDEADBEEF
66197 .xword 0xDEADBEEFDEADBEEF
66198 .xword 0xDEADBEEFDEADBEEF
66199 .xword 0xDEADBEEFDEADBEEF
66200 .xword 0xDEADBEEFDEADBEEF
66201 .xword 0xDEADBEEFDEADBEEF
66202 .xword 0xDEADBEEFDEADBEEF
66203 .xword 0xDEADBEEFDEADBEEF
66204 .xword 0xDEADBEEFDEADBEEF
66205 .xword 0xDEADBEEFDEADBEEF
66206 .xword 0xDEADBEEFDEADBEEF
66207 .xword 0xDEADBEEFDEADBEEF
66208 .xword 0xDEADBEEFDEADBEEF
66209 .xword 0xDEADBEEFDEADBEEF
66210 .xword 0xDEADBEEFDEADBEEF
66211 .xword 0xDEADBEEFDEADBEEF
66212 .xword 0xDEADBEEFDEADBEEF
66213 .xword 0xDEADBEEFDEADBEEF
66214 .xword 0xDEADBEEFDEADBEEF
66215 .xword 0xDEADBEEFDEADBEEF
66216 .xword 0xDEADBEEFDEADBEEF
66217 .xword 0xDEADBEEFDEADBEEF
66218 .xword 0xDEADBEEFDEADBEEF
66219 .xword 0xDEADBEEFDEADBEEF
66220 .xword 0xDEADBEEFDEADBEEF
66221 .xword 0xDEADBEEFDEADBEEF
66222 .xword 0xDEADBEEFDEADBEEF
66223 .xword 0xDEADBEEFDEADBEEF
66224 .xword 0xDEADBEEFDEADBEEF
66225 .xword 0xDEADBEEFDEADBEEF
66226 .xword 0xDEADBEEFDEADBEEF
66227 .xword 0xDEADBEEFDEADBEEF
66228 .xword 0xDEADBEEFDEADBEEF
66229 .xword 0xDEADBEEFDEADBEEF
66230 .xword 0xDEADBEEFDEADBEEF
66231 .xword 0xDEADBEEFDEADBEEF
66232 .xword 0xDEADBEEFDEADBEEF
66233 .xword 0xDEADBEEFDEADBEEF
66234 .xword 0xDEADBEEFDEADBEEF
66235 .xword 0xDEADBEEFDEADBEEF
66236 .xword 0xDEADBEEFDEADBEEF
66237 .xword 0xDEADBEEFDEADBEEF
66238 .xword 0xDEADBEEFDEADBEEF
66239 .xword 0xDEADBEEFDEADBEEF
66240 .xword 0xDEADBEEFDEADBEEF
66241 .xword 0xDEADBEEFDEADBEEF
66242 .xword 0xDEADBEEFDEADBEEF
66243 .xword 0xDEADBEEFDEADBEEF
66244 .xword 0xDEADBEEFDEADBEEF
66245 .xword 0xDEADBEEFDEADBEEF
66246 .xword 0xDEADBEEFDEADBEEF
66247 .xword 0xDEADBEEFDEADBEEF
66248 .xword 0xDEADBEEFDEADBEEF
66249 .xword 0xDEADBEEFDEADBEEF
66250 .xword 0xDEADBEEFDEADBEEF
66251 .xword 0xDEADBEEFDEADBEEF
66252 .xword 0xDEADBEEFDEADBEEF
66253 .xword 0xDEADBEEFDEADBEEF
66254 .xword 0xDEADBEEFDEADBEEF
66255 .xword 0xDEADBEEFDEADBEEF
66256 .xword 0xDEADBEEFDEADBEEF
66257 .xword 0xDEADBEEFDEADBEEF
66258_t1_sslkey_auth_key:
66259 .xword 0x61e75ae18ca01d2c
66260 .xword 0x8c06c457369c9529
66261 .xword 0xa0d78c9efccdc679
66262 .xword 0x94f915e0e8b114a6
66263 .xword 0x8b3c59ee320b0967
66264 .xword 0xf34a62a8a75c97dc
66265 .xword 0xb0e26554c803e2dc
66266 .xword 0xee6fd370a3ac4351
66267 .xword 0x816686409e716914
66268 .xword 0x68c9ad95c6723542
66269 .xword 0xa4a5b5c3c7f430a6
66270 .xword 0xa475cb58f3c76697
66271 .xword 0x5e5e1c947281dc9d
66272 .xword 0x97facd95e25dd7ad
66273 .xword 0xc83039a306cf0f10
66274 .xword 0x430fddf0f3f60b45
66275 .xword 0x6b0d50d6da9a3108
66276 .xword 0x979857b92d22b993
66277 .xword 0x0f276662358cd2b1
66278 .xword 0x656df219dddacb65
66279 .xword 0xfef6a9ff2a6a41c9
66280 .xword 0xee62d362ab2a8cf4
66281 .xword 0xa222cc480d69c253
66282_t1_sslkey_auth_iv:
66283 .xword 0xe6662edc53bc20c9
66284 .xword 0xdae3e8ce674dde9d
66285 .xword 0x4294158fa15e4630
66286 .xword 0x300f980bdd7e4400
66287 .xword 0x003f9de4d8215ee0
66288 .xword 0xd2cbd5a3bf9d6be4
66289 .xword 0x49dbbc94fff06b29
66290 .xword 0x75a488b4614bd0c9
66291 .xword 0x3e68b46085a271d5
66292 .xword 0x79565dc0bc078b86
66293 .xword 0x99c1133788e973ec
66294 .xword 0x4ed54ffe25c85fbd
66295 .xword 0x5da4e174c7e63e21
66296 .xword 0x3ea31413181359ff
66297 .xword 0x8ccda707b7cad9d6
66298 .xword 0x9e7e9a7c3a0553a5
66299 .xword 0xe604f5f6377664d1
66300 .xword 0x712e67b3a47c79a8
66301 .xword 0x23d47671f652ca2e
66302 .xword 0x953290f1c62a8bc4
66303 .xword 0x9545b98969cc665e
66304 .xword 0xf363e8103cbcdd27
66305 .xword 0xfb1fac3c18ba5493
66306_t1_sslkey_fas_result:
66307 .xword 0xDEADBEEFDEADBEEF
66308 .xword 0xDEADBEEFDEADBEEF
66309 .xword 0xDEADBEEFDEADBEEF
66310 .xword 0xDEADBEEFDEADBEEF
66311 .xword 0xDEADBEEFDEADBEEF
66312 .xword 0xDEADBEEFDEADBEEF
66313 .xword 0xDEADBEEFDEADBEEF
66314 .xword 0xDEADBEEFDEADBEEF
66315 .xword 0xDEADBEEFDEADBEEF
66316 .xword 0xDEADBEEFDEADBEEF
66317 .xword 0xDEADBEEFDEADBEEF
66318 .xword 0xDEADBEEFDEADBEEF
66319 .xword 0xDEADBEEFDEADBEEF
66320 .xword 0xDEADBEEFDEADBEEF
66321 .xword 0xDEADBEEFDEADBEEF
66322 .xword 0xDEADBEEFDEADBEEF
66323 .xword 0xDEADBEEFDEADBEEF
66324 .xword 0xDEADBEEFDEADBEEF
66325 .xword 0xDEADBEEFDEADBEEF
66326 .xword 0xDEADBEEFDEADBEEF
66327 .xword 0xDEADBEEFDEADBEEF
66328 .xword 0xDEADBEEFDEADBEEF
66329 .xword 0xDEADBEEFDEADBEEF
66330_t1_aes_toc:
66331 .xword _t1_aes_cwd_array
66332 .xword _t1_aes_src
66333 .xword _t1_aes_auth_key
66334 .xword _t1_aes_auth_iv
66335 .xword _t1_aes_fas_result
66336 .xword _t1_aes_key_array
66337 .xword _t1_aes_iv_array
66338 .xword _t1_aes_dest
66339 .xword _t1_aes_alignment_array
66340_t1_des_toc:
66341 .xword _t1_des_cwd_array
66342 .xword _t1_des_src
66343 .xword _t1_des_auth_key
66344 .xword _t1_des_auth_iv
66345 .xword _t1_des_fas_result
66346 .xword _t1_des_key_array
66347 .xword _t1_des_iv_array
66348 .xword _t1_des_dest
66349 .xword _t1_des_alignment_array
66350_t1_copy_toc:
66351 .xword _t1_copy_cwd_array
66352 .xword _t1_copy_src
66353 .xword _t1_copy_auth_key
66354 .xword _t1_copy_auth_iv
66355 .xword _t1_copy_fas_result
66356 .xword _t1_copy_key_array
66357 .xword _t1_copy_iv_array
66358 .xword _t1_copy_dest
66359 .xword _t1_copy_alignment_array
66360_t1_crc_toc:
66361 .xword _t1_crc_cwd_array
66362 .xword _t1_crc_src
66363 .xword _t1_crc_auth_key
66364 .xword _t1_crc_auth_iv
66365 .xword _t1_crc_fas_result
66366 .xword _t1_crc_key_array
66367 .xword _t1_crc_iv_array
66368 .xword _t1_crc_dest
66369 .xword _t1_crc_alignment_array
66370_t1_hash_toc:
66371 .xword _t1_hash_cwd_array
66372 .xword _t1_hash_src
66373 .xword _t1_hash_auth_key
66374 .xword _t1_hash_auth_iv
66375 .xword _t1_hash_fas_result
66376 .xword _t1_hash_key_array
66377 .xword _t1_hash_iv_array
66378 .xword _t1_hash_dest
66379 .xword _t1_hash_alignment_array
66380_t1_hmac_toc:
66381 .xword _t1_hmac_cwd_array
66382 .xword _t1_hmac_src
66383 .xword _t1_hmac_auth_key
66384 .xword _t1_hmac_auth_iv
66385 .xword _t1_hmac_fas_result
66386 .xword _t1_hmac_key_array
66387 .xword _t1_hmac_iv_array
66388 .xword _t1_hmac_dest
66389 .xword _t1_hmac_alignment_array
66390_t1_rc4_toc:
66391 .xword _t1_rc4_cwd_array
66392 .xword _t1_rc4_src
66393 .xword _t1_rc4_auth_key
66394 .xword _t1_rc4_auth_iv
66395 .xword _t1_rc4_fas_result
66396 .xword _t1_rc4_key_array
66397 .xword _t1_rc4_iv_array
66398 .xword _t1_rc4_dest
66399 .xword _t1_rc4_alignment_array
66400_t1_sslkey_toc:
66401 .xword _t1_sslkey_cwd_array
66402 .xword _t1_sslkey_src
66403 .xword _t1_sslkey_auth_key
66404 .xword _t1_sslkey_auth_iv
66405 .xword _t1_sslkey_fas_result
66406 .xword _t1_sslkey_key_array
66407 .xword _t1_sslkey_iv_array
66408 .xword _t1_sslkey_dest
66409 .xword _t1_sslkey_alignment_array
66410_t1_table_of_context6:
66411 .xword _t1_aes_toc
66412 .xword _t1_des_toc
66413 .xword _t1_copy_toc
66414 .xword _t1_crc_toc
66415 .xword _t1_hash_toc
66416 .xword _t1_hmac_toc
66417 .xword _t1_rc4_toc
66418 .xword _t1_sslkey_toc
66419
66420!# CWQ data area, set aside 512 CW's worth
66421!# 512*8*8 = 32KB
66422.align 32*1024
66423_t1_cwq_base6:
66424 .xword 0xAAAAAAAAAAAAAAA
66425 .xword 0xAAAAAAAAAAAAAAA
66426 .xword 0xAAAAAAAAAAAAAAA
66427 .xword 0xAAAAAAAAAAAAAAA
66428 .xword 0xAAAAAAAAAAAAAAA
66429 .xword 0xAAAAAAAAAAAAAAA
66430 .xword 0xAAAAAAAAAAAAAAA
66431 .xword 0xAAAAAAAAAAAAAAA
66432.align 32*1024
66433_t1_cwq_last6:
66434
66435SECTION ._t1_T_CWQ_DATA7 DATA_VA=292552704
66436attr_data {
66437 Name = ._t1_T_CWQ_DATA7
66438 hypervisor
66439}
66440 .data
66441_t1_user_data_start7:
66442_t1_scratch_area7:
66443
66444.align 16
66445_t1_spu_op_array7:
66446 .xword 0
66447 .xword 0
66448 .xword 3
66449 .xword 6
66450 .xword 6
66451 .xword 2
66452 .xword 5
66453 .xword 7
66454 .xword 0
66455 .xword 0
66456 .xword 0
66457 .xword 2
66458 .xword 4
66459 .xword 3
66460 .xword 5
66461_t1_aes_cwd_array7:
66462 .xword 0x40e100401500003f
66463 .xword 0x406000201800003f
66464 .xword 0xc0e000a01b00003f
66465 .xword 0x40e100e01700002f
66466 .xword 0x406000c01900003f
66467 .xword 0x406000001800001f
66468 .xword 0x406100601100002f
66469 .xword 0xc06100e01400002f
66470 .xword 0xc06100e01300000f
66471 .xword 0x406000001300000f
66472 .xword 0x40e100201000000f
66473 .xword 0x406000001700003f
66474 .xword 0x40e100c01000002f
66475 .xword 0xc06100e01000002f
66476 .xword 0x406100001100003f
66477_t1_des_cwd_array7:
66478 .xword 0x40e000a00a00000f
66479 .xword 0xc0e000600a000007
66480 .xword 0xc06100600a000007
66481 .xword 0xc06100a00c000007
66482 .xword 0xc0e100a00e000017
66483 .xword 0xc0e100000c00000f
66484 .xword 0xc0e000a00c00000f
66485 .xword 0xc0e000000d000017
66486 .xword 0x406100c00d000007
66487 .xword 0x4061000009000017
66488 .xword 0x40e100600e000017
66489 .xword 0x406100e00d00000f
66490 .xword 0x4061000008000007
66491 .xword 0x40e000000a00000f
66492 .xword 0x4060004009000007
66493_t1_copy_cwd_array7:
66494 .xword 0x2060002000000003
66495 .xword 0xa06000c000000005
66496 .xword 0xa06000e000000001
66497 .xword 0xa06100400000000a
66498 .xword 0x206100a000000006
66499 .xword 0x206000e000000003
66500 .xword 0x206100200000000f
66501 .xword 0xa061000000000003
66502 .xword 0xa060004000000002
66503 .xword 0x2060004000000006
66504 .xword 0x206000a000000003
66505 .xword 0xa06000a000000000
66506 .xword 0x2061000000000002
66507 .xword 0xa06100000000000f
66508 .xword 0xa061002000000002
66509_t1_crc_cwd_array7:
66510 .xword 0x4160034400000000
66511 .xword 0x416101480000000d
66512 .xword 0xc16003040000000b
66513 .xword 0x4162010800000005
66514 .xword 0xc162030400000002
66515 .xword 0x4161016800000004
66516 .xword 0x416203640000000e
66517 .xword 0x4160016800000008
66518 .xword 0x4160036400000007
66519 .xword 0xc16101e800000006
66520 .xword 0xc16003c40000000e
66521 .xword 0x416201a800000008
66522 .xword 0x416103e400000005
66523 .xword 0x4160016800000001
66524_t1_hash_cwd_array7:
66525 .xword 0xc1600b610000001a
66526 .xword 0x416104620000002c
66527 .xword 0xc1610d220000001e
66528 .xword 0xc1620fa100000018
66529 .xword 0x4162020100000028
66530 .xword 0x4163036200000000
66531 .xword 0x41600d6100000005
66532 .xword 0xc162104300000014
66533 .xword 0xc16006a100000034
66534 .xword 0x41630d810000001a
66535 .xword 0xc16201410000002e
66536 .xword 0x4161072100000038
66537 .xword 0x4163010100000014
66538 .xword 0xc16002a100000027
66539 .xword 0xc1600ce10000002b
66540_t1_hmac_cwd_array7:
66541 .xword 0xc16209e9000f002d
66542 .xword 0xc161082a0013001b
66543 .xword 0xc1600be5000f0017
66544 .xword 0xc1610089000f002d
66545 .xword 0x41610d49000f0021
66546 .xword 0x41600925000f003f
66547 .xword 0x41630f29000f002f
66548 .xword 0x416202e5000f003f
66549 .xword 0xc1630d65000f0001
66550 .xword 0xc1600785000f0036
66551 .xword 0xc16307c5000f0023
66552 .xword 0xc1630225000f0026
66553 .xword 0x41620f89000f003a
66554 .xword 0xc162078600130018
66555 .xword 0x41620c89000f0015
66556_t1_rc4_cwd_array7:
66557 .xword 0x40e1008000000008
66558 .xword 0xc0e1004004000009
66559 .xword 0x40e000800000000f
66560 .xword 0x40e0004000000008
66561 .xword 0x40e1004004000007
66562 .xword 0xc0e100e004000006
66563 .xword 0x40e0000000000005
66564 .xword 0xc0e000400000000c
66565 .xword 0x40e1004004000000
66566 .xword 0x40e000e000000007
66567 .xword 0xc0e100200400000a
66568 .xword 0x40e0006000000001
66569 .xword 0xc0e000c004000009
66570 .xword 0x40e100800400000e
66571 .xword 0xc0e100600400000b
66572_t1_sslkey_cwd_array7:
66573 .xword 0x9060200000000000, 0
66574 .xword 0x1060158000000000, 0
66575 .xword 0x10600c0000000000, 0
66576 .xword 0x9060074000000000, 0
66577 .xword 0x90601ee000000000, 0
66578 .xword 0x1060390000000000, 0
66579 .xword 0x90600b2000000000, 0
66580 .xword 0x106032a000000000, 0
66581 .xword 0x90603a4000000000, 0
66582 .xword 0x90602d4000000000, 0
66583 .xword 0x9060072000000000, 0
66584 .xword 0x90602ba000000000, 0
66585 .xword 0x10600b0000000000, 0
66586 .xword 0x9060160000000000, 0
66587 .xword 0x906018c000000000, 0
66588_t1_aes_key_array:
66589 .xword 0x9007b662f0b58355
66590 .xword 0xde4c69f65f43faf2
66591 .xword 0x0b1f24872c9232f3
66592 .xword 0xd62f63258836b8a0
66593 .xword 0x10a0d41d900cb43a
66594 .xword 0x89b22ff175dcd938
66595 .xword 0xebf75d7f3e4d8162
66596 .xword 0x38a225b26808fb0e
66597 .xword 0x494115fad09040e3
66598 .xword 0x3c818337fa2a0130
66599 .xword 0xa59ebca4a2d741f3
66600 .xword 0xa643d32a0a0c9d0d
66601 .xword 0xa42559ba974369b2
66602 .xword 0x343e8360cb1af2b8
66603 .xword 0xa17d9894cb98eb7a
66604 .xword 0x679f797493c48f06
66605 .xword 0x7fa99310c713dc95
66606 .xword 0x3ac5cbb1885f31e8
66607 .xword 0x9c3d225e53326d7f
66608 .xword 0xbd9c82c4591a6093
66609 .xword 0xaf46167aafad788e
66610 .xword 0x47e76ba3e5130a8b
66611 .xword 0x6135a981324caf7e
66612 .xword 0x5d2308494df99334
66613 .xword 0x8e2087d18e3e03ab
66614 .xword 0xef8a941710f63a2d
66615 .xword 0xd0b716bbed7bf998
66616 .xword 0xf8d951c7820991d8
66617 .xword 0x0cfdaa95bbb8838c
66618 .xword 0x8ceb392750ab4da0
66619 .xword 0x416aebcfbbcefd3a
66620 .xword 0x84d253758305e653
66621 .xword 0xc0978c9dc9dc5b9d
66622 .xword 0xceb41e323300c42d
66623 .xword 0x635e686fcdad02ab
66624 .xword 0xf86476615033bf42
66625 .xword 0x0db8f5ec54e389bc
66626 .xword 0xd9d6a1fd4bb49110
66627 .xword 0x13d1db3ee441c707
66628 .xword 0xcabf1279e69aa441
66629 .xword 0xe1dc70643e7cacf4
66630 .xword 0xb82becfea46e9687
66631 .xword 0xb758361cf94831a6
66632 .xword 0x9d1be7ffc6a1391a
66633 .xword 0x5924ad5abf9425c3
66634 .xword 0x0c187c2e86655338
66635 .xword 0x45fde57bba9cd900
66636 .xword 0x0cc915dbd21417e7
66637 .xword 0xfce139faffc55f25
66638 .xword 0x74ff72530dc41c95
66639 .xword 0x20e451f582ebf9d6
66640_t1_aes_iv_array:
66641 .xword 0xe94558f948247ef8
66642 .xword 0xd98e917a2c4f2a8d
66643 .xword 0x5c50b4ea1d2dcb6e
66644 .xword 0x45c29185c4276f91
66645 .xword 0x2bb009e74977e306
66646 .xword 0x04b2ccb5c922217f
66647 .xword 0x1aea9724ee544d08
66648 .xword 0xce44e7b244ca6e8d
66649 .xword 0x5ea4170a002130e0
66650 .xword 0x476c3dc73506113c
66651 .xword 0xb6d987073c95d7fa
66652 .xword 0xf1a80e821f3d6517
66653 .xword 0xa64c6fdd4292a383
66654 .xword 0x450b4ff094f9e7b0
66655 .xword 0xaf20f237b5c5651e
66656 .xword 0xde5a6a5d30989d18
66657 .xword 0xefc0dc2521e245ab
66658 .xword 0x81ff9ff064404c25
66659 .xword 0xb0dfa80f223c081c
66660 .xword 0x6f857ec6cd86a294
66661 .xword 0x8a73898899231f1a
66662 .xword 0xf614bcf5328664a8
66663 .xword 0x57e480f27600d7e2
66664 .xword 0x1c8863becf7b320c
66665 .xword 0xf3e7204071864e89
66666 .xword 0xbc8b873bda8169d9
66667 .xword 0x886599721d8ff6eb
66668 .xword 0x81b8f9b44b1f8471
66669 .xword 0x606ab099dd4197e3
66670 .xword 0x57824a221c6d25f2
66671 .xword 0x606f588838e45a2c
66672 .xword 0x81348e90af674902
66673 .xword 0x31c3934ebce53efb
66674 .xword 0x229503b69cf58d4e
66675 .xword 0x98ac7a6f1bb96812
66676 .xword 0xc85bfbb53da94f57
66677 .xword 0x9e9166e7150e5a67
66678 .xword 0x2603316ef2005160
66679 .xword 0x963b00be1409ae0d
66680 .xword 0xe7ce40fdfe54e654
66681 .xword 0x9dba2b1d77ecef5e
66682 .xword 0x5bddcf054eb88ea0
66683 .xword 0xf494e09506f44603
66684 .xword 0x33dece08d73b476f
66685 .xword 0xd6ff1bdbaeae05a6
66686_t1_aes_alignment_array:
66687 .xword 14
66688 .xword 11
66689 .xword 15
66690 .xword 1
66691 .xword 10
66692 .xword 3
66693 .xword 2
66694 .xword 4
66695 .xword 15
66696 .xword 10
66697 .xword 10
66698 .xword 5
66699 .xword 9
66700 .xword 2
66701 .xword 12
66702 .xword 13
66703 .xword 12
66704 .xword 0
66705 .xword 2
66706 .xword 15
66707 .xword 14
66708 .xword 7
66709 .xword 6
66710 .xword 4
66711 .xword 10
66712 .xword 6
66713 .xword 4
66714 .xword 6
66715 .xword 9
66716 .xword 7
66717 .xword 11
66718 .xword 7
66719 .xword 5
66720 .xword 12
66721 .xword 1
66722 .xword 3
66723 .xword 2
66724 .xword 15
66725 .xword 1
66726 .xword 9
66727 .xword 5
66728 .xword 14
66729 .xword 6
66730 .xword 15
66731 .xword 8
66732 .xword 13
66733 .xword 6
66734 .xword 13
66735 .xword 3
66736 .xword 3
66737 .xword 5
66738 .xword 13
66739 .xword 2
66740 .xword 10
66741 .xword 11
66742 .xword 10
66743 .xword 13
66744 .xword 7
66745 .xword 14
66746 .xword 10
66747 .xword 10
66748 .xword 6
66749 .xword 9
66750 .xword 14
66751 .xword 7
66752 .xword 9
66753 .xword 5
66754 .xword 5
66755 .xword 13
66756 .xword 11
66757 .xword 8
66758 .xword 8
66759 .xword 2
66760 .xword 0
66761 .xword 11
66762 .xword 7
66763 .xword 11
66764 .xword 0
66765 .xword 1
66766 .xword 4
66767 .xword 1
66768 .xword 1
66769 .xword 7
66770 .xword 3
66771 .xword 6
66772 .xword 12
66773 .xword 14
66774 .xword 11
66775 .xword 12
66776 .xword 11
66777 .xword 2
66778 .xword 0
66779 .xword 10
66780 .xword 0
66781 .xword 6
66782 .xword 7
66783 .xword 14
66784 .xword 8
66785 .xword 11
66786 .xword 4
66787 .xword 13
66788 .xword 15
66789 .xword 13
66790 .xword 3
66791 .xword 12
66792_t1_aes_src:
66793 .xword 0x63474cc329de7b02
66794 .xword 0x5581e5dd3a298d19
66795 .xword 0xb3d94a3897348e27
66796 .xword 0x7e98943103eaab0d
66797 .xword 0xd69a839c02b0c596
66798 .xword 0x415fc263f6c34e43
66799 .xword 0x3418084370d44841
66800 .xword 0xd8ff6c63d3a9b0fc
66801 .xword 0x19b7fb8e75b27e48
66802 .xword 0x5a99e13d8c078b91
66803 .xword 0xf6d125837c300bdc
66804 .xword 0x54d95a7965b58aff
66805 .xword 0x6fbd2af3df425b24
66806 .xword 0xe9e58b5c637fdb30
66807 .xword 0x3b539317229ea7be
66808 .xword 0x130b9f439996b326
66809 .xword 0xf481331bd03e7f93
66810 .xword 0xa7c270c45f5eedd2
66811 .xword 0xcdc1e5d61fba4bb4
66812 .xword 0x940c5a9e7ff20abe
66813 .xword 0x388216c2c88fb4b1
66814 .xword 0x0aef969e549f1291
66815 .xword 0x2a1c5762d233bc7f
66816 .xword 0x7b5d0becea3e0bb6
66817 .xword 0x2b6a3c99eadd456a
66818 .xword 0x9b1bac6e4b791eab
66819 .xword 0xab99b49a27b2fa71
66820 .xword 0xecd8bcb80c29940f
66821 .xword 0xed80abee19fc73bc
66822 .xword 0xf61ff943896fd800
66823 .xword 0x4136906fd0caac88
66824 .xword 0x758b10f261e66378
66825 .xword 0xfddc32f77e197d6a
66826 .xword 0xfab70bb6d98a91f4
66827 .xword 0x6725e26e4652ce29
66828 .xword 0x2c3acf15cc565437
66829 .xword 0xc80bb3c58c5cfc3f
66830 .xword 0x9817736de86284fa
66831 .xword 0xe5b31361aafaf58d
66832 .xword 0x8bc6984663783084
66833 .xword 0xa0cdf8dc880b8f59
66834 .xword 0xfec48f626fd9a2f6
66835 .xword 0xd963e899faa2eb2f
66836 .xword 0x96db1e40f6c6c97c
66837 .xword 0xd659f2301f0a4864
66838 .xword 0xc2d6bba05b0df1d5
66839 .xword 0xe51fcf8699ae1e49
66840 .xword 0x835a21ee578fffec
66841 .xword 0x802f09fce3d0e494
66842 .xword 0x39e432f0409161b5
66843 .xword 0xd012c1095b698f0f
66844 .xword 0xcf8ebbb07248cc61
66845 .xword 0xdf8ab4be2a37e00c
66846 .xword 0x847919b3a7f227b0
66847 .xword 0x097b3a6dbbf1a6e2
66848 .xword 0x49ad3745fd994fa6
66849 .xword 0x8b264e9a0757db0d
66850 .xword 0xe55f85b788e3b37e
66851 .xword 0x7d0b66762cc3d116
66852 .xword 0xc5875e05fd001663
66853 .xword 0x8dc429594d398306
66854 .xword 0x316f1b6af2bfd9f8
66855 .xword 0xecaa1ce300bfe368
66856 .xword 0xa1b841d0b62b569b
66857 .xword 0xf2ffb62262ad7fc5
66858 .xword 0x0b5a802bf52e81d4
66859 .xword 0x9270c87f3bcbaddd
66860 .xword 0xf83971b6717301f1
66861 .xword 0x6b70c50175ce5cde
66862 .xword 0xc94d1e74b7d8588a
66863 .xword 0x480ed83a52416221
66864 .xword 0x6713a9bb8cd0f5d0
66865 .xword 0x78567b595e1cb42e
66866 .xword 0x4cb45fa9d4817220
66867 .xword 0xceaa15bc93743ff9
66868 .xword 0xb546bbd528f91d70
66869 .xword 0x6a434d432cc3db07
66870 .xword 0x5e354731f55092d8
66871 .xword 0x176f1020d3d569d0
66872 .xword 0xdadb01235cbc4e73
66873 .xword 0xa9b77e086d8704e7
66874 .xword 0x4466d3ffaa922856
66875 .xword 0x405b178524b75878
66876 .xword 0xc6816e8e4dc750cc
66877 .xword 0xfe876d03c1f308ff
66878 .xword 0xe23ebbbc7e8184c4
66879 .xword 0xf9d359f918be213e
66880 .xword 0xd00d42b2c810489a
66881 .xword 0x6b175876ef9b49c6
66882 .xword 0xa70c549d90e8f4de
66883 .xword 0xdceee59d6243f895
66884 .xword 0xde5dab1a6708b11a
66885 .xword 0xb99b6dc180bcdba9
66886 .xword 0x8f795b33790189db
66887 .xword 0xc9e77f5591239785
66888 .xword 0x870291d2863affa8
66889 .xword 0x66bfb117478e2be4
66890 .xword 0x82df22ba79978411
66891 .xword 0x93536400da37fa9d
66892 .xword 0x6d8ef7a52401a25d
66893 .xword 0x69d66044b3e5555f
66894 .xword 0x81ef351ccc0f555a
66895 .xword 0x734ff446286fe13e
66896 .xword 0x245d4ef1a58444ce
66897 .xword 0xbf9cd609abf636c1
66898 .xword 0x0b4623f20dc95d24
66899 .xword 0x5c7543e2ada3bb2d
66900 .xword 0xba06b96d3bd91488
66901 .xword 0x864051fc5d6da7d6
66902 .xword 0x7291220ed81fef9f
66903 .xword 0xaf565c17f0fe9187
66904 .xword 0x612742c2924a59bf
66905 .xword 0x7e3191ca0adece1a
66906 .xword 0xfb1fba2e9f221d58
66907 .xword 0xb72dee46389a9047
66908 .xword 0xa2a80f72bc1415d1
66909 .xword 0x7e1a70a7f05db6e7
66910 .xword 0xc097a050e46be623
66911 .xword 0xf995046568d8fe07
66912 .xword 0x5fca4c8c81a20c35
66913 .xword 0xe92cdd345a87cd66
66914 .xword 0xbc1311c811dc2e12
66915 .xword 0xf9336237255c5e41
66916 .xword 0xa598f17a0e1f1bed
66917 .xword 0x6d928e3580ee3130
66918 .xword 0x6856eeee52f85807
66919 .xword 0x8b2718a7e5590e07
66920 .xword 0xd9865324a54e4870
66921 .xword 0x748c08d31a108891
66922 .xword 0xdd20cc4b7eee300d
66923 .xword 0xe54c7e1faae878c4
66924 .xword 0xda24cd0575b46981
66925 .xword 0xc708677773c08b3c
66926 .xword 0x34302d584008b65c
66927 .xword 0x794b2f33201c12ad
66928 .xword 0x239a53da6bf64edd
66929 .xword 0xe28eddd693b1a549
66930 .xword 0x63a3cec1b9b31c16
66931 .xword 0x6d3dd4ee8b683659
66932 .xword 0xc70e1af70a7926c5
66933 .xword 0x518a9616348bcb66
66934 .xword 0x2c13775ca5e37416
66935 .xword 0x54f5feac0fab25bb
66936 .xword 0xc8522aa6a34b5d5d
66937 .xword 0x5ec92436181ea609
66938 .xword 0xa7f51b5bb91e6d97
66939 .xword 0x963357b4d74a1fd0
66940 .xword 0xd19ef739ae491583
66941 .xword 0x09dcbcbebf8ebcc9
66942 .xword 0x9448765696812b43
66943 .xword 0x899191b868600dac
66944 .xword 0x23b8ebc9c8960d5f
66945 .xword 0x623f0c8560ede68f
66946 .xword 0xe61645f55a90531d
66947 .xword 0x8b0d326b2e9f0cbd
66948 .xword 0xd6795f5046a6354f
66949 .xword 0xb1fc3a293892b33c
66950 .xword 0x5a13480b59b046ce
66951 .xword 0x3dc8516d37bca05d
66952 .xword 0xf2170fdc6c7e815f
66953 .xword 0xf0f9fcdcb9a776bb
66954 .xword 0xde1a90528c87363b
66955 .xword 0xd34d99bc09a71d78
66956 .xword 0xbf560d5cf1380c36
66957 .xword 0xad18eabd32bfe721
66958 .xword 0xcec2ada482e4f987
66959 .xword 0xc23c64cd2cd906a5
66960 .xword 0xe638adf61398ada0
66961 .xword 0x1ac4586cf3169b74
66962 .xword 0x04a14cfd5784053d
66963 .xword 0x6234e51fc04a84ac
66964 .xword 0xe71756f394e8aed2
66965 .xword 0x1f4a0b9394b73006
66966 .xword 0x08f5ddb35f25bd97
66967 .xword 0x71e7c5388d376ab6
66968 .xword 0xa0b196636704055e
66969 .xword 0x05f9dc7ce01b98e3
66970 .xword 0x9950158164446240
66971 .xword 0x79fa4207d80322cb
66972 .xword 0x16f50a89e49fed39
66973 .xword 0xfe527e8e3425f5f7
66974 .xword 0xf239a4bca95797fc
66975 .xword 0x941b56ba85c40389
66976 .xword 0x68e6be9538791ee1
66977 .xword 0x119dee1c6925d869
66978 .xword 0x21ce2b8db6b7fdf4
66979 .xword 0x9c19a15e1406645f
66980 .xword 0x0a3455419b1525c1
66981 .xword 0xfd02eae77c64a811
66982 .xword 0x999defc9da6fc27a
66983 .xword 0x3018bf5f18997f17
66984 .xword 0x0a9c6854a7706e00
66985 .xword 0x1a0a212ea9030574
66986 .xword 0x01d30705e8e3ea2c
66987 .xword 0x02478a223b5a0432
66988 .xword 0xf700befab2ba20c4
66989 .xword 0xb319030a73d76e73
66990 .xword 0xb2ea112c26198de6
66991 .xword 0x1777faeaa2cc2cb8
66992 .xword 0xa0783b7e0b8c93b9
66993 .xword 0xabd3b118663fbe40
66994 .xword 0xe4246d0a038e72e9
66995 .xword 0x7388ae70415710e9
66996 .xword 0x61a7948cb8f05622
66997 .xword 0x8c54a94a2ad5c474
66998 .xword 0x5aca39bf568a1594
66999 .xword 0xff09b7b564cb3d1d
67000 .xword 0x20ab0dbb70cccab1
67001 .xword 0xbc1f3041e8b13c37
67002 .xword 0xe9501903088aea9b
67003 .xword 0xdcdc00e87ad51d6c
67004 .xword 0xcdcc6f683011de82
67005 .xword 0xe395975d958e4011
67006 .xword 0x4eb111a6f4e32cfa
67007 .xword 0x0280f6507c8d382c
67008 .xword 0xa7c0ca203b10f290
67009 .xword 0x74c3a3e62bb093bd
67010 .xword 0x7d76b27b74c2ebec
67011 .xword 0x1318c9b6e1114e9c
67012 .xword 0x5e521a1be48608c4
67013 .xword 0x988f581ecd17bd7e
67014 .xword 0x8eef0d15578de3df
67015 .xword 0x2bb31322f19ff265
67016 .xword 0x73edcdc2a9bc5682
67017 .xword 0xf23eef6be651549a
67018 .xword 0xcf12b42c6a066852
67019 .xword 0x45f4e12d02f07cf1
67020 .xword 0xf7308dde8dfd9b10
67021 .xword 0xafe1e7cc46186452
67022 .xword 0x3db571c63f9dfca6
67023 .xword 0xe4d733df5291ffb3
67024 .xword 0xa1b2ad1f338e9992
67025 .xword 0xbacb7904cd958695
67026 .xword 0x1d8c39f272beacd5
67027 .xword 0xc9f0a34032430354
67028 .xword 0xb4ccc8f831a890f1
67029 .xword 0xbab6c9174785d502
67030 .xword 0xb14125eea9a2dfd5
67031 .xword 0x5b83bc65cc130fff
67032 .xword 0xd5d68d8476fee27d
67033 .xword 0xb2059a30464705bd
67034 .xword 0xa86b1026b9f504bf
67035 .xword 0x3d6f205633a4ba29
67036 .xword 0xa4fc2e218195cfdc
67037 .xword 0x520a94a7d7f80044
67038 .xword 0xd8f8945a8f75235c
67039 .xword 0x8a4e403db1902a6b
67040 .xword 0x751a960319be2d9e
67041 .xword 0xb04c9b553704da2d
67042 .xword 0xd5eb03a4c05c84b5
67043 .xword 0xd2b7565568ee5ef9
67044 .xword 0xf0699eea25739a30
67045 .xword 0xca7ddc292324b704
67046 .xword 0xb0bfe1754f2fe621
67047 .xword 0xe489ec31863c60e4
67048 .xword 0x54b315f5ca4de6d8
67049 .xword 0x08ff8cf101f30c8e
67050 .xword 0xa12369693d2efaac
67051 .xword 0x83779b8fd2285bae
67052 .xword 0x2796b8eafb01cb8b
67053 .xword 0x8a33c0e52b3cf161
67054 .xword 0xfdcdf90c40ad513c
67055 .xword 0x1f91a73540047b1a
67056 .xword 0x5b6648f4e5388297
67057 .xword 0x9cd69c18b25bc64a
67058 .xword 0x5a68ae11e98e79ae
67059 .xword 0x4b0b8f60a785384e
67060 .xword 0xb6aa162777a36717
67061 .xword 0x82b7d7bd10b47742
67062 .xword 0x5ec6958723a20707
67063 .xword 0xf27363524d627cfa
67064 .xword 0x9629f028d90eeed2
67065 .xword 0xf6d8a507d5491704
67066 .xword 0x79d614d338ef6314
67067 .xword 0x02d0bce87916b5ef
67068_t1_aes_dest:
67069 .xword 0xDEADBEEFDEADBEEF
67070 .xword 0xDEADBEEFDEADBEEF
67071 .xword 0xDEADBEEFDEADBEEF
67072 .xword 0xDEADBEEFDEADBEEF
67073 .xword 0xDEADBEEFDEADBEEF
67074 .xword 0xDEADBEEFDEADBEEF
67075 .xword 0xDEADBEEFDEADBEEF
67076 .xword 0xDEADBEEFDEADBEEF
67077 .xword 0xDEADBEEFDEADBEEF
67078 .xword 0xDEADBEEFDEADBEEF
67079 .xword 0xDEADBEEFDEADBEEF
67080 .xword 0xDEADBEEFDEADBEEF
67081 .xword 0xDEADBEEFDEADBEEF
67082 .xword 0xDEADBEEFDEADBEEF
67083 .xword 0xDEADBEEFDEADBEEF
67084 .xword 0xDEADBEEFDEADBEEF
67085 .xword 0xDEADBEEFDEADBEEF
67086 .xword 0xDEADBEEFDEADBEEF
67087 .xword 0xDEADBEEFDEADBEEF
67088 .xword 0xDEADBEEFDEADBEEF
67089 .xword 0xDEADBEEFDEADBEEF
67090 .xword 0xDEADBEEFDEADBEEF
67091 .xword 0xDEADBEEFDEADBEEF
67092 .xword 0xDEADBEEFDEADBEEF
67093 .xword 0xDEADBEEFDEADBEEF
67094 .xword 0xDEADBEEFDEADBEEF
67095 .xword 0xDEADBEEFDEADBEEF
67096 .xword 0xDEADBEEFDEADBEEF
67097 .xword 0xDEADBEEFDEADBEEF
67098 .xword 0xDEADBEEFDEADBEEF
67099 .xword 0xDEADBEEFDEADBEEF
67100 .xword 0xDEADBEEFDEADBEEF
67101 .xword 0xDEADBEEFDEADBEEF
67102 .xword 0xDEADBEEFDEADBEEF
67103 .xword 0xDEADBEEFDEADBEEF
67104 .xword 0xDEADBEEFDEADBEEF
67105 .xword 0xDEADBEEFDEADBEEF
67106 .xword 0xDEADBEEFDEADBEEF
67107 .xword 0xDEADBEEFDEADBEEF
67108 .xword 0xDEADBEEFDEADBEEF
67109 .xword 0xDEADBEEFDEADBEEF
67110 .xword 0xDEADBEEFDEADBEEF
67111 .xword 0xDEADBEEFDEADBEEF
67112 .xword 0xDEADBEEFDEADBEEF
67113 .xword 0xDEADBEEFDEADBEEF
67114 .xword 0xDEADBEEFDEADBEEF
67115 .xword 0xDEADBEEFDEADBEEF
67116 .xword 0xDEADBEEFDEADBEEF
67117 .xword 0xDEADBEEFDEADBEEF
67118 .xword 0xDEADBEEFDEADBEEF
67119 .xword 0xDEADBEEFDEADBEEF
67120 .xword 0xDEADBEEFDEADBEEF
67121 .xword 0xDEADBEEFDEADBEEF
67122 .xword 0xDEADBEEFDEADBEEF
67123 .xword 0xDEADBEEFDEADBEEF
67124 .xword 0xDEADBEEFDEADBEEF
67125 .xword 0xDEADBEEFDEADBEEF
67126 .xword 0xDEADBEEFDEADBEEF
67127 .xword 0xDEADBEEFDEADBEEF
67128 .xword 0xDEADBEEFDEADBEEF
67129 .xword 0xDEADBEEFDEADBEEF
67130 .xword 0xDEADBEEFDEADBEEF
67131 .xword 0xDEADBEEFDEADBEEF
67132 .xword 0xDEADBEEFDEADBEEF
67133 .xword 0xDEADBEEFDEADBEEF
67134 .xword 0xDEADBEEFDEADBEEF
67135 .xword 0xDEADBEEFDEADBEEF
67136 .xword 0xDEADBEEFDEADBEEF
67137 .xword 0xDEADBEEFDEADBEEF
67138 .xword 0xDEADBEEFDEADBEEF
67139 .xword 0xDEADBEEFDEADBEEF
67140 .xword 0xDEADBEEFDEADBEEF
67141 .xword 0xDEADBEEFDEADBEEF
67142 .xword 0xDEADBEEFDEADBEEF
67143 .xword 0xDEADBEEFDEADBEEF
67144 .xword 0xDEADBEEFDEADBEEF
67145 .xword 0xDEADBEEFDEADBEEF
67146 .xword 0xDEADBEEFDEADBEEF
67147 .xword 0xDEADBEEFDEADBEEF
67148 .xword 0xDEADBEEFDEADBEEF
67149 .xword 0xDEADBEEFDEADBEEF
67150 .xword 0xDEADBEEFDEADBEEF
67151 .xword 0xDEADBEEFDEADBEEF
67152 .xword 0xDEADBEEFDEADBEEF
67153 .xword 0xDEADBEEFDEADBEEF
67154 .xword 0xDEADBEEFDEADBEEF
67155 .xword 0xDEADBEEFDEADBEEF
67156 .xword 0xDEADBEEFDEADBEEF
67157 .xword 0xDEADBEEFDEADBEEF
67158 .xword 0xDEADBEEFDEADBEEF
67159 .xword 0xDEADBEEFDEADBEEF
67160 .xword 0xDEADBEEFDEADBEEF
67161 .xword 0xDEADBEEFDEADBEEF
67162 .xword 0xDEADBEEFDEADBEEF
67163 .xword 0xDEADBEEFDEADBEEF
67164 .xword 0xDEADBEEFDEADBEEF
67165 .xword 0xDEADBEEFDEADBEEF
67166 .xword 0xDEADBEEFDEADBEEF
67167 .xword 0xDEADBEEFDEADBEEF
67168 .xword 0xDEADBEEFDEADBEEF
67169 .xword 0xDEADBEEFDEADBEEF
67170 .xword 0xDEADBEEFDEADBEEF
67171 .xword 0xDEADBEEFDEADBEEF
67172 .xword 0xDEADBEEFDEADBEEF
67173 .xword 0xDEADBEEFDEADBEEF
67174 .xword 0xDEADBEEFDEADBEEF
67175 .xword 0xDEADBEEFDEADBEEF
67176 .xword 0xDEADBEEFDEADBEEF
67177 .xword 0xDEADBEEFDEADBEEF
67178 .xword 0xDEADBEEFDEADBEEF
67179 .xword 0xDEADBEEFDEADBEEF
67180 .xword 0xDEADBEEFDEADBEEF
67181 .xword 0xDEADBEEFDEADBEEF
67182 .xword 0xDEADBEEFDEADBEEF
67183 .xword 0xDEADBEEFDEADBEEF
67184 .xword 0xDEADBEEFDEADBEEF
67185 .xword 0xDEADBEEFDEADBEEF
67186 .xword 0xDEADBEEFDEADBEEF
67187 .xword 0xDEADBEEFDEADBEEF
67188 .xword 0xDEADBEEFDEADBEEF
67189 .xword 0xDEADBEEFDEADBEEF
67190 .xword 0xDEADBEEFDEADBEEF
67191 .xword 0xDEADBEEFDEADBEEF
67192 .xword 0xDEADBEEFDEADBEEF
67193 .xword 0xDEADBEEFDEADBEEF
67194 .xword 0xDEADBEEFDEADBEEF
67195 .xword 0xDEADBEEFDEADBEEF
67196 .xword 0xDEADBEEFDEADBEEF
67197 .xword 0xDEADBEEFDEADBEEF
67198 .xword 0xDEADBEEFDEADBEEF
67199 .xword 0xDEADBEEFDEADBEEF
67200 .xword 0xDEADBEEFDEADBEEF
67201 .xword 0xDEADBEEFDEADBEEF
67202 .xword 0xDEADBEEFDEADBEEF
67203 .xword 0xDEADBEEFDEADBEEF
67204 .xword 0xDEADBEEFDEADBEEF
67205 .xword 0xDEADBEEFDEADBEEF
67206 .xword 0xDEADBEEFDEADBEEF
67207 .xword 0xDEADBEEFDEADBEEF
67208 .xword 0xDEADBEEFDEADBEEF
67209 .xword 0xDEADBEEFDEADBEEF
67210 .xword 0xDEADBEEFDEADBEEF
67211 .xword 0xDEADBEEFDEADBEEF
67212 .xword 0xDEADBEEFDEADBEEF
67213 .xword 0xDEADBEEFDEADBEEF
67214 .xword 0xDEADBEEFDEADBEEF
67215 .xword 0xDEADBEEFDEADBEEF
67216 .xword 0xDEADBEEFDEADBEEF
67217 .xword 0xDEADBEEFDEADBEEF
67218 .xword 0xDEADBEEFDEADBEEF
67219 .xword 0xDEADBEEFDEADBEEF
67220 .xword 0xDEADBEEFDEADBEEF
67221 .xword 0xDEADBEEFDEADBEEF
67222 .xword 0xDEADBEEFDEADBEEF
67223 .xword 0xDEADBEEFDEADBEEF
67224 .xword 0xDEADBEEFDEADBEEF
67225 .xword 0xDEADBEEFDEADBEEF
67226 .xword 0xDEADBEEFDEADBEEF
67227 .xword 0xDEADBEEFDEADBEEF
67228 .xword 0xDEADBEEFDEADBEEF
67229 .xword 0xDEADBEEFDEADBEEF
67230 .xword 0xDEADBEEFDEADBEEF
67231 .xword 0xDEADBEEFDEADBEEF
67232 .xword 0xDEADBEEFDEADBEEF
67233 .xword 0xDEADBEEFDEADBEEF
67234 .xword 0xDEADBEEFDEADBEEF
67235 .xword 0xDEADBEEFDEADBEEF
67236 .xword 0xDEADBEEFDEADBEEF
67237 .xword 0xDEADBEEFDEADBEEF
67238 .xword 0xDEADBEEFDEADBEEF
67239 .xword 0xDEADBEEFDEADBEEF
67240 .xword 0xDEADBEEFDEADBEEF
67241 .xword 0xDEADBEEFDEADBEEF
67242 .xword 0xDEADBEEFDEADBEEF
67243 .xword 0xDEADBEEFDEADBEEF
67244 .xword 0xDEADBEEFDEADBEEF
67245 .xword 0xDEADBEEFDEADBEEF
67246 .xword 0xDEADBEEFDEADBEEF
67247 .xword 0xDEADBEEFDEADBEEF
67248 .xword 0xDEADBEEFDEADBEEF
67249 .xword 0xDEADBEEFDEADBEEF
67250 .xword 0xDEADBEEFDEADBEEF
67251 .xword 0xDEADBEEFDEADBEEF
67252 .xword 0xDEADBEEFDEADBEEF
67253 .xword 0xDEADBEEFDEADBEEF
67254 .xword 0xDEADBEEFDEADBEEF
67255 .xword 0xDEADBEEFDEADBEEF
67256 .xword 0xDEADBEEFDEADBEEF
67257 .xword 0xDEADBEEFDEADBEEF
67258 .xword 0xDEADBEEFDEADBEEF
67259 .xword 0xDEADBEEFDEADBEEF
67260 .xword 0xDEADBEEFDEADBEEF
67261 .xword 0xDEADBEEFDEADBEEF
67262 .xword 0xDEADBEEFDEADBEEF
67263 .xword 0xDEADBEEFDEADBEEF
67264 .xword 0xDEADBEEFDEADBEEF
67265 .xword 0xDEADBEEFDEADBEEF
67266 .xword 0xDEADBEEFDEADBEEF
67267 .xword 0xDEADBEEFDEADBEEF
67268 .xword 0xDEADBEEFDEADBEEF
67269 .xword 0xDEADBEEFDEADBEEF
67270 .xword 0xDEADBEEFDEADBEEF
67271 .xword 0xDEADBEEFDEADBEEF
67272 .xword 0xDEADBEEFDEADBEEF
67273 .xword 0xDEADBEEFDEADBEEF
67274 .xword 0xDEADBEEFDEADBEEF
67275 .xword 0xDEADBEEFDEADBEEF
67276 .xword 0xDEADBEEFDEADBEEF
67277 .xword 0xDEADBEEFDEADBEEF
67278 .xword 0xDEADBEEFDEADBEEF
67279 .xword 0xDEADBEEFDEADBEEF
67280 .xword 0xDEADBEEFDEADBEEF
67281 .xword 0xDEADBEEFDEADBEEF
67282 .xword 0xDEADBEEFDEADBEEF
67283 .xword 0xDEADBEEFDEADBEEF
67284 .xword 0xDEADBEEFDEADBEEF
67285 .xword 0xDEADBEEFDEADBEEF
67286 .xword 0xDEADBEEFDEADBEEF
67287 .xword 0xDEADBEEFDEADBEEF
67288 .xword 0xDEADBEEFDEADBEEF
67289 .xword 0xDEADBEEFDEADBEEF
67290 .xword 0xDEADBEEFDEADBEEF
67291 .xword 0xDEADBEEFDEADBEEF
67292 .xword 0xDEADBEEFDEADBEEF
67293 .xword 0xDEADBEEFDEADBEEF
67294 .xword 0xDEADBEEFDEADBEEF
67295 .xword 0xDEADBEEFDEADBEEF
67296 .xword 0xDEADBEEFDEADBEEF
67297 .xword 0xDEADBEEFDEADBEEF
67298 .xword 0xDEADBEEFDEADBEEF
67299 .xword 0xDEADBEEFDEADBEEF
67300 .xword 0xDEADBEEFDEADBEEF
67301 .xword 0xDEADBEEFDEADBEEF
67302 .xword 0xDEADBEEFDEADBEEF
67303 .xword 0xDEADBEEFDEADBEEF
67304 .xword 0xDEADBEEFDEADBEEF
67305 .xword 0xDEADBEEFDEADBEEF
67306 .xword 0xDEADBEEFDEADBEEF
67307 .xword 0xDEADBEEFDEADBEEF
67308 .xword 0xDEADBEEFDEADBEEF
67309 .xword 0xDEADBEEFDEADBEEF
67310 .xword 0xDEADBEEFDEADBEEF
67311 .xword 0xDEADBEEFDEADBEEF
67312 .xword 0xDEADBEEFDEADBEEF
67313 .xword 0xDEADBEEFDEADBEEF
67314 .xword 0xDEADBEEFDEADBEEF
67315 .xword 0xDEADBEEFDEADBEEF
67316 .xword 0xDEADBEEFDEADBEEF
67317 .xword 0xDEADBEEFDEADBEEF
67318 .xword 0xDEADBEEFDEADBEEF
67319 .xword 0xDEADBEEFDEADBEEF
67320 .xword 0xDEADBEEFDEADBEEF
67321 .xword 0xDEADBEEFDEADBEEF
67322 .xword 0xDEADBEEFDEADBEEF
67323 .xword 0xDEADBEEFDEADBEEF
67324 .xword 0xDEADBEEFDEADBEEF
67325 .xword 0xDEADBEEFDEADBEEF
67326 .xword 0xDEADBEEFDEADBEEF
67327 .xword 0xDEADBEEFDEADBEEF
67328 .xword 0xDEADBEEFDEADBEEF
67329 .xword 0xDEADBEEFDEADBEEF
67330 .xword 0xDEADBEEFDEADBEEF
67331 .xword 0xDEADBEEFDEADBEEF
67332 .xword 0xDEADBEEFDEADBEEF
67333 .xword 0xDEADBEEFDEADBEEF
67334 .xword 0xDEADBEEFDEADBEEF
67335 .xword 0xDEADBEEFDEADBEEF
67336 .xword 0xDEADBEEFDEADBEEF
67337 .xword 0xDEADBEEFDEADBEEF
67338 .xword 0xDEADBEEFDEADBEEF
67339 .xword 0xDEADBEEFDEADBEEF
67340 .xword 0xDEADBEEFDEADBEEF
67341 .xword 0xDEADBEEFDEADBEEF
67342 .xword 0xDEADBEEFDEADBEEF
67343 .xword 0xDEADBEEFDEADBEEF
67344_t1_aes_auth_key:
67345 .xword 0x939e722be2fb91e7
67346 .xword 0xd6fe2eaf381953ce
67347 .xword 0x47e0d10543f1ffa7
67348 .xword 0xc8dc50da10102255
67349 .xword 0xa69103c8960f4ca1
67350 .xword 0xbddbf8235dfaaf89
67351 .xword 0xccdad080eccfb628
67352 .xword 0x597276e148841217
67353 .xword 0xa366f135bc1a2727
67354 .xword 0xf835fdcdf2e6df65
67355 .xword 0xe4ae321d99edcf0e
67356 .xword 0x0da3122a473dc363
67357 .xword 0x1bcf78925a5f3dad
67358 .xword 0x11be8f5ec72a8b2a
67359 .xword 0x43c9e980db93f6af
67360 .xword 0xa9c405ddc53056d1
67361 .xword 0x93d9e17508cb9ce9
67362 .xword 0xc0a335f8944f8ce8
67363 .xword 0x9836912a9ab66c19
67364 .xword 0x3a45dac8bb479333
67365 .xword 0x08e12b12a7443d1f
67366 .xword 0xa04a17395d5daeb4
67367 .xword 0x85f0863229ba5aed
67368_t1_aes_auth_iv:
67369 .xword 0x0c1f1c47d006d5e0
67370 .xword 0x4a260e08cf4d571e
67371 .xword 0x4a4c63de388de810
67372 .xword 0xa3cad52c44589c27
67373 .xword 0x3de0fb93125e3e20
67374 .xword 0x238c0356081772ea
67375 .xword 0xed0472565d3fe575
67376 .xword 0xf366caeb5d8b4f15
67377 .xword 0x13abd8bc5b9f9ef7
67378 .xword 0x83b11573b2ffbd09
67379 .xword 0x1293b14e17603ecc
67380 .xword 0xbeaacdf57afcf399
67381 .xword 0xb4486b4d027036cd
67382 .xword 0xb2861a64374901a5
67383 .xword 0x01f1c78110cf8720
67384 .xword 0xe4117612b2ab8bcf
67385 .xword 0x6aec0a6bce352dc1
67386 .xword 0x375562a8b66230d3
67387 .xword 0x5a670efa4f86b492
67388 .xword 0x7274be939b882003
67389 .xword 0xdad26fe17d34141b
67390 .xword 0x5ca47a0f37c2977a
67391 .xword 0x830c7a6f2c32a19d
67392_t1_aes_fas_result:
67393 .xword 0xDEADBEEFDEADBEEF
67394 .xword 0xDEADBEEFDEADBEEF
67395 .xword 0xDEADBEEFDEADBEEF
67396 .xword 0xDEADBEEFDEADBEEF
67397 .xword 0xDEADBEEFDEADBEEF
67398 .xword 0xDEADBEEFDEADBEEF
67399 .xword 0xDEADBEEFDEADBEEF
67400 .xword 0xDEADBEEFDEADBEEF
67401 .xword 0xDEADBEEFDEADBEEF
67402 .xword 0xDEADBEEFDEADBEEF
67403 .xword 0xDEADBEEFDEADBEEF
67404 .xword 0xDEADBEEFDEADBEEF
67405 .xword 0xDEADBEEFDEADBEEF
67406 .xword 0xDEADBEEFDEADBEEF
67407 .xword 0xDEADBEEFDEADBEEF
67408 .xword 0xDEADBEEFDEADBEEF
67409 .xword 0xDEADBEEFDEADBEEF
67410 .xword 0xDEADBEEFDEADBEEF
67411 .xword 0xDEADBEEFDEADBEEF
67412 .xword 0xDEADBEEFDEADBEEF
67413 .xword 0xDEADBEEFDEADBEEF
67414 .xword 0xDEADBEEFDEADBEEF
67415 .xword 0xDEADBEEFDEADBEEF
67416_t1_des_key_array:
67417 .xword 0x995a1f9f1c29cb33
67418 .xword 0xe66748e68a0934eb
67419 .xword 0x9383277b49ab5bb3
67420 .xword 0xbba3d53550f99439
67421 .xword 0x6858f821873e10d7
67422 .xword 0xd2ae2e311a3a65d7
67423 .xword 0xa73d99cda7d9d2b3
67424 .xword 0x1a23d02f54ab9f52
67425 .xword 0x6d4d17820dbb9b39
67426 .xword 0x4d58e97f1290f93c
67427 .xword 0x381f9bb0e83ee56b
67428 .xword 0xb15448e27d3586cf
67429 .xword 0x466cce60f935c263
67430 .xword 0x1298846a05c2e84b
67431 .xword 0x4066bd0351ec32e3
67432 .xword 0xa503b0a4dd3ab1fb
67433 .xword 0xefb2d5e9f663c576
67434 .xword 0xa2baa24305207b34
67435 .xword 0x615475b3f0a03289
67436 .xword 0x24a2d912b83b184b
67437 .xword 0x8cef26598713f5fa
67438 .xword 0xe0f90c44df9ebd75
67439 .xword 0x395f83f14b26e024
67440 .xword 0x2b65f02439c6c107
67441 .xword 0xfcbfb57d88c4800e
67442 .xword 0xf2298ce0521440e1
67443 .xword 0xcd6e4d2713a82151
67444 .xword 0x15bdd51b50406963
67445 .xword 0xc2c5aaa90e314649
67446 .xword 0x40c8c9b95f83479b
67447 .xword 0x70389b195a21791c
67448 .xword 0x7af712f2b6c11770
67449 .xword 0x4c457ceb0b2e1dfa
67450 .xword 0x207f3bcfc57c6b7e
67451 .xword 0x64a21e0b5f5c2004
67452 .xword 0x64dda0d5c46f3c4a
67453 .xword 0x067ac67db65f8182
67454 .xword 0x1e89614e7fb55abf
67455 .xword 0x01089e172f8705e0
67456 .xword 0x6400fdcfb90f317b
67457 .xword 0x9191a38dcd3164c4
67458 .xword 0xf0b6298c121a6deb
67459 .xword 0x9062f198f14deca2
67460 .xword 0x09527771772ec831
67461 .xword 0x09b417befe028190
67462 .xword 0xa0b075e8e72b3d20
67463 .xword 0xa656ea6e5baa19ba
67464 .xword 0x407a210c2843729b
67465 .xword 0xf839bab7fa006b4c
67466 .xword 0xdf0261ea94880fac
67467 .xword 0x9ad43647fcc88b9b
67468_t1_des_iv_array:
67469 .xword 0xafc90656754ce98f
67470 .xword 0x0fbf7273bd75fddf
67471 .xword 0x670c3a2bc6b8bfd4
67472 .xword 0x5f75a37a9c8ea0b2
67473 .xword 0xbc8ad6890b156bb5
67474 .xword 0x8938475843e2d5c4
67475 .xword 0xbbae63837db90607
67476 .xword 0xd0e6ac6ba47daeb2
67477 .xword 0xc92bf76c7374fadf
67478 .xword 0x8be96f6b00197e76
67479 .xword 0x0a6da7ff97277afa
67480 .xword 0xee8d74d31d16f62b
67481 .xword 0x73e4eedfbe372cc0
67482 .xword 0x924f5514b71ad7b2
67483 .xword 0x7199b09268a8811c
67484 .xword 0x93fbc70604f534aa
67485 .xword 0xcd92718857e47489
67486 .xword 0xcb8a0313686b16a2
67487 .xword 0x88eb110ade63d4f3
67488 .xword 0x99ac7bd62cb3afb5
67489 .xword 0x6c24556a80e513f2
67490 .xword 0x22e8077e18c077b5
67491 .xword 0x01e26e011d44d24a
67492 .xword 0x5f414dd85e2d638f
67493 .xword 0x52b770f15d4e7c41
67494 .xword 0x1c19fc46ac769277
67495 .xword 0xbb4be86378a12e58
67496 .xword 0xa53e3678547a75c2
67497 .xword 0x13688b0e64e344ab
67498 .xword 0x0d6d0a006c57606c
67499 .xword 0x30bb864cb06e20cf
67500 .xword 0x88d9128f00de71a0
67501 .xword 0xf6a92f1141488f71
67502 .xword 0x129746c20227d2df
67503 .xword 0x51a6cb34b6bf6528
67504 .xword 0x4394d3acc9bd3b9d
67505 .xword 0x3ce3149dc2746cc6
67506 .xword 0xa02aeda1f0482afd
67507 .xword 0x61dc5c122a09c425
67508 .xword 0xc5cb2e65c274c36f
67509 .xword 0xd44a4487835e4d88
67510 .xword 0x1febf28fc4a2a6b5
67511 .xword 0xee94fa0e49a3ab85
67512 .xword 0x5d8e520346d4bde6
67513 .xword 0xf1a078a9dc6ce5d6
67514_t1_des_alignment_array:
67515 .xword 5
67516 .xword 4
67517 .xword 11
67518 .xword 10
67519 .xword 11
67520 .xword 11
67521 .xword 15
67522 .xword 10
67523 .xword 4
67524 .xword 12
67525 .xword 13
67526 .xword 6
67527 .xword 5
67528 .xword 13
67529 .xword 10
67530 .xword 4
67531 .xword 8
67532 .xword 13
67533 .xword 1
67534 .xword 2
67535 .xword 10
67536 .xword 12
67537 .xword 12
67538 .xword 3
67539 .xword 3
67540 .xword 0
67541 .xword 10
67542 .xword 14
67543 .xword 6
67544 .xword 13
67545 .xword 14
67546 .xword 2
67547 .xword 1
67548 .xword 3
67549 .xword 8
67550 .xword 4
67551 .xword 2
67552 .xword 14
67553 .xword 13
67554 .xword 3
67555 .xword 5
67556 .xword 2
67557 .xword 12
67558 .xword 3
67559 .xword 8
67560 .xword 1
67561 .xword 4
67562 .xword 8
67563 .xword 11
67564 .xword 9
67565 .xword 13
67566 .xword 3
67567 .xword 10
67568 .xword 10
67569 .xword 4
67570 .xword 7
67571 .xword 13
67572 .xword 14
67573 .xword 1
67574 .xword 0
67575 .xword 3
67576 .xword 11
67577 .xword 8
67578 .xword 4
67579 .xword 8
67580 .xword 10
67581 .xword 8
67582 .xword 0
67583 .xword 10
67584 .xword 0
67585 .xword 3
67586 .xword 13
67587 .xword 15
67588 .xword 8
67589 .xword 9
67590 .xword 10
67591 .xword 15
67592 .xword 9
67593 .xword 8
67594 .xword 12
67595 .xword 5
67596 .xword 3
67597 .xword 1
67598 .xword 1
67599 .xword 4
67600 .xword 4
67601 .xword 11
67602 .xword 4
67603 .xword 9
67604 .xword 11
67605 .xword 13
67606 .xword 13
67607 .xword 14
67608 .xword 3
67609 .xword 0
67610 .xword 8
67611 .xword 8
67612 .xword 8
67613 .xword 3
67614 .xword 5
67615 .xword 5
67616 .xword 11
67617 .xword 10
67618 .xword 8
67619 .xword 8
67620_t1_des_src:
67621 .xword 0xf75744be75045850
67622 .xword 0x3bef9e8eccbb592f
67623 .xword 0x9ab7c84a846e0623
67624 .xword 0xe49322785ebaa40f
67625 .xword 0x7a603f25ec2c79c9
67626 .xword 0xab6726782b13b44b
67627 .xword 0xbcb862fc40f7f3bb
67628 .xword 0xcc9987b75fcc86b9
67629 .xword 0xe631e12e4e409e87
67630 .xword 0xc8d29f7c69e634ff
67631 .xword 0x2d691d5012cdfe95
67632 .xword 0x69b3f51e7d0c4a07
67633 .xword 0xe07436dd7efa5eaa
67634 .xword 0xd52d1ac023372bd3
67635 .xword 0xf4fb758ec5f5fe34
67636 .xword 0xdccccc86fc2604bd
67637 .xword 0xbe380812f1961160
67638 .xword 0x734c7d54b200231d
67639 .xword 0x020084d66e04feec
67640 .xword 0xa42081e9de1d2156
67641 .xword 0x6e7365a949088b1e
67642 .xword 0xb608e44e69cdeda5
67643 .xword 0x5b0ae7a5830ee265
67644 .xword 0x53cb01d880509843
67645 .xword 0x2667c134e3d68867
67646 .xword 0xf9face790571d314
67647 .xword 0x17a2832460a37145
67648 .xword 0x5450d9c27bb97c87
67649 .xword 0x0536cf8c1de9b2d0
67650 .xword 0x0904da099bdbe7c7
67651 .xword 0x75ee68a9d98db33a
67652 .xword 0x36e971ed8c70f37c
67653 .xword 0x765a9f29872c3ab4
67654 .xword 0x4941529b8fc887d9
67655 .xword 0x1e8a3b24afe63338
67656 .xword 0x4f36c96764544246
67657 .xword 0xac6407fd785df67a
67658 .xword 0x79acfef2a416a86d
67659 .xword 0xa8a49e5f228095ae
67660 .xword 0xdd4bb7514a89cfa8
67661 .xword 0x139ad2c52be9d71a
67662 .xword 0x614a01f0ef8d866a
67663 .xword 0xec9c2f948d127bc3
67664 .xword 0x263fc90c72cb6992
67665 .xword 0x5eccc9d539bcb484
67666 .xword 0xe64838650e1d7f84
67667 .xword 0xd61bc33d19e5342a
67668 .xword 0xc1a016c84d181837
67669 .xword 0x3900b21dbcc1cd20
67670 .xword 0x16837a26a351e631
67671 .xword 0xaa61477b0d019bdb
67672 .xword 0x699c609e2b469412
67673 .xword 0xe939d2eb358d242a
67674 .xword 0x0aed7ace975422ac
67675 .xword 0x3ee3e4097f506bbd
67676 .xword 0xe4c04ded60a9f101
67677 .xword 0x15b8b805875c792f
67678 .xword 0xc1ff5afa3eedae55
67679 .xword 0xe52d235611803431
67680 .xword 0xcabad6e1d6573874
67681 .xword 0xc2c53e7af5102e47
67682 .xword 0x8bbcefebd7503240
67683 .xword 0x29692714431cbe76
67684 .xword 0xcf84d03b0d87300c
67685 .xword 0x77d9caedbb50eb37
67686 .xword 0xd0265acded5c5e96
67687 .xword 0x91a5bb28678cdea8
67688 .xword 0xa8973e80effa20de
67689 .xword 0xb96242aa7819c79e
67690 .xword 0x9b312d26ae077150
67691 .xword 0x6e5ab8b77d07b1ac
67692 .xword 0x3900d68ab9e4916b
67693 .xword 0x1b4cda66adaf545d
67694 .xword 0x531fa1d300342b5c
67695 .xword 0x1d4ab673d4b659a5
67696 .xword 0x77faf46ed488b5fc
67697 .xword 0x3e957729139f54a2
67698 .xword 0x5489b57f642f70e9
67699 .xword 0x34e54c3c8e87bea8
67700 .xword 0x2c4e9d5720ce16fd
67701 .xword 0x1621a689d909c70a
67702 .xword 0x0608e3813d5beb1f
67703 .xword 0xc6f9429dca46bd77
67704 .xword 0x939cec06a9a5f854
67705 .xword 0x502c9569cd6ed926
67706 .xword 0x24ccde5e72a4b12a
67707 .xword 0x338bec08c62d68d9
67708 .xword 0x4d3fa5f020797762
67709 .xword 0x763af0db67af0ce0
67710 .xword 0xf8493fd24f65f596
67711 .xword 0xd1653604149d4e46
67712 .xword 0x18e40ac1a3492e60
67713 .xword 0x098e617cab1c8eaa
67714 .xword 0xe76526faa1b74c23
67715 .xword 0x0014101fc132d5a7
67716 .xword 0x8ff8ef760a23f159
67717 .xword 0x2b1becb1d65304c1
67718 .xword 0x7db10f25e3c2e770
67719 .xword 0xbc178c6a910a6117
67720 .xword 0x215ad0371b00688d
67721 .xword 0x53c29e5914832235
67722 .xword 0x996688ce3e97f9a2
67723 .xword 0xd0b06e73b34b5b0b
67724 .xword 0x0d013f34e3cf08d5
67725 .xword 0x2818289c3f940b70
67726 .xword 0x30fa3ead1784e278
67727 .xword 0x5317d028b738f04f
67728 .xword 0x4e47113b759c1f6a
67729 .xword 0xe1e15b2e9bab2fe8
67730 .xword 0x2ac1cd4347bfca04
67731 .xword 0x35cdc5ab9c98deaf
67732 .xword 0x9601e4b3f0ab232d
67733 .xword 0x9c6be8d6ddef1972
67734 .xword 0x1911a12f52c2a008
67735 .xword 0x220663a63c72bd38
67736 .xword 0xd9d04c3eabc8aa31
67737 .xword 0xec7ff02a96e58e63
67738 .xword 0xd4a2962c27498922
67739 .xword 0x309419543d75a16d
67740 .xword 0xede66f28360db1bd
67741 .xword 0x14707cc37f0b5cb2
67742 .xword 0x0625f3cb6bc167d9
67743 .xword 0x09175faaf1b067ef
67744 .xword 0x77dc90672839eae4
67745 .xword 0x361253111c8abd98
67746 .xword 0xcbe772b3ad4e1192
67747 .xword 0x99368a11ebbb92e7
67748 .xword 0x24bd10b14bdc25fd
67749 .xword 0x1d26616e8268ec30
67750 .xword 0xdaaef813c7574c2c
67751 .xword 0xacfdd1a21c2fe4b9
67752 .xword 0x1f6ae979887d4cde
67753 .xword 0x885f471e55ab4a2e
67754 .xword 0x843e7a368b7a558e
67755 .xword 0x0546c5f46fb2e67a
67756 .xword 0x5eff4581b2566593
67757 .xword 0x7f4daa1816d7b7da
67758 .xword 0xb8dd5fd2c1eca5c9
67759 .xword 0xba4143784ff81b44
67760 .xword 0x77f50fa7e71ae8cd
67761 .xword 0xf24ddcdf6de520ac
67762 .xword 0x99f1f6cea97d03c4
67763 .xword 0x4c2001113bcc8ae3
67764 .xword 0x3e721efe3fd25125
67765 .xword 0x486072714b0a8e78
67766 .xword 0x661b3c42218ab013
67767 .xword 0x35de2aef534c1279
67768 .xword 0xb03945106129563e
67769 .xword 0x71227f1256a8bc7f
67770 .xword 0x4cf294a6c2482257
67771 .xword 0x3a706c5968c7282b
67772 .xword 0x668f227ffee955de
67773 .xword 0xd5e61435475a32c8
67774 .xword 0xc7fe5c280e64cd25
67775 .xword 0xb4db3407b8c6f715
67776 .xword 0x5f560c75bb2d4830
67777 .xword 0xde862ce0dac86ca6
67778 .xword 0x1661f564ed58d608
67779 .xword 0xe45150d1ae6b298c
67780 .xword 0x6ca061570ebcc4b7
67781 .xword 0xe027206cba218108
67782 .xword 0xaa427bb838c72a7b
67783 .xword 0xe11611dd5e6226d6
67784 .xword 0x7ed998b367603f4e
67785 .xword 0xb54cf7fc535261d2
67786 .xword 0x82bf011e60e2a4c8
67787 .xword 0x3e557f2e97eab2fb
67788 .xword 0x353f0e84c3042c0e
67789 .xword 0x31e33e3332262840
67790 .xword 0x32636658a8ab0e41
67791 .xword 0x2bf7bfe9655c72f2
67792 .xword 0xc5a07519b2948fa5
67793 .xword 0x9aebba80973666f4
67794 .xword 0x90db683794bc1532
67795 .xword 0x066af8507cd784dd
67796 .xword 0xb803fc4b3b893be8
67797 .xword 0xf809811cd8f3c7b9
67798 .xword 0x5238d464bfff4c6b
67799 .xword 0xe5b6f6a78c9e9505
67800 .xword 0xbaed815bdcd40ea5
67801 .xword 0x1d7f54b302e166f0
67802 .xword 0x6a9633d22971017f
67803 .xword 0x20829b4577c123e4
67804 .xword 0xb7f11fb75f441c88
67805 .xword 0x49f15d7d1fab976e
67806 .xword 0x12f2ca2d5afc2d4a
67807 .xword 0x8208d8cbae428654
67808 .xword 0x213f3a913f348d34
67809 .xword 0x5badbfdfdbe77937
67810 .xword 0x8dc14d0b6da1dea7
67811 .xword 0x50e0d796d7839be7
67812 .xword 0xf1c8e24d5107736f
67813 .xword 0x64d24f8ccfe77b7c
67814 .xword 0x28766c1b22b40540
67815 .xword 0xebe1290f864f0dc1
67816 .xword 0xa822b5364bf34e3e
67817 .xword 0x3f3a4f36e34a3b0c
67818 .xword 0x67a999e844f84f67
67819 .xword 0x2657770b19c18266
67820 .xword 0x1214a9edd7283cd4
67821 .xword 0x4ca8018daae04eb5
67822 .xword 0xa7eb1d53155b98d0
67823 .xword 0x50a2d986812123e4
67824 .xword 0x4d8f641a1c82c009
67825 .xword 0x86202a69495d27ad
67826 .xword 0xff8d9c0888d31982
67827 .xword 0xbb6032c267fb7500
67828 .xword 0x7858c810f0df7b91
67829 .xword 0xcae55792a6f3a40d
67830 .xword 0x76adffbba7252a7f
67831 .xword 0xed9e4c246f420827
67832 .xword 0xe78b6174e353012a
67833 .xword 0x913e528811ec5281
67834 .xword 0x74318370fd45c60c
67835 .xword 0x52428c6918391a21
67836 .xword 0x8a033ee7e94b5b49
67837 .xword 0x032dcd1cce2837fc
67838 .xword 0xebe2f0e906f3a634
67839 .xword 0x3cd17fb77cd71dcf
67840 .xword 0x38452b6242955149
67841 .xword 0x2a7526e41843923f
67842 .xword 0xded45bcbdf51ed68
67843 .xword 0x220b4c853932f448
67844 .xword 0x258d37cdaec4d45a
67845 .xword 0x82f0feab5b1a8424
67846 .xword 0x93f0a1fe4b0f1cbf
67847 .xword 0x577bf97b12dbb420
67848 .xword 0xcac3c7fcdc7c2fd5
67849 .xword 0x376ee222b289a3fd
67850 .xword 0x49cf52a48a7e84f2
67851 .xword 0x8217643ba8b9294d
67852 .xword 0x90e5fe60db53ff58
67853 .xword 0xa2ff0e621d525d3e
67854 .xword 0x5e4fd6a00914bd71
67855 .xword 0xecb9f4cea172da78
67856 .xword 0x58ff8d1ad4124523
67857 .xword 0x1f851a34ad456471
67858 .xword 0x1786ad7338f302c1
67859 .xword 0x600f4ae82833e7ad
67860 .xword 0x97f7a612b27fd047
67861 .xword 0x399b0b1f3710b0b6
67862 .xword 0x7a7ed8baaaf1fed7
67863 .xword 0x8eb3a88dbcdaa7f8
67864 .xword 0x67ff89100767c07a
67865 .xword 0x35b4319b43673517
67866 .xword 0x500828ddf03eedfe
67867 .xword 0x2ce0bad3227e2988
67868 .xword 0x1d3ddf033ae942d9
67869 .xword 0x475f11573ec75098
67870 .xword 0x20ef73ebdf3950f1
67871 .xword 0xc33253eede27eab4
67872 .xword 0x12e3166b7a5fa19b
67873 .xword 0x2df06a05ca003502
67874 .xword 0xe4b3c479dfd07da4
67875 .xword 0xd5382e857a00c950
67876 .xword 0x914664394e16bdc6
67877 .xword 0xac226d018fa3733f
67878 .xword 0xe3c2cde11ab4613f
67879 .xword 0xdf90143460f951de
67880 .xword 0xc42d65f38a67c088
67881 .xword 0x66776dfdf0b3c9e8
67882 .xword 0x694a5e5b5bf9165b
67883 .xword 0xd4e29a884934ce9a
67884 .xword 0x7702036cb6133f3b
67885 .xword 0xfff0b507df2ba37b
67886 .xword 0x168e691b369b1536
67887 .xword 0x61c84f54d9a1560b
67888 .xword 0xd48e8cbd86593ac6
67889 .xword 0x69a8eee7d4def35b
67890 .xword 0xef2150efb0b496ad
67891 .xword 0x0350a2d7c6d3eba2
67892 .xword 0x973e4cb22fe604b8
67893 .xword 0x7a2df22db37caa87
67894 .xword 0x3ff9e64e57590fc7
67895 .xword 0xeef07986476f91da
67896_t1_des_dest:
67897 .xword 0xDEADBEEFDEADBEEF
67898 .xword 0xDEADBEEFDEADBEEF
67899 .xword 0xDEADBEEFDEADBEEF
67900 .xword 0xDEADBEEFDEADBEEF
67901 .xword 0xDEADBEEFDEADBEEF
67902 .xword 0xDEADBEEFDEADBEEF
67903 .xword 0xDEADBEEFDEADBEEF
67904 .xword 0xDEADBEEFDEADBEEF
67905 .xword 0xDEADBEEFDEADBEEF
67906 .xword 0xDEADBEEFDEADBEEF
67907 .xword 0xDEADBEEFDEADBEEF
67908 .xword 0xDEADBEEFDEADBEEF
67909 .xword 0xDEADBEEFDEADBEEF
67910 .xword 0xDEADBEEFDEADBEEF
67911 .xword 0xDEADBEEFDEADBEEF
67912 .xword 0xDEADBEEFDEADBEEF
67913 .xword 0xDEADBEEFDEADBEEF
67914 .xword 0xDEADBEEFDEADBEEF
67915 .xword 0xDEADBEEFDEADBEEF
67916 .xword 0xDEADBEEFDEADBEEF
67917 .xword 0xDEADBEEFDEADBEEF
67918 .xword 0xDEADBEEFDEADBEEF
67919 .xword 0xDEADBEEFDEADBEEF
67920 .xword 0xDEADBEEFDEADBEEF
67921 .xword 0xDEADBEEFDEADBEEF
67922 .xword 0xDEADBEEFDEADBEEF
67923 .xword 0xDEADBEEFDEADBEEF
67924 .xword 0xDEADBEEFDEADBEEF
67925 .xword 0xDEADBEEFDEADBEEF
67926 .xword 0xDEADBEEFDEADBEEF
67927 .xword 0xDEADBEEFDEADBEEF
67928 .xword 0xDEADBEEFDEADBEEF
67929 .xword 0xDEADBEEFDEADBEEF
67930 .xword 0xDEADBEEFDEADBEEF
67931 .xword 0xDEADBEEFDEADBEEF
67932 .xword 0xDEADBEEFDEADBEEF
67933 .xword 0xDEADBEEFDEADBEEF
67934 .xword 0xDEADBEEFDEADBEEF
67935 .xword 0xDEADBEEFDEADBEEF
67936 .xword 0xDEADBEEFDEADBEEF
67937 .xword 0xDEADBEEFDEADBEEF
67938 .xword 0xDEADBEEFDEADBEEF
67939 .xword 0xDEADBEEFDEADBEEF
67940 .xword 0xDEADBEEFDEADBEEF
67941 .xword 0xDEADBEEFDEADBEEF
67942 .xword 0xDEADBEEFDEADBEEF
67943 .xword 0xDEADBEEFDEADBEEF
67944 .xword 0xDEADBEEFDEADBEEF
67945 .xword 0xDEADBEEFDEADBEEF
67946 .xword 0xDEADBEEFDEADBEEF
67947 .xword 0xDEADBEEFDEADBEEF
67948 .xword 0xDEADBEEFDEADBEEF
67949 .xword 0xDEADBEEFDEADBEEF
67950 .xword 0xDEADBEEFDEADBEEF
67951 .xword 0xDEADBEEFDEADBEEF
67952 .xword 0xDEADBEEFDEADBEEF
67953 .xword 0xDEADBEEFDEADBEEF
67954 .xword 0xDEADBEEFDEADBEEF
67955 .xword 0xDEADBEEFDEADBEEF
67956 .xword 0xDEADBEEFDEADBEEF
67957 .xword 0xDEADBEEFDEADBEEF
67958 .xword 0xDEADBEEFDEADBEEF
67959 .xword 0xDEADBEEFDEADBEEF
67960 .xword 0xDEADBEEFDEADBEEF
67961 .xword 0xDEADBEEFDEADBEEF
67962 .xword 0xDEADBEEFDEADBEEF
67963 .xword 0xDEADBEEFDEADBEEF
67964 .xword 0xDEADBEEFDEADBEEF
67965 .xword 0xDEADBEEFDEADBEEF
67966 .xword 0xDEADBEEFDEADBEEF
67967 .xword 0xDEADBEEFDEADBEEF
67968 .xword 0xDEADBEEFDEADBEEF
67969 .xword 0xDEADBEEFDEADBEEF
67970 .xword 0xDEADBEEFDEADBEEF
67971 .xword 0xDEADBEEFDEADBEEF
67972 .xword 0xDEADBEEFDEADBEEF
67973 .xword 0xDEADBEEFDEADBEEF
67974 .xword 0xDEADBEEFDEADBEEF
67975 .xword 0xDEADBEEFDEADBEEF
67976 .xword 0xDEADBEEFDEADBEEF
67977 .xword 0xDEADBEEFDEADBEEF
67978 .xword 0xDEADBEEFDEADBEEF
67979 .xword 0xDEADBEEFDEADBEEF
67980 .xword 0xDEADBEEFDEADBEEF
67981 .xword 0xDEADBEEFDEADBEEF
67982 .xword 0xDEADBEEFDEADBEEF
67983 .xword 0xDEADBEEFDEADBEEF
67984 .xword 0xDEADBEEFDEADBEEF
67985 .xword 0xDEADBEEFDEADBEEF
67986 .xword 0xDEADBEEFDEADBEEF
67987 .xword 0xDEADBEEFDEADBEEF
67988 .xword 0xDEADBEEFDEADBEEF
67989 .xword 0xDEADBEEFDEADBEEF
67990 .xword 0xDEADBEEFDEADBEEF
67991 .xword 0xDEADBEEFDEADBEEF
67992 .xword 0xDEADBEEFDEADBEEF
67993 .xword 0xDEADBEEFDEADBEEF
67994 .xword 0xDEADBEEFDEADBEEF
67995 .xword 0xDEADBEEFDEADBEEF
67996 .xword 0xDEADBEEFDEADBEEF
67997 .xword 0xDEADBEEFDEADBEEF
67998 .xword 0xDEADBEEFDEADBEEF
67999 .xword 0xDEADBEEFDEADBEEF
68000 .xword 0xDEADBEEFDEADBEEF
68001 .xword 0xDEADBEEFDEADBEEF
68002 .xword 0xDEADBEEFDEADBEEF
68003 .xword 0xDEADBEEFDEADBEEF
68004 .xword 0xDEADBEEFDEADBEEF
68005 .xword 0xDEADBEEFDEADBEEF
68006 .xword 0xDEADBEEFDEADBEEF
68007 .xword 0xDEADBEEFDEADBEEF
68008 .xword 0xDEADBEEFDEADBEEF
68009 .xword 0xDEADBEEFDEADBEEF
68010 .xword 0xDEADBEEFDEADBEEF
68011 .xword 0xDEADBEEFDEADBEEF
68012 .xword 0xDEADBEEFDEADBEEF
68013 .xword 0xDEADBEEFDEADBEEF
68014 .xword 0xDEADBEEFDEADBEEF
68015 .xword 0xDEADBEEFDEADBEEF
68016 .xword 0xDEADBEEFDEADBEEF
68017 .xword 0xDEADBEEFDEADBEEF
68018 .xword 0xDEADBEEFDEADBEEF
68019 .xword 0xDEADBEEFDEADBEEF
68020 .xword 0xDEADBEEFDEADBEEF
68021 .xword 0xDEADBEEFDEADBEEF
68022 .xword 0xDEADBEEFDEADBEEF
68023 .xword 0xDEADBEEFDEADBEEF
68024 .xword 0xDEADBEEFDEADBEEF
68025 .xword 0xDEADBEEFDEADBEEF
68026 .xword 0xDEADBEEFDEADBEEF
68027 .xword 0xDEADBEEFDEADBEEF
68028 .xword 0xDEADBEEFDEADBEEF
68029 .xword 0xDEADBEEFDEADBEEF
68030 .xword 0xDEADBEEFDEADBEEF
68031 .xword 0xDEADBEEFDEADBEEF
68032 .xword 0xDEADBEEFDEADBEEF
68033 .xword 0xDEADBEEFDEADBEEF
68034 .xword 0xDEADBEEFDEADBEEF
68035 .xword 0xDEADBEEFDEADBEEF
68036 .xword 0xDEADBEEFDEADBEEF
68037 .xword 0xDEADBEEFDEADBEEF
68038 .xword 0xDEADBEEFDEADBEEF
68039 .xword 0xDEADBEEFDEADBEEF
68040 .xword 0xDEADBEEFDEADBEEF
68041 .xword 0xDEADBEEFDEADBEEF
68042 .xword 0xDEADBEEFDEADBEEF
68043 .xword 0xDEADBEEFDEADBEEF
68044 .xword 0xDEADBEEFDEADBEEF
68045 .xword 0xDEADBEEFDEADBEEF
68046 .xword 0xDEADBEEFDEADBEEF
68047 .xword 0xDEADBEEFDEADBEEF
68048 .xword 0xDEADBEEFDEADBEEF
68049 .xword 0xDEADBEEFDEADBEEF
68050 .xword 0xDEADBEEFDEADBEEF
68051 .xword 0xDEADBEEFDEADBEEF
68052 .xword 0xDEADBEEFDEADBEEF
68053 .xword 0xDEADBEEFDEADBEEF
68054 .xword 0xDEADBEEFDEADBEEF
68055 .xword 0xDEADBEEFDEADBEEF
68056 .xword 0xDEADBEEFDEADBEEF
68057 .xword 0xDEADBEEFDEADBEEF
68058 .xword 0xDEADBEEFDEADBEEF
68059 .xword 0xDEADBEEFDEADBEEF
68060 .xword 0xDEADBEEFDEADBEEF
68061 .xword 0xDEADBEEFDEADBEEF
68062 .xword 0xDEADBEEFDEADBEEF
68063 .xword 0xDEADBEEFDEADBEEF
68064 .xword 0xDEADBEEFDEADBEEF
68065 .xword 0xDEADBEEFDEADBEEF
68066 .xword 0xDEADBEEFDEADBEEF
68067 .xword 0xDEADBEEFDEADBEEF
68068 .xword 0xDEADBEEFDEADBEEF
68069 .xword 0xDEADBEEFDEADBEEF
68070 .xword 0xDEADBEEFDEADBEEF
68071 .xword 0xDEADBEEFDEADBEEF
68072 .xword 0xDEADBEEFDEADBEEF
68073 .xword 0xDEADBEEFDEADBEEF
68074 .xword 0xDEADBEEFDEADBEEF
68075 .xword 0xDEADBEEFDEADBEEF
68076 .xword 0xDEADBEEFDEADBEEF
68077 .xword 0xDEADBEEFDEADBEEF
68078 .xword 0xDEADBEEFDEADBEEF
68079 .xword 0xDEADBEEFDEADBEEF
68080 .xword 0xDEADBEEFDEADBEEF
68081 .xword 0xDEADBEEFDEADBEEF
68082 .xword 0xDEADBEEFDEADBEEF
68083 .xword 0xDEADBEEFDEADBEEF
68084 .xword 0xDEADBEEFDEADBEEF
68085 .xword 0xDEADBEEFDEADBEEF
68086 .xword 0xDEADBEEFDEADBEEF
68087 .xword 0xDEADBEEFDEADBEEF
68088 .xword 0xDEADBEEFDEADBEEF
68089 .xword 0xDEADBEEFDEADBEEF
68090 .xword 0xDEADBEEFDEADBEEF
68091 .xword 0xDEADBEEFDEADBEEF
68092 .xword 0xDEADBEEFDEADBEEF
68093 .xword 0xDEADBEEFDEADBEEF
68094 .xword 0xDEADBEEFDEADBEEF
68095 .xword 0xDEADBEEFDEADBEEF
68096 .xword 0xDEADBEEFDEADBEEF
68097 .xword 0xDEADBEEFDEADBEEF
68098 .xword 0xDEADBEEFDEADBEEF
68099 .xword 0xDEADBEEFDEADBEEF
68100 .xword 0xDEADBEEFDEADBEEF
68101 .xword 0xDEADBEEFDEADBEEF
68102 .xword 0xDEADBEEFDEADBEEF
68103 .xword 0xDEADBEEFDEADBEEF
68104 .xword 0xDEADBEEFDEADBEEF
68105 .xword 0xDEADBEEFDEADBEEF
68106 .xword 0xDEADBEEFDEADBEEF
68107 .xword 0xDEADBEEFDEADBEEF
68108 .xword 0xDEADBEEFDEADBEEF
68109 .xword 0xDEADBEEFDEADBEEF
68110 .xword 0xDEADBEEFDEADBEEF
68111 .xword 0xDEADBEEFDEADBEEF
68112 .xword 0xDEADBEEFDEADBEEF
68113 .xword 0xDEADBEEFDEADBEEF
68114 .xword 0xDEADBEEFDEADBEEF
68115 .xword 0xDEADBEEFDEADBEEF
68116 .xword 0xDEADBEEFDEADBEEF
68117 .xword 0xDEADBEEFDEADBEEF
68118 .xword 0xDEADBEEFDEADBEEF
68119 .xword 0xDEADBEEFDEADBEEF
68120 .xword 0xDEADBEEFDEADBEEF
68121 .xword 0xDEADBEEFDEADBEEF
68122 .xword 0xDEADBEEFDEADBEEF
68123 .xword 0xDEADBEEFDEADBEEF
68124 .xword 0xDEADBEEFDEADBEEF
68125 .xword 0xDEADBEEFDEADBEEF
68126 .xword 0xDEADBEEFDEADBEEF
68127 .xword 0xDEADBEEFDEADBEEF
68128 .xword 0xDEADBEEFDEADBEEF
68129 .xword 0xDEADBEEFDEADBEEF
68130 .xword 0xDEADBEEFDEADBEEF
68131 .xword 0xDEADBEEFDEADBEEF
68132 .xword 0xDEADBEEFDEADBEEF
68133 .xword 0xDEADBEEFDEADBEEF
68134 .xword 0xDEADBEEFDEADBEEF
68135 .xword 0xDEADBEEFDEADBEEF
68136 .xword 0xDEADBEEFDEADBEEF
68137 .xword 0xDEADBEEFDEADBEEF
68138 .xword 0xDEADBEEFDEADBEEF
68139 .xword 0xDEADBEEFDEADBEEF
68140 .xword 0xDEADBEEFDEADBEEF
68141 .xword 0xDEADBEEFDEADBEEF
68142 .xword 0xDEADBEEFDEADBEEF
68143 .xword 0xDEADBEEFDEADBEEF
68144 .xword 0xDEADBEEFDEADBEEF
68145 .xword 0xDEADBEEFDEADBEEF
68146 .xword 0xDEADBEEFDEADBEEF
68147 .xword 0xDEADBEEFDEADBEEF
68148 .xword 0xDEADBEEFDEADBEEF
68149 .xword 0xDEADBEEFDEADBEEF
68150 .xword 0xDEADBEEFDEADBEEF
68151 .xword 0xDEADBEEFDEADBEEF
68152 .xword 0xDEADBEEFDEADBEEF
68153 .xword 0xDEADBEEFDEADBEEF
68154 .xword 0xDEADBEEFDEADBEEF
68155 .xword 0xDEADBEEFDEADBEEF
68156 .xword 0xDEADBEEFDEADBEEF
68157 .xword 0xDEADBEEFDEADBEEF
68158 .xword 0xDEADBEEFDEADBEEF
68159 .xword 0xDEADBEEFDEADBEEF
68160 .xword 0xDEADBEEFDEADBEEF
68161 .xword 0xDEADBEEFDEADBEEF
68162 .xword 0xDEADBEEFDEADBEEF
68163 .xword 0xDEADBEEFDEADBEEF
68164 .xword 0xDEADBEEFDEADBEEF
68165 .xword 0xDEADBEEFDEADBEEF
68166 .xword 0xDEADBEEFDEADBEEF
68167 .xword 0xDEADBEEFDEADBEEF
68168 .xword 0xDEADBEEFDEADBEEF
68169 .xword 0xDEADBEEFDEADBEEF
68170 .xword 0xDEADBEEFDEADBEEF
68171 .xword 0xDEADBEEFDEADBEEF
68172_t1_des_auth_key:
68173 .xword 0xd757795ba45c1fb9
68174 .xword 0xb4eac1fb1ed4d997
68175 .xword 0xb479dcf95695bfcd
68176 .xword 0x92b63c7e69dc94bb
68177 .xword 0xf81ee22766312377
68178 .xword 0x5dc205d87911e39b
68179 .xword 0xaedf71e9f08b082e
68180 .xword 0x440a6bb8d3504e93
68181 .xword 0xbd59c8562444fbb3
68182 .xword 0x882ae390749ec94c
68183 .xword 0x71d8d3b1c554ea8f
68184 .xword 0x8fbb9edd095306e5
68185 .xword 0xc450e344e9de688f
68186 .xword 0x4858ec48dd8ffc2b
68187 .xword 0xe040032909ad7f6c
68188 .xword 0xa956dd402c14755f
68189 .xword 0xd1234444566a5516
68190 .xword 0x15af9c2a03959143
68191 .xword 0xf97c5a9fde8215be
68192 .xword 0x1f0828585f6b21f5
68193 .xword 0x76b6beff7819eacf
68194 .xword 0x31dba755323e5d95
68195 .xword 0x388a16799a4e7adc
68196_t1_des_auth_iv:
68197 .xword 0x0b762529edc2fa28
68198 .xword 0xf9146e772ee09bd2
68199 .xword 0x303220d14b847b93
68200 .xword 0x8f058e1aa738273d
68201 .xword 0x11929ec78d425911
68202 .xword 0xbdf99a1cd905a003
68203 .xword 0x2d3569f893f3c7de
68204 .xword 0xf68a4146c83e2a8d
68205 .xword 0xa226a94932f1ae91
68206 .xword 0x42d0df5e81a9c6b4
68207 .xword 0x4711682db1513391
68208 .xword 0xf7298d7432eddd2d
68209 .xword 0xf5fcf5be5279727e
68210 .xword 0x84ab15d7f8b9451f
68211 .xword 0x65a25142575b6178
68212 .xword 0x192d3d3a41920ebe
68213 .xword 0xec2a2d62dac95dff
68214 .xword 0xb43724daea2dadba
68215 .xword 0xe39894dc01d5a3de
68216 .xword 0x186bb20d8516df0a
68217 .xword 0x601379077305914f
68218 .xword 0xdae9195a5f22d11f
68219 .xword 0x889d65e474641ce5
68220_t1_des_fas_result:
68221 .xword 0xDEADBEEFDEADBEEF
68222 .xword 0xDEADBEEFDEADBEEF
68223 .xword 0xDEADBEEFDEADBEEF
68224 .xword 0xDEADBEEFDEADBEEF
68225 .xword 0xDEADBEEFDEADBEEF
68226 .xword 0xDEADBEEFDEADBEEF
68227 .xword 0xDEADBEEFDEADBEEF
68228 .xword 0xDEADBEEFDEADBEEF
68229 .xword 0xDEADBEEFDEADBEEF
68230 .xword 0xDEADBEEFDEADBEEF
68231 .xword 0xDEADBEEFDEADBEEF
68232 .xword 0xDEADBEEFDEADBEEF
68233 .xword 0xDEADBEEFDEADBEEF
68234 .xword 0xDEADBEEFDEADBEEF
68235 .xword 0xDEADBEEFDEADBEEF
68236 .xword 0xDEADBEEFDEADBEEF
68237 .xword 0xDEADBEEFDEADBEEF
68238 .xword 0xDEADBEEFDEADBEEF
68239 .xword 0xDEADBEEFDEADBEEF
68240 .xword 0xDEADBEEFDEADBEEF
68241 .xword 0xDEADBEEFDEADBEEF
68242 .xword 0xDEADBEEFDEADBEEF
68243 .xword 0xDEADBEEFDEADBEEF
68244_t1_copy_key_array:
68245 .xword 0x16c3a21d2bde28f5
68246 .xword 0x9e7983d24a342600
68247 .xword 0x1fd2ce7f65a1a808
68248 .xword 0x687c311250bbadbc
68249 .xword 0x02899be5943b598c
68250 .xword 0x18bb000b18656132
68251 .xword 0x16a9bc703aee3985
68252 .xword 0xcf19c9fc3f6dc865
68253 .xword 0x78ad57e69d72eaae
68254 .xword 0x9b354955116ac280
68255 .xword 0xa1d59b8ab3e66bb5
68256 .xword 0x5930374655b68b5d
68257 .xword 0x8cb9499ca910b8e2
68258 .xword 0x1f9eba43665e2de0
68259 .xword 0x6956760867b74761
68260 .xword 0x1f5a698e1b634dca
68261 .xword 0xcbe4d0e07c00e11e
68262 .xword 0x5270805bc579346d
68263 .xword 0x2017bd9a699896fc
68264 .xword 0xb2fa93e458e9a304
68265 .xword 0x2b25a8b8ff0ef4d3
68266 .xword 0x87b75ac5162faae9
68267 .xword 0x3ba970b9cc0581b9
68268 .xword 0x0b4c2448ab9f1da5
68269 .xword 0x711e809e7e40ea93
68270 .xword 0x9dc600f3376b19dc
68271 .xword 0x931cf68a94fb96e2
68272 .xword 0x21a9469816cb0315
68273 .xword 0x6a89d0be7544caf2
68274 .xword 0xf53a2e0e7ba0dd37
68275 .xword 0x62031506da2f7a3a
68276 .xword 0xaf87971e1c73bde9
68277 .xword 0x87e6ebe980aa2ce5
68278 .xword 0x0002a519d96adac1
68279 .xword 0xb32d79433d2a5713
68280 .xword 0xdddd1e4b4c3a7cb9
68281 .xword 0x2311f1a39da4ac60
68282 .xword 0xc56003db19b912a3
68283 .xword 0x5e2b491a377ca7aa
68284 .xword 0x10695137e8dca95a
68285 .xword 0xa9f66eb017d35bdf
68286 .xword 0x71833d437de74202
68287 .xword 0xf63cc0cab574608b
68288 .xword 0xb3fccfbd35949dc2
68289 .xword 0x1b7658f5fde89fff
68290 .xword 0x55733ac41a69cf17
68291 .xword 0x65087e42c33cfa96
68292 .xword 0x63973e83788e1e6f
68293 .xword 0x6cbe6edd678b88df
68294 .xword 0x790cb40225751271
68295 .xword 0x81d4e27785584be6
68296_t1_copy_iv_array:
68297 .xword 0xcbd1cda4a4b62050
68298 .xword 0x1336efb38432b432
68299 .xword 0x128fee3be6820d46
68300 .xword 0x6e9e41231da6c896
68301 .xword 0xc6375adbcd00893f
68302 .xword 0x482263471265d976
68303 .xword 0x07df07242759b88b
68304 .xword 0x69679d9bcd8118c0
68305 .xword 0x16737e2bf1a2ec68
68306 .xword 0xf2e2cc3d28d5619b
68307 .xword 0x5f9fd5770f062dcc
68308 .xword 0xf525019246904b43
68309 .xword 0x19267547e4b02d88
68310 .xword 0xd4bf30739aac61d8
68311 .xword 0x5fd6228436bc2635
68312 .xword 0x407ac6aeb2c87668
68313 .xword 0xadac29a8e86b9142
68314 .xword 0xd8e710fbed9ba7b7
68315 .xword 0x7c2eee4c873f3ce0
68316 .xword 0x800e13972ef66347
68317 .xword 0x640e86a371f72e9e
68318 .xword 0x8c479de46d120b7f
68319 .xword 0xfb2841ef39b9a147
68320 .xword 0x98b4bdc61f4cc81d
68321 .xword 0x9aeb2468c8b27778
68322 .xword 0x70578d301655ca65
68323 .xword 0x280ec84d7d9e4409
68324 .xword 0xb7f3668b32f3bb33
68325 .xword 0xa3f3346c44e467ac
68326 .xword 0x84d5d7488b0eb005
68327 .xword 0x8b8cc7619396efaf
68328 .xword 0x3e6a730c6d9107e6
68329 .xword 0xa3359b1c9e42bfaa
68330 .xword 0xcf9730320ffe4b20
68331 .xword 0xb570f944f8e117d8
68332 .xword 0x42aac3a0e652c6f7
68333 .xword 0xc9cfbf053f703abd
68334 .xword 0xbcf9c792181fecc0
68335 .xword 0x6b601330b99b7457
68336 .xword 0xa0c1a821fab2f8a8
68337 .xword 0xdbb19b83f82bdc24
68338 .xword 0xed09e04e6ee22f75
68339 .xword 0x5efc4c3afdbe9b92
68340 .xword 0xa01e6ece7a5d5287
68341 .xword 0xaa1e1521abccb53f
68342_t1_copy_alignment_array:
68343 .xword 1
68344 .xword 15
68345 .xword 12
68346 .xword 10
68347 .xword 15
68348 .xword 3
68349 .xword 2
68350 .xword 14
68351 .xword 3
68352 .xword 3
68353 .xword 0
68354 .xword 8
68355 .xword 4
68356 .xword 10
68357 .xword 3
68358 .xword 15
68359 .xword 5
68360 .xword 7
68361 .xword 15
68362 .xword 8
68363 .xword 11
68364 .xword 13
68365 .xword 12
68366 .xword 9
68367 .xword 5
68368 .xword 13
68369 .xword 15
68370 .xword 2
68371 .xword 8
68372 .xword 8
68373 .xword 7
68374 .xword 10
68375 .xword 14
68376 .xword 11
68377 .xword 14
68378 .xword 1
68379 .xword 5
68380 .xword 5
68381 .xword 11
68382 .xword 9
68383 .xword 8
68384 .xword 12
68385 .xword 9
68386 .xword 3
68387 .xword 1
68388 .xword 10
68389 .xword 0
68390 .xword 1
68391 .xword 0
68392 .xword 6
68393 .xword 7
68394 .xword 4
68395 .xword 2
68396 .xword 0
68397 .xword 1
68398 .xword 11
68399 .xword 0
68400 .xword 3
68401 .xword 8
68402 .xword 14
68403 .xword 11
68404 .xword 14
68405 .xword 14
68406 .xword 13
68407 .xword 11
68408 .xword 4
68409 .xword 11
68410 .xword 9
68411 .xword 9
68412 .xword 12
68413 .xword 2
68414 .xword 3
68415 .xword 1
68416 .xword 9
68417 .xword 12
68418 .xword 13
68419 .xword 11
68420 .xword 15
68421 .xword 12
68422 .xword 1
68423 .xword 3
68424 .xword 1
68425 .xword 4
68426 .xword 15
68427 .xword 10
68428 .xword 15
68429 .xword 0
68430 .xword 2
68431 .xword 8
68432 .xword 9
68433 .xword 2
68434 .xword 12
68435 .xword 12
68436 .xword 4
68437 .xword 3
68438 .xword 10
68439 .xword 3
68440 .xword 0
68441 .xword 7
68442 .xword 7
68443 .xword 1
68444 .xword 7
68445 .xword 11
68446 .xword 10
68447 .xword 14
68448_t1_copy_src:
68449 .xword 0x6376eadfecbd8d74
68450 .xword 0xc3e6d9aefef94a00
68451 .xword 0x8fbbe7f80c58831d
68452 .xword 0x232068d0d4e3ed88
68453 .xword 0xb5d4efe821ddbd56
68454 .xword 0x4693711c461f974b
68455 .xword 0x57b2b83f0bb0e84f
68456 .xword 0x461cd5e6dceff94b
68457 .xword 0x4b5fb07bba20c68f
68458 .xword 0x3a5a78cde11a9b40
68459 .xword 0x9d2fc67037a53f11
68460 .xword 0x5131297784bd507b
68461 .xword 0x674f0f20549671b5
68462 .xword 0x753a039fac36b374
68463 .xword 0x74f609abf025f2a4
68464 .xword 0x6069a5a866469546
68465 .xword 0x55b07924c2588258
68466 .xword 0xfe5073f4a065249f
68467 .xword 0xafeb2a314d70ad06
68468 .xword 0xd7a87ebb81cc9c83
68469 .xword 0x77ab9ea6ee1a13e3
68470 .xword 0x1aa66111984192b2
68471 .xword 0xb99128b25081ea35
68472 .xword 0x8ad94c9168793381
68473 .xword 0x1caddec1097f25f4
68474 .xword 0xcd46dcd72a2d86fd
68475 .xword 0x75003e817918468e
68476 .xword 0x386fb030c96afe86
68477 .xword 0x6547030ee0b16a50
68478 .xword 0x0ddb37a851cc8952
68479 .xword 0x8ceeea9b8b5b4169
68480 .xword 0xba05e335c945c5ca
68481 .xword 0x30605ee84e70af9d
68482 .xword 0x4ee0e102ea6a6fd6
68483 .xword 0x7cf9e6201b8c5d8c
68484 .xword 0xe153aaa42cd69060
68485 .xword 0x1a6b9ad21c2fca57
68486 .xword 0xdb269902e7d5d413
68487 .xword 0x29ca772531e64e2f
68488 .xword 0x713f018ee3a91893
68489 .xword 0x75fc050f3a039d22
68490 .xword 0x49c6680ea6c2c2f9
68491 .xword 0x515729a9c95bf370
68492 .xword 0x95fa406d0f2b9755
68493 .xword 0x30fe11b4857f5fc2
68494 .xword 0xa14f912e18e7e81a
68495 .xword 0x32ebf4272298a75e
68496 .xword 0xdb9f78660f1bb825
68497 .xword 0x5d2920b23b5f7030
68498 .xword 0x32fd1125d4cc45ad
68499 .xword 0x35660571f4648b59
68500 .xword 0xc88e38db4bbd022c
68501 .xword 0xe919e2c3937b7bf7
68502 .xword 0xf9fe738339b468c3
68503 .xword 0x5d90966c3bf014e7
68504 .xword 0x38db8961bbfe86c0
68505 .xword 0x920f54411db9b64c
68506 .xword 0x5932945a02ec353e
68507 .xword 0x12198b535aaee0fd
68508 .xword 0xe403313b56f77480
68509 .xword 0xb3aba94f5f415982
68510 .xword 0x96c0879699e2f444
68511 .xword 0x3bc97a5a5f531304
68512 .xword 0x70ee1781e7da393e
68513 .xword 0x3d2043bb12a7a64d
68514 .xword 0x4bf7d2f4ec0c5465
68515 .xword 0xab93ba49f8579ba5
68516 .xword 0x7095e881a7e5dedd
68517 .xword 0xba107465b23b0d1c
68518 .xword 0x3f3884cd09e94d69
68519 .xword 0xb27e99b3f2b27f04
68520 .xword 0xee1d8e177f08a0a3
68521 .xword 0xbbb9b11fd3eaa3f5
68522 .xword 0xfb38cdb5ffad3ea1
68523 .xword 0x0243d506cff181f0
68524 .xword 0x5fd31c5fc456b254
68525 .xword 0x346d33718902e111
68526 .xword 0x0a41b3e681e2e90b
68527 .xword 0xf36ba7d7fb0e33da
68528 .xword 0x821b7886391d4ab0
68529 .xword 0xf25e31ff73eec4bf
68530 .xword 0x45e80977cfecb9ba
68531 .xword 0xe9ca0b843718a629
68532 .xword 0x43c25dd651556f45
68533 .xword 0x53d372ac0518388a
68534 .xword 0x28fa66083c7b6399
68535 .xword 0x22dc6da2b5c69508
68536 .xword 0x0b48d4694ada9f14
68537 .xword 0xc67cc35ec9838a1d
68538 .xword 0x9670087f3699db5b
68539 .xword 0x92dfcde106f9b74d
68540 .xword 0x563e171ebc3de6ab
68541 .xword 0xe83769ca97306b97
68542 .xword 0x3c85ac6153eb9658
68543 .xword 0xd99866650f3563ae
68544 .xword 0x6556c76898aa29d3
68545 .xword 0x059c6b54fc2dae89
68546 .xword 0x2def4b1858ae3f88
68547 .xword 0x01eba417849b7273
68548 .xword 0xaef02236bd58c570
68549 .xword 0xf970d2d697ce007a
68550 .xword 0x3f6599eecaf2c2e9
68551 .xword 0xccfb353cbdd9ce92
68552 .xword 0xa4824912183b4361
68553 .xword 0x15aa08cccadd474c
68554 .xword 0x64a17251c61e38dd
68555 .xword 0x99d671e5ec2a23f5
68556 .xword 0x9c73afd629c34b75
68557 .xword 0x43ce6cc87a8c659a
68558 .xword 0x8a43b53265e8da74
68559 .xword 0x6fe7321b807176e7
68560 .xword 0xeccdb80a321a7a3f
68561 .xword 0x6f5279da175de974
68562 .xword 0x8835ea2f4d4c9fea
68563 .xword 0x241bd076eabee4d8
68564 .xword 0x50bf896568be2377
68565 .xword 0xb4548e410b2d7cd5
68566 .xword 0x977e9c0a08f9a7ef
68567 .xword 0x26f9cfac658fc3e1
68568 .xword 0xd56927e716583690
68569 .xword 0x4efc2cb14b390455
68570 .xword 0x128d6ecb08fefef6
68571 .xword 0x5dbf1d116374e2f3
68572 .xword 0xb3abc66563be07ca
68573 .xword 0x49917da5a83f41c6
68574 .xword 0xb8232e01501b360b
68575 .xword 0x13dd76210e21a267
68576 .xword 0xe8a8e6f826b3324c
68577 .xword 0xa8bf0cf856fe1362
68578 .xword 0x984047a12d128b7e
68579 .xword 0xa2dfc4166888e49c
68580 .xword 0xa2656fd04ffc800b
68581 .xword 0xf94eef8e3eae1ec8
68582 .xword 0xf68dbf110a1b5e21
68583 .xword 0x3e375435d5b72a25
68584 .xword 0x0f0d9f2e12bbc1a2
68585 .xword 0xf1281a1819e17be1
68586 .xword 0xcc74e83f7bff4a2a
68587 .xword 0x514b26c4975ac290
68588 .xword 0x737efdeda9c6f940
68589 .xword 0xca596669bcbb36ef
68590 .xword 0x2089ed74c1f87b13
68591 .xword 0x5e7fc3627428a2d5
68592 .xword 0xda700d1c276b66b4
68593 .xword 0x32a8ac94cad170ca
68594 .xword 0x9a3566ffa7113265
68595 .xword 0x92f68042bcb68243
68596 .xword 0x8702431545c29330
68597 .xword 0xd06ef7ec215e6b51
68598 .xword 0xf46fcdc5263c77bc
68599 .xword 0x3b1f031fabd49848
68600 .xword 0x8a2c62e48e074830
68601 .xword 0x13c6b00ad8dd5d3a
68602 .xword 0x4c5194d6cb744720
68603 .xword 0x408dbc440de89860
68604 .xword 0xb53a26f701a4c113
68605 .xword 0xb6361e8a6761fa05
68606 .xword 0xa9250da2cdffc295
68607 .xword 0xf9b675ee96ca8ab1
68608 .xword 0xb4eff8eaaaab81dc
68609 .xword 0x1cdf7e6623941ebe
68610 .xword 0xa67b74b6e876c82c
68611 .xword 0x101b85c6ec6263d4
68612 .xword 0x2686563b68398874
68613 .xword 0xa223f2052dde4348
68614 .xword 0xc2d2d7a3586870e7
68615 .xword 0x8fb214474db57f8c
68616 .xword 0x91d58fd337776ce0
68617 .xword 0xf2d48a7939286bf0
68618 .xword 0xddac6ba6ecbb3ee3
68619 .xword 0xa76706848c8c925e
68620 .xword 0xa5928495e995e143
68621 .xword 0xbe4f55be18b08e59
68622 .xword 0x121b1781bfecbb70
68623 .xword 0x95df197d90958b6e
68624 .xword 0x8c569d8db6f4e9c6
68625 .xword 0x9680b253bcd86b82
68626 .xword 0xd7552e56b042aacf
68627 .xword 0x49ce19a2a051444f
68628 .xword 0xdcde513233abe84d
68629 .xword 0xd0b84ded9f87797f
68630 .xword 0x44ae2cf2740b1246
68631 .xword 0x60fb953777874e60
68632 .xword 0xc818e32430b68c07
68633 .xword 0xd0793563d802c9ec
68634 .xword 0x29425e7771b46eb6
68635 .xword 0xf6729718f0cc6068
68636 .xword 0xeacb90d71071b715
68637 .xword 0x8d9dc59d60150c6a
68638 .xword 0xde9ff1a454b0863b
68639 .xword 0x2ad2d7749eef9ccd
68640 .xword 0xbc069b14bdd7cfdb
68641 .xword 0xc3b7320431fb2bb9
68642 .xword 0x1f37a9e6e513593a
68643 .xword 0x4518b03b631b07ce
68644 .xword 0x05a73b612a1dc77f
68645 .xword 0xdc4309aa65078719
68646 .xword 0xb446a7f94d410d7c
68647 .xword 0x0f07f408e8d55df0
68648 .xword 0x71431982367225d5
68649 .xword 0xab62680a1e47b22f
68650 .xword 0x59d600c63b07463a
68651 .xword 0xf226cfe7c09014aa
68652 .xword 0x4e5cfefea151a84b
68653 .xword 0x61253e0283f489e1
68654 .xword 0x2cb66590d2e8c213
68655 .xword 0x4971c1ca58edfbda
68656 .xword 0x12b6bc90c0358df2
68657 .xword 0xbf3e7a66bf902e48
68658 .xword 0xcc02b8f8887b1a75
68659 .xword 0x84e35eb5f04919de
68660 .xword 0x51dbc46b04004485
68661 .xword 0xf637f2c49a4982a4
68662 .xword 0xfcf64cc747fc68d6
68663 .xword 0xe34f774d64c10efa
68664 .xword 0xc9071a480366821d
68665 .xword 0xfcc87330df451fe4
68666 .xword 0xdf946c8b01804dfb
68667 .xword 0x4359df3c7de4e023
68668 .xword 0xd220b64c8aa5e747
68669 .xword 0x11a98515043fe021
68670 .xword 0x7ab4757015d8b503
68671 .xword 0x3d209979c9d19e77
68672 .xword 0x382edfe0b738208a
68673 .xword 0x412b1bab89af4132
68674 .xword 0xc8ea3e83c7e19766
68675 .xword 0x1d02fe504a871fa1
68676 .xword 0xb060f09856929f19
68677 .xword 0x2a4b4cedd2361dd5
68678 .xword 0x0b0c85efa692bd84
68679 .xword 0x3c874e710a8c37c4
68680 .xword 0xddf7270804e3f053
68681 .xword 0xb5a9c0ebd4f02291
68682 .xword 0xac11d41c4d819f7f
68683 .xword 0xa66c9afc95a13131
68684 .xword 0xac060650d523e839
68685 .xword 0x88d1805151f69ac3
68686 .xword 0x8c3d22a74306bcbe
68687 .xword 0xe8a54bb35dca490e
68688 .xword 0x0e58fba8158899fa
68689 .xword 0xab43c9e6e24ee684
68690 .xword 0xfa5bf6840cc0f496
68691 .xword 0x7b3826586100e274
68692 .xword 0xa73ca55135094e66
68693 .xword 0x34170e5872013f1e
68694 .xword 0xd78d6a149fd77f11
68695 .xword 0x253559d285e35c61
68696 .xword 0xa1ca8a6171118abc
68697 .xword 0xcff6cf903d7f29a4
68698 .xword 0x76b85988cfd9afbe
68699 .xword 0x2a56d9844507f4bb
68700 .xword 0xa6ac225f34a25d35
68701 .xword 0x21d0f6ce1f3c46f2
68702 .xword 0x4c4c9f54ad821287
68703 .xword 0xc70376672ef2a3c4
68704 .xword 0xf9738a83be15111a
68705 .xword 0x94d3dd1938e27c71
68706 .xword 0x8008328967aa069c
68707 .xword 0x200ac5ea6c23ac5f
68708 .xword 0x88003a8b0e8b9adc
68709 .xword 0xcda2de19ef3afc13
68710 .xword 0x99989b231e06259e
68711 .xword 0xc7fd9120eb157ab9
68712 .xword 0x6ce604a6b7b44859
68713 .xword 0x44a995543916e19c
68714 .xword 0x06701c40755a6d03
68715 .xword 0xe045edccc42a5bfc
68716 .xword 0x3a2133f8eaf6962d
68717 .xword 0x150d912d685a29b3
68718 .xword 0x50c0c51943efec7d
68719 .xword 0x820e89e3c81b1dec
68720 .xword 0x1648f80ec447cba1
68721 .xword 0x0152a337575f4a74
68722 .xword 0xf18b9934584afb35
68723 .xword 0xf962e745f1a10db1
68724_t1_copy_dest:
68725 .xword 0xDEADBEEFDEADBEEF
68726 .xword 0xDEADBEEFDEADBEEF
68727 .xword 0xDEADBEEFDEADBEEF
68728 .xword 0xDEADBEEFDEADBEEF
68729 .xword 0xDEADBEEFDEADBEEF
68730 .xword 0xDEADBEEFDEADBEEF
68731 .xword 0xDEADBEEFDEADBEEF
68732 .xword 0xDEADBEEFDEADBEEF
68733 .xword 0xDEADBEEFDEADBEEF
68734 .xword 0xDEADBEEFDEADBEEF
68735 .xword 0xDEADBEEFDEADBEEF
68736 .xword 0xDEADBEEFDEADBEEF
68737 .xword 0xDEADBEEFDEADBEEF
68738 .xword 0xDEADBEEFDEADBEEF
68739 .xword 0xDEADBEEFDEADBEEF
68740 .xword 0xDEADBEEFDEADBEEF
68741 .xword 0xDEADBEEFDEADBEEF
68742 .xword 0xDEADBEEFDEADBEEF
68743 .xword 0xDEADBEEFDEADBEEF
68744 .xword 0xDEADBEEFDEADBEEF
68745 .xword 0xDEADBEEFDEADBEEF
68746 .xword 0xDEADBEEFDEADBEEF
68747 .xword 0xDEADBEEFDEADBEEF
68748 .xword 0xDEADBEEFDEADBEEF
68749 .xword 0xDEADBEEFDEADBEEF
68750 .xword 0xDEADBEEFDEADBEEF
68751 .xword 0xDEADBEEFDEADBEEF
68752 .xword 0xDEADBEEFDEADBEEF
68753 .xword 0xDEADBEEFDEADBEEF
68754 .xword 0xDEADBEEFDEADBEEF
68755 .xword 0xDEADBEEFDEADBEEF
68756 .xword 0xDEADBEEFDEADBEEF
68757 .xword 0xDEADBEEFDEADBEEF
68758 .xword 0xDEADBEEFDEADBEEF
68759 .xword 0xDEADBEEFDEADBEEF
68760 .xword 0xDEADBEEFDEADBEEF
68761 .xword 0xDEADBEEFDEADBEEF
68762 .xword 0xDEADBEEFDEADBEEF
68763 .xword 0xDEADBEEFDEADBEEF
68764 .xword 0xDEADBEEFDEADBEEF
68765 .xword 0xDEADBEEFDEADBEEF
68766 .xword 0xDEADBEEFDEADBEEF
68767 .xword 0xDEADBEEFDEADBEEF
68768 .xword 0xDEADBEEFDEADBEEF
68769 .xword 0xDEADBEEFDEADBEEF
68770 .xword 0xDEADBEEFDEADBEEF
68771 .xword 0xDEADBEEFDEADBEEF
68772 .xword 0xDEADBEEFDEADBEEF
68773 .xword 0xDEADBEEFDEADBEEF
68774 .xword 0xDEADBEEFDEADBEEF
68775 .xword 0xDEADBEEFDEADBEEF
68776 .xword 0xDEADBEEFDEADBEEF
68777 .xword 0xDEADBEEFDEADBEEF
68778 .xword 0xDEADBEEFDEADBEEF
68779 .xword 0xDEADBEEFDEADBEEF
68780 .xword 0xDEADBEEFDEADBEEF
68781 .xword 0xDEADBEEFDEADBEEF
68782 .xword 0xDEADBEEFDEADBEEF
68783 .xword 0xDEADBEEFDEADBEEF
68784 .xword 0xDEADBEEFDEADBEEF
68785 .xword 0xDEADBEEFDEADBEEF
68786 .xword 0xDEADBEEFDEADBEEF
68787 .xword 0xDEADBEEFDEADBEEF
68788 .xword 0xDEADBEEFDEADBEEF
68789 .xword 0xDEADBEEFDEADBEEF
68790 .xword 0xDEADBEEFDEADBEEF
68791 .xword 0xDEADBEEFDEADBEEF
68792 .xword 0xDEADBEEFDEADBEEF
68793 .xword 0xDEADBEEFDEADBEEF
68794 .xword 0xDEADBEEFDEADBEEF
68795 .xword 0xDEADBEEFDEADBEEF
68796 .xword 0xDEADBEEFDEADBEEF
68797 .xword 0xDEADBEEFDEADBEEF
68798 .xword 0xDEADBEEFDEADBEEF
68799 .xword 0xDEADBEEFDEADBEEF
68800 .xword 0xDEADBEEFDEADBEEF
68801 .xword 0xDEADBEEFDEADBEEF
68802 .xword 0xDEADBEEFDEADBEEF
68803 .xword 0xDEADBEEFDEADBEEF
68804 .xword 0xDEADBEEFDEADBEEF
68805 .xword 0xDEADBEEFDEADBEEF
68806 .xword 0xDEADBEEFDEADBEEF
68807 .xword 0xDEADBEEFDEADBEEF
68808 .xword 0xDEADBEEFDEADBEEF
68809 .xword 0xDEADBEEFDEADBEEF
68810 .xword 0xDEADBEEFDEADBEEF
68811 .xword 0xDEADBEEFDEADBEEF
68812 .xword 0xDEADBEEFDEADBEEF
68813 .xword 0xDEADBEEFDEADBEEF
68814 .xword 0xDEADBEEFDEADBEEF
68815 .xword 0xDEADBEEFDEADBEEF
68816 .xword 0xDEADBEEFDEADBEEF
68817 .xword 0xDEADBEEFDEADBEEF
68818 .xword 0xDEADBEEFDEADBEEF
68819 .xword 0xDEADBEEFDEADBEEF
68820 .xword 0xDEADBEEFDEADBEEF
68821 .xword 0xDEADBEEFDEADBEEF
68822 .xword 0xDEADBEEFDEADBEEF
68823 .xword 0xDEADBEEFDEADBEEF
68824 .xword 0xDEADBEEFDEADBEEF
68825 .xword 0xDEADBEEFDEADBEEF
68826 .xword 0xDEADBEEFDEADBEEF
68827 .xword 0xDEADBEEFDEADBEEF
68828 .xword 0xDEADBEEFDEADBEEF
68829 .xword 0xDEADBEEFDEADBEEF
68830 .xword 0xDEADBEEFDEADBEEF
68831 .xword 0xDEADBEEFDEADBEEF
68832 .xword 0xDEADBEEFDEADBEEF
68833 .xword 0xDEADBEEFDEADBEEF
68834 .xword 0xDEADBEEFDEADBEEF
68835 .xword 0xDEADBEEFDEADBEEF
68836 .xword 0xDEADBEEFDEADBEEF
68837 .xword 0xDEADBEEFDEADBEEF
68838 .xword 0xDEADBEEFDEADBEEF
68839 .xword 0xDEADBEEFDEADBEEF
68840 .xword 0xDEADBEEFDEADBEEF
68841 .xword 0xDEADBEEFDEADBEEF
68842 .xword 0xDEADBEEFDEADBEEF
68843 .xword 0xDEADBEEFDEADBEEF
68844 .xword 0xDEADBEEFDEADBEEF
68845 .xword 0xDEADBEEFDEADBEEF
68846 .xword 0xDEADBEEFDEADBEEF
68847 .xword 0xDEADBEEFDEADBEEF
68848 .xword 0xDEADBEEFDEADBEEF
68849 .xword 0xDEADBEEFDEADBEEF
68850 .xword 0xDEADBEEFDEADBEEF
68851 .xword 0xDEADBEEFDEADBEEF
68852 .xword 0xDEADBEEFDEADBEEF
68853 .xword 0xDEADBEEFDEADBEEF
68854 .xword 0xDEADBEEFDEADBEEF
68855 .xword 0xDEADBEEFDEADBEEF
68856 .xword 0xDEADBEEFDEADBEEF
68857 .xword 0xDEADBEEFDEADBEEF
68858 .xword 0xDEADBEEFDEADBEEF
68859 .xword 0xDEADBEEFDEADBEEF
68860 .xword 0xDEADBEEFDEADBEEF
68861 .xword 0xDEADBEEFDEADBEEF
68862 .xword 0xDEADBEEFDEADBEEF
68863 .xword 0xDEADBEEFDEADBEEF
68864 .xword 0xDEADBEEFDEADBEEF
68865 .xword 0xDEADBEEFDEADBEEF
68866 .xword 0xDEADBEEFDEADBEEF
68867 .xword 0xDEADBEEFDEADBEEF
68868 .xword 0xDEADBEEFDEADBEEF
68869 .xword 0xDEADBEEFDEADBEEF
68870 .xword 0xDEADBEEFDEADBEEF
68871 .xword 0xDEADBEEFDEADBEEF
68872 .xword 0xDEADBEEFDEADBEEF
68873 .xword 0xDEADBEEFDEADBEEF
68874 .xword 0xDEADBEEFDEADBEEF
68875 .xword 0xDEADBEEFDEADBEEF
68876 .xword 0xDEADBEEFDEADBEEF
68877 .xword 0xDEADBEEFDEADBEEF
68878 .xword 0xDEADBEEFDEADBEEF
68879 .xword 0xDEADBEEFDEADBEEF
68880 .xword 0xDEADBEEFDEADBEEF
68881 .xword 0xDEADBEEFDEADBEEF
68882 .xword 0xDEADBEEFDEADBEEF
68883 .xword 0xDEADBEEFDEADBEEF
68884 .xword 0xDEADBEEFDEADBEEF
68885 .xword 0xDEADBEEFDEADBEEF
68886 .xword 0xDEADBEEFDEADBEEF
68887 .xword 0xDEADBEEFDEADBEEF
68888 .xword 0xDEADBEEFDEADBEEF
68889 .xword 0xDEADBEEFDEADBEEF
68890 .xword 0xDEADBEEFDEADBEEF
68891 .xword 0xDEADBEEFDEADBEEF
68892 .xword 0xDEADBEEFDEADBEEF
68893 .xword 0xDEADBEEFDEADBEEF
68894 .xword 0xDEADBEEFDEADBEEF
68895 .xword 0xDEADBEEFDEADBEEF
68896 .xword 0xDEADBEEFDEADBEEF
68897 .xword 0xDEADBEEFDEADBEEF
68898 .xword 0xDEADBEEFDEADBEEF
68899 .xword 0xDEADBEEFDEADBEEF
68900 .xword 0xDEADBEEFDEADBEEF
68901 .xword 0xDEADBEEFDEADBEEF
68902 .xword 0xDEADBEEFDEADBEEF
68903 .xword 0xDEADBEEFDEADBEEF
68904 .xword 0xDEADBEEFDEADBEEF
68905 .xword 0xDEADBEEFDEADBEEF
68906 .xword 0xDEADBEEFDEADBEEF
68907 .xword 0xDEADBEEFDEADBEEF
68908 .xword 0xDEADBEEFDEADBEEF
68909 .xword 0xDEADBEEFDEADBEEF
68910 .xword 0xDEADBEEFDEADBEEF
68911 .xword 0xDEADBEEFDEADBEEF
68912 .xword 0xDEADBEEFDEADBEEF
68913 .xword 0xDEADBEEFDEADBEEF
68914 .xword 0xDEADBEEFDEADBEEF
68915 .xword 0xDEADBEEFDEADBEEF
68916 .xword 0xDEADBEEFDEADBEEF
68917 .xword 0xDEADBEEFDEADBEEF
68918 .xword 0xDEADBEEFDEADBEEF
68919 .xword 0xDEADBEEFDEADBEEF
68920 .xword 0xDEADBEEFDEADBEEF
68921 .xword 0xDEADBEEFDEADBEEF
68922 .xword 0xDEADBEEFDEADBEEF
68923 .xword 0xDEADBEEFDEADBEEF
68924 .xword 0xDEADBEEFDEADBEEF
68925 .xword 0xDEADBEEFDEADBEEF
68926 .xword 0xDEADBEEFDEADBEEF
68927 .xword 0xDEADBEEFDEADBEEF
68928 .xword 0xDEADBEEFDEADBEEF
68929 .xword 0xDEADBEEFDEADBEEF
68930 .xword 0xDEADBEEFDEADBEEF
68931 .xword 0xDEADBEEFDEADBEEF
68932 .xword 0xDEADBEEFDEADBEEF
68933 .xword 0xDEADBEEFDEADBEEF
68934 .xword 0xDEADBEEFDEADBEEF
68935 .xword 0xDEADBEEFDEADBEEF
68936 .xword 0xDEADBEEFDEADBEEF
68937 .xword 0xDEADBEEFDEADBEEF
68938 .xword 0xDEADBEEFDEADBEEF
68939 .xword 0xDEADBEEFDEADBEEF
68940 .xword 0xDEADBEEFDEADBEEF
68941 .xword 0xDEADBEEFDEADBEEF
68942 .xword 0xDEADBEEFDEADBEEF
68943 .xword 0xDEADBEEFDEADBEEF
68944 .xword 0xDEADBEEFDEADBEEF
68945 .xword 0xDEADBEEFDEADBEEF
68946 .xword 0xDEADBEEFDEADBEEF
68947 .xword 0xDEADBEEFDEADBEEF
68948 .xword 0xDEADBEEFDEADBEEF
68949 .xword 0xDEADBEEFDEADBEEF
68950 .xword 0xDEADBEEFDEADBEEF
68951 .xword 0xDEADBEEFDEADBEEF
68952 .xword 0xDEADBEEFDEADBEEF
68953 .xword 0xDEADBEEFDEADBEEF
68954 .xword 0xDEADBEEFDEADBEEF
68955 .xword 0xDEADBEEFDEADBEEF
68956 .xword 0xDEADBEEFDEADBEEF
68957 .xword 0xDEADBEEFDEADBEEF
68958 .xword 0xDEADBEEFDEADBEEF
68959 .xword 0xDEADBEEFDEADBEEF
68960 .xword 0xDEADBEEFDEADBEEF
68961 .xword 0xDEADBEEFDEADBEEF
68962 .xword 0xDEADBEEFDEADBEEF
68963 .xword 0xDEADBEEFDEADBEEF
68964 .xword 0xDEADBEEFDEADBEEF
68965 .xword 0xDEADBEEFDEADBEEF
68966 .xword 0xDEADBEEFDEADBEEF
68967 .xword 0xDEADBEEFDEADBEEF
68968 .xword 0xDEADBEEFDEADBEEF
68969 .xword 0xDEADBEEFDEADBEEF
68970 .xword 0xDEADBEEFDEADBEEF
68971 .xword 0xDEADBEEFDEADBEEF
68972 .xword 0xDEADBEEFDEADBEEF
68973 .xword 0xDEADBEEFDEADBEEF
68974 .xword 0xDEADBEEFDEADBEEF
68975 .xword 0xDEADBEEFDEADBEEF
68976 .xword 0xDEADBEEFDEADBEEF
68977 .xword 0xDEADBEEFDEADBEEF
68978 .xword 0xDEADBEEFDEADBEEF
68979 .xword 0xDEADBEEFDEADBEEF
68980 .xword 0xDEADBEEFDEADBEEF
68981 .xword 0xDEADBEEFDEADBEEF
68982 .xword 0xDEADBEEFDEADBEEF
68983 .xword 0xDEADBEEFDEADBEEF
68984 .xword 0xDEADBEEFDEADBEEF
68985 .xword 0xDEADBEEFDEADBEEF
68986 .xword 0xDEADBEEFDEADBEEF
68987 .xword 0xDEADBEEFDEADBEEF
68988 .xword 0xDEADBEEFDEADBEEF
68989 .xword 0xDEADBEEFDEADBEEF
68990 .xword 0xDEADBEEFDEADBEEF
68991 .xword 0xDEADBEEFDEADBEEF
68992 .xword 0xDEADBEEFDEADBEEF
68993 .xword 0xDEADBEEFDEADBEEF
68994 .xword 0xDEADBEEFDEADBEEF
68995 .xword 0xDEADBEEFDEADBEEF
68996 .xword 0xDEADBEEFDEADBEEF
68997 .xword 0xDEADBEEFDEADBEEF
68998 .xword 0xDEADBEEFDEADBEEF
68999 .xword 0xDEADBEEFDEADBEEF
69000_t1_copy_auth_key:
69001 .xword 0x3226431b05d4675a
69002 .xword 0x8539b5f075fcc4c3
69003 .xword 0x242a57f18c1a954a
69004 .xword 0x60fea02c85cab258
69005 .xword 0xb9ef8994b232b8b1
69006 .xword 0x9ff8a4a5c7727f48
69007 .xword 0x477e3753d3ef4f97
69008 .xword 0x9aabc6041527d31c
69009 .xword 0x5fa69bf62fee1ebf
69010 .xword 0xff22fec5c245400c
69011 .xword 0xdff3c2a7d83c8a09
69012 .xword 0x68505d14849adbc0
69013 .xword 0x17fbffc0b0381b5b
69014 .xword 0x2f05d2d5d89d0bf4
69015 .xword 0x00a7d9293e3b331c
69016 .xword 0xb199e2d22f488796
69017 .xword 0x03d27bb8ebd2c961
69018 .xword 0x6df25eafc9060be9
69019 .xword 0xc04eca0bb9b3373e
69020 .xword 0x4e2e59e4643157b7
69021 .xword 0xf08502d7f64d94ef
69022 .xword 0x624918c2bcb1a2bb
69023 .xword 0x838166ab2b24c15f
69024_t1_copy_auth_iv:
69025 .xword 0x7076a2eec9a94725
69026 .xword 0x492d92dd187e1d7e
69027 .xword 0xd87146a835825351
69028 .xword 0xaac465fe86ad86cf
69029 .xword 0x64c24988f32f87aa
69030 .xword 0x9efe23f784947908
69031 .xword 0x63e74ca9f6530a0e
69032 .xword 0x88b83f56fcd84336
69033 .xword 0x88db1ef2146ec65f
69034 .xword 0xd33cb937f095df40
69035 .xword 0x8f20b3a86b5903cf
69036 .xword 0xec5dfa6200285720
69037 .xword 0x7f44827a030cfa45
69038 .xword 0xde60e4d7971f9863
69039 .xword 0xba7b935458d4207e
69040 .xword 0xb75804cd9b3b63de
69041 .xword 0x8da7b9e32613557f
69042 .xword 0x25e054225f08aefd
69043 .xword 0x660e964810f7c6e7
69044 .xword 0x67da1b1ecfba34c7
69045 .xword 0x00db98f6baf99ed7
69046 .xword 0xe5b04d54f4f0d7f6
69047 .xword 0x25f5d472f721df7c
69048_t1_copy_fas_result:
69049 .xword 0xDEADBEEFDEADBEEF
69050 .xword 0xDEADBEEFDEADBEEF
69051 .xword 0xDEADBEEFDEADBEEF
69052 .xword 0xDEADBEEFDEADBEEF
69053 .xword 0xDEADBEEFDEADBEEF
69054 .xword 0xDEADBEEFDEADBEEF
69055 .xword 0xDEADBEEFDEADBEEF
69056 .xword 0xDEADBEEFDEADBEEF
69057 .xword 0xDEADBEEFDEADBEEF
69058 .xword 0xDEADBEEFDEADBEEF
69059 .xword 0xDEADBEEFDEADBEEF
69060 .xword 0xDEADBEEFDEADBEEF
69061 .xword 0xDEADBEEFDEADBEEF
69062 .xword 0xDEADBEEFDEADBEEF
69063 .xword 0xDEADBEEFDEADBEEF
69064 .xword 0xDEADBEEFDEADBEEF
69065 .xword 0xDEADBEEFDEADBEEF
69066 .xword 0xDEADBEEFDEADBEEF
69067 .xword 0xDEADBEEFDEADBEEF
69068 .xword 0xDEADBEEFDEADBEEF
69069 .xword 0xDEADBEEFDEADBEEF
69070 .xword 0xDEADBEEFDEADBEEF
69071 .xword 0xDEADBEEFDEADBEEF
69072_t1_crc_key_array:
69073 .xword 0x3a7f1c67c9551e25
69074 .xword 0xac5b04f485facc62
69075 .xword 0x1c5f0c0a3c03340f
69076 .xword 0x59295f778f18fa36
69077 .xword 0xd136fbe43681b66f
69078 .xword 0xb70205fb93b26505
69079 .xword 0xbd58f20121b47daa
69080 .xword 0x6dccd5c3ff40f2d9
69081 .xword 0xbc6f78d8aeab3279
69082 .xword 0x5233c8632f2be146
69083 .xword 0xa959721b20e8ed29
69084 .xword 0x75d85fd271102405
69085 .xword 0xbea155d10eb5e414
69086 .xword 0x1c7a397e5d1df948
69087 .xword 0x28687694a31fc127
69088 .xword 0x727718270fc0f4ec
69089 .xword 0xc9635cdae1b0fe07
69090 .xword 0x97163766eb7b861a
69091 .xword 0xfd5f6e199467d49b
69092 .xword 0xf30e762239d30fe0
69093 .xword 0x893320c3832b3133
69094 .xword 0x3be46ee2660f0c66
69095 .xword 0xd01a3b107138426a
69096 .xword 0xd89cff0e98e9bbbd
69097 .xword 0xdb32a0293c628625
69098 .xword 0x5fd8cf760a2a3b39
69099 .xword 0x7700e2a8d436c33d
69100 .xword 0x0e3755c782eea804
69101 .xword 0x2a226e1013987c53
69102 .xword 0x4365fe66b20fdefd
69103 .xword 0x76b295b4181d0445
69104 .xword 0xa75e999dbb9fb1e4
69105 .xword 0x9427839c4ce006c3
69106 .xword 0x82ed69efec1f9726
69107 .xword 0xb6e43ded4ec0075a
69108 .xword 0x0293eb7a7e9c1c87
69109 .xword 0xd8b75e987d4d8665
69110 .xword 0xb45f7a15efcc7ef0
69111 .xword 0xbac4172468f6cd20
69112 .xword 0xfbb74fffc08c4afb
69113 .xword 0xb12422b884feba68
69114 .xword 0xb008dfe92c5ac45b
69115 .xword 0x8896294d32ce4973
69116 .xword 0x66a7559decb76321
69117 .xword 0xee0c87645877fa07
69118 .xword 0x6548771e18f43cbc
69119 .xword 0xa7ff87189f1d4511
69120 .xword 0x2e0fd88f5dfcdb8d
69121 .xword 0x42245c83706b7f12
69122 .xword 0xb23caa1b32697b9c
69123 .xword 0x8f355f0cbadf8e95
69124_t1_crc_iv_array:
69125 .xword 0x130e55c20c089c59
69126 .xword 0xeeba0b4f283ad0e8
69127 .xword 0xd7de3bc1e7ab5f6c
69128 .xword 0xab40ec6f450db0d9
69129 .xword 0x38eddb1eac1e9fd0
69130 .xword 0x0f597714d2558e0d
69131 .xword 0xafc3602616ba09bc
69132 .xword 0xfaba17d91ef94e28
69133 .xword 0x373c432f72e328b9
69134 .xword 0x1df9e4b2e168e5c7
69135 .xword 0xf9565a74705bacc0
69136 .xword 0xa78b947a22956e2b
69137 .xword 0x63100e871382de3c
69138 .xword 0x6d5bcf9a0513dcf7
69139 .xword 0x6bf5b7dfd110c2d1
69140 .xword 0xc21376b3307e71d9
69141 .xword 0x52f8a83adb5f34a2
69142 .xword 0xf0227af35d6863ac
69143 .xword 0x7e60aae3c477978d
69144 .xword 0x81b3d1c6cf859c7b
69145 .xword 0xdc75f3318bd2bad9
69146 .xword 0xb31406414557fab7
69147 .xword 0xf346431c13b70c4c
69148 .xword 0xc3f863f01f6c2d81
69149 .xword 0x75ed9971c7665c31
69150 .xword 0xbdeffa09e9849f12
69151 .xword 0x3e6262bf72b22d69
69152 .xword 0x3c21edd0752cb382
69153 .xword 0xcb9bfc7ce65b26ce
69154 .xword 0x55dd0307553a0f66
69155 .xword 0xbc2a742e3f71daba
69156 .xword 0x5fb4f8d644869e3b
69157 .xword 0x7af2ddd06df1160a
69158 .xword 0x9800b9c5c15b6169
69159 .xword 0x94eff85ca041ca16
69160 .xword 0x95dc3149f6ff282c
69161 .xword 0x14e68a272311820b
69162 .xword 0xe057b258071a1d9e
69163 .xword 0x9abb2e2f0d1d0b24
69164 .xword 0x60c2141ff3c1e88f
69165 .xword 0x7515fbd76765744e
69166 .xword 0x6d437b66c13a7483
69167 .xword 0x371f1fb37bcfb701
69168 .xword 0x39ada99f7a570fad
69169 .xword 0xafbd96e44a49eddf
69170_t1_crc_alignment_array:
69171 .xword 14
69172 .xword 7
69173 .xword 13
69174 .xword 14
69175 .xword 6
69176 .xword 15
69177 .xword 4
69178 .xword 10
69179 .xword 0
69180 .xword 1
69181 .xword 0
69182 .xword 13
69183 .xword 5
69184 .xword 8
69185 .xword 8
69186 .xword 8
69187 .xword 7
69188 .xword 10
69189 .xword 11
69190 .xword 15
69191 .xword 4
69192 .xword 13
69193 .xword 13
69194 .xword 4
69195 .xword 6
69196 .xword 1
69197 .xword 7
69198 .xword 8
69199 .xword 10
69200 .xword 11
69201 .xword 4
69202 .xword 10
69203 .xword 13
69204 .xword 5
69205 .xword 13
69206 .xword 15
69207 .xword 0
69208 .xword 13
69209 .xword 10
69210 .xword 11
69211 .xword 3
69212 .xword 3
69213 .xword 9
69214 .xword 3
69215 .xword 3
69216 .xword 0
69217 .xword 3
69218 .xword 1
69219 .xword 14
69220 .xword 12
69221 .xword 3
69222 .xword 6
69223 .xword 1
69224 .xword 15
69225 .xword 8
69226 .xword 14
69227 .xword 2
69228 .xword 7
69229 .xword 0
69230 .xword 5
69231 .xword 6
69232 .xword 1
69233 .xword 2
69234 .xword 12
69235 .xword 2
69236 .xword 15
69237 .xword 0
69238 .xword 13
69239 .xword 2
69240 .xword 9
69241 .xword 5
69242 .xword 3
69243 .xword 12
69244 .xword 13
69245 .xword 3
69246 .xword 7
69247 .xword 4
69248 .xword 5
69249 .xword 11
69250 .xword 5
69251 .xword 1
69252 .xword 2
69253 .xword 0
69254 .xword 0
69255 .xword 7
69256 .xword 14
69257 .xword 15
69258 .xword 5
69259 .xword 13
69260 .xword 15
69261 .xword 0
69262 .xword 14
69263 .xword 14
69264 .xword 2
69265 .xword 3
69266 .xword 5
69267 .xword 2
69268 .xword 7
69269 .xword 11
69270 .xword 2
69271 .xword 12
69272 .xword 10
69273 .xword 9
69274 .xword 14
69275 .xword 2
69276_t1_crc_src:
69277 .xword 0xef5b5b938481c7f8
69278 .xword 0xbf8ad54138ed4c5b
69279 .xword 0xc65a320147929d51
69280 .xword 0x03fa6b5d7f009ac5
69281 .xword 0xd4275bfc983b6964
69282 .xword 0xdea634100ef7a9e2
69283 .xword 0xcc8bd8c047b3d239
69284 .xword 0x93de9a7ee1ed2e59
69285 .xword 0xd48e7768ec634df6
69286 .xword 0x9ca66ae0f64e9d3f
69287 .xword 0xd6cf8b1a371dd7ec
69288 .xword 0x4c842121f1451581
69289 .xword 0x44a61a38e30f1a13
69290 .xword 0xbdeddcff52a3d861
69291 .xword 0xa804f7b34e138f60
69292 .xword 0x9ab0cd40c7dc92d9
69293 .xword 0xd5da9bf5dffaff8d
69294 .xword 0x7e1e15e3051ab8c4
69295 .xword 0x7a28ab15ae13e900
69296 .xword 0x170ca50ad2747a0f
69297 .xword 0x02ec9bb6a5aacc5e
69298 .xword 0x91a48c7082697449
69299 .xword 0x13403deec4bbfb1d
69300 .xword 0x705615dd934299ed
69301 .xword 0x42488a111d2bfa8e
69302 .xword 0xd291240653d2b5ac
69303 .xword 0x6f8621f2dfe764ed
69304 .xword 0x02b48ba630ed9c3a
69305 .xword 0xf4a4d74e5eec6413
69306 .xword 0xfa0aa5a70cf52f90
69307 .xword 0xedb515c7fee74039
69308 .xword 0xfe5430d14e39481f
69309 .xword 0x527f4ac7934e3c4a
69310 .xword 0x80be76511bafce24
69311 .xword 0x0287dc9e038b5ca5
69312 .xword 0x17f26394bcf641f6
69313 .xword 0xe9ec80efef7a650f
69314 .xword 0x0f56a3ae8c09d38d
69315 .xword 0x5266b6486c75c999
69316 .xword 0xeab6a57e482a8338
69317 .xword 0xc9c9a5789d99c419
69318 .xword 0x5e637b8af4c07565
69319 .xword 0xd6e0573d17144637
69320 .xword 0x9ede2119fae175cb
69321 .xword 0xa156729fc9f62c16
69322 .xword 0x4d95337c3e0b4c36
69323 .xword 0x58ad17498bdb8ed7
69324 .xword 0xe846cc5304c75709
69325 .xword 0xc9e13d4c8586c9bd
69326 .xword 0xb58f989424b03cf6
69327 .xword 0xfd1d426fa4104d76
69328 .xword 0x90762465053c5771
69329 .xword 0x845276dd591f6ec3
69330 .xword 0x239d22d367cabdba
69331 .xword 0xb098b7264839c0de
69332 .xword 0x31c9af66b8134442
69333 .xword 0xc13dd3caa79e6982
69334 .xword 0x32103d2e51dccdb1
69335 .xword 0x304e7508636f5a4c
69336 .xword 0x810c85cb1342f827
69337 .xword 0x43915af858a61da9
69338 .xword 0xd540c0483cd1d4e4
69339 .xword 0x35dc53ef407d82f1
69340 .xword 0x9bd500692f8ce711
69341 .xword 0x559685ac222c8688
69342 .xword 0x193e3677576ab5bb
69343 .xword 0x1d0b14834100f1e2
69344 .xword 0x5767edc2a352b8b1
69345 .xword 0xf42c3788001f80ba
69346 .xword 0x4584950a6da69f50
69347 .xword 0xf031d4631b3a03d9
69348 .xword 0x6cbc7c9ca543abd2
69349 .xword 0x6319f4cec872a715
69350 .xword 0x4c56ae3c37008623
69351 .xword 0xac43ed4e936d14c3
69352 .xword 0x4833c14fa8577c57
69353 .xword 0x0683e283440f5864
69354 .xword 0x8849ea4a04612476
69355 .xword 0xedd0844f8327a041
69356 .xword 0x0bda7bb4d0a44af8
69357 .xword 0x76b9423c5b1f995e
69358 .xword 0x9d63d469e4ba2126
69359 .xword 0x21dab3174c5226ae
69360 .xword 0x96465890be49b712
69361 .xword 0x0d76a63e26228973
69362 .xword 0x6062b5d40ed975ef
69363 .xword 0xaa0324edfd3d4d56
69364 .xword 0x495d2dce51fdfc86
69365 .xword 0x989d289765b3d118
69366 .xword 0x7ded6c2e7374a25a
69367 .xword 0x3bf644de28131bd3
69368 .xword 0xf6d1126ae968b5e3
69369 .xword 0x8bb8015a7b4861b7
69370 .xword 0x14353233798a9aa7
69371 .xword 0x20aaf0852d739de9
69372 .xword 0x638fce30757ba1ae
69373 .xword 0xdbf63603489b7f1c
69374 .xword 0xfe58bff914aa28aa
69375 .xword 0xc81d2b8678621576
69376 .xword 0x46525ff2ac407b57
69377 .xword 0x301c7ec06ce57b81
69378 .xword 0xc3cb6d3dad4c3ed2
69379 .xword 0x598bc0266decd4e8
69380 .xword 0x0142e749ca70fd0b
69381 .xword 0x985c1c209bf7d724
69382 .xword 0xb299e4588fb38739
69383 .xword 0x371596fa4e0b06f1
69384 .xword 0x4d7fd96f4716a09d
69385 .xword 0x2e73bc44c5fee92e
69386 .xword 0x282441b2bc78d764
69387 .xword 0x393eac58cc1425bf
69388 .xword 0x96e6798721d946dd
69389 .xword 0x713c0b0e81e17f79
69390 .xword 0x42c061245895116e
69391 .xword 0x78d38ef144912846
69392 .xword 0xd8fca9c3d1eb68c2
69393 .xword 0xbef1c5af7e5f5e22
69394 .xword 0x76d7b8c257c59d82
69395 .xword 0x5105941c1ecfb476
69396 .xword 0x18261f9a8f7b228e
69397 .xword 0x16a1638c664ccca0
69398 .xword 0x59cea74ac6c1bb42
69399 .xword 0xcd8b8243a590c286
69400 .xword 0xb0bb2bb4a6558ba9
69401 .xword 0xcfa154840270cc6e
69402 .xword 0x0d821277463eb248
69403 .xword 0xebea71c269c082ea
69404 .xword 0xfb2c652d30acc758
69405 .xword 0xf1657fb0673c87e2
69406 .xword 0x781ceebc1c94910f
69407 .xword 0x8b9ab7bc49962120
69408 .xword 0x1c5e0c558a3a9db8
69409 .xword 0x2654065b252dff0c
69410 .xword 0x4b77e71ea126ac90
69411 .xword 0x136b918dba555767
69412 .xword 0xd7c6dd0428cdf508
69413 .xword 0xbb4f9f13e4703e5e
69414 .xword 0x3a7afec035d46f49
69415 .xword 0x7a8d61a64075a3ea
69416 .xword 0x0c30a8705b706b9a
69417 .xword 0xa36afeb7619dc43b
69418 .xword 0xc8aae51b52ab85da
69419 .xword 0x619587cdbd8e13a5
69420 .xword 0xb11926092b2e2852
69421 .xword 0xb62d114c6d23050d
69422 .xword 0x1377871fed95d392
69423 .xword 0x729deef8f22bc2ac
69424 .xword 0xf9df0fb86a8c9905
69425 .xword 0xe3b71dfbced47b60
69426 .xword 0x1df6d4a6627abe47
69427 .xword 0x728375e1e6715580
69428 .xword 0x36af5a443dc53afa
69429 .xword 0x2a89d878113100e2
69430 .xword 0x6b48c48cff7e11dc
69431 .xword 0x08d7cb1f3c109166
69432 .xword 0x87afcd575a31f9e3
69433 .xword 0xd6bc619acb819bf3
69434 .xword 0xf632f5d985586e66
69435 .xword 0x45044281bd2d51ed
69436 .xword 0x417b5e9a678b4de3
69437 .xword 0x2d9d50a7448a25b5
69438 .xword 0x0bb1b1ea99d9240d
69439 .xword 0xdbf3612f2182f914
69440 .xword 0x37f1fe45f1bf3083
69441 .xword 0x96e7ec2323b67348
69442 .xword 0x40e061dad420d561
69443 .xword 0x26c7a4977cf98105
69444 .xword 0x1fed5fbd4cd92fe3
69445 .xword 0xf285b0cf31df5131
69446 .xword 0xd2a6834a55b7835e
69447 .xword 0xc8ddd9b2cab42a02
69448 .xword 0xc0439d35ed4de15d
69449 .xword 0x33033a552f5c4230
69450 .xword 0x6b7125796879a8aa
69451 .xword 0xda8fe63f8b8d1c0c
69452 .xword 0xa7c8933a6392e5dc
69453 .xword 0xbf798533b0c03625
69454 .xword 0x9bfe9f5ff9b31b35
69455 .xword 0x3f6dfb68101d442e
69456 .xword 0x0f1bd698d397cd82
69457 .xword 0x7e2a7eeaeee8ada0
69458 .xword 0xd2e49caefa1ab832
69459 .xword 0xbcc046bdc9f4d225
69460 .xword 0xb961ff2ab8a09ca2
69461 .xword 0x776c50c6ce95b3a1
69462 .xword 0xde903268a3b9dcd0
69463 .xword 0xe23425209500f672
69464 .xword 0xe6126af0fa894943
69465 .xword 0x6cdef45188b00259
69466 .xword 0xed00a5872f375af5
69467 .xword 0xcff2fc2bf3520ec3
69468 .xword 0x98949f722ef6ce08
69469 .xword 0xa3cd47ae3c47c3c8
69470 .xword 0x8bff5e49dc8f87f2
69471 .xword 0x254ccea6081ad7dc
69472 .xword 0xa328fdc9af5945f1
69473 .xword 0x0c2d84d79a6975e8
69474 .xword 0x567ddfbb9d528acf
69475 .xword 0xaf21a72b0cbfa950
69476 .xword 0x2fde5e6f05b3e6e1
69477 .xword 0xa2b6ad6c576faeeb
69478 .xword 0x5f001db611d96995
69479 .xword 0x44260a9e92b10c92
69480 .xword 0x3baa57ef866d967b
69481 .xword 0xb5d723a338fb0147
69482 .xword 0x297c25e6c1036765
69483 .xword 0xf45d95c7d94ba028
69484 .xword 0xaa80eda1da540c03
69485 .xword 0x2340d2c60f437d43
69486 .xword 0x0ed7eb1552f2faa0
69487 .xword 0x7684fa0064385622
69488 .xword 0x0de30ac81f0ba901
69489 .xword 0x2e2026b6e43fc857
69490 .xword 0x5bcf4169742db577
69491 .xword 0x8d312411059c724f
69492 .xword 0x42513b0ac504928b
69493 .xword 0xc2456e3a138f4d0e
69494 .xword 0xe11dd061ea4eadd2
69495 .xword 0x756ae44941fa89df
69496 .xword 0xcf2524f17a53b1d8
69497 .xword 0xf7875385ed15faac
69498 .xword 0xb6c9e62830c15625
69499 .xword 0xc8b13b78795a314a
69500 .xword 0xcf947d1ddb83b6dd
69501 .xword 0x1450c071c2c4b7a0
69502 .xword 0x9d25bdd1813702a9
69503 .xword 0x8f6e5acc72bacb2a
69504 .xword 0xbc06cc0a88dfb642
69505 .xword 0xbd591462455552d7
69506 .xword 0x5aa953494f68551b
69507 .xword 0xc9ab65d195ba8b94
69508 .xword 0xd7b03c14b98000a0
69509 .xword 0x60973ed431f2ca27
69510 .xword 0x618a86b7da4e6807
69511 .xword 0xc9d47510e954f49e
69512 .xword 0x6ecda7f23dd797a7
69513 .xword 0x95d4403637915e34
69514 .xword 0xd088a94fe6870d06
69515 .xword 0x1accfd4ebca7c154
69516 .xword 0x8f0a13efe7cb0b89
69517 .xword 0x69b769c438de3318
69518 .xword 0xecb3fbab0d6f7fb8
69519 .xword 0x60f719e5d3493c7a
69520 .xword 0x03581ba6c976a756
69521 .xword 0x9dd4411b037f3f42
69522 .xword 0x9ee2480c2f5850b6
69523 .xword 0x2b87da0cd5e0838c
69524 .xword 0x2dbcd5784170fb25
69525 .xword 0x2c621bc952696a83
69526 .xword 0x27897f756dc6c9d4
69527 .xword 0x97fc9320cc0e00ea
69528 .xword 0x493be346c5ecb854
69529 .xword 0x777ee576364a3cf9
69530 .xword 0xfe84036b3e9c284e
69531 .xword 0x72d57785f18711e2
69532 .xword 0x29f7814f81b113eb
69533 .xword 0xabacfd9f21dde846
69534 .xword 0xe3a10578c010b880
69535 .xword 0x0fa0cd09e8253d84
69536 .xword 0xaa63be8de4bb834f
69537 .xword 0xeeb926e887113560
69538 .xword 0xfd635c0b2ce791fb
69539 .xword 0x058fda703c3c6bf0
69540 .xword 0x4dc4157a20568179
69541 .xword 0xbc61c356c17649de
69542 .xword 0x410d8951aaabf808
69543 .xword 0xad8768b444619412
69544 .xword 0x3480ab2ea4105bae
69545 .xword 0xa0a681302c23e58c
69546 .xword 0x4576ed2d7a70de5d
69547 .xword 0xe27d1db2075d383a
69548 .xword 0xfed11b6ac1657265
69549 .xword 0x8d0517f2299d0923
69550 .xword 0xa25fed7c16e292d3
69551 .xword 0xf8e8595dd55b5276
69552_t1_crc_dest:
69553 .xword 0xDEADBEEFDEADBEEF
69554 .xword 0xDEADBEEFDEADBEEF
69555 .xword 0xDEADBEEFDEADBEEF
69556 .xword 0xDEADBEEFDEADBEEF
69557 .xword 0xDEADBEEFDEADBEEF
69558 .xword 0xDEADBEEFDEADBEEF
69559 .xword 0xDEADBEEFDEADBEEF
69560 .xword 0xDEADBEEFDEADBEEF
69561 .xword 0xDEADBEEFDEADBEEF
69562 .xword 0xDEADBEEFDEADBEEF
69563 .xword 0xDEADBEEFDEADBEEF
69564 .xword 0xDEADBEEFDEADBEEF
69565 .xword 0xDEADBEEFDEADBEEF
69566 .xword 0xDEADBEEFDEADBEEF
69567 .xword 0xDEADBEEFDEADBEEF
69568 .xword 0xDEADBEEFDEADBEEF
69569 .xword 0xDEADBEEFDEADBEEF
69570 .xword 0xDEADBEEFDEADBEEF
69571 .xword 0xDEADBEEFDEADBEEF
69572 .xword 0xDEADBEEFDEADBEEF
69573 .xword 0xDEADBEEFDEADBEEF
69574 .xword 0xDEADBEEFDEADBEEF
69575 .xword 0xDEADBEEFDEADBEEF
69576 .xword 0xDEADBEEFDEADBEEF
69577 .xword 0xDEADBEEFDEADBEEF
69578 .xword 0xDEADBEEFDEADBEEF
69579 .xword 0xDEADBEEFDEADBEEF
69580 .xword 0xDEADBEEFDEADBEEF
69581 .xword 0xDEADBEEFDEADBEEF
69582 .xword 0xDEADBEEFDEADBEEF
69583 .xword 0xDEADBEEFDEADBEEF
69584 .xword 0xDEADBEEFDEADBEEF
69585 .xword 0xDEADBEEFDEADBEEF
69586 .xword 0xDEADBEEFDEADBEEF
69587 .xword 0xDEADBEEFDEADBEEF
69588 .xword 0xDEADBEEFDEADBEEF
69589 .xword 0xDEADBEEFDEADBEEF
69590 .xword 0xDEADBEEFDEADBEEF
69591 .xword 0xDEADBEEFDEADBEEF
69592 .xword 0xDEADBEEFDEADBEEF
69593 .xword 0xDEADBEEFDEADBEEF
69594 .xword 0xDEADBEEFDEADBEEF
69595 .xword 0xDEADBEEFDEADBEEF
69596 .xword 0xDEADBEEFDEADBEEF
69597 .xword 0xDEADBEEFDEADBEEF
69598 .xword 0xDEADBEEFDEADBEEF
69599 .xword 0xDEADBEEFDEADBEEF
69600 .xword 0xDEADBEEFDEADBEEF
69601 .xword 0xDEADBEEFDEADBEEF
69602 .xword 0xDEADBEEFDEADBEEF
69603 .xword 0xDEADBEEFDEADBEEF
69604 .xword 0xDEADBEEFDEADBEEF
69605 .xword 0xDEADBEEFDEADBEEF
69606 .xword 0xDEADBEEFDEADBEEF
69607 .xword 0xDEADBEEFDEADBEEF
69608 .xword 0xDEADBEEFDEADBEEF
69609 .xword 0xDEADBEEFDEADBEEF
69610 .xword 0xDEADBEEFDEADBEEF
69611 .xword 0xDEADBEEFDEADBEEF
69612 .xword 0xDEADBEEFDEADBEEF
69613 .xword 0xDEADBEEFDEADBEEF
69614 .xword 0xDEADBEEFDEADBEEF
69615 .xword 0xDEADBEEFDEADBEEF
69616 .xword 0xDEADBEEFDEADBEEF
69617 .xword 0xDEADBEEFDEADBEEF
69618 .xword 0xDEADBEEFDEADBEEF
69619 .xword 0xDEADBEEFDEADBEEF
69620 .xword 0xDEADBEEFDEADBEEF
69621 .xword 0xDEADBEEFDEADBEEF
69622 .xword 0xDEADBEEFDEADBEEF
69623 .xword 0xDEADBEEFDEADBEEF
69624 .xword 0xDEADBEEFDEADBEEF
69625 .xword 0xDEADBEEFDEADBEEF
69626 .xword 0xDEADBEEFDEADBEEF
69627 .xword 0xDEADBEEFDEADBEEF
69628 .xword 0xDEADBEEFDEADBEEF
69629 .xword 0xDEADBEEFDEADBEEF
69630 .xword 0xDEADBEEFDEADBEEF
69631 .xword 0xDEADBEEFDEADBEEF
69632 .xword 0xDEADBEEFDEADBEEF
69633 .xword 0xDEADBEEFDEADBEEF
69634 .xword 0xDEADBEEFDEADBEEF
69635 .xword 0xDEADBEEFDEADBEEF
69636 .xword 0xDEADBEEFDEADBEEF
69637 .xword 0xDEADBEEFDEADBEEF
69638 .xword 0xDEADBEEFDEADBEEF
69639 .xword 0xDEADBEEFDEADBEEF
69640 .xword 0xDEADBEEFDEADBEEF
69641 .xword 0xDEADBEEFDEADBEEF
69642 .xword 0xDEADBEEFDEADBEEF
69643 .xword 0xDEADBEEFDEADBEEF
69644 .xword 0xDEADBEEFDEADBEEF
69645 .xword 0xDEADBEEFDEADBEEF
69646 .xword 0xDEADBEEFDEADBEEF
69647 .xword 0xDEADBEEFDEADBEEF
69648 .xword 0xDEADBEEFDEADBEEF
69649 .xword 0xDEADBEEFDEADBEEF
69650 .xword 0xDEADBEEFDEADBEEF
69651 .xword 0xDEADBEEFDEADBEEF
69652 .xword 0xDEADBEEFDEADBEEF
69653 .xword 0xDEADBEEFDEADBEEF
69654 .xword 0xDEADBEEFDEADBEEF
69655 .xword 0xDEADBEEFDEADBEEF
69656 .xword 0xDEADBEEFDEADBEEF
69657 .xword 0xDEADBEEFDEADBEEF
69658 .xword 0xDEADBEEFDEADBEEF
69659 .xword 0xDEADBEEFDEADBEEF
69660 .xword 0xDEADBEEFDEADBEEF
69661 .xword 0xDEADBEEFDEADBEEF
69662 .xword 0xDEADBEEFDEADBEEF
69663 .xword 0xDEADBEEFDEADBEEF
69664 .xword 0xDEADBEEFDEADBEEF
69665 .xword 0xDEADBEEFDEADBEEF
69666 .xword 0xDEADBEEFDEADBEEF
69667 .xword 0xDEADBEEFDEADBEEF
69668 .xword 0xDEADBEEFDEADBEEF
69669 .xword 0xDEADBEEFDEADBEEF
69670 .xword 0xDEADBEEFDEADBEEF
69671 .xword 0xDEADBEEFDEADBEEF
69672 .xword 0xDEADBEEFDEADBEEF
69673 .xword 0xDEADBEEFDEADBEEF
69674 .xword 0xDEADBEEFDEADBEEF
69675 .xword 0xDEADBEEFDEADBEEF
69676 .xword 0xDEADBEEFDEADBEEF
69677 .xword 0xDEADBEEFDEADBEEF
69678 .xword 0xDEADBEEFDEADBEEF
69679 .xword 0xDEADBEEFDEADBEEF
69680 .xword 0xDEADBEEFDEADBEEF
69681 .xword 0xDEADBEEFDEADBEEF
69682 .xword 0xDEADBEEFDEADBEEF
69683 .xword 0xDEADBEEFDEADBEEF
69684 .xword 0xDEADBEEFDEADBEEF
69685 .xword 0xDEADBEEFDEADBEEF
69686 .xword 0xDEADBEEFDEADBEEF
69687 .xword 0xDEADBEEFDEADBEEF
69688 .xword 0xDEADBEEFDEADBEEF
69689 .xword 0xDEADBEEFDEADBEEF
69690 .xword 0xDEADBEEFDEADBEEF
69691 .xword 0xDEADBEEFDEADBEEF
69692 .xword 0xDEADBEEFDEADBEEF
69693 .xword 0xDEADBEEFDEADBEEF
69694 .xword 0xDEADBEEFDEADBEEF
69695 .xword 0xDEADBEEFDEADBEEF
69696 .xword 0xDEADBEEFDEADBEEF
69697 .xword 0xDEADBEEFDEADBEEF
69698 .xword 0xDEADBEEFDEADBEEF
69699 .xword 0xDEADBEEFDEADBEEF
69700 .xword 0xDEADBEEFDEADBEEF
69701 .xword 0xDEADBEEFDEADBEEF
69702 .xword 0xDEADBEEFDEADBEEF
69703 .xword 0xDEADBEEFDEADBEEF
69704 .xword 0xDEADBEEFDEADBEEF
69705 .xword 0xDEADBEEFDEADBEEF
69706 .xword 0xDEADBEEFDEADBEEF
69707 .xword 0xDEADBEEFDEADBEEF
69708 .xword 0xDEADBEEFDEADBEEF
69709 .xword 0xDEADBEEFDEADBEEF
69710 .xword 0xDEADBEEFDEADBEEF
69711 .xword 0xDEADBEEFDEADBEEF
69712 .xword 0xDEADBEEFDEADBEEF
69713 .xword 0xDEADBEEFDEADBEEF
69714 .xword 0xDEADBEEFDEADBEEF
69715 .xword 0xDEADBEEFDEADBEEF
69716 .xword 0xDEADBEEFDEADBEEF
69717 .xword 0xDEADBEEFDEADBEEF
69718 .xword 0xDEADBEEFDEADBEEF
69719 .xword 0xDEADBEEFDEADBEEF
69720 .xword 0xDEADBEEFDEADBEEF
69721 .xword 0xDEADBEEFDEADBEEF
69722 .xword 0xDEADBEEFDEADBEEF
69723 .xword 0xDEADBEEFDEADBEEF
69724 .xword 0xDEADBEEFDEADBEEF
69725 .xword 0xDEADBEEFDEADBEEF
69726 .xword 0xDEADBEEFDEADBEEF
69727 .xword 0xDEADBEEFDEADBEEF
69728 .xword 0xDEADBEEFDEADBEEF
69729 .xword 0xDEADBEEFDEADBEEF
69730 .xword 0xDEADBEEFDEADBEEF
69731 .xword 0xDEADBEEFDEADBEEF
69732 .xword 0xDEADBEEFDEADBEEF
69733 .xword 0xDEADBEEFDEADBEEF
69734 .xword 0xDEADBEEFDEADBEEF
69735 .xword 0xDEADBEEFDEADBEEF
69736 .xword 0xDEADBEEFDEADBEEF
69737 .xword 0xDEADBEEFDEADBEEF
69738 .xword 0xDEADBEEFDEADBEEF
69739 .xword 0xDEADBEEFDEADBEEF
69740 .xword 0xDEADBEEFDEADBEEF
69741 .xword 0xDEADBEEFDEADBEEF
69742 .xword 0xDEADBEEFDEADBEEF
69743 .xword 0xDEADBEEFDEADBEEF
69744 .xword 0xDEADBEEFDEADBEEF
69745 .xword 0xDEADBEEFDEADBEEF
69746 .xword 0xDEADBEEFDEADBEEF
69747 .xword 0xDEADBEEFDEADBEEF
69748 .xword 0xDEADBEEFDEADBEEF
69749 .xword 0xDEADBEEFDEADBEEF
69750 .xword 0xDEADBEEFDEADBEEF
69751 .xword 0xDEADBEEFDEADBEEF
69752 .xword 0xDEADBEEFDEADBEEF
69753 .xword 0xDEADBEEFDEADBEEF
69754 .xword 0xDEADBEEFDEADBEEF
69755 .xword 0xDEADBEEFDEADBEEF
69756 .xword 0xDEADBEEFDEADBEEF
69757 .xword 0xDEADBEEFDEADBEEF
69758 .xword 0xDEADBEEFDEADBEEF
69759 .xword 0xDEADBEEFDEADBEEF
69760 .xword 0xDEADBEEFDEADBEEF
69761 .xword 0xDEADBEEFDEADBEEF
69762 .xword 0xDEADBEEFDEADBEEF
69763 .xword 0xDEADBEEFDEADBEEF
69764 .xword 0xDEADBEEFDEADBEEF
69765 .xword 0xDEADBEEFDEADBEEF
69766 .xword 0xDEADBEEFDEADBEEF
69767 .xword 0xDEADBEEFDEADBEEF
69768 .xword 0xDEADBEEFDEADBEEF
69769 .xword 0xDEADBEEFDEADBEEF
69770 .xword 0xDEADBEEFDEADBEEF
69771 .xword 0xDEADBEEFDEADBEEF
69772 .xword 0xDEADBEEFDEADBEEF
69773 .xword 0xDEADBEEFDEADBEEF
69774 .xword 0xDEADBEEFDEADBEEF
69775 .xword 0xDEADBEEFDEADBEEF
69776 .xword 0xDEADBEEFDEADBEEF
69777 .xword 0xDEADBEEFDEADBEEF
69778 .xword 0xDEADBEEFDEADBEEF
69779 .xword 0xDEADBEEFDEADBEEF
69780 .xword 0xDEADBEEFDEADBEEF
69781 .xword 0xDEADBEEFDEADBEEF
69782 .xword 0xDEADBEEFDEADBEEF
69783 .xword 0xDEADBEEFDEADBEEF
69784 .xword 0xDEADBEEFDEADBEEF
69785 .xword 0xDEADBEEFDEADBEEF
69786 .xword 0xDEADBEEFDEADBEEF
69787 .xword 0xDEADBEEFDEADBEEF
69788 .xword 0xDEADBEEFDEADBEEF
69789 .xword 0xDEADBEEFDEADBEEF
69790 .xword 0xDEADBEEFDEADBEEF
69791 .xword 0xDEADBEEFDEADBEEF
69792 .xword 0xDEADBEEFDEADBEEF
69793 .xword 0xDEADBEEFDEADBEEF
69794 .xword 0xDEADBEEFDEADBEEF
69795 .xword 0xDEADBEEFDEADBEEF
69796 .xword 0xDEADBEEFDEADBEEF
69797 .xword 0xDEADBEEFDEADBEEF
69798 .xword 0xDEADBEEFDEADBEEF
69799 .xword 0xDEADBEEFDEADBEEF
69800 .xword 0xDEADBEEFDEADBEEF
69801 .xword 0xDEADBEEFDEADBEEF
69802 .xword 0xDEADBEEFDEADBEEF
69803 .xword 0xDEADBEEFDEADBEEF
69804 .xword 0xDEADBEEFDEADBEEF
69805 .xword 0xDEADBEEFDEADBEEF
69806 .xword 0xDEADBEEFDEADBEEF
69807 .xword 0xDEADBEEFDEADBEEF
69808 .xword 0xDEADBEEFDEADBEEF
69809 .xword 0xDEADBEEFDEADBEEF
69810 .xword 0xDEADBEEFDEADBEEF
69811 .xword 0xDEADBEEFDEADBEEF
69812 .xword 0xDEADBEEFDEADBEEF
69813 .xword 0xDEADBEEFDEADBEEF
69814 .xword 0xDEADBEEFDEADBEEF
69815 .xword 0xDEADBEEFDEADBEEF
69816 .xword 0xDEADBEEFDEADBEEF
69817 .xword 0xDEADBEEFDEADBEEF
69818 .xword 0xDEADBEEFDEADBEEF
69819 .xword 0xDEADBEEFDEADBEEF
69820 .xword 0xDEADBEEFDEADBEEF
69821 .xword 0xDEADBEEFDEADBEEF
69822 .xword 0xDEADBEEFDEADBEEF
69823 .xword 0xDEADBEEFDEADBEEF
69824 .xword 0xDEADBEEFDEADBEEF
69825 .xword 0xDEADBEEFDEADBEEF
69826 .xword 0xDEADBEEFDEADBEEF
69827 .xword 0xDEADBEEFDEADBEEF
69828_t1_crc_auth_key:
69829 .xword 0xcca3a5d7e60e2a79
69830 .xword 0x05ed7755a0867ffd
69831 .xword 0x9921f0be5ee22e6b
69832 .xword 0x016e9a677bf0514c
69833 .xword 0x141c53269f66c94f
69834 .xword 0x2f722e61333d3dce
69835 .xword 0xdf5ea88ab2a7106e
69836 .xword 0xb466f226882ae20f
69837 .xword 0x3f305bb22e97fda5
69838 .xword 0x1187a781861b53fb
69839 .xword 0xd91cc6250fcd87b8
69840 .xword 0x8fa459c84ebc2059
69841 .xword 0x49f042de18c9269d
69842 .xword 0xc6a19635dbb17bd5
69843 .xword 0x7c6d6e2ad685c38a
69844 .xword 0x4c4916676de8df97
69845 .xword 0xe5a5a3aae270d0f0
69846 .xword 0x195213045600cd85
69847 .xword 0xae2e33a60e4e30c4
69848 .xword 0x9ff7f659b04e2b8b
69849 .xword 0x41bb571e590dba2d
69850 .xword 0x38e4e3a393e26fcd
69851 .xword 0x873825587fce2234
69852_t1_crc_auth_iv:
69853 .xword 0x55b6e75a0867a258
69854 .xword 0x4d3998ef3cdbe80e
69855 .xword 0xa2f43c17ce34e112
69856 .xword 0x6773681aa78d5163
69857 .xword 0x5819d2d11690ddfc
69858 .xword 0x0d63a01c7bf2845a
69859 .xword 0xe1515482792a54de
69860 .xword 0x7a8f2ea23ba9dce6
69861 .xword 0x9340d61d3ffead2b
69862 .xword 0x61e92f5948a2a03e
69863 .xword 0x5fa44deefaeed377
69864 .xword 0xd757f488ab9900ab
69865 .xword 0x2bfce73dfdf09586
69866 .xword 0xebb880376cf7d46f
69867 .xword 0xf069162f97924369
69868 .xword 0xf3d3cf791edea8eb
69869 .xword 0x89e1ef35cc74fb46
69870 .xword 0xe4ec07ec7e873484
69871 .xword 0x2e10bb8a3cd31553
69872 .xword 0x572d09952b3337bf
69873 .xword 0x9da0dd549068f5e4
69874 .xword 0x4de829e4b3200c18
69875 .xword 0x1e1a2292e4ced970
69876_t1_crc_fas_result:
69877 .xword 0xDEADBEEFDEADBEEF
69878 .xword 0xDEADBEEFDEADBEEF
69879 .xword 0xDEADBEEFDEADBEEF
69880 .xword 0xDEADBEEFDEADBEEF
69881 .xword 0xDEADBEEFDEADBEEF
69882 .xword 0xDEADBEEFDEADBEEF
69883 .xword 0xDEADBEEFDEADBEEF
69884 .xword 0xDEADBEEFDEADBEEF
69885 .xword 0xDEADBEEFDEADBEEF
69886 .xword 0xDEADBEEFDEADBEEF
69887 .xword 0xDEADBEEFDEADBEEF
69888 .xword 0xDEADBEEFDEADBEEF
69889 .xword 0xDEADBEEFDEADBEEF
69890 .xword 0xDEADBEEFDEADBEEF
69891 .xword 0xDEADBEEFDEADBEEF
69892 .xword 0xDEADBEEFDEADBEEF
69893 .xword 0xDEADBEEFDEADBEEF
69894 .xword 0xDEADBEEFDEADBEEF
69895 .xword 0xDEADBEEFDEADBEEF
69896 .xword 0xDEADBEEFDEADBEEF
69897 .xword 0xDEADBEEFDEADBEEF
69898 .xword 0xDEADBEEFDEADBEEF
69899 .xword 0xDEADBEEFDEADBEEF
69900_t1_hash_key_array:
69901 .xword 0x51dd693b5e6bf33b
69902 .xword 0x2c75ac911118b06f
69903 .xword 0x87bc9d836aaef27a
69904 .xword 0x24644fd38fcac72f
69905 .xword 0x20cdf726da389bc8
69906 .xword 0x987f481dc2e4d34f
69907 .xword 0x004a88dc4c8de76e
69908 .xword 0x64002e32bdcfe32e
69909 .xword 0x2a3501d4eaff549f
69910 .xword 0xc00d10f1fe44541f
69911 .xword 0x302136d8b12638ea
69912 .xword 0x873c4e4e67023931
69913 .xword 0x10c68c96d46db09e
69914 .xword 0x260cb38ad57c21d9
69915 .xword 0xc68392b8d8b1a0fc
69916 .xword 0x37b47f1f65f945e3
69917 .xword 0xa2c5f1e6565ddcfe
69918 .xword 0x81f1f92882638ece
69919 .xword 0xf63373b21aa55ca6
69920 .xword 0x7c1e792e48e0d1cf
69921 .xword 0xe0bcbca1a4f22cfa
69922 .xword 0x51b28456c513c06e
69923 .xword 0xa38f1c5d7a82f70c
69924 .xword 0x58cc7edf3e5c8ad2
69925 .xword 0x16182c108bc8a966
69926 .xword 0x7ebd7730098dee4f
69927 .xword 0x4f863efecd97bea4
69928 .xword 0xfcf3121fc5031a1e
69929 .xword 0x171b0615abdbcd5d
69930 .xword 0x1f6f4f18c7027858
69931 .xword 0xab352c3bef2f1e86
69932 .xword 0x1d84eedf4ed5cb5d
69933 .xword 0x52e011991215316c
69934 .xword 0xa389448824f037b7
69935 .xword 0x25ee1af77dc23b49
69936 .xword 0x4a6812b18fe086e0
69937 .xword 0xb88980805c3aa10d
69938 .xword 0x75056e4a2be51796
69939 .xword 0x248af06e6de6eec0
69940 .xword 0x236d04387d019a58
69941 .xword 0x103762cee0d01e18
69942 .xword 0x2749b8dc44246ca2
69943 .xword 0x83ba8e3657348855
69944 .xword 0x1107f9315071defe
69945 .xword 0x333f917c17f58e8d
69946 .xword 0x391d5c79621c4bf7
69947 .xword 0xbfa2988d63b5ed79
69948 .xword 0xaaa0ed2674121d5e
69949 .xword 0x5df699d74dbb47e0
69950 .xword 0xd63217aecaedac21
69951 .xword 0xfc612c00f183a78a
69952_t1_hash_iv_array:
69953 .xword 0x941dcfe9ef04dc45
69954 .xword 0xb8698ee0f5896cd2
69955 .xword 0x58f280e92ec19d87
69956 .xword 0x1d906b76f7c059d5
69957 .xword 0x7a00333cb421e230
69958 .xword 0x703d561f658326ea
69959 .xword 0xd83e3e89aedab9a4
69960 .xword 0x882f542a0034555b
69961 .xword 0x16ff32184f5f4e05
69962 .xword 0xc78017b6fbd5db43
69963 .xword 0x17ccf4d95a2d901a
69964 .xword 0x7d4a4a9194a02b81
69965 .xword 0xcd0e238cd0a23d9c
69966 .xword 0x4e430a7887efcecf
69967 .xword 0x5b61e13ff0513688
69968 .xword 0xb5df6756c3f0ad94
69969 .xword 0x091dfe0edc9e0172
69970 .xword 0xcbd126bb51ef1bf2
69971 .xword 0x14257c37f0f8d3a1
69972 .xword 0xc310b76d38fff9be
69973 .xword 0x5eb0ccc9ec9672eb
69974 .xword 0xe792f3086bb9b651
69975 .xword 0xa894dec27f646109
69976 .xword 0xec54f87588c88f30
69977 .xword 0xde142ff585b3c34f
69978 .xword 0x3b6c0ee6a591b7df
69979 .xword 0xf06cb4f9f8473c39
69980 .xword 0xb6d73fb74a72efeb
69981 .xword 0x8e77356caad6bf1a
69982 .xword 0x67f37892640a6944
69983 .xword 0x53ba3c3cd10cb9ab
69984 .xword 0x6cf5a4ea6337d1b0
69985 .xword 0xe238d4a300c3bd2f
69986 .xword 0x8e985f8b7cd82fc2
69987 .xword 0xfbecbd8ab5670e29
69988 .xword 0x5e6eb1be55de043e
69989 .xword 0x301bbd6f63e76ad9
69990 .xword 0x6df370c8f86722b6
69991 .xword 0x2efc53efdd721f7f
69992 .xword 0xfc9f0a0746658064
69993 .xword 0xfd8d4d4bf63ff435
69994 .xword 0x7ccac1c0903f8dc9
69995 .xword 0x09bdaecd6bf44019
69996 .xword 0xc56f86d64b89431c
69997 .xword 0xd2b74cd33dce2744
69998_t1_hash_alignment_array:
69999 .xword 13
70000 .xword 5
70001 .xword 5
70002 .xword 10
70003 .xword 0
70004 .xword 15
70005 .xword 11
70006 .xword 6
70007 .xword 11
70008 .xword 13
70009 .xword 10
70010 .xword 7
70011 .xword 1
70012 .xword 12
70013 .xword 2
70014 .xword 10
70015 .xword 11
70016 .xword 8
70017 .xword 12
70018 .xword 11
70019 .xword 9
70020 .xword 7
70021 .xword 10
70022 .xword 9
70023 .xword 9
70024 .xword 7
70025 .xword 15
70026 .xword 0
70027 .xword 0
70028 .xword 1
70029 .xword 5
70030 .xword 13
70031 .xword 8
70032 .xword 2
70033 .xword 3
70034 .xword 7
70035 .xword 9
70036 .xword 3
70037 .xword 6
70038 .xword 0
70039 .xword 6
70040 .xword 8
70041 .xword 1
70042 .xword 15
70043 .xword 12
70044 .xword 13
70045 .xword 11
70046 .xword 1
70047 .xword 3
70048 .xword 13
70049 .xword 6
70050 .xword 15
70051 .xword 14
70052 .xword 8
70053 .xword 10
70054 .xword 11
70055 .xword 5
70056 .xword 6
70057 .xword 7
70058 .xword 10
70059 .xword 11
70060 .xword 13
70061 .xword 11
70062 .xword 1
70063 .xword 9
70064 .xword 7
70065 .xword 2
70066 .xword 4
70067 .xword 5
70068 .xword 10
70069 .xword 11
70070 .xword 6
70071 .xword 1
70072 .xword 14
70073 .xword 5
70074 .xword 6
70075 .xword 3
70076 .xword 11
70077 .xword 13
70078 .xword 3
70079 .xword 11
70080 .xword 12
70081 .xword 9
70082 .xword 9
70083 .xword 12
70084 .xword 2
70085 .xword 12
70086 .xword 15
70087 .xword 11
70088 .xword 7
70089 .xword 7
70090 .xword 3
70091 .xword 15
70092 .xword 1
70093 .xword 2
70094 .xword 7
70095 .xword 4
70096 .xword 8
70097 .xword 10
70098 .xword 4
70099 .xword 0
70100 .xword 8
70101 .xword 11
70102 .xword 8
70103 .xword 3
70104_t1_hash_src:
70105 .xword 0x833c5707d45f3baa
70106 .xword 0xdd3d7d7586a24046
70107 .xword 0x8237d4e517c70ccc
70108 .xword 0xbe3da66c54d4128c
70109 .xword 0x96fa36695ee32bf4
70110 .xword 0x26305961d743c578
70111 .xword 0xce8af4872a5ae857
70112 .xword 0xbdb2d9dbaa53e88c
70113 .xword 0xf85757d4b2763c02
70114 .xword 0xec899fa2f9d993ec
70115 .xword 0x4eef1a6fd6933d40
70116 .xword 0x3d494a39d5c30bc1
70117 .xword 0x835ccadabd3526d4
70118 .xword 0xa4fc43f441c51992
70119 .xword 0xb4cb002b8edb049b
70120 .xword 0xcd1821b7e28713d0
70121 .xword 0x81b01fb9f3d3a78a
70122 .xword 0x098f867462461e89
70123 .xword 0x630f49ac83a8bf1c
70124 .xword 0xa7409964da9a3e3a
70125 .xword 0x5ff289defc9c00ac
70126 .xword 0x089388079c618716
70127 .xword 0xd1886106aea52543
70128 .xword 0xab85130a42891d0b
70129 .xword 0x754c5ebee340b62e
70130 .xword 0x3fb5dac239e87b73
70131 .xword 0xf589753cebd7add5
70132 .xword 0x3907be6b1bb294cc
70133 .xword 0xbb04437cebb9beb9
70134 .xword 0x8e5120155b15b2e7
70135 .xword 0x4dc51ed803608883
70136 .xword 0xe4ba885397c99217
70137 .xword 0x2596f0e2c0c70248
70138 .xword 0xb6f8793808ea0308
70139 .xword 0x2b3bbc7c9694dd71
70140 .xword 0xed8e2d1c06a646f4
70141 .xword 0xbc26cb3adadbdb6f
70142 .xword 0x6118eb6a36ad3c8c
70143 .xword 0x87dfef18e79a59cc
70144 .xword 0x83728a2236889cce
70145 .xword 0x11d17d749f04d259
70146 .xword 0x89c9de2ad08f5213
70147 .xword 0xdab1fb58ef1e9129
70148 .xword 0x82ef0605aa7f5797
70149 .xword 0xdc67173266995b95
70150 .xword 0xc4b5a864b7dae43c
70151 .xword 0x0d8a67418900df92
70152 .xword 0xc090f7becf5b62c4
70153 .xword 0x4edd46e10e58f0d2
70154 .xword 0xe7ce493cebdee5c6
70155 .xword 0x1313b977aef2218c
70156 .xword 0xa2dd84838092c836
70157 .xword 0x6a727851ba44200c
70158 .xword 0xdd50d72736d02992
70159 .xword 0x475ec924da677706
70160 .xword 0xb313882cc61521a7
70161 .xword 0x207e63b00e4b63ff
70162 .xword 0x226670e80ac7411c
70163 .xword 0x0f83d3e90b8e9972
70164 .xword 0x8a880ddf1c9e9db2
70165 .xword 0x3b520293316e8ba3
70166 .xword 0x1a7759f5b02994e9
70167 .xword 0x3c9c2279982d9b4a
70168 .xword 0xee94a633b75a4c37
70169 .xword 0xb9ee8660e753b234
70170 .xword 0x6bada2154b51357b
70171 .xword 0xc906a29dac86b3eb
70172 .xword 0x0222c9010217d142
70173 .xword 0xe862e393003bead2
70174 .xword 0x7e7313753831c9c1
70175 .xword 0x491386084acc646e
70176 .xword 0x0cd853a11c58430e
70177 .xword 0xa9bd59dcca33595c
70178 .xword 0xa2fa0cdfa05c0e83
70179 .xword 0x1c030ee9a1ab1077
70180 .xword 0x53991265eb191d27
70181 .xword 0xcee0a9a11f6646e5
70182 .xword 0xcf907d531f4464eb
70183 .xword 0x2ee4a599529289d7
70184 .xword 0xdf8a853aed1c7be9
70185 .xword 0xf204b2aa65351466
70186 .xword 0xe3ab1a2809121ff4
70187 .xword 0x3a6de021c3d17b97
70188 .xword 0x22abd49d9cc4ee77
70189 .xword 0xdba12050e1df8ef1
70190 .xword 0x003c128523335cee
70191 .xword 0x2a45837b13c556d2
70192 .xword 0xdcb80b0e20a1f9f3
70193 .xword 0xde103225d6e1283f
70194 .xword 0xf26d63b319ac7bd2
70195 .xword 0xe51435abe1a22938
70196 .xword 0xec543a45ed0abe50
70197 .xword 0x971015b49891068f
70198 .xword 0x1add491279974cd4
70199 .xword 0xd57e680231260df9
70200 .xword 0xc48bb5791a01d3ae
70201 .xword 0x9a2ef072ca08550b
70202 .xword 0x22e1dbd547296b14
70203 .xword 0x71d4a28a423f7a90
70204 .xword 0x954b352d64f2e3bd
70205 .xword 0x075fbccbad947ab1
70206 .xword 0xa784a96b57cd3789
70207 .xword 0x48b5af52f361657d
70208 .xword 0xff64ee10966ffd0d
70209 .xword 0x1168956b16acc1c7
70210 .xword 0x49bfd811f9199bdd
70211 .xword 0x4247d806ce5b8349
70212 .xword 0x7c509e5e6e936a71
70213 .xword 0xc0300348cf72f898
70214 .xword 0x71c0dff6b45d1014
70215 .xword 0x4e86f4f0db0f411d
70216 .xword 0x25db7077f8f9921a
70217 .xword 0x18902838533a7247
70218 .xword 0xaad7b51a15547387
70219 .xword 0xb1ac6e7f1ec631ae
70220 .xword 0xc07042a59047f13d
70221 .xword 0x42a063bc602edb54
70222 .xword 0x4179b931c57888e1
70223 .xword 0xdae93f08f401fe6b
70224 .xword 0xb4fb7afa99f5425d
70225 .xword 0xab7c605426edee6d
70226 .xword 0x00065073a35cae32
70227 .xword 0x0112882ea8cd4fc2
70228 .xword 0xa91b31d7440bd11a
70229 .xword 0xff95d80cf7ea0d70
70230 .xword 0xb67dac20aaa1bfd9
70231 .xword 0x76452925c3bae366
70232 .xword 0x04815c4743c71b2f
70233 .xword 0xda58ff80fb769f06
70234 .xword 0x92af111c35644a15
70235 .xword 0x5b72b198ee2664ff
70236 .xword 0x33fc078fa012922b
70237 .xword 0x5efa0906a7cdda5a
70238 .xword 0x42fcac57e8cc0216
70239 .xword 0xb0902f7573577ad2
70240 .xword 0x21d9edc831acd2fe
70241 .xword 0x16b88d76f5d1e7b1
70242 .xword 0x708e3b9b1c4d69cf
70243 .xword 0xa0375244ecd6a1d2
70244 .xword 0xd5bf8ed3e0df9bea
70245 .xword 0xfebddd95dd1082f2
70246 .xword 0x261994b3b0e6ed04
70247 .xword 0xa80ec7ce91c31f5a
70248 .xword 0x5bc5d182d281a403
70249 .xword 0x537e0520e59b59f3
70250 .xword 0x73641c906edd9410
70251 .xword 0x4ee7576bef903c02
70252 .xword 0xc7281b1b53f51be4
70253 .xword 0xd1930ad3c3e94825
70254 .xword 0xf5581514ba569d10
70255 .xword 0x70a0fa14b1b2a198
70256 .xword 0x501f25e7ff2d11a4
70257 .xword 0x7dc052d4c5569353
70258 .xword 0x255d7cb9b8633eec
70259 .xword 0x02aec185cf7d5649
70260 .xword 0x115d92cb6cbb9fa3
70261 .xword 0xae00f0f56880184d
70262 .xword 0x2bce3ee0fdd50420
70263 .xword 0x5645600674b9441d
70264 .xword 0x14f05584736c0d09
70265 .xword 0xe3dee0777de29c38
70266 .xword 0x9d6a560262643df8
70267 .xword 0x426d5a490bd804b2
70268 .xword 0xf6d6b48a51815f3d
70269 .xword 0x541d6cd9cd0996b9
70270 .xword 0xa474d333fd148c7d
70271 .xword 0xaa5ed25f794e8f8a
70272 .xword 0x1aa2c34da08619ec
70273 .xword 0xc04098e61464c9c0
70274 .xword 0x0353f706d65f4361
70275 .xword 0xe260f813c6b8bf57
70276 .xword 0xa83fff17ea6b103d
70277 .xword 0x30af8730d2210b2e
70278 .xword 0xeaa1ca68ea84c344
70279 .xword 0xff5ada2a084f6170
70280 .xword 0x585e41a66ac70bf7
70281 .xword 0xd6845987689759ec
70282 .xword 0xd4d9d8af64e50974
70283 .xword 0x172a2c41a53d1f2f
70284 .xword 0x3735290d11baaa10
70285 .xword 0xfb58f3b07f01e9e5
70286 .xword 0x20b88ae73815252c
70287 .xword 0xa5fcc95fb8674ce3
70288 .xword 0x9c036879d72cd878
70289 .xword 0x995f9275af37d449
70290 .xword 0x855d1ffc21287100
70291 .xword 0x5e03f8d7e5ff7fbe
70292 .xword 0x3d63bc6bf354299f
70293 .xword 0x12aaa9b3c57e329c
70294 .xword 0x89aedfa83cca075c
70295 .xword 0x096853f357e60948
70296 .xword 0x348ddbf5fb5b5e52
70297 .xword 0x09923334d37d99df
70298 .xword 0xcfd0887c7f9f9194
70299 .xword 0x47e1bb09e220ca01
70300 .xword 0x135bb071df3e9c78
70301 .xword 0xec004c8caebad8df
70302 .xword 0x654860b2ab0e0a50
70303 .xword 0x774b235ee870dd1b
70304 .xword 0x01fe5537df17aeaa
70305 .xword 0x94ebf47fc700a774
70306 .xword 0x432f3359e0563879
70307 .xword 0x21e2ab041aeea809
70308 .xword 0x0c786fa21ecaf8b5
70309 .xword 0x6cbd46f94c4cc361
70310 .xword 0xa9068a011cb41ca8
70311 .xword 0x85ff808bb27d19e2
70312 .xword 0x85105d73b82b47da
70313 .xword 0x7f63e844c108cb3a
70314 .xword 0xb6eb89a1974c1588
70315 .xword 0x37bc577f1c31489d
70316 .xword 0x457d551ff4f52d8a
70317 .xword 0x8c2376eb547e40bb
70318 .xword 0x2e6cb5135b590ffb
70319 .xword 0x15f42bf33a1d6e53
70320 .xword 0x0cdd90afb6e93aab
70321 .xword 0xfa5953e6d2015705
70322 .xword 0x9ecb818b602d4552
70323 .xword 0x21318e1437166480
70324 .xword 0xb3c62f4ad7e35bae
70325 .xword 0x72b869fe351d9983
70326 .xword 0x205d5b817d9cb71e
70327 .xword 0xd564c86925ffab84
70328 .xword 0x4220f6696f24a086
70329 .xword 0x04e7e9d940e4aeac
70330 .xword 0xe7665819282db706
70331 .xword 0x0d31bcc39c656138
70332 .xword 0x02d70f940783f4a3
70333 .xword 0xf8ed16719f3597da
70334 .xword 0xd1ad20510c97ffd0
70335 .xword 0xc75debb4b66b37c1
70336 .xword 0x874abe82ebc31dbd
70337 .xword 0x6fe8b621adb5b4b3
70338 .xword 0x0829639b7c3579dc
70339 .xword 0x4e6d1c716aec4cbb
70340 .xword 0x3a155e476f4d972e
70341 .xword 0x3ad4af578b9f5ad3
70342 .xword 0x448eeed31c151716
70343 .xword 0x6a81b940822f7a34
70344 .xword 0x02fb1c18bcfab9b9
70345 .xword 0x7ffe1f7808bc305e
70346 .xword 0xb53a072618c52f50
70347 .xword 0x720bc43154230e5d
70348 .xword 0x27c7bb9b34093890
70349 .xword 0xcd9f5d1299de0288
70350 .xword 0x18cf54df0ab924b1
70351 .xword 0xeca58d45321b8b8b
70352 .xword 0x9e0e1c9247f6f518
70353 .xword 0x0b38f6ed98391c32
70354 .xword 0xd95770a8b8af1b35
70355 .xword 0xea1b3512f12b381d
70356 .xword 0xd662da5a0f2c5410
70357 .xword 0x37001699bf06246e
70358 .xword 0xbf55feb482e31eba
70359 .xword 0x00f33c35afdd464a
70360 .xword 0xaa54bdab97247c01
70361 .xword 0xa683226e06b88cfb
70362 .xword 0x57cbe84a57aae914
70363 .xword 0xfa985544d1edf3a4
70364 .xword 0xf60475f25e548653
70365 .xword 0x7132e1c6fabfa4e8
70366 .xword 0x5e96a03527959fb4
70367 .xword 0xc4ae47ac83f23498
70368 .xword 0x151e909c02bc2a99
70369 .xword 0x47d29b415b8a4075
70370 .xword 0x758728d0a24b0cda
70371 .xword 0xde30f7fc8ecfa33f
70372 .xword 0x5904eb86b86c5ede
70373 .xword 0xa55ebbabb4cc07d1
70374 .xword 0x8a5ebd1c0f052d04
70375 .xword 0x4a044ee8de12b8e1
70376 .xword 0x0ab414e3b24125f3
70377 .xword 0x4c1688cd24cbbf7e
70378 .xword 0xdb501919dc3f1ef1
70379 .xword 0x65e1829a0315396f
70380_t1_hash_dest:
70381 .xword 0xDEADBEEFDEADBEEF
70382 .xword 0xDEADBEEFDEADBEEF
70383 .xword 0xDEADBEEFDEADBEEF
70384 .xword 0xDEADBEEFDEADBEEF
70385 .xword 0xDEADBEEFDEADBEEF
70386 .xword 0xDEADBEEFDEADBEEF
70387 .xword 0xDEADBEEFDEADBEEF
70388 .xword 0xDEADBEEFDEADBEEF
70389 .xword 0xDEADBEEFDEADBEEF
70390 .xword 0xDEADBEEFDEADBEEF
70391 .xword 0xDEADBEEFDEADBEEF
70392 .xword 0xDEADBEEFDEADBEEF
70393 .xword 0xDEADBEEFDEADBEEF
70394 .xword 0xDEADBEEFDEADBEEF
70395 .xword 0xDEADBEEFDEADBEEF
70396 .xword 0xDEADBEEFDEADBEEF
70397 .xword 0xDEADBEEFDEADBEEF
70398 .xword 0xDEADBEEFDEADBEEF
70399 .xword 0xDEADBEEFDEADBEEF
70400 .xword 0xDEADBEEFDEADBEEF
70401 .xword 0xDEADBEEFDEADBEEF
70402 .xword 0xDEADBEEFDEADBEEF
70403 .xword 0xDEADBEEFDEADBEEF
70404 .xword 0xDEADBEEFDEADBEEF
70405 .xword 0xDEADBEEFDEADBEEF
70406 .xword 0xDEADBEEFDEADBEEF
70407 .xword 0xDEADBEEFDEADBEEF
70408 .xword 0xDEADBEEFDEADBEEF
70409 .xword 0xDEADBEEFDEADBEEF
70410 .xword 0xDEADBEEFDEADBEEF
70411 .xword 0xDEADBEEFDEADBEEF
70412 .xword 0xDEADBEEFDEADBEEF
70413 .xword 0xDEADBEEFDEADBEEF
70414 .xword 0xDEADBEEFDEADBEEF
70415 .xword 0xDEADBEEFDEADBEEF
70416 .xword 0xDEADBEEFDEADBEEF
70417 .xword 0xDEADBEEFDEADBEEF
70418 .xword 0xDEADBEEFDEADBEEF
70419 .xword 0xDEADBEEFDEADBEEF
70420 .xword 0xDEADBEEFDEADBEEF
70421 .xword 0xDEADBEEFDEADBEEF
70422 .xword 0xDEADBEEFDEADBEEF
70423 .xword 0xDEADBEEFDEADBEEF
70424 .xword 0xDEADBEEFDEADBEEF
70425 .xword 0xDEADBEEFDEADBEEF
70426 .xword 0xDEADBEEFDEADBEEF
70427 .xword 0xDEADBEEFDEADBEEF
70428 .xword 0xDEADBEEFDEADBEEF
70429 .xword 0xDEADBEEFDEADBEEF
70430 .xword 0xDEADBEEFDEADBEEF
70431 .xword 0xDEADBEEFDEADBEEF
70432 .xword 0xDEADBEEFDEADBEEF
70433 .xword 0xDEADBEEFDEADBEEF
70434 .xword 0xDEADBEEFDEADBEEF
70435 .xword 0xDEADBEEFDEADBEEF
70436 .xword 0xDEADBEEFDEADBEEF
70437 .xword 0xDEADBEEFDEADBEEF
70438 .xword 0xDEADBEEFDEADBEEF
70439 .xword 0xDEADBEEFDEADBEEF
70440 .xword 0xDEADBEEFDEADBEEF
70441 .xword 0xDEADBEEFDEADBEEF
70442 .xword 0xDEADBEEFDEADBEEF
70443 .xword 0xDEADBEEFDEADBEEF
70444 .xword 0xDEADBEEFDEADBEEF
70445 .xword 0xDEADBEEFDEADBEEF
70446 .xword 0xDEADBEEFDEADBEEF
70447 .xword 0xDEADBEEFDEADBEEF
70448 .xword 0xDEADBEEFDEADBEEF
70449 .xword 0xDEADBEEFDEADBEEF
70450 .xword 0xDEADBEEFDEADBEEF
70451 .xword 0xDEADBEEFDEADBEEF
70452 .xword 0xDEADBEEFDEADBEEF
70453 .xword 0xDEADBEEFDEADBEEF
70454 .xword 0xDEADBEEFDEADBEEF
70455 .xword 0xDEADBEEFDEADBEEF
70456 .xword 0xDEADBEEFDEADBEEF
70457 .xword 0xDEADBEEFDEADBEEF
70458 .xword 0xDEADBEEFDEADBEEF
70459 .xword 0xDEADBEEFDEADBEEF
70460 .xword 0xDEADBEEFDEADBEEF
70461 .xword 0xDEADBEEFDEADBEEF
70462 .xword 0xDEADBEEFDEADBEEF
70463 .xword 0xDEADBEEFDEADBEEF
70464 .xword 0xDEADBEEFDEADBEEF
70465 .xword 0xDEADBEEFDEADBEEF
70466 .xword 0xDEADBEEFDEADBEEF
70467 .xword 0xDEADBEEFDEADBEEF
70468 .xword 0xDEADBEEFDEADBEEF
70469 .xword 0xDEADBEEFDEADBEEF
70470 .xword 0xDEADBEEFDEADBEEF
70471 .xword 0xDEADBEEFDEADBEEF
70472 .xword 0xDEADBEEFDEADBEEF
70473 .xword 0xDEADBEEFDEADBEEF
70474 .xword 0xDEADBEEFDEADBEEF
70475 .xword 0xDEADBEEFDEADBEEF
70476 .xword 0xDEADBEEFDEADBEEF
70477 .xword 0xDEADBEEFDEADBEEF
70478 .xword 0xDEADBEEFDEADBEEF
70479 .xword 0xDEADBEEFDEADBEEF
70480 .xword 0xDEADBEEFDEADBEEF
70481 .xword 0xDEADBEEFDEADBEEF
70482 .xword 0xDEADBEEFDEADBEEF
70483 .xword 0xDEADBEEFDEADBEEF
70484 .xword 0xDEADBEEFDEADBEEF
70485 .xword 0xDEADBEEFDEADBEEF
70486 .xword 0xDEADBEEFDEADBEEF
70487 .xword 0xDEADBEEFDEADBEEF
70488 .xword 0xDEADBEEFDEADBEEF
70489 .xword 0xDEADBEEFDEADBEEF
70490 .xword 0xDEADBEEFDEADBEEF
70491 .xword 0xDEADBEEFDEADBEEF
70492 .xword 0xDEADBEEFDEADBEEF
70493 .xword 0xDEADBEEFDEADBEEF
70494 .xword 0xDEADBEEFDEADBEEF
70495 .xword 0xDEADBEEFDEADBEEF
70496 .xword 0xDEADBEEFDEADBEEF
70497 .xword 0xDEADBEEFDEADBEEF
70498 .xword 0xDEADBEEFDEADBEEF
70499 .xword 0xDEADBEEFDEADBEEF
70500 .xword 0xDEADBEEFDEADBEEF
70501 .xword 0xDEADBEEFDEADBEEF
70502 .xword 0xDEADBEEFDEADBEEF
70503 .xword 0xDEADBEEFDEADBEEF
70504 .xword 0xDEADBEEFDEADBEEF
70505 .xword 0xDEADBEEFDEADBEEF
70506 .xword 0xDEADBEEFDEADBEEF
70507 .xword 0xDEADBEEFDEADBEEF
70508 .xword 0xDEADBEEFDEADBEEF
70509 .xword 0xDEADBEEFDEADBEEF
70510 .xword 0xDEADBEEFDEADBEEF
70511 .xword 0xDEADBEEFDEADBEEF
70512 .xword 0xDEADBEEFDEADBEEF
70513 .xword 0xDEADBEEFDEADBEEF
70514 .xword 0xDEADBEEFDEADBEEF
70515 .xword 0xDEADBEEFDEADBEEF
70516 .xword 0xDEADBEEFDEADBEEF
70517 .xword 0xDEADBEEFDEADBEEF
70518 .xword 0xDEADBEEFDEADBEEF
70519 .xword 0xDEADBEEFDEADBEEF
70520 .xword 0xDEADBEEFDEADBEEF
70521 .xword 0xDEADBEEFDEADBEEF
70522 .xword 0xDEADBEEFDEADBEEF
70523 .xword 0xDEADBEEFDEADBEEF
70524 .xword 0xDEADBEEFDEADBEEF
70525 .xword 0xDEADBEEFDEADBEEF
70526 .xword 0xDEADBEEFDEADBEEF
70527 .xword 0xDEADBEEFDEADBEEF
70528 .xword 0xDEADBEEFDEADBEEF
70529 .xword 0xDEADBEEFDEADBEEF
70530 .xword 0xDEADBEEFDEADBEEF
70531 .xword 0xDEADBEEFDEADBEEF
70532 .xword 0xDEADBEEFDEADBEEF
70533 .xword 0xDEADBEEFDEADBEEF
70534 .xword 0xDEADBEEFDEADBEEF
70535 .xword 0xDEADBEEFDEADBEEF
70536 .xword 0xDEADBEEFDEADBEEF
70537 .xword 0xDEADBEEFDEADBEEF
70538 .xword 0xDEADBEEFDEADBEEF
70539 .xword 0xDEADBEEFDEADBEEF
70540 .xword 0xDEADBEEFDEADBEEF
70541 .xword 0xDEADBEEFDEADBEEF
70542 .xword 0xDEADBEEFDEADBEEF
70543 .xword 0xDEADBEEFDEADBEEF
70544 .xword 0xDEADBEEFDEADBEEF
70545 .xword 0xDEADBEEFDEADBEEF
70546 .xword 0xDEADBEEFDEADBEEF
70547 .xword 0xDEADBEEFDEADBEEF
70548 .xword 0xDEADBEEFDEADBEEF
70549 .xword 0xDEADBEEFDEADBEEF
70550 .xword 0xDEADBEEFDEADBEEF
70551 .xword 0xDEADBEEFDEADBEEF
70552 .xword 0xDEADBEEFDEADBEEF
70553 .xword 0xDEADBEEFDEADBEEF
70554 .xword 0xDEADBEEFDEADBEEF
70555 .xword 0xDEADBEEFDEADBEEF
70556 .xword 0xDEADBEEFDEADBEEF
70557 .xword 0xDEADBEEFDEADBEEF
70558 .xword 0xDEADBEEFDEADBEEF
70559 .xword 0xDEADBEEFDEADBEEF
70560 .xword 0xDEADBEEFDEADBEEF
70561 .xword 0xDEADBEEFDEADBEEF
70562 .xword 0xDEADBEEFDEADBEEF
70563 .xword 0xDEADBEEFDEADBEEF
70564 .xword 0xDEADBEEFDEADBEEF
70565 .xword 0xDEADBEEFDEADBEEF
70566 .xword 0xDEADBEEFDEADBEEF
70567 .xword 0xDEADBEEFDEADBEEF
70568 .xword 0xDEADBEEFDEADBEEF
70569 .xword 0xDEADBEEFDEADBEEF
70570 .xword 0xDEADBEEFDEADBEEF
70571 .xword 0xDEADBEEFDEADBEEF
70572 .xword 0xDEADBEEFDEADBEEF
70573 .xword 0xDEADBEEFDEADBEEF
70574 .xword 0xDEADBEEFDEADBEEF
70575 .xword 0xDEADBEEFDEADBEEF
70576 .xword 0xDEADBEEFDEADBEEF
70577 .xword 0xDEADBEEFDEADBEEF
70578 .xword 0xDEADBEEFDEADBEEF
70579 .xword 0xDEADBEEFDEADBEEF
70580 .xword 0xDEADBEEFDEADBEEF
70581 .xword 0xDEADBEEFDEADBEEF
70582 .xword 0xDEADBEEFDEADBEEF
70583 .xword 0xDEADBEEFDEADBEEF
70584 .xword 0xDEADBEEFDEADBEEF
70585 .xword 0xDEADBEEFDEADBEEF
70586 .xword 0xDEADBEEFDEADBEEF
70587 .xword 0xDEADBEEFDEADBEEF
70588 .xword 0xDEADBEEFDEADBEEF
70589 .xword 0xDEADBEEFDEADBEEF
70590 .xword 0xDEADBEEFDEADBEEF
70591 .xword 0xDEADBEEFDEADBEEF
70592 .xword 0xDEADBEEFDEADBEEF
70593 .xword 0xDEADBEEFDEADBEEF
70594 .xword 0xDEADBEEFDEADBEEF
70595 .xword 0xDEADBEEFDEADBEEF
70596 .xword 0xDEADBEEFDEADBEEF
70597 .xword 0xDEADBEEFDEADBEEF
70598 .xword 0xDEADBEEFDEADBEEF
70599 .xword 0xDEADBEEFDEADBEEF
70600 .xword 0xDEADBEEFDEADBEEF
70601 .xword 0xDEADBEEFDEADBEEF
70602 .xword 0xDEADBEEFDEADBEEF
70603 .xword 0xDEADBEEFDEADBEEF
70604 .xword 0xDEADBEEFDEADBEEF
70605 .xword 0xDEADBEEFDEADBEEF
70606 .xword 0xDEADBEEFDEADBEEF
70607 .xword 0xDEADBEEFDEADBEEF
70608 .xword 0xDEADBEEFDEADBEEF
70609 .xword 0xDEADBEEFDEADBEEF
70610 .xword 0xDEADBEEFDEADBEEF
70611 .xword 0xDEADBEEFDEADBEEF
70612 .xword 0xDEADBEEFDEADBEEF
70613 .xword 0xDEADBEEFDEADBEEF
70614 .xword 0xDEADBEEFDEADBEEF
70615 .xword 0xDEADBEEFDEADBEEF
70616 .xword 0xDEADBEEFDEADBEEF
70617 .xword 0xDEADBEEFDEADBEEF
70618 .xword 0xDEADBEEFDEADBEEF
70619 .xword 0xDEADBEEFDEADBEEF
70620 .xword 0xDEADBEEFDEADBEEF
70621 .xword 0xDEADBEEFDEADBEEF
70622 .xword 0xDEADBEEFDEADBEEF
70623 .xword 0xDEADBEEFDEADBEEF
70624 .xword 0xDEADBEEFDEADBEEF
70625 .xword 0xDEADBEEFDEADBEEF
70626 .xword 0xDEADBEEFDEADBEEF
70627 .xword 0xDEADBEEFDEADBEEF
70628 .xword 0xDEADBEEFDEADBEEF
70629 .xword 0xDEADBEEFDEADBEEF
70630 .xword 0xDEADBEEFDEADBEEF
70631 .xword 0xDEADBEEFDEADBEEF
70632 .xword 0xDEADBEEFDEADBEEF
70633 .xword 0xDEADBEEFDEADBEEF
70634 .xword 0xDEADBEEFDEADBEEF
70635 .xword 0xDEADBEEFDEADBEEF
70636 .xword 0xDEADBEEFDEADBEEF
70637 .xword 0xDEADBEEFDEADBEEF
70638 .xword 0xDEADBEEFDEADBEEF
70639 .xword 0xDEADBEEFDEADBEEF
70640 .xword 0xDEADBEEFDEADBEEF
70641 .xword 0xDEADBEEFDEADBEEF
70642 .xword 0xDEADBEEFDEADBEEF
70643 .xword 0xDEADBEEFDEADBEEF
70644 .xword 0xDEADBEEFDEADBEEF
70645 .xword 0xDEADBEEFDEADBEEF
70646 .xword 0xDEADBEEFDEADBEEF
70647 .xword 0xDEADBEEFDEADBEEF
70648 .xword 0xDEADBEEFDEADBEEF
70649 .xword 0xDEADBEEFDEADBEEF
70650 .xword 0xDEADBEEFDEADBEEF
70651 .xword 0xDEADBEEFDEADBEEF
70652 .xword 0xDEADBEEFDEADBEEF
70653 .xword 0xDEADBEEFDEADBEEF
70654 .xword 0xDEADBEEFDEADBEEF
70655 .xword 0xDEADBEEFDEADBEEF
70656_t1_hash_auth_key:
70657 .xword 0x75cd3d3f6f09ae62
70658 .xword 0x8792773e19f560e5
70659 .xword 0x9157969f9389ed88
70660 .xword 0x5322411db67c2824
70661 .xword 0x2b6d0699271f2dd2
70662 .xword 0x0afb132459f0e871
70663 .xword 0x01af2da4f83fbe20
70664 .xword 0xec3fb73cb4b5311e
70665 .xword 0xbd878d01b0c9ac86
70666 .xword 0x90b16783e6dece45
70667 .xword 0xca25aa86c7c70f0a
70668 .xword 0xda8e4b4bc319a187
70669 .xword 0xbc85e30841a31d4b
70670 .xword 0x81b69b9c441f51be
70671 .xword 0x2c6e70ee36de3937
70672 .xword 0x0a206a6424de4b5c
70673 .xword 0x54f0370e529bb950
70674 .xword 0x2b37938be18571eb
70675 .xword 0xe564c6914e45fbcd
70676 .xword 0xbbb4c679046b1f94
70677 .xword 0xe6effbc0696bca81
70678 .xword 0xbd0e7484eddd8c7e
70679 .xword 0x62e317140d71fd65
70680_t1_hash_auth_iv:
70681 .xword 0xfdaac139834fa1c4
70682 .xword 0x3945b317dc1818a0
70683 .xword 0x13c422f1ffd4ec6f
70684 .xword 0x975a3dc3550a9262
70685 .xword 0xcfd5cc45d1471a93
70686 .xword 0xbbfa78aa01ef0f91
70687 .xword 0xd501f6d38cdbf956
70688 .xword 0x29647e43910d4263
70689 .xword 0x0ab38838bb7df9c1
70690 .xword 0x06285bc7f3917307
70691 .xword 0xe8c52d4c3537f13b
70692 .xword 0x7b0020e54d64b064
70693 .xword 0x266fba09182ccb9d
70694 .xword 0x482a82758dd57f30
70695 .xword 0x5cf8143cc3fec373
70696 .xword 0x99d5a06b7b50535f
70697 .xword 0xdc50754dcc13362b
70698 .xword 0x6f0660ec0e888313
70699 .xword 0xc6b6377ea2710693
70700 .xword 0x402e1262f7fd05c9
70701 .xword 0xe5de39046dfd0e30
70702 .xword 0x155eefd77a59b74a
70703 .xword 0x3ff31807ffd136c7
70704_t1_hash_fas_result:
70705 .xword 0xDEADBEEFDEADBEEF
70706 .xword 0xDEADBEEFDEADBEEF
70707 .xword 0xDEADBEEFDEADBEEF
70708 .xword 0xDEADBEEFDEADBEEF
70709 .xword 0xDEADBEEFDEADBEEF
70710 .xword 0xDEADBEEFDEADBEEF
70711 .xword 0xDEADBEEFDEADBEEF
70712 .xword 0xDEADBEEFDEADBEEF
70713 .xword 0xDEADBEEFDEADBEEF
70714 .xword 0xDEADBEEFDEADBEEF
70715 .xword 0xDEADBEEFDEADBEEF
70716 .xword 0xDEADBEEFDEADBEEF
70717 .xword 0xDEADBEEFDEADBEEF
70718 .xword 0xDEADBEEFDEADBEEF
70719 .xword 0xDEADBEEFDEADBEEF
70720 .xword 0xDEADBEEFDEADBEEF
70721 .xword 0xDEADBEEFDEADBEEF
70722 .xword 0xDEADBEEFDEADBEEF
70723 .xword 0xDEADBEEFDEADBEEF
70724 .xword 0xDEADBEEFDEADBEEF
70725 .xword 0xDEADBEEFDEADBEEF
70726 .xword 0xDEADBEEFDEADBEEF
70727 .xword 0xDEADBEEFDEADBEEF
70728_t1_hmac_key_array:
70729 .xword 0xd5771cab6e495c1f
70730 .xword 0x21adb1a9105034bb
70731 .xword 0x55f38746fc4ff710
70732 .xword 0x6a54e18fde4b8b8b
70733 .xword 0x765c39965bacc4b0
70734 .xword 0x4ed45bdee9f55033
70735 .xword 0x72592414d6653e07
70736 .xword 0x1d3d8a8bac356c75
70737 .xword 0xa4d3984d60113349
70738 .xword 0xb2107ac8b3896a76
70739 .xword 0x209db5e3e8e6dd58
70740 .xword 0x30bdb9bfb4f0d7bb
70741 .xword 0x9651badb923e0c27
70742 .xword 0x2f27109b41e6176b
70743 .xword 0x6edfaf5706a64e30
70744 .xword 0x1f574cf61ef25229
70745 .xword 0xefa90a54b057ab7a
70746 .xword 0x74a1ae3eb274c907
70747 .xword 0x1e4e597271de7901
70748 .xword 0x48e32072edfe9995
70749 .xword 0xf6a1f9fbcbe5f438
70750 .xword 0xfaa52ce64d9217b7
70751 .xword 0x1c3ac8886bdefc8a
70752 .xword 0x513fc28f0b7632c0
70753 .xword 0xaa935346bf50228b
70754 .xword 0xe4bae2f85990ad77
70755 .xword 0x183c5e8b33dc3e68
70756 .xword 0x8a8976fc83e70487
70757 .xword 0xe4e11d187ebf9357
70758 .xword 0xb73ec170499a9a2b
70759 .xword 0xd60140b11a957c9b
70760 .xword 0x2385db73d5ab4782
70761 .xword 0x00a447508a55530b
70762 .xword 0xc1529ad5720710f7
70763 .xword 0xc3642249a974c172
70764 .xword 0x2a7b5d3c3a709d67
70765 .xword 0xb00a61e605865d8c
70766 .xword 0x11fb23b72b534996
70767 .xword 0x4e0c3dc05491a909
70768 .xword 0x27037bf86b6aa8a7
70769 .xword 0xd14bbfd3e0cc0f36
70770 .xword 0x1dca4178374557f5
70771 .xword 0xa077e638b7b4b03f
70772 .xword 0x45d1bcf28667c9e7
70773 .xword 0x02b4098eba41882a
70774 .xword 0x6fcc99c184bd5f62
70775 .xword 0xc451e08bea8278b2
70776 .xword 0xd943bb33d1329917
70777 .xword 0x0c6781b5587927f3
70778 .xword 0x6119c1a302c4ffea
70779 .xword 0xffa43fdcbd902daa
70780_t1_hmac_iv_array:
70781 .xword 0x381d3733b9dbbfe9
70782 .xword 0x5dd7fcd0c5dad852
70783 .xword 0xebfb720cff4b147b
70784 .xword 0xfafa58bed1b6144e
70785 .xword 0x6ef0ba0ac27beb4f
70786 .xword 0x2fc00a2b3bf60f13
70787 .xword 0x5682b517886f0e54
70788 .xword 0xb931bd35809e5adf
70789 .xword 0x1cb82b1df0a13b4d
70790 .xword 0x7ec3896b1b70c38f
70791 .xword 0x5e9a09c9ca75931c
70792 .xword 0xc7ac72623c1ebccf
70793 .xword 0xedc436a3f530f6a8
70794 .xword 0xa507331a14047461
70795 .xword 0xcdba7dc840df1fae
70796 .xword 0xfd70fceffdfb44b0
70797 .xword 0x9ac8d2258fa1a587
70798 .xword 0x4c48a34d3e8d2b48
70799 .xword 0x04cc89e136c338cc
70800 .xword 0xf5d752942db45f50
70801 .xword 0xdf6187157d16f4f3
70802 .xword 0x2dac8a3194df3c41
70803 .xword 0xfe2d4cad2c0ca586
70804 .xword 0x8724077971410a75
70805 .xword 0xcc11be5f718365a6
70806 .xword 0x28e750038de6ea01
70807 .xword 0xf90d0cf4f6809368
70808 .xword 0x5b4b4f9353f6e7a1
70809 .xword 0x89d708ec1616a600
70810 .xword 0xee9d1205ec34730c
70811 .xword 0x17f516b7a1eeb0e3
70812 .xword 0xbdaae9a6fad6596b
70813 .xword 0x31539b9d73ab5ab7
70814 .xword 0x2c7a34dae2b15554
70815 .xword 0xefd86f2184c0cdae
70816 .xword 0x2e9659b3386b44ca
70817 .xword 0xfff27668192393f3
70818 .xword 0x460bf555aede9ac1
70819 .xword 0x3cd8a6b49b7eb640
70820 .xword 0x9ebfa33c3b186e87
70821 .xword 0xcb37c6a814501a2d
70822 .xword 0xb6b13308127208d1
70823 .xword 0x1f5705b1436d5935
70824 .xword 0xcc659687b57a6f2b
70825 .xword 0x47d347ebba144517
70826_t1_hmac_alignment_array:
70827 .xword 12
70828 .xword 8
70829 .xword 4
70830 .xword 15
70831 .xword 8
70832 .xword 14
70833 .xword 6
70834 .xword 7
70835 .xword 2
70836 .xword 7
70837 .xword 14
70838 .xword 14
70839 .xword 3
70840 .xword 11
70841 .xword 2
70842 .xword 9
70843 .xword 10
70844 .xword 0
70845 .xword 10
70846 .xword 11
70847 .xword 0
70848 .xword 0
70849 .xword 13
70850 .xword 4
70851 .xword 1
70852 .xword 9
70853 .xword 15
70854 .xword 3
70855 .xword 11
70856 .xword 9
70857 .xword 11
70858 .xword 9
70859 .xword 5
70860 .xword 9
70861 .xword 11
70862 .xword 6
70863 .xword 12
70864 .xword 10
70865 .xword 3
70866 .xword 11
70867 .xword 15
70868 .xword 8
70869 .xword 12
70870 .xword 2
70871 .xword 0
70872 .xword 0
70873 .xword 3
70874 .xword 6
70875 .xword 10
70876 .xword 8
70877 .xword 15
70878 .xword 0
70879 .xword 14
70880 .xword 5
70881 .xword 2
70882 .xword 9
70883 .xword 2
70884 .xword 10
70885 .xword 5
70886 .xword 1
70887 .xword 6
70888 .xword 12
70889 .xword 1
70890 .xword 2
70891 .xword 7
70892 .xword 13
70893 .xword 3
70894 .xword 11
70895 .xword 0
70896 .xword 12
70897 .xword 9
70898 .xword 3
70899 .xword 11
70900 .xword 5
70901 .xword 0
70902 .xword 14
70903 .xword 4
70904 .xword 11
70905 .xword 14
70906 .xword 7
70907 .xword 12
70908 .xword 15
70909 .xword 3
70910 .xword 7
70911 .xword 9
70912 .xword 3
70913 .xword 12
70914 .xword 2
70915 .xword 8
70916 .xword 1
70917 .xword 11
70918 .xword 13
70919 .xword 0
70920 .xword 15
70921 .xword 2
70922 .xword 6
70923 .xword 15
70924 .xword 0
70925 .xword 2
70926 .xword 7
70927 .xword 7
70928 .xword 12
70929 .xword 2
70930 .xword 12
70931 .xword 13
70932_t1_hmac_src:
70933 .xword 0x1617efa9cc540f7f
70934 .xword 0x0d686132f60f65e1
70935 .xword 0x0c4458d193c614b7
70936 .xword 0x0a6bc619e5e971e0
70937 .xword 0x8a2d60b9a6b221a0
70938 .xword 0xedea212619dde8d7
70939 .xword 0x84a2e20bedb5fec6
70940 .xword 0x55f4d998b33ff298
70941 .xword 0xa7cf7b49863cad91
70942 .xword 0x8ab7cc4c54a0a576
70943 .xword 0xa80ede04225e70ea
70944 .xword 0x1943217a3b7bd524
70945 .xword 0x65caacf7915e65be
70946 .xword 0x51977371a8b3ca94
70947 .xword 0x9cff7125ccd8e116
70948 .xword 0x69cf772d21c7589c
70949 .xword 0x8cb234792c763348
70950 .xword 0x34be3e78d9c95754
70951 .xword 0xcfe35b06a68ab1fc
70952 .xword 0x80c7fbc69bc5ff88
70953 .xword 0x5eb340e10fd9f991
70954 .xword 0xf1dca262fdde3c3a
70955 .xword 0x777e52514c26dc85
70956 .xword 0x206e072caf620917
70957 .xword 0xb2957798b09a4bb4
70958 .xword 0x81023b2ac7f62b25
70959 .xword 0xa1548e3fb289f145
70960 .xword 0xe233766ae1f05333
70961 .xword 0x4ef04a8e37c611b7
70962 .xword 0xbb51aa539c2b8c95
70963 .xword 0x3c3817c509069623
70964 .xword 0xcb681f93b4c4faaa
70965 .xword 0xcbfd3008f1a0b474
70966 .xword 0xe5ffe175d157de5d
70967 .xword 0x18b30de73b52f6d5
70968 .xword 0x9f9d25a580b1a08a
70969 .xword 0xc81ca631fd5d87d1
70970 .xword 0x940b15d194071ae0
70971 .xword 0xdb69ddedd4946a44
70972 .xword 0x2b0ba5ededab232b
70973 .xword 0x38bf62c47ff3d0c6
70974 .xword 0x6859a5c139177782
70975 .xword 0x8e5c4b453d7560c0
70976 .xword 0x3ba33b3dfd388b24
70977 .xword 0x49b0f4e0517daaa0
70978 .xword 0x9ebcea8bc0e59cec
70979 .xword 0x1461352e91b549c4
70980 .xword 0x44572ebfe431f118
70981 .xword 0x780d064ff10d126c
70982 .xword 0xe82c0f1fdcde2216
70983 .xword 0xa0daf6c752c1f46d
70984 .xword 0x730b97f24a3fc055
70985 .xword 0x602a4a963e208b72
70986 .xword 0x9eabf3a192c14c0a
70987 .xword 0x836fcf4e22b0828c
70988 .xword 0x337a742688b97b90
70989 .xword 0x963869848a7d69fb
70990 .xword 0x5120c93d80701c0f
70991 .xword 0x97cf1b16c5b5b2b5
70992 .xword 0x9a92a8bfd85bb2b0
70993 .xword 0x1d2e1d95653a6734
70994 .xword 0xdb162564666df30e
70995 .xword 0x1a4f6442d21128d7
70996 .xword 0x9f47682ecb82b321
70997 .xword 0x465a721ed8bc5183
70998 .xword 0x235b825ef4b0c628
70999 .xword 0x9c963e1f80438b70
71000 .xword 0x110040cfaaac54ed
71001 .xword 0x4c756e3798474fc6
71002 .xword 0x1b1a1f545a202a21
71003 .xword 0x6859c6a1d5655b93
71004 .xword 0x846807299a7f937a
71005 .xword 0x546c7951ab4ca4de
71006 .xword 0x8e447911d644c93a
71007 .xword 0x46761eaefc281d8e
71008 .xword 0x7559bb703af9bd6b
71009 .xword 0x274b44558d7e9106
71010 .xword 0x8c8d4c8ed538d235
71011 .xword 0xec18cf9e75c29e2a
71012 .xword 0xe3b361fe37e36a47
71013 .xword 0xedbe1c6605b4a629
71014 .xword 0x50f8723a5641043e
71015 .xword 0x1c1c660bf21fffb6
71016 .xword 0x41e5fb28bb18a5df
71017 .xword 0x2c3278aefedf66b9
71018 .xword 0xc4b36594a04c8a02
71019 .xword 0x45283a34b313241e
71020 .xword 0x2ca8db200125194d
71021 .xword 0x507d297527e9800e
71022 .xword 0xbc16f3e9382309f7
71023 .xword 0x2570493c8e2d0e5a
71024 .xword 0x7fb5f9c54698512e
71025 .xword 0xed2db9ddda826dfe
71026 .xword 0x3b1bd597433ad0dd
71027 .xword 0xc5fcbf6cfe6d8cbe
71028 .xword 0xfe685c91c99ee176
71029 .xword 0xf9f6adef7f2bf12e
71030 .xword 0x7fab3acec97b0db0
71031 .xword 0x700bc527c0e0d316
71032 .xword 0xd8285abbbd74f1bb
71033 .xword 0x29b450b17ea86602
71034 .xword 0xdc9e8bd963814151
71035 .xword 0xb73fc961b75386f7
71036 .xword 0x4ce4b1b033c275fe
71037 .xword 0xdc6f71ab982c69eb
71038 .xword 0x7ce24edba88c9e43
71039 .xword 0x2370e9d8216769b3
71040 .xword 0x1c4eb55e23e2499e
71041 .xword 0xa24af4b3165fe072
71042 .xword 0xec100c433a1f7431
71043 .xword 0xb4099bf1f91ebded
71044 .xword 0x81ff69c7df14c180
71045 .xword 0xf1b6449f5b75d720
71046 .xword 0xa5e0f4e602b6b846
71047 .xword 0x577a6ed723d9fbf4
71048 .xword 0xcfd0dce3d93329de
71049 .xword 0xdffb9cba49956432
71050 .xword 0x70681f1f2a2f49d2
71051 .xword 0x26ccc23c8163a519
71052 .xword 0x9abca8ac829832dd
71053 .xword 0xd3b963ae73587b7b
71054 .xword 0x9491762cfa02d011
71055 .xword 0xc2e0d377968c3a93
71056 .xword 0x83a4cd74270a24b3
71057 .xword 0xff62339af46cbbf4
71058 .xword 0xe95c3b8952d5534a
71059 .xword 0xcc5a0def4bdee4a0
71060 .xword 0x77192ab258ef02c6
71061 .xword 0xbb97f259daeb459a
71062 .xword 0xd99f634fe5050815
71063 .xword 0x75b74ad9d998553e
71064 .xword 0x912d906d8c304d6d
71065 .xword 0x94ded7fb41bc99f9
71066 .xword 0x737a59baaf874815
71067 .xword 0xecceca4572e509fa
71068 .xword 0x59b293b6b468c34a
71069 .xword 0xcdec62ba536d2630
71070 .xword 0x01b1fc90f45e71e8
71071 .xword 0xa4bc70b5774a2fce
71072 .xword 0xdf8a4a8ecde32084
71073 .xword 0x4d27681781cb59a6
71074 .xword 0x8fa2b85c8c0a67e9
71075 .xword 0x818a488e0aa69d18
71076 .xword 0x5e2570e178dff12f
71077 .xword 0xaa796cf73194f0d3
71078 .xword 0x510ea25f60bee507
71079 .xword 0x43166cf80b7f0f25
71080 .xword 0x203f6ffdfbd228b6
71081 .xword 0xb908b814bc4d244d
71082 .xword 0xe4e414a96a46b027
71083 .xword 0x0eb2a2634d43b69f
71084 .xword 0x1240151783aa89ab
71085 .xword 0xd6544aafec04a994
71086 .xword 0x3e7dd058bb40fabe
71087 .xword 0x1a90e29aafdf8225
71088 .xword 0x043a9f56f5b22443
71089 .xword 0xf7368a0ba94bc508
71090 .xword 0x745108fad467dea6
71091 .xword 0xdfe949ce06f17ab4
71092 .xword 0xf096f1535f489626
71093 .xword 0x6410032d663773f0
71094 .xword 0xb13244a134812d60
71095 .xword 0x11a38a34923c0d13
71096 .xword 0x7a2143ad06655524
71097 .xword 0x58b419c46776bb80
71098 .xword 0x2436de802cc9ec13
71099 .xword 0xffc08ae788ee0dae
71100 .xword 0x778b8843b8c0ac10
71101 .xword 0xd82bdbc1aeb7b0ea
71102 .xword 0xac07093d63178f0f
71103 .xword 0x1c2fbc10fc5fec84
71104 .xword 0x9da6e802c4fe1895
71105 .xword 0x8c479cbc31817853
71106 .xword 0x7e1326df05881a48
71107 .xword 0xe4832473a4653893
71108 .xword 0x642bcb2e2dc18515
71109 .xword 0xe98bc282bbe0c1d4
71110 .xword 0x5d9a392c6d897806
71111 .xword 0xf4d2d2368c029f49
71112 .xword 0xe93348230b6b02b4
71113 .xword 0x19d487d1d1061a68
71114 .xword 0x59e44484a7d313a9
71115 .xword 0x52440f4d7d14be6f
71116 .xword 0x1385a1ed2ee0c352
71117 .xword 0x8413e44dc02febad
71118 .xword 0x79b308559a52c55a
71119 .xword 0x89e3313da99884f5
71120 .xword 0x3b72730c762e3c28
71121 .xword 0xd0ec71ec43396d24
71122 .xword 0xa42963d3931cbbd7
71123 .xword 0x7f567bb1e4168f26
71124 .xword 0x4951a35cde281073
71125 .xword 0xaf3906a7a99dd3be
71126 .xword 0x6cf6d535c44df59d
71127 .xword 0xc796a8e6f314ecc4
71128 .xword 0x594ba2637d6704ca
71129 .xword 0xb050bd84ff377de4
71130 .xword 0x6d1a6e35768bb58a
71131 .xword 0xcae649b81b9822d1
71132 .xword 0x8c91f54e608a2816
71133 .xword 0xe3dba0a64074d8f5
71134 .xword 0x84c21cc4e78b550f
71135 .xword 0x6ac595f2def0f408
71136 .xword 0x0a390ba696b9789e
71137 .xword 0x741818c450c89147
71138 .xword 0x08066751c375b1a0
71139 .xword 0x0556586ead12b18b
71140 .xword 0xacc57919927a3129
71141 .xword 0x6e637acc5d394ac9
71142 .xword 0x30cd0e3fb6942a92
71143 .xword 0x5250dd3dac7869e1
71144 .xword 0x2c65b0cd2c0bb610
71145 .xword 0x7e20fd2ceb1de11d
71146 .xword 0x9a2d606d0141f62d
71147 .xword 0xe7c0a1f951daefd4
71148 .xword 0x8e9beab1be3209f2
71149 .xword 0xb92dc159bc1104bd
71150 .xword 0x233f018bb934b6f8
71151 .xword 0x249942a00b0eaf2e
71152 .xword 0xe73392e0d7dede67
71153 .xword 0x3b1c5f8cc44a9b43
71154 .xword 0x07fcf5c278c8987d
71155 .xword 0xd5b48cce18e83035
71156 .xword 0x49176078d8453170
71157 .xword 0x447ee662a776065d
71158 .xword 0x106482f5f1d4fb8e
71159 .xword 0x6a7633b4657ccc66
71160 .xword 0xdff9db0a51a67963
71161 .xword 0x521497aafcba9fcf
71162 .xword 0xbb368a451603164d
71163 .xword 0x2c47a405786222bf
71164 .xword 0xdd7dfb9ed77e3569
71165 .xword 0x63757587ee0a6e0d
71166 .xword 0x00bd07b50e1974b0
71167 .xword 0x4e710aee2b14b04e
71168 .xword 0x75640d8355c58121
71169 .xword 0x631f6d199e082ca0
71170 .xword 0xb8c44b3749aa8508
71171 .xword 0x9b5f5dfac8d5f1c8
71172 .xword 0x52c4ba482fa37ad0
71173 .xword 0x63162edf6eb06af2
71174 .xword 0xc9d02051888c3516
71175 .xword 0x2472af80e7d04985
71176 .xword 0x94569baf484a57e2
71177 .xword 0xa6d59a7856035e66
71178 .xword 0xa721acfbe99a5559
71179 .xword 0x2e1f8fe4156bcec9
71180 .xword 0x7fb18a26d3057698
71181 .xword 0xea8ee58dbdc4ad76
71182 .xword 0xc1671319aa586dc9
71183 .xword 0x85e8c27ed7ab2b71
71184 .xword 0x9435e9ddc9dacbdb
71185 .xword 0x92190915bc255a63
71186 .xword 0x0bfca2b127f6e321
71187 .xword 0x917db3cba73f5b2e
71188 .xword 0x54d321193546f754
71189 .xword 0xa0d3b8ca1a716620
71190 .xword 0xbe2c77063c59c37e
71191 .xword 0xbdf6be30568bc118
71192 .xword 0xbba4eea774dd4d23
71193 .xword 0x78f46e2f71d1f33c
71194 .xword 0xf5c3932ab0b1b222
71195 .xword 0xc57d07953d7a3cfe
71196 .xword 0x921dc47bcca898bc
71197 .xword 0x6e1c9adfaed828e9
71198 .xword 0xf0e54ca94cec1a73
71199 .xword 0x424fc615e55e8e78
71200 .xword 0x72dcd09d43a9896a
71201 .xword 0x7541127de8c0689e
71202 .xword 0xab6c1e5fb5cc5310
71203 .xword 0xd7425f38616bd4a3
71204 .xword 0x642e1238804bb1ad
71205 .xword 0x05dfccc18a1cfe26
71206 .xword 0x0d7742be70bc844d
71207 .xword 0xec13928361ca0834
71208_t1_hmac_dest:
71209 .xword 0xDEADBEEFDEADBEEF
71210 .xword 0xDEADBEEFDEADBEEF
71211 .xword 0xDEADBEEFDEADBEEF
71212 .xword 0xDEADBEEFDEADBEEF
71213 .xword 0xDEADBEEFDEADBEEF
71214 .xword 0xDEADBEEFDEADBEEF
71215 .xword 0xDEADBEEFDEADBEEF
71216 .xword 0xDEADBEEFDEADBEEF
71217 .xword 0xDEADBEEFDEADBEEF
71218 .xword 0xDEADBEEFDEADBEEF
71219 .xword 0xDEADBEEFDEADBEEF
71220 .xword 0xDEADBEEFDEADBEEF
71221 .xword 0xDEADBEEFDEADBEEF
71222 .xword 0xDEADBEEFDEADBEEF
71223 .xword 0xDEADBEEFDEADBEEF
71224 .xword 0xDEADBEEFDEADBEEF
71225 .xword 0xDEADBEEFDEADBEEF
71226 .xword 0xDEADBEEFDEADBEEF
71227 .xword 0xDEADBEEFDEADBEEF
71228 .xword 0xDEADBEEFDEADBEEF
71229 .xword 0xDEADBEEFDEADBEEF
71230 .xword 0xDEADBEEFDEADBEEF
71231 .xword 0xDEADBEEFDEADBEEF
71232 .xword 0xDEADBEEFDEADBEEF
71233 .xword 0xDEADBEEFDEADBEEF
71234 .xword 0xDEADBEEFDEADBEEF
71235 .xword 0xDEADBEEFDEADBEEF
71236 .xword 0xDEADBEEFDEADBEEF
71237 .xword 0xDEADBEEFDEADBEEF
71238 .xword 0xDEADBEEFDEADBEEF
71239 .xword 0xDEADBEEFDEADBEEF
71240 .xword 0xDEADBEEFDEADBEEF
71241 .xword 0xDEADBEEFDEADBEEF
71242 .xword 0xDEADBEEFDEADBEEF
71243 .xword 0xDEADBEEFDEADBEEF
71244 .xword 0xDEADBEEFDEADBEEF
71245 .xword 0xDEADBEEFDEADBEEF
71246 .xword 0xDEADBEEFDEADBEEF
71247 .xword 0xDEADBEEFDEADBEEF
71248 .xword 0xDEADBEEFDEADBEEF
71249 .xword 0xDEADBEEFDEADBEEF
71250 .xword 0xDEADBEEFDEADBEEF
71251 .xword 0xDEADBEEFDEADBEEF
71252 .xword 0xDEADBEEFDEADBEEF
71253 .xword 0xDEADBEEFDEADBEEF
71254 .xword 0xDEADBEEFDEADBEEF
71255 .xword 0xDEADBEEFDEADBEEF
71256 .xword 0xDEADBEEFDEADBEEF
71257 .xword 0xDEADBEEFDEADBEEF
71258 .xword 0xDEADBEEFDEADBEEF
71259 .xword 0xDEADBEEFDEADBEEF
71260 .xword 0xDEADBEEFDEADBEEF
71261 .xword 0xDEADBEEFDEADBEEF
71262 .xword 0xDEADBEEFDEADBEEF
71263 .xword 0xDEADBEEFDEADBEEF
71264 .xword 0xDEADBEEFDEADBEEF
71265 .xword 0xDEADBEEFDEADBEEF
71266 .xword 0xDEADBEEFDEADBEEF
71267 .xword 0xDEADBEEFDEADBEEF
71268 .xword 0xDEADBEEFDEADBEEF
71269 .xword 0xDEADBEEFDEADBEEF
71270 .xword 0xDEADBEEFDEADBEEF
71271 .xword 0xDEADBEEFDEADBEEF
71272 .xword 0xDEADBEEFDEADBEEF
71273 .xword 0xDEADBEEFDEADBEEF
71274 .xword 0xDEADBEEFDEADBEEF
71275 .xword 0xDEADBEEFDEADBEEF
71276 .xword 0xDEADBEEFDEADBEEF
71277 .xword 0xDEADBEEFDEADBEEF
71278 .xword 0xDEADBEEFDEADBEEF
71279 .xword 0xDEADBEEFDEADBEEF
71280 .xword 0xDEADBEEFDEADBEEF
71281 .xword 0xDEADBEEFDEADBEEF
71282 .xword 0xDEADBEEFDEADBEEF
71283 .xword 0xDEADBEEFDEADBEEF
71284 .xword 0xDEADBEEFDEADBEEF
71285 .xword 0xDEADBEEFDEADBEEF
71286 .xword 0xDEADBEEFDEADBEEF
71287 .xword 0xDEADBEEFDEADBEEF
71288 .xword 0xDEADBEEFDEADBEEF
71289 .xword 0xDEADBEEFDEADBEEF
71290 .xword 0xDEADBEEFDEADBEEF
71291 .xword 0xDEADBEEFDEADBEEF
71292 .xword 0xDEADBEEFDEADBEEF
71293 .xword 0xDEADBEEFDEADBEEF
71294 .xword 0xDEADBEEFDEADBEEF
71295 .xword 0xDEADBEEFDEADBEEF
71296 .xword 0xDEADBEEFDEADBEEF
71297 .xword 0xDEADBEEFDEADBEEF
71298 .xword 0xDEADBEEFDEADBEEF
71299 .xword 0xDEADBEEFDEADBEEF
71300 .xword 0xDEADBEEFDEADBEEF
71301 .xword 0xDEADBEEFDEADBEEF
71302 .xword 0xDEADBEEFDEADBEEF
71303 .xword 0xDEADBEEFDEADBEEF
71304 .xword 0xDEADBEEFDEADBEEF
71305 .xword 0xDEADBEEFDEADBEEF
71306 .xword 0xDEADBEEFDEADBEEF
71307 .xword 0xDEADBEEFDEADBEEF
71308 .xword 0xDEADBEEFDEADBEEF
71309 .xword 0xDEADBEEFDEADBEEF
71310 .xword 0xDEADBEEFDEADBEEF
71311 .xword 0xDEADBEEFDEADBEEF
71312 .xword 0xDEADBEEFDEADBEEF
71313 .xword 0xDEADBEEFDEADBEEF
71314 .xword 0xDEADBEEFDEADBEEF
71315 .xword 0xDEADBEEFDEADBEEF
71316 .xword 0xDEADBEEFDEADBEEF
71317 .xword 0xDEADBEEFDEADBEEF
71318 .xword 0xDEADBEEFDEADBEEF
71319 .xword 0xDEADBEEFDEADBEEF
71320 .xword 0xDEADBEEFDEADBEEF
71321 .xword 0xDEADBEEFDEADBEEF
71322 .xword 0xDEADBEEFDEADBEEF
71323 .xword 0xDEADBEEFDEADBEEF
71324 .xword 0xDEADBEEFDEADBEEF
71325 .xword 0xDEADBEEFDEADBEEF
71326 .xword 0xDEADBEEFDEADBEEF
71327 .xword 0xDEADBEEFDEADBEEF
71328 .xword 0xDEADBEEFDEADBEEF
71329 .xword 0xDEADBEEFDEADBEEF
71330 .xword 0xDEADBEEFDEADBEEF
71331 .xword 0xDEADBEEFDEADBEEF
71332 .xword 0xDEADBEEFDEADBEEF
71333 .xword 0xDEADBEEFDEADBEEF
71334 .xword 0xDEADBEEFDEADBEEF
71335 .xword 0xDEADBEEFDEADBEEF
71336 .xword 0xDEADBEEFDEADBEEF
71337 .xword 0xDEADBEEFDEADBEEF
71338 .xword 0xDEADBEEFDEADBEEF
71339 .xword 0xDEADBEEFDEADBEEF
71340 .xword 0xDEADBEEFDEADBEEF
71341 .xword 0xDEADBEEFDEADBEEF
71342 .xword 0xDEADBEEFDEADBEEF
71343 .xword 0xDEADBEEFDEADBEEF
71344 .xword 0xDEADBEEFDEADBEEF
71345 .xword 0xDEADBEEFDEADBEEF
71346 .xword 0xDEADBEEFDEADBEEF
71347 .xword 0xDEADBEEFDEADBEEF
71348 .xword 0xDEADBEEFDEADBEEF
71349 .xword 0xDEADBEEFDEADBEEF
71350 .xword 0xDEADBEEFDEADBEEF
71351 .xword 0xDEADBEEFDEADBEEF
71352 .xword 0xDEADBEEFDEADBEEF
71353 .xword 0xDEADBEEFDEADBEEF
71354 .xword 0xDEADBEEFDEADBEEF
71355 .xword 0xDEADBEEFDEADBEEF
71356 .xword 0xDEADBEEFDEADBEEF
71357 .xword 0xDEADBEEFDEADBEEF
71358 .xword 0xDEADBEEFDEADBEEF
71359 .xword 0xDEADBEEFDEADBEEF
71360 .xword 0xDEADBEEFDEADBEEF
71361 .xword 0xDEADBEEFDEADBEEF
71362 .xword 0xDEADBEEFDEADBEEF
71363 .xword 0xDEADBEEFDEADBEEF
71364 .xword 0xDEADBEEFDEADBEEF
71365 .xword 0xDEADBEEFDEADBEEF
71366 .xword 0xDEADBEEFDEADBEEF
71367 .xword 0xDEADBEEFDEADBEEF
71368 .xword 0xDEADBEEFDEADBEEF
71369 .xword 0xDEADBEEFDEADBEEF
71370 .xword 0xDEADBEEFDEADBEEF
71371 .xword 0xDEADBEEFDEADBEEF
71372 .xword 0xDEADBEEFDEADBEEF
71373 .xword 0xDEADBEEFDEADBEEF
71374 .xword 0xDEADBEEFDEADBEEF
71375 .xword 0xDEADBEEFDEADBEEF
71376 .xword 0xDEADBEEFDEADBEEF
71377 .xword 0xDEADBEEFDEADBEEF
71378 .xword 0xDEADBEEFDEADBEEF
71379 .xword 0xDEADBEEFDEADBEEF
71380 .xword 0xDEADBEEFDEADBEEF
71381 .xword 0xDEADBEEFDEADBEEF
71382 .xword 0xDEADBEEFDEADBEEF
71383 .xword 0xDEADBEEFDEADBEEF
71384 .xword 0xDEADBEEFDEADBEEF
71385 .xword 0xDEADBEEFDEADBEEF
71386 .xword 0xDEADBEEFDEADBEEF
71387 .xword 0xDEADBEEFDEADBEEF
71388 .xword 0xDEADBEEFDEADBEEF
71389 .xword 0xDEADBEEFDEADBEEF
71390 .xword 0xDEADBEEFDEADBEEF
71391 .xword 0xDEADBEEFDEADBEEF
71392 .xword 0xDEADBEEFDEADBEEF
71393 .xword 0xDEADBEEFDEADBEEF
71394 .xword 0xDEADBEEFDEADBEEF
71395 .xword 0xDEADBEEFDEADBEEF
71396 .xword 0xDEADBEEFDEADBEEF
71397 .xword 0xDEADBEEFDEADBEEF
71398 .xword 0xDEADBEEFDEADBEEF
71399 .xword 0xDEADBEEFDEADBEEF
71400 .xword 0xDEADBEEFDEADBEEF
71401 .xword 0xDEADBEEFDEADBEEF
71402 .xword 0xDEADBEEFDEADBEEF
71403 .xword 0xDEADBEEFDEADBEEF
71404 .xword 0xDEADBEEFDEADBEEF
71405 .xword 0xDEADBEEFDEADBEEF
71406 .xword 0xDEADBEEFDEADBEEF
71407 .xword 0xDEADBEEFDEADBEEF
71408 .xword 0xDEADBEEFDEADBEEF
71409 .xword 0xDEADBEEFDEADBEEF
71410 .xword 0xDEADBEEFDEADBEEF
71411 .xword 0xDEADBEEFDEADBEEF
71412 .xword 0xDEADBEEFDEADBEEF
71413 .xword 0xDEADBEEFDEADBEEF
71414 .xword 0xDEADBEEFDEADBEEF
71415 .xword 0xDEADBEEFDEADBEEF
71416 .xword 0xDEADBEEFDEADBEEF
71417 .xword 0xDEADBEEFDEADBEEF
71418 .xword 0xDEADBEEFDEADBEEF
71419 .xword 0xDEADBEEFDEADBEEF
71420 .xword 0xDEADBEEFDEADBEEF
71421 .xword 0xDEADBEEFDEADBEEF
71422 .xword 0xDEADBEEFDEADBEEF
71423 .xword 0xDEADBEEFDEADBEEF
71424 .xword 0xDEADBEEFDEADBEEF
71425 .xword 0xDEADBEEFDEADBEEF
71426 .xword 0xDEADBEEFDEADBEEF
71427 .xword 0xDEADBEEFDEADBEEF
71428 .xword 0xDEADBEEFDEADBEEF
71429 .xword 0xDEADBEEFDEADBEEF
71430 .xword 0xDEADBEEFDEADBEEF
71431 .xword 0xDEADBEEFDEADBEEF
71432 .xword 0xDEADBEEFDEADBEEF
71433 .xword 0xDEADBEEFDEADBEEF
71434 .xword 0xDEADBEEFDEADBEEF
71435 .xword 0xDEADBEEFDEADBEEF
71436 .xword 0xDEADBEEFDEADBEEF
71437 .xword 0xDEADBEEFDEADBEEF
71438 .xword 0xDEADBEEFDEADBEEF
71439 .xword 0xDEADBEEFDEADBEEF
71440 .xword 0xDEADBEEFDEADBEEF
71441 .xword 0xDEADBEEFDEADBEEF
71442 .xword 0xDEADBEEFDEADBEEF
71443 .xword 0xDEADBEEFDEADBEEF
71444 .xword 0xDEADBEEFDEADBEEF
71445 .xword 0xDEADBEEFDEADBEEF
71446 .xword 0xDEADBEEFDEADBEEF
71447 .xword 0xDEADBEEFDEADBEEF
71448 .xword 0xDEADBEEFDEADBEEF
71449 .xword 0xDEADBEEFDEADBEEF
71450 .xword 0xDEADBEEFDEADBEEF
71451 .xword 0xDEADBEEFDEADBEEF
71452 .xword 0xDEADBEEFDEADBEEF
71453 .xword 0xDEADBEEFDEADBEEF
71454 .xword 0xDEADBEEFDEADBEEF
71455 .xword 0xDEADBEEFDEADBEEF
71456 .xword 0xDEADBEEFDEADBEEF
71457 .xword 0xDEADBEEFDEADBEEF
71458 .xword 0xDEADBEEFDEADBEEF
71459 .xword 0xDEADBEEFDEADBEEF
71460 .xword 0xDEADBEEFDEADBEEF
71461 .xword 0xDEADBEEFDEADBEEF
71462 .xword 0xDEADBEEFDEADBEEF
71463 .xword 0xDEADBEEFDEADBEEF
71464 .xword 0xDEADBEEFDEADBEEF
71465 .xword 0xDEADBEEFDEADBEEF
71466 .xword 0xDEADBEEFDEADBEEF
71467 .xword 0xDEADBEEFDEADBEEF
71468 .xword 0xDEADBEEFDEADBEEF
71469 .xword 0xDEADBEEFDEADBEEF
71470 .xword 0xDEADBEEFDEADBEEF
71471 .xword 0xDEADBEEFDEADBEEF
71472 .xword 0xDEADBEEFDEADBEEF
71473 .xword 0xDEADBEEFDEADBEEF
71474 .xword 0xDEADBEEFDEADBEEF
71475 .xword 0xDEADBEEFDEADBEEF
71476 .xword 0xDEADBEEFDEADBEEF
71477 .xword 0xDEADBEEFDEADBEEF
71478 .xword 0xDEADBEEFDEADBEEF
71479 .xword 0xDEADBEEFDEADBEEF
71480 .xword 0xDEADBEEFDEADBEEF
71481 .xword 0xDEADBEEFDEADBEEF
71482 .xword 0xDEADBEEFDEADBEEF
71483 .xword 0xDEADBEEFDEADBEEF
71484_t1_hmac_auth_key:
71485 .xword 0x1f18cc184793c782
71486 .xword 0x7aa0376b9bbe8a1b
71487 .xword 0x2826a5b9f0cd517c
71488 .xword 0xdbbfa7f706effc6d
71489 .xword 0x02980b6db5603270
71490 .xword 0x28ca3c4868b0145a
71491 .xword 0xf105cba9e9133a85
71492 .xword 0xf3a0432c39530f7f
71493 .xword 0x179449b13912e0b2
71494 .xword 0xc93a02a574d9cacb
71495 .xword 0xd1fbe97d1cba9828
71496 .xword 0x93eaa6617c5572ec
71497 .xword 0xb098ba62a64ad0dc
71498 .xword 0xcce6ea41f62ec857
71499 .xword 0x4f1004ee2864da5d
71500 .xword 0xa5ee8a48fa5f4276
71501 .xword 0x438817503aa203ce
71502 .xword 0x62adde159d5d539d
71503 .xword 0xf426d0f4115a2d60
71504 .xword 0x0c791e1e76c200e0
71505 .xword 0xcfd0c0afbca99cc3
71506 .xword 0x063ec76d3bb3c4e7
71507 .xword 0xdd346f3d587d7412
71508_t1_hmac_auth_iv:
71509 .xword 0xd54f14bd47634e5b
71510 .xword 0xc2b6e2c1a579b704
71511 .xword 0x887fa0f9f33e04d4
71512 .xword 0x3e3a59f546de5ebd
71513 .xword 0x617b7db5b18eea7c
71514 .xword 0x385abb9d79687616
71515 .xword 0x82ac4e38bd83cc3e
71516 .xword 0x2ad22a477131a725
71517 .xword 0x49fcc3864d09befb
71518 .xword 0x6f1898ca9ce4bfab
71519 .xword 0x3b7c0f84ee372552
71520 .xword 0x62705a7b19fe4cbe
71521 .xword 0xf1d0eee7e259f688
71522 .xword 0xa6ffc0e80dc85a05
71523 .xword 0x3e3c5b5def54559d
71524 .xword 0x9ac4f3e3d8808fde
71525 .xword 0x2a8601297f4c877e
71526 .xword 0x2e14d6c75631632c
71527 .xword 0xea99350364590681
71528 .xword 0x1d6480b7b9c571e6
71529 .xword 0xd510b929dcdd317b
71530 .xword 0x0a7ed84464e96119
71531 .xword 0xb93b0d5f98365748
71532_t1_hmac_fas_result:
71533 .xword 0xDEADBEEFDEADBEEF
71534 .xword 0xDEADBEEFDEADBEEF
71535 .xword 0xDEADBEEFDEADBEEF
71536 .xword 0xDEADBEEFDEADBEEF
71537 .xword 0xDEADBEEFDEADBEEF
71538 .xword 0xDEADBEEFDEADBEEF
71539 .xword 0xDEADBEEFDEADBEEF
71540 .xword 0xDEADBEEFDEADBEEF
71541 .xword 0xDEADBEEFDEADBEEF
71542 .xword 0xDEADBEEFDEADBEEF
71543 .xword 0xDEADBEEFDEADBEEF
71544 .xword 0xDEADBEEFDEADBEEF
71545 .xword 0xDEADBEEFDEADBEEF
71546 .xword 0xDEADBEEFDEADBEEF
71547 .xword 0xDEADBEEFDEADBEEF
71548 .xword 0xDEADBEEFDEADBEEF
71549 .xword 0xDEADBEEFDEADBEEF
71550 .xword 0xDEADBEEFDEADBEEF
71551 .xword 0xDEADBEEFDEADBEEF
71552 .xword 0xDEADBEEFDEADBEEF
71553 .xword 0xDEADBEEFDEADBEEF
71554 .xword 0xDEADBEEFDEADBEEF
71555 .xword 0xDEADBEEFDEADBEEF
71556_t1_rc4_key_array:
71557 .xword 0x439cee2441f46c19
71558 .xword 0x5268b341d4b364be
71559 .xword 0x8a628b88e060183b
71560 .xword 0x36d4d410748753f3
71561 .xword 0x3d5448af24408b6c
71562 .xword 0xafaa8791d3fe0f96
71563 .xword 0xbb5537ccef4df2b6
71564 .xword 0xc22fea3e3b1c22e5
71565 .xword 0x2ffe476207c6169b
71566 .xword 0x32e0e888da156949
71567 .xword 0xc555a1a80624f9b1
71568 .xword 0x9291cbe694ddc328
71569 .xword 0x57d1f2ea41b0270d
71570 .xword 0x34819771a6540119
71571 .xword 0x6e9009c73cb0dccc
71572 .xword 0x88a3e9b92646b028
71573 .xword 0x633e4b6b018f59fe
71574 .xword 0x60aa4e267e63212c
71575 .xword 0x5dbab3889c2088b2
71576 .xword 0x3e512d806f8dc888
71577 .xword 0x041d11fcdd7dec7f
71578 .xword 0xe77c74916175144f
71579 .xword 0xd8a3cc808589d06e
71580 .xword 0xfd8139327350eeae
71581 .xword 0x501451d942b08f14
71582 .xword 0x7bdc1b494011f5f7
71583 .xword 0xc90f57024bf518fd
71584 .xword 0x47c1d1f205bbf1ab
71585 .xword 0x3f41ad07f90483a0
71586 .xword 0x2c88cdb5511a2a04
71587 .xword 0x164a607861dae5c7
71588 .xword 0xc933cd63ca15e046
71589 .xword 0x05b78fffb19dccbd
71590 .xword 0x5231c66e2f8c4c5a
71591 .xword 0xa8d6fbf576d42f80
71592 .xword 0x8fd1fdadec5da858
71593 .xword 0xee7896cdce99b654
71594 .xword 0x00ac576ea5f7aa1d
71595 .xword 0x5b7ddcc91aed0799
71596 .xword 0xd7d6ae0263168f47
71597 .xword 0x32fc3547fff6ed90
71598 .xword 0xb1fb34a71988246b
71599 .xword 0xb840b209b13c9224
71600 .xword 0xc275666c8f9d2f69
71601 .xword 0x7b8076bb93c6f687
71602 .xword 0x573594a88c8f4063
71603 .xword 0x7f1b2f5a8cd62758
71604 .xword 0x3fc1f34481666941
71605 .xword 0x4f1abad00dd80501
71606 .xword 0x02eb6c24a10140a0
71607 .xword 0x9739e47098a368ab
71608_t1_rc4_iv_array:
71609 .xword 0xdebd99cad5df2f16
71610 .xword 0xe639c05369581371
71611 .xword 0xafb326e585cab04b
71612 .xword 0x15a6076abbebab13
71613 .xword 0x4e9697dd5d228344
71614 .xword 0x371a2ce0626eebcf
71615 .xword 0xc6ea8fe54d3b55dd
71616 .xword 0x087ac34e62de1876
71617 .xword 0xc19090aa0dfa4699
71618 .xword 0xab2001fdbaa50f39
71619 .xword 0x79435bac55146feb
71620 .xword 0x2ba0b9414842ad34
71621 .xword 0x5dfc37363f60489f
71622 .xword 0x9f2b85b93fe721ea
71623 .xword 0xa2ea88c0f5a0d8c7
71624 .xword 0x2b2b1f430ea8d502
71625 .xword 0xc84d630fc18502e2
71626 .xword 0xa169ec8352375246
71627 .xword 0x6ba69a515a2fc3da
71628 .xword 0x659ce67b61a86384
71629 .xword 0x628d8367a3bba9fa
71630 .xword 0x05255eb94fc3eaa8
71631 .xword 0xabc0aa7cfdbcb107
71632 .xword 0x661e39b5703b9032
71633 .xword 0xdfc080c027b67a99
71634 .xword 0xd4cb9797943d0421
71635 .xword 0x55d7e27f9c9950e9
71636 .xword 0xf85851892439695b
71637 .xword 0xbdc01c47e7c418ec
71638 .xword 0x502f55b08c3d574f
71639 .xword 0xc3cb9692a1a97977
71640 .xword 0x75101d28c127cb7e
71641 .xword 0xec904b1b3e796db4
71642 .xword 0x8ebe3d9820d0300c
71643 .xword 0xe31b8d98013889cc
71644 .xword 0x7ee4f29b42e4f305
71645 .xword 0x55727a7ed780be56
71646 .xword 0x88596f089d834f4a
71647 .xword 0xb9210a1eee2c4660
71648 .xword 0xf865da282423ba95
71649 .xword 0x341f8b44c1eefc6f
71650 .xword 0x1808e261b6442fab
71651 .xword 0x88b69577db2d4e3b
71652 .xword 0x0f6f3e336b81dbd1
71653 .xword 0x9ef5ce9c3edbbc58
71654_t1_rc4_alignment_array:
71655 .xword 12
71656 .xword 12
71657 .xword 9
71658 .xword 13
71659 .xword 3
71660 .xword 10
71661 .xword 10
71662 .xword 1
71663 .xword 5
71664 .xword 9
71665 .xword 9
71666 .xword 1
71667 .xword 5
71668 .xword 1
71669 .xword 9
71670 .xword 3
71671 .xword 7
71672 .xword 13
71673 .xword 15
71674 .xword 0
71675 .xword 2
71676 .xword 14
71677 .xword 3
71678 .xword 0
71679 .xword 13
71680 .xword 13
71681 .xword 10
71682 .xword 12
71683 .xword 11
71684 .xword 6
71685 .xword 11
71686 .xword 4
71687 .xword 7
71688 .xword 5
71689 .xword 15
71690 .xword 8
71691 .xword 7
71692 .xword 6
71693 .xword 10
71694 .xword 6
71695 .xword 12
71696 .xword 2
71697 .xword 7
71698 .xword 6
71699 .xword 15
71700 .xword 3
71701 .xword 8
71702 .xword 14
71703 .xword 14
71704 .xword 7
71705 .xword 9
71706 .xword 1
71707 .xword 2
71708 .xword 1
71709 .xword 5
71710 .xword 2
71711 .xword 4
71712 .xword 13
71713 .xword 12
71714 .xword 10
71715 .xword 14
71716 .xword 14
71717 .xword 15
71718 .xword 2
71719 .xword 15
71720 .xword 7
71721 .xword 10
71722 .xword 1
71723 .xword 15
71724 .xword 2
71725 .xword 10
71726 .xword 5
71727 .xword 12
71728 .xword 8
71729 .xword 7
71730 .xword 6
71731 .xword 15
71732 .xword 1
71733 .xword 13
71734 .xword 13
71735 .xword 1
71736 .xword 3
71737 .xword 15
71738 .xword 9
71739 .xword 7
71740 .xword 13
71741 .xword 14
71742 .xword 7
71743 .xword 4
71744 .xword 12
71745 .xword 4
71746 .xword 8
71747 .xword 5
71748 .xword 13
71749 .xword 1
71750 .xword 15
71751 .xword 0
71752 .xword 8
71753 .xword 3
71754 .xword 6
71755 .xword 11
71756 .xword 11
71757 .xword 13
71758 .xword 15
71759 .xword 12
71760_t1_rc4_src:
71761 .xword 0xddf5574f8a15ed5e
71762 .xword 0xa86c8310bf0b2820
71763 .xword 0xb658ca0c488d180a
71764 .xword 0x6fcf5b1713962a88
71765 .xword 0x826905beed0a232d
71766 .xword 0x46cc664c2c9f580e
71767 .xword 0x56b831c4767f448f
71768 .xword 0x52349e3f26222a94
71769 .xword 0xd52a9038d65b1edc
71770 .xword 0x4104ab75d84df069
71771 .xword 0xe1877cfe5e4a3f82
71772 .xword 0xa43f76aca13e616a
71773 .xword 0x91908bb911a71e7e
71774 .xword 0x842199f71f702c81
71775 .xword 0x4a39d44b6ce3291b
71776 .xword 0x224c0b3edee9b55c
71777 .xword 0x7f613968bbcac7da
71778 .xword 0xaf67c94f0e4b2373
71779 .xword 0x49b1edd43a0e06cb
71780 .xword 0xaf3097bbbbda8501
71781 .xword 0x0d87047a8c2cfdfc
71782 .xword 0x894957d879312347
71783 .xword 0x14c740a96f005276
71784 .xword 0xf4fff1aadc03eb12
71785 .xword 0x42cd31794b7e44a4
71786 .xword 0xae068d79f33b458c
71787 .xword 0xcb8299c1378f916c
71788 .xword 0x5a50bdf5dbdbe0e8
71789 .xword 0xc7fae08d6aa8ba38
71790 .xword 0xac7392dc17c4fe6b
71791 .xword 0xd3837188935bb161
71792 .xword 0x7ddc0e781f0cb6cb
71793 .xword 0xccc0d3fcb0f99a0d
71794 .xword 0x3c317508477febca
71795 .xword 0x650b3a8be28e616c
71796 .xword 0xca91c6ad2ba30e22
71797 .xword 0x0666027f015f9fb7
71798 .xword 0x5a6d84812fbd06eb
71799 .xword 0x345c9db2a1fe2abd
71800 .xword 0xf2c7b77b279238b1
71801 .xword 0xc735e1d44e034354
71802 .xword 0xdf34235c4604f80d
71803 .xword 0xcac17fc23c7e6e5e
71804 .xword 0x253039cadb73b7c1
71805 .xword 0x0e9fe8137b89585b
71806 .xword 0x98ec5ffdb0a5259d
71807 .xword 0x3891503e1f0d15db
71808 .xword 0xd5d2e516e9c56936
71809 .xword 0x84171e36d075da00
71810 .xword 0x5e0cd59389c1f7dc
71811 .xword 0x468f92fbe374bd7c
71812 .xword 0x3f5f500527a0b89d
71813 .xword 0x9272152cb022d4a1
71814 .xword 0x60b51511f480653e
71815 .xword 0xdfb51e39f594d42f
71816 .xword 0x21ff78b9c8039418
71817 .xword 0xb63fa3f2e7f7205a
71818 .xword 0x70ba8734e1ac4127
71819 .xword 0x4e906de2d846a5e5
71820 .xword 0x4751ca0eded74aa6
71821 .xword 0x74818e047787f819
71822 .xword 0xdb5f4cf6b763d621
71823 .xword 0xc65c730f06b01e5d
71824 .xword 0x3332bddb33c8cfb4
71825 .xword 0x14a3d593433114a8
71826 .xword 0xda0187a49f35d12e
71827 .xword 0x6460af9c6d5cd8ad
71828 .xword 0x159d86eed3318e9d
71829 .xword 0x7bddefcb71b5bbc7
71830 .xword 0xac8e5fe385b42f56
71831 .xword 0x38e828e196321cc8
71832 .xword 0xdbf9ee7c311e5aa7
71833 .xword 0xa579996e4ab8fc66
71834 .xword 0xb0c9fee1251cfe9b
71835 .xword 0xfd8daaf0f3e67570
71836 .xword 0xf404cc3b8dac3b2f
71837 .xword 0x77491916d0e6aed5
71838 .xword 0x9bea062a92a20ab8
71839 .xword 0x3ca71c006106fa10
71840 .xword 0x39f618c1ac63e107
71841 .xword 0xddafbcedf5c143ed
71842 .xword 0x5d0dcd1dde9714d3
71843 .xword 0xd285dd0c2f0adbcb
71844 .xword 0xc7385a69f18fdcb3
71845 .xword 0x7908fed0a2174a7d
71846 .xword 0xd9f97678c34cb39e
71847 .xword 0x9863d0ee2d4ca930
71848 .xword 0xc385dc91854b2617
71849 .xword 0x17acc9330cc1049e
71850 .xword 0x652784ad9f666bff
71851 .xword 0x8865f035d18bd1ea
71852 .xword 0x522652d6dd454df3
71853 .xword 0x836fd96fd183bfda
71854 .xword 0x93ac38cd82cfb87f
71855 .xword 0xf01f535cacae7359
71856 .xword 0x4d9c83cd386c8498
71857 .xword 0xfb1da40ced478700
71858 .xword 0x75b585f676290e39
71859 .xword 0xc9f4f942f4246a04
71860 .xword 0x27fe6c6b2b62b75b
71861 .xword 0x0b3de44041263884
71862 .xword 0xa4757383255cba51
71863 .xword 0xf7d30f42f9262fd3
71864 .xword 0x2a2b59942bbebde3
71865 .xword 0x28ec438b506d2ec1
71866 .xword 0x61db30155febf99f
71867 .xword 0x40835f7f5deae282
71868 .xword 0x5677960d2bd8a027
71869 .xword 0x5aab59387708ab12
71870 .xword 0xe562d2e9ea6e42e2
71871 .xword 0xd37c028caad5cf9c
71872 .xword 0x0fd433654b193310
71873 .xword 0xcf0014473b76ac77
71874 .xword 0xcc3a553a0f30088c
71875 .xword 0xb63a63b55e6674d4
71876 .xword 0x253b254334c2b8df
71877 .xword 0x72cfb26f0aa24002
71878 .xword 0xf15685afad51e1f6
71879 .xword 0xc535b401d1d4f44b
71880 .xword 0x0497e7cdff84e3e3
71881 .xword 0xeb3cdbb10ef4de00
71882 .xword 0x88248a4ffdab1245
71883 .xword 0xaedad80e05593b19
71884 .xword 0x7537757c86829a1a
71885 .xword 0x335082456a3bf2c3
71886 .xword 0xd178df09ffa42127
71887 .xword 0xf4de34e6787e650f
71888 .xword 0x9494e698fe6d8147
71889 .xword 0xbec0b720f13e9ab1
71890 .xword 0xcfe0d3fc1c399515
71891 .xword 0x46cddc25a0500499
71892 .xword 0x82e9c95fa86dae22
71893 .xword 0x0ac750102483f860
71894 .xword 0xcdb1b3c30b39ffdd
71895 .xword 0xbe2f1bf682fc702b
71896 .xword 0x5a8faed9d4d95106
71897 .xword 0x731c57457d8d0d97
71898 .xword 0xaf9af19ef033cce2
71899 .xword 0xa55922703458ea2f
71900 .xword 0xae4afe46d1ed7883
71901 .xword 0xb4c07b37f6459cc3
71902 .xword 0xe087a842a512ec41
71903 .xword 0x7cdde7dadc8dff70
71904 .xword 0x6df237301b2be2aa
71905 .xword 0x4e172daee1a02055
71906 .xword 0x245fb4895df8dc33
71907 .xword 0xbe165d60a4c63b6d
71908 .xword 0xe7a12b7f55f408a5
71909 .xword 0xfbc055b29ef88140
71910 .xword 0x224357e020cb0ccb
71911 .xword 0xfe8dfc5743bf1927
71912 .xword 0xcd81357369621b96
71913 .xword 0x30a8f27c17a4f2d9
71914 .xword 0x34f5fa3ae66c1dfc
71915 .xword 0x0e330bfa08646012
71916 .xword 0xd4edaf079f254897
71917 .xword 0xf836355e49a6425d
71918 .xword 0x09918b32b53eaf45
71919 .xword 0x7644d51fb9004bde
71920 .xword 0xaff35b775a0a9594
71921 .xword 0x8ee42d9d12506f71
71922 .xword 0xcd2aa01b5ebe1734
71923 .xword 0x5e829182e1b25355
71924 .xword 0x98343d96c2037b49
71925 .xword 0x565e3a623f56b5fa
71926 .xword 0xf5e21882096a7d8b
71927 .xword 0x3b78582a8d302c06
71928 .xword 0xb6d9cb9a472379a5
71929 .xword 0x35cbe5c2c29b9c2d
71930 .xword 0xf33e7cd7c87a1765
71931 .xword 0x0516d94fdd470448
71932 .xword 0x4317c3d74afe9515
71933 .xword 0x15f3195af87410f0
71934 .xword 0xa6e25c6f02e5766b
71935 .xword 0xd09bc14c41cf7fbe
71936 .xword 0xe2bfc3bc84fc22b8
71937 .xword 0x27a888275f8b2f0e
71938 .xword 0x906261c1218ccbcd
71939 .xword 0xb34d9c95f06a7257
71940 .xword 0xa2cb36b297ce82e9
71941 .xword 0xf950abe533562da0
71942 .xword 0x443907672a6b485a
71943 .xword 0x98471658dde20211
71944 .xword 0xc7b5b8c611436b0a
71945 .xword 0x9c81568aac9a7a44
71946 .xword 0xfd528059afb4bc88
71947 .xword 0x68285c40e003dc0b
71948 .xword 0x18f18a9fc64dd9c3
71949 .xword 0x54d5c0c77034fbe5
71950 .xword 0xaad4fa3aef576fb7
71951 .xword 0xc27ed5323d387214
71952 .xword 0x47293312f0b0d73a
71953 .xword 0x50b3788af454ce0b
71954 .xword 0x34b87d265648e46c
71955 .xword 0x437cf24ee4567a83
71956 .xword 0x97bcaf2f4dc8a090
71957 .xword 0xec380914b6bfcc6b
71958 .xword 0x763fa6ebd4062f47
71959 .xword 0xc49f54e4ad12fc10
71960 .xword 0x51b260cb26aab20a
71961 .xword 0x7422cf0019b687d8
71962 .xword 0x6795e0343f3b4999
71963 .xword 0x70fe52c0ae7e1cd3
71964 .xword 0x5c84e270297ef569
71965 .xword 0x15edb258b21e705b
71966 .xword 0xafbe44d1c13a333d
71967 .xword 0xa1e3d08b32db0a3a
71968 .xword 0xb2708d3d28baf364
71969 .xword 0xba497f6cb9954cf7
71970 .xword 0x56dbc69d0805d2d2
71971 .xword 0xe3a8c52a9952d651
71972 .xword 0xda897ce43f6530d2
71973 .xword 0x01d3277792ad4cbe
71974 .xword 0xea63deeba4369cf9
71975 .xword 0x6df114cd4904d262
71976 .xword 0x2971122ab042fa42
71977 .xword 0xebaa5e9d4d4704cb
71978 .xword 0xf9d7d184836b71bb
71979 .xword 0xaa26f2d2c09f6acc
71980 .xword 0x3f37a67fd05ae215
71981 .xword 0xadac9b9dee3802a9
71982 .xword 0xdec84958f3a1aa09
71983 .xword 0x0e6fa016f1dd15e6
71984 .xword 0x36d1e89f21ab3cba
71985 .xword 0xefa7a18384575b50
71986 .xword 0x9dd17ef42e6d92ba
71987 .xword 0xebadf24e4e91c345
71988 .xword 0x93402d25f5f6cfa6
71989 .xword 0xe77a691c92e35a4c
71990 .xword 0x74a6c70bbc024988
71991 .xword 0x1a832df09558d4a9
71992 .xword 0x1a4d560d27490f12
71993 .xword 0xa0dd6ffe091bc070
71994 .xword 0x47e6aa9ba66c8168
71995 .xword 0x0d937c3435cd7371
71996 .xword 0x8e8d9b7330129256
71997 .xword 0x05c7cb14c91138fe
71998 .xword 0x568dd93c760e8e99
71999 .xword 0x764524fa6bb94769
72000 .xword 0x61a54b24c4d26d58
72001 .xword 0xff5e7221225b7c9e
72002 .xword 0x5eed656913dce4d4
72003 .xword 0xef1b9ce2dddba81f
72004 .xword 0x719d13a6cbea4a7d
72005 .xword 0x1639cbbc429faa9f
72006 .xword 0xc738067eba1b0b65
72007 .xword 0x02400268fad9e5ed
72008 .xword 0x03353fc43d1dcddf
72009 .xword 0xa9474c9f60f4386b
72010 .xword 0x0ce4602db3ad4bff
72011 .xword 0xf8701131699eda62
72012 .xword 0x5ef8b1f7e1e66ba8
72013 .xword 0x21739face1182612
72014 .xword 0xc9e1180c83c8f8a8
72015 .xword 0x39e0cd3948854d7e
72016 .xword 0x086d7d62b8510fef
72017 .xword 0xaed40dc70a1e1d16
72018 .xword 0x1f82c6f6f99a3679
72019 .xword 0x71c7f6c1fa22c2ee
72020 .xword 0xda39a9677a0aef05
72021 .xword 0xc686c19ece5c8397
72022 .xword 0xfb34556ec823d869
72023 .xword 0x1524102e8767f19b
72024 .xword 0xa9e015247f2d58f5
72025 .xword 0xe72c1b30e190120a
72026 .xword 0x9405c98c99502ad1
72027 .xword 0x3e8e4603683500a6
72028 .xword 0x8982c676340fb5f6
72029 .xword 0x958c8dc8cd519a56
72030 .xword 0x7b674e9b3f85f25e
72031 .xword 0x22b7cdca23239aaa
72032 .xword 0xc0ca66ba7e44fd99
72033 .xword 0x5d2e6dbd29927d16
72034 .xword 0xdacccf4494513acc
72035 .xword 0xa5f8e357226cc23b
72036_t1_rc4_dest:
72037 .xword 0xDEADBEEFDEADBEEF
72038 .xword 0xDEADBEEFDEADBEEF
72039 .xword 0xDEADBEEFDEADBEEF
72040 .xword 0xDEADBEEFDEADBEEF
72041 .xword 0xDEADBEEFDEADBEEF
72042 .xword 0xDEADBEEFDEADBEEF
72043 .xword 0xDEADBEEFDEADBEEF
72044 .xword 0xDEADBEEFDEADBEEF
72045 .xword 0xDEADBEEFDEADBEEF
72046 .xword 0xDEADBEEFDEADBEEF
72047 .xword 0xDEADBEEFDEADBEEF
72048 .xword 0xDEADBEEFDEADBEEF
72049 .xword 0xDEADBEEFDEADBEEF
72050 .xword 0xDEADBEEFDEADBEEF
72051 .xword 0xDEADBEEFDEADBEEF
72052 .xword 0xDEADBEEFDEADBEEF
72053 .xword 0xDEADBEEFDEADBEEF
72054 .xword 0xDEADBEEFDEADBEEF
72055 .xword 0xDEADBEEFDEADBEEF
72056 .xword 0xDEADBEEFDEADBEEF
72057 .xword 0xDEADBEEFDEADBEEF
72058 .xword 0xDEADBEEFDEADBEEF
72059 .xword 0xDEADBEEFDEADBEEF
72060 .xword 0xDEADBEEFDEADBEEF
72061 .xword 0xDEADBEEFDEADBEEF
72062 .xword 0xDEADBEEFDEADBEEF
72063 .xword 0xDEADBEEFDEADBEEF
72064 .xword 0xDEADBEEFDEADBEEF
72065 .xword 0xDEADBEEFDEADBEEF
72066 .xword 0xDEADBEEFDEADBEEF
72067 .xword 0xDEADBEEFDEADBEEF
72068 .xword 0xDEADBEEFDEADBEEF
72069 .xword 0xDEADBEEFDEADBEEF
72070 .xword 0xDEADBEEFDEADBEEF
72071 .xword 0xDEADBEEFDEADBEEF
72072 .xword 0xDEADBEEFDEADBEEF
72073 .xword 0xDEADBEEFDEADBEEF
72074 .xword 0xDEADBEEFDEADBEEF
72075 .xword 0xDEADBEEFDEADBEEF
72076 .xword 0xDEADBEEFDEADBEEF
72077 .xword 0xDEADBEEFDEADBEEF
72078 .xword 0xDEADBEEFDEADBEEF
72079 .xword 0xDEADBEEFDEADBEEF
72080 .xword 0xDEADBEEFDEADBEEF
72081 .xword 0xDEADBEEFDEADBEEF
72082 .xword 0xDEADBEEFDEADBEEF
72083 .xword 0xDEADBEEFDEADBEEF
72084 .xword 0xDEADBEEFDEADBEEF
72085 .xword 0xDEADBEEFDEADBEEF
72086 .xword 0xDEADBEEFDEADBEEF
72087 .xword 0xDEADBEEFDEADBEEF
72088 .xword 0xDEADBEEFDEADBEEF
72089 .xword 0xDEADBEEFDEADBEEF
72090 .xword 0xDEADBEEFDEADBEEF
72091 .xword 0xDEADBEEFDEADBEEF
72092 .xword 0xDEADBEEFDEADBEEF
72093 .xword 0xDEADBEEFDEADBEEF
72094 .xword 0xDEADBEEFDEADBEEF
72095 .xword 0xDEADBEEFDEADBEEF
72096 .xword 0xDEADBEEFDEADBEEF
72097 .xword 0xDEADBEEFDEADBEEF
72098 .xword 0xDEADBEEFDEADBEEF
72099 .xword 0xDEADBEEFDEADBEEF
72100 .xword 0xDEADBEEFDEADBEEF
72101 .xword 0xDEADBEEFDEADBEEF
72102 .xword 0xDEADBEEFDEADBEEF
72103 .xword 0xDEADBEEFDEADBEEF
72104 .xword 0xDEADBEEFDEADBEEF
72105 .xword 0xDEADBEEFDEADBEEF
72106 .xword 0xDEADBEEFDEADBEEF
72107 .xword 0xDEADBEEFDEADBEEF
72108 .xword 0xDEADBEEFDEADBEEF
72109 .xword 0xDEADBEEFDEADBEEF
72110 .xword 0xDEADBEEFDEADBEEF
72111 .xword 0xDEADBEEFDEADBEEF
72112 .xword 0xDEADBEEFDEADBEEF
72113 .xword 0xDEADBEEFDEADBEEF
72114 .xword 0xDEADBEEFDEADBEEF
72115 .xword 0xDEADBEEFDEADBEEF
72116 .xword 0xDEADBEEFDEADBEEF
72117 .xword 0xDEADBEEFDEADBEEF
72118 .xword 0xDEADBEEFDEADBEEF
72119 .xword 0xDEADBEEFDEADBEEF
72120 .xword 0xDEADBEEFDEADBEEF
72121 .xword 0xDEADBEEFDEADBEEF
72122 .xword 0xDEADBEEFDEADBEEF
72123 .xword 0xDEADBEEFDEADBEEF
72124 .xword 0xDEADBEEFDEADBEEF
72125 .xword 0xDEADBEEFDEADBEEF
72126 .xword 0xDEADBEEFDEADBEEF
72127 .xword 0xDEADBEEFDEADBEEF
72128 .xword 0xDEADBEEFDEADBEEF
72129 .xword 0xDEADBEEFDEADBEEF
72130 .xword 0xDEADBEEFDEADBEEF
72131 .xword 0xDEADBEEFDEADBEEF
72132 .xword 0xDEADBEEFDEADBEEF
72133 .xword 0xDEADBEEFDEADBEEF
72134 .xword 0xDEADBEEFDEADBEEF
72135 .xword 0xDEADBEEFDEADBEEF
72136 .xword 0xDEADBEEFDEADBEEF
72137 .xword 0xDEADBEEFDEADBEEF
72138 .xword 0xDEADBEEFDEADBEEF
72139 .xword 0xDEADBEEFDEADBEEF
72140 .xword 0xDEADBEEFDEADBEEF
72141 .xword 0xDEADBEEFDEADBEEF
72142 .xword 0xDEADBEEFDEADBEEF
72143 .xword 0xDEADBEEFDEADBEEF
72144 .xword 0xDEADBEEFDEADBEEF
72145 .xword 0xDEADBEEFDEADBEEF
72146 .xword 0xDEADBEEFDEADBEEF
72147 .xword 0xDEADBEEFDEADBEEF
72148 .xword 0xDEADBEEFDEADBEEF
72149 .xword 0xDEADBEEFDEADBEEF
72150 .xword 0xDEADBEEFDEADBEEF
72151 .xword 0xDEADBEEFDEADBEEF
72152 .xword 0xDEADBEEFDEADBEEF
72153 .xword 0xDEADBEEFDEADBEEF
72154 .xword 0xDEADBEEFDEADBEEF
72155 .xword 0xDEADBEEFDEADBEEF
72156 .xword 0xDEADBEEFDEADBEEF
72157 .xword 0xDEADBEEFDEADBEEF
72158 .xword 0xDEADBEEFDEADBEEF
72159 .xword 0xDEADBEEFDEADBEEF
72160 .xword 0xDEADBEEFDEADBEEF
72161 .xword 0xDEADBEEFDEADBEEF
72162 .xword 0xDEADBEEFDEADBEEF
72163 .xword 0xDEADBEEFDEADBEEF
72164 .xword 0xDEADBEEFDEADBEEF
72165 .xword 0xDEADBEEFDEADBEEF
72166 .xword 0xDEADBEEFDEADBEEF
72167 .xword 0xDEADBEEFDEADBEEF
72168 .xword 0xDEADBEEFDEADBEEF
72169 .xword 0xDEADBEEFDEADBEEF
72170 .xword 0xDEADBEEFDEADBEEF
72171 .xword 0xDEADBEEFDEADBEEF
72172 .xword 0xDEADBEEFDEADBEEF
72173 .xword 0xDEADBEEFDEADBEEF
72174 .xword 0xDEADBEEFDEADBEEF
72175 .xword 0xDEADBEEFDEADBEEF
72176 .xword 0xDEADBEEFDEADBEEF
72177 .xword 0xDEADBEEFDEADBEEF
72178 .xword 0xDEADBEEFDEADBEEF
72179 .xword 0xDEADBEEFDEADBEEF
72180 .xword 0xDEADBEEFDEADBEEF
72181 .xword 0xDEADBEEFDEADBEEF
72182 .xword 0xDEADBEEFDEADBEEF
72183 .xword 0xDEADBEEFDEADBEEF
72184 .xword 0xDEADBEEFDEADBEEF
72185 .xword 0xDEADBEEFDEADBEEF
72186 .xword 0xDEADBEEFDEADBEEF
72187 .xword 0xDEADBEEFDEADBEEF
72188 .xword 0xDEADBEEFDEADBEEF
72189 .xword 0xDEADBEEFDEADBEEF
72190 .xword 0xDEADBEEFDEADBEEF
72191 .xword 0xDEADBEEFDEADBEEF
72192 .xword 0xDEADBEEFDEADBEEF
72193 .xword 0xDEADBEEFDEADBEEF
72194 .xword 0xDEADBEEFDEADBEEF
72195 .xword 0xDEADBEEFDEADBEEF
72196 .xword 0xDEADBEEFDEADBEEF
72197 .xword 0xDEADBEEFDEADBEEF
72198 .xword 0xDEADBEEFDEADBEEF
72199 .xword 0xDEADBEEFDEADBEEF
72200 .xword 0xDEADBEEFDEADBEEF
72201 .xword 0xDEADBEEFDEADBEEF
72202 .xword 0xDEADBEEFDEADBEEF
72203 .xword 0xDEADBEEFDEADBEEF
72204 .xword 0xDEADBEEFDEADBEEF
72205 .xword 0xDEADBEEFDEADBEEF
72206 .xword 0xDEADBEEFDEADBEEF
72207 .xword 0xDEADBEEFDEADBEEF
72208 .xword 0xDEADBEEFDEADBEEF
72209 .xword 0xDEADBEEFDEADBEEF
72210 .xword 0xDEADBEEFDEADBEEF
72211 .xword 0xDEADBEEFDEADBEEF
72212 .xword 0xDEADBEEFDEADBEEF
72213 .xword 0xDEADBEEFDEADBEEF
72214 .xword 0xDEADBEEFDEADBEEF
72215 .xword 0xDEADBEEFDEADBEEF
72216 .xword 0xDEADBEEFDEADBEEF
72217 .xword 0xDEADBEEFDEADBEEF
72218 .xword 0xDEADBEEFDEADBEEF
72219 .xword 0xDEADBEEFDEADBEEF
72220 .xword 0xDEADBEEFDEADBEEF
72221 .xword 0xDEADBEEFDEADBEEF
72222 .xword 0xDEADBEEFDEADBEEF
72223 .xword 0xDEADBEEFDEADBEEF
72224 .xword 0xDEADBEEFDEADBEEF
72225 .xword 0xDEADBEEFDEADBEEF
72226 .xword 0xDEADBEEFDEADBEEF
72227 .xword 0xDEADBEEFDEADBEEF
72228 .xword 0xDEADBEEFDEADBEEF
72229 .xword 0xDEADBEEFDEADBEEF
72230 .xword 0xDEADBEEFDEADBEEF
72231 .xword 0xDEADBEEFDEADBEEF
72232 .xword 0xDEADBEEFDEADBEEF
72233 .xword 0xDEADBEEFDEADBEEF
72234 .xword 0xDEADBEEFDEADBEEF
72235 .xword 0xDEADBEEFDEADBEEF
72236 .xword 0xDEADBEEFDEADBEEF
72237 .xword 0xDEADBEEFDEADBEEF
72238 .xword 0xDEADBEEFDEADBEEF
72239 .xword 0xDEADBEEFDEADBEEF
72240 .xword 0xDEADBEEFDEADBEEF
72241 .xword 0xDEADBEEFDEADBEEF
72242 .xword 0xDEADBEEFDEADBEEF
72243 .xword 0xDEADBEEFDEADBEEF
72244 .xword 0xDEADBEEFDEADBEEF
72245 .xword 0xDEADBEEFDEADBEEF
72246 .xword 0xDEADBEEFDEADBEEF
72247 .xword 0xDEADBEEFDEADBEEF
72248 .xword 0xDEADBEEFDEADBEEF
72249 .xword 0xDEADBEEFDEADBEEF
72250 .xword 0xDEADBEEFDEADBEEF
72251 .xword 0xDEADBEEFDEADBEEF
72252 .xword 0xDEADBEEFDEADBEEF
72253 .xword 0xDEADBEEFDEADBEEF
72254 .xword 0xDEADBEEFDEADBEEF
72255 .xword 0xDEADBEEFDEADBEEF
72256 .xword 0xDEADBEEFDEADBEEF
72257 .xword 0xDEADBEEFDEADBEEF
72258 .xword 0xDEADBEEFDEADBEEF
72259 .xword 0xDEADBEEFDEADBEEF
72260 .xword 0xDEADBEEFDEADBEEF
72261 .xword 0xDEADBEEFDEADBEEF
72262 .xword 0xDEADBEEFDEADBEEF
72263 .xword 0xDEADBEEFDEADBEEF
72264 .xword 0xDEADBEEFDEADBEEF
72265 .xword 0xDEADBEEFDEADBEEF
72266 .xword 0xDEADBEEFDEADBEEF
72267 .xword 0xDEADBEEFDEADBEEF
72268 .xword 0xDEADBEEFDEADBEEF
72269 .xword 0xDEADBEEFDEADBEEF
72270 .xword 0xDEADBEEFDEADBEEF
72271 .xword 0xDEADBEEFDEADBEEF
72272 .xword 0xDEADBEEFDEADBEEF
72273 .xword 0xDEADBEEFDEADBEEF
72274 .xword 0xDEADBEEFDEADBEEF
72275 .xword 0xDEADBEEFDEADBEEF
72276 .xword 0xDEADBEEFDEADBEEF
72277 .xword 0xDEADBEEFDEADBEEF
72278 .xword 0xDEADBEEFDEADBEEF
72279 .xword 0xDEADBEEFDEADBEEF
72280 .xword 0xDEADBEEFDEADBEEF
72281 .xword 0xDEADBEEFDEADBEEF
72282 .xword 0xDEADBEEFDEADBEEF
72283 .xword 0xDEADBEEFDEADBEEF
72284 .xword 0xDEADBEEFDEADBEEF
72285 .xword 0xDEADBEEFDEADBEEF
72286 .xword 0xDEADBEEFDEADBEEF
72287 .xword 0xDEADBEEFDEADBEEF
72288 .xword 0xDEADBEEFDEADBEEF
72289 .xword 0xDEADBEEFDEADBEEF
72290 .xword 0xDEADBEEFDEADBEEF
72291 .xword 0xDEADBEEFDEADBEEF
72292 .xword 0xDEADBEEFDEADBEEF
72293 .xword 0xDEADBEEFDEADBEEF
72294 .xword 0xDEADBEEFDEADBEEF
72295 .xword 0xDEADBEEFDEADBEEF
72296 .xword 0xDEADBEEFDEADBEEF
72297 .xword 0xDEADBEEFDEADBEEF
72298 .xword 0xDEADBEEFDEADBEEF
72299 .xword 0xDEADBEEFDEADBEEF
72300 .xword 0xDEADBEEFDEADBEEF
72301 .xword 0xDEADBEEFDEADBEEF
72302 .xword 0xDEADBEEFDEADBEEF
72303 .xword 0xDEADBEEFDEADBEEF
72304 .xword 0xDEADBEEFDEADBEEF
72305 .xword 0xDEADBEEFDEADBEEF
72306 .xword 0xDEADBEEFDEADBEEF
72307 .xword 0xDEADBEEFDEADBEEF
72308 .xword 0xDEADBEEFDEADBEEF
72309 .xword 0xDEADBEEFDEADBEEF
72310 .xword 0xDEADBEEFDEADBEEF
72311 .xword 0xDEADBEEFDEADBEEF
72312_t1_rc4_auth_key:
72313 .xword 0x8a04704908bbd426
72314 .xword 0x61a3f7c19595b6cb
72315 .xword 0xbcbf42a5f283f693
72316 .xword 0xb87b81b9b8dd403e
72317 .xword 0x80c6193e4bdad34b
72318 .xword 0xd5482eea56d98000
72319 .xword 0x4f2ecb1f3bd0f410
72320 .xword 0x7a9a7caeb7ae3198
72321 .xword 0x18f8371f56205729
72322 .xword 0x26eaca17b2a24973
72323 .xword 0x344d1b819076351b
72324 .xword 0x84ea411e8febe68d
72325 .xword 0x912c0ec648d0afff
72326 .xword 0xf47aef054de94eb5
72327 .xword 0x6ab8636115e07329
72328 .xword 0xf5be6041246fd604
72329 .xword 0xb79eea94a20c45a4
72330 .xword 0xa27f673026791cb3
72331 .xword 0x98fc47b8c211bcc8
72332 .xword 0x874912c8d42cf1f5
72333 .xword 0x6c663ff8d959a949
72334 .xword 0xcf29e0bacb87ef5c
72335 .xword 0xfac187c2b2177ad4
72336_t1_rc4_auth_iv:
72337 .xword 0x4c077d1d157d1cdd
72338 .xword 0xe9fc4e379fcfb3d8
72339 .xword 0x1840d508c15fe12a
72340 .xword 0x5c06a60f122cd3fc
72341 .xword 0x3a873bb0951ed089
72342 .xword 0xab829dbaef2a40b4
72343 .xword 0x0a69434f84da4466
72344 .xword 0x7372bf4d4d0cc966
72345 .xword 0x67d47785d0a6c4f6
72346 .xword 0xdd3a2f8f12780c59
72347 .xword 0xc2bc22f6c38cf126
72348 .xword 0xf73458744f4e1932
72349 .xword 0x4335d7cb6c1b2819
72350 .xword 0x0d64878d6c4c2a9a
72351 .xword 0x5cce612d9263c7b8
72352 .xword 0xa2c7a09058fa2399
72353 .xword 0x70c0474b17c25358
72354 .xword 0x1438184ae29269ba
72355 .xword 0xb15ce27efbf4baa3
72356 .xword 0xddf2026af423c5a0
72357 .xword 0xe2913d1954019215
72358 .xword 0x208c4086c6e3a213
72359 .xword 0x55f4d690f8f318fd
72360_t1_rc4_fas_result:
72361 .xword 0xDEADBEEFDEADBEEF
72362 .xword 0xDEADBEEFDEADBEEF
72363 .xword 0xDEADBEEFDEADBEEF
72364 .xword 0xDEADBEEFDEADBEEF
72365 .xword 0xDEADBEEFDEADBEEF
72366 .xword 0xDEADBEEFDEADBEEF
72367 .xword 0xDEADBEEFDEADBEEF
72368 .xword 0xDEADBEEFDEADBEEF
72369 .xword 0xDEADBEEFDEADBEEF
72370 .xword 0xDEADBEEFDEADBEEF
72371 .xword 0xDEADBEEFDEADBEEF
72372 .xword 0xDEADBEEFDEADBEEF
72373 .xword 0xDEADBEEFDEADBEEF
72374 .xword 0xDEADBEEFDEADBEEF
72375 .xword 0xDEADBEEFDEADBEEF
72376 .xword 0xDEADBEEFDEADBEEF
72377 .xword 0xDEADBEEFDEADBEEF
72378 .xword 0xDEADBEEFDEADBEEF
72379 .xword 0xDEADBEEFDEADBEEF
72380 .xword 0xDEADBEEFDEADBEEF
72381 .xword 0xDEADBEEFDEADBEEF
72382 .xword 0xDEADBEEFDEADBEEF
72383 .xword 0xDEADBEEFDEADBEEF
72384_t1_sslkey_key_array:
72385 .xword 0xea169f8f40b4c732
72386 .xword 0x356396e5b430dbd2
72387 .xword 0x7c220beecda6dedb
72388 .xword 0x9cd2dbfe129b33a2
72389 .xword 0x48d86dba2f153bb9
72390 .xword 0x3f9e773434c848e3
72391 .xword 0x76c9f5abab77f321
72392 .xword 0x117539971b005a2f
72393 .xword 0x55ce327e1fbe587d
72394 .xword 0x20ed2eca535f9f14
72395 .xword 0xc5ce21d5963897f6
72396 .xword 0xe9a0e09f0ba03369
72397 .xword 0x5d3b21b6b23b4b4d
72398 .xword 0x6c839ece2c41abb0
72399 .xword 0x3cbcc18f8941b843
72400 .xword 0xde893c14d07079eb
72401 .xword 0x3fd4dd1021008c68
72402 .xword 0x9f64e09d52a81704
72403 .xword 0xf927972b40b967c2
72404 .xword 0x45619396efa37667
72405 .xword 0xe7a2af243fa75c17
72406 .xword 0x7a6e097a2bbe0087
72407 .xword 0x2ee8d81e9172ee64
72408 .xword 0xd035fc3ccb15ca20
72409 .xword 0xbcc2c63128b5fac3
72410 .xword 0xd623b83601e1e772
72411 .xword 0x3703a0fd7f5df1b6
72412 .xword 0x5b4330c2bbe1708d
72413 .xword 0x8ce6ea6ae0036c39
72414 .xword 0x544c0f5ac7a3810d
72415 .xword 0x9196185d00800ac2
72416 .xword 0x6352aa242504cd2b
72417 .xword 0xc8cb39aef8ba9c52
72418 .xword 0x4cacb44517e8d688
72419 .xword 0x768173b86f829f7b
72420 .xword 0x9ef8dcf74973bdd7
72421 .xword 0xde8e8632d15df8ce
72422 .xword 0xe9cbd2e588bf0982
72423 .xword 0x4f68201c6be36b67
72424 .xword 0x864c73d0f8afca24
72425 .xword 0x72112671d3663a76
72426 .xword 0xc391e456f761ef59
72427 .xword 0x4e82990d1d527bf3
72428 .xword 0x3eaf777e3d22ed3c
72429 .xword 0xc99705b12e15e201
72430 .xword 0xad15765c4c691f3e
72431 .xword 0x2be463d5dc35c3f8
72432 .xword 0x5c626e872d77e101
72433 .xword 0xf9a2b6a9affa08bb
72434 .xword 0x587b8b3cf8a5acd3
72435 .xword 0x6e7da46d5c0ca974
72436_t1_sslkey_iv_array:
72437 .xword 0xf34840f1a71cc76a
72438 .xword 0xc51c0cbe9bd2db25
72439 .xword 0x05309aa2eb7f7191
72440 .xword 0x6ad503e8d1239576
72441 .xword 0x844ff3a2b0a5033c
72442 .xword 0x45c5a87b5a6930e9
72443 .xword 0x472919c861a44502
72444 .xword 0x6e854b8adb2f8685
72445 .xword 0xbbc345961d1c1d8d
72446 .xword 0x591a438a63da903b
72447 .xword 0x43fa0687001cbe0a
72448 .xword 0x49f88ff3324f06f6
72449 .xword 0x3af26a0fe269c0c0
72450 .xword 0x0156d7aa4e662493
72451 .xword 0x203af83ed3340e12
72452 .xword 0x21d0fbb9b621c00d
72453 .xword 0x3a5d804d9322b572
72454 .xword 0x1367a01ee85cb973
72455 .xword 0x4cab27767262d97d
72456 .xword 0xcce5810a7fda0415
72457 .xword 0x5369e0b51f674840
72458 .xword 0xf4572206819e81f8
72459 .xword 0x837fa0463cd972a6
72460 .xword 0x61f36bff095bc015
72461 .xword 0xd876ce61dbf44fe5
72462 .xword 0x4458a1f17f4ebf44
72463 .xword 0xa6656023fbb227d3
72464 .xword 0xf01634f52b874327
72465 .xword 0x3e5bd1d422747d52
72466 .xword 0x3574385e1f0e73c1
72467 .xword 0x35060cdbb14eb9bf
72468 .xword 0xefc3c37d97513002
72469 .xword 0x1d410f147b157327
72470 .xword 0xc1e9a602ba5c1998
72471 .xword 0xbd639a3142f262ab
72472 .xword 0xfeffb817f3643bb2
72473 .xword 0x36f631a62c4100fa
72474 .xword 0x0ffc279e83e09d64
72475 .xword 0xb16813155e6d3f31
72476 .xword 0x3101a44873a7bfa8
72477 .xword 0x82d8762fe6552bd2
72478 .xword 0x15d6296df73fc275
72479 .xword 0x0874a3f5a3a7acfc
72480 .xword 0x5a899822597f7f7f
72481 .xword 0x029a0da92dca8330
72482_t1_sslkey_alignment_array:
72483 .xword 0
72484 .xword 0
72485 .xword 0
72486 .xword 0
72487 .xword 0
72488 .xword 0
72489 .xword 0
72490 .xword 0
72491 .xword 0
72492 .xword 0
72493 .xword 0
72494 .xword 0
72495 .xword 0
72496 .xword 0
72497 .xword 0
72498 .xword 0
72499 .xword 0
72500 .xword 0
72501 .xword 0
72502 .xword 0
72503 .xword 0
72504 .xword 0
72505 .xword 0
72506 .xword 0
72507 .xword 0
72508 .xword 0
72509 .xword 0
72510 .xword 0
72511 .xword 0
72512 .xword 0
72513 .xword 0
72514 .xword 0
72515 .xword 0
72516 .xword 0
72517 .xword 0
72518 .xword 0
72519 .xword 0
72520 .xword 0
72521 .xword 0
72522 .xword 0
72523 .xword 0
72524 .xword 0
72525 .xword 0
72526 .xword 0
72527 .xword 0
72528 .xword 0
72529 .xword 0
72530 .xword 0
72531 .xword 0
72532 .xword 0
72533 .xword 0
72534 .xword 0
72535 .xword 0
72536 .xword 0
72537 .xword 0
72538 .xword 0
72539 .xword 0
72540 .xword 0
72541 .xword 0
72542 .xword 0
72543 .xword 0
72544 .xword 0
72545 .xword 0
72546 .xword 0
72547 .xword 0
72548 .xword 0
72549 .xword 0
72550 .xword 0
72551 .xword 0
72552 .xword 0
72553 .xword 0
72554 .xword 0
72555 .xword 0
72556 .xword 0
72557 .xword 0
72558 .xword 0
72559 .xword 0
72560 .xword 0
72561 .xword 0
72562 .xword 0
72563 .xword 0
72564 .xword 0
72565 .xword 0
72566 .xword 0
72567 .xword 0
72568 .xword 0
72569 .xword 0
72570 .xword 0
72571 .xword 0
72572 .xword 0
72573 .xword 0
72574 .xword 0
72575 .xword 0
72576 .xword 0
72577 .xword 0
72578 .xword 0
72579 .xword 0
72580 .xword 0
72581 .xword 0
72582 .xword 0
72583 .xword 0
72584 .xword 0
72585 .xword 0
72586 .xword 0
72587 .xword 0
72588_t1_sslkey_src:
72589 .xword 0x32f1a3ebb4ab1e6c
72590 .xword 0x2dbe58458247d4be
72591 .xword 0x0f05e3f5b22c42c4
72592 .xword 0x9e444e3ecec067c9
72593 .xword 0x33a40eb4bb0136f4
72594 .xword 0x8c9459b7ef560df1
72595 .xword 0xd11f70c6379f0904
72596 .xword 0xfdb68e55ce09fc5c
72597 .xword 0xcb3eb606e026e027
72598 .xword 0xd689e5bb02268a24
72599 .xword 0x684d5b30e1493081
72600 .xword 0x794baf6b65aa431b
72601 .xword 0x9d6a561650814a16
72602 .xword 0x4320133283dad79f
72603 .xword 0x937cdb653133c911
72604 .xword 0xb744db71af525cec
72605 .xword 0x8ece9eb3d7646b3f
72606 .xword 0x67efc2661ae40fec
72607 .xword 0x7b5bd7ad05afdac4
72608 .xword 0x18e6a505181799bc
72609 .xword 0x3e50c7362400bb42
72610 .xword 0xf6071f7695bbebaf
72611 .xword 0x40cedff4dac37890
72612 .xword 0x2f5a053999072fd4
72613 .xword 0xfb6d9fe6a9a248e7
72614 .xword 0x9add95127451ff32
72615 .xword 0x334d76c636702106
72616 .xword 0x198145e1eac3faea
72617 .xword 0xb61903ce49f12475
72618 .xword 0x929d8c1799f2c7fe
72619 .xword 0xf6feb4fe465bee9c
72620 .xword 0x813ea1af7249e185
72621 .xword 0x1b5ca3d7330f3e44
72622 .xword 0x41bf399cc28f7764
72623 .xword 0x292596f4777c1b77
72624 .xword 0x26db22f60844db34
72625 .xword 0xf38cd0a19eb8b91b
72626 .xword 0x08391341620896ce
72627 .xword 0x3b32ae87e9e2966f
72628 .xword 0x44b4db0511387592
72629 .xword 0x87195f81dc610834
72630 .xword 0x99e50c7fa550b219
72631 .xword 0x0197a2e1009dbfda
72632 .xword 0x27753ee40a08f6e7
72633 .xword 0x81fc6fd89f526eb0
72634 .xword 0x8a39c23ea92c552a
72635 .xword 0x31f2f88d4e80e852
72636 .xword 0xda1c39fc404aeab7
72637 .xword 0x904e86b830a4f484
72638 .xword 0xa0175f1aed512900
72639 .xword 0xae0e09633c219a04
72640 .xword 0x1ff6c52dc7f81e43
72641 .xword 0x362d6164ba2ba342
72642 .xword 0x8a0d72fb045a80cb
72643 .xword 0xc0981c7a35487b49
72644 .xword 0xd7e8951c3aac01ca
72645 .xword 0x32c5ab24b163b290
72646 .xword 0x205541f64b8da917
72647 .xword 0x9f907a5171b2046f
72648 .xword 0x79beffa12f1ea54e
72649 .xword 0x5104061569963110
72650 .xword 0xa8376e5078ca02aa
72651 .xword 0x9edc962c39a2c4ac
72652 .xword 0x5d081d99f99d606a
72653 .xword 0xa2dd311dd73c6bd6
72654 .xword 0x7951f45abe301ee8
72655 .xword 0xa281c4cf73e836ef
72656 .xword 0x284574e5353ae92b
72657 .xword 0xab4f5e96685e9ac2
72658 .xword 0x379e6740ad9a0902
72659 .xword 0x5f484c44938d0ef4
72660 .xword 0x6d64ae45973d5b5a
72661 .xword 0x414b7ed399178645
72662 .xword 0x30aebac725899f4e
72663 .xword 0xf0577c255ccf14cc
72664 .xword 0xd36fdab48e95ea14
72665 .xword 0x75ceb27feefee619
72666 .xword 0xab574181899d2225
72667 .xword 0x340e6d69e5d446ec
72668 .xword 0xd0479f4f65154a7e
72669 .xword 0x75f50a219bbf8afe
72670 .xword 0xe01151267d55b245
72671 .xword 0x9ad27d045df891ac
72672 .xword 0x6e2c37835f88011d
72673 .xword 0xf392e6a5ddfd87c2
72674 .xword 0xd8e23623bb222441
72675 .xword 0x01057794f5b46ae2
72676 .xword 0xdd611bfe6308e16e
72677 .xword 0x6e990fb129201700
72678 .xword 0x1781cb818268c6d1
72679 .xword 0xe8241d811edf5a77
72680 .xword 0xa358ca10b5541460
72681 .xword 0x9d80bab4020e70e2
72682 .xword 0x4e35c4f669ea433b
72683 .xword 0x7c7a71c79d491d2e
72684 .xword 0xc4711d44e123fd5f
72685 .xword 0xd4a5c339357c27af
72686 .xword 0xb93d8d21a447f8d9
72687 .xword 0x989c7da41c06521e
72688 .xword 0x97d81dc1d8069bdf
72689 .xword 0x86af5e1407d31240
72690 .xword 0xd716fee52a70e13e
72691 .xword 0xd6e994ed5287e7b6
72692 .xword 0x37d5a1761a58de8b
72693 .xword 0x71faac2d174e1d4f
72694 .xword 0x47b3f33a8229e8d5
72695 .xword 0x86c7b3b4d2220c06
72696 .xword 0x6b416521dd39f27b
72697 .xword 0xc19cd6b49eebf3e0
72698 .xword 0x342d394ffe3028f5
72699 .xword 0x8b5270200ff3588c
72700 .xword 0xce0abcce0f587418
72701 .xword 0x701059b76d6fc3f7
72702 .xword 0xc7ca2418e16f6253
72703 .xword 0xe7f78fb3e321a1fd
72704 .xword 0x70fcb76159c1a16f
72705 .xword 0x89ee98696ee178db
72706 .xword 0xf99706c95d527dce
72707 .xword 0x5436ee47dd437df5
72708 .xword 0x756c0bc072f1bbb6
72709 .xword 0x36630fb5fc7f30c1
72710 .xword 0xea41d0d06167e6f1
72711 .xword 0xc0b08ee2259ee639
72712 .xword 0xfbba9ccbb3227f57
72713 .xword 0xcb41295cd6e781b1
72714 .xword 0xf569d75e08aad69f
72715 .xword 0xd3689d5662b88748
72716 .xword 0xd2b7602bea084774
72717 .xword 0x6d8bdcbe827c8b87
72718 .xword 0xe6e80579af853772
72719 .xword 0x88a2438ceb50ccc4
72720 .xword 0x971118eb6354dc70
72721 .xword 0xfec2a04ef73535e5
72722 .xword 0xa94f59da782bb449
72723 .xword 0xfdbd4e705ca59b8b
72724 .xword 0xb018b2f478bb4457
72725 .xword 0x47122e0f3884183b
72726 .xword 0x6bdd6023216987a2
72727 .xword 0xb8b9fed3f2a87406
72728 .xword 0xd1f52d4342d42d58
72729 .xword 0x4a261f853a37d1b6
72730 .xword 0x0968f20c05901996
72731 .xword 0x2cda1cd21d0060b8
72732 .xword 0xf9c8a0a2609c8ac5
72733 .xword 0x17a6c902b0fd0fe9
72734 .xword 0xf48dff37eb028104
72735 .xword 0x378861786e9ac2a6
72736 .xword 0xdb4edf439a964a28
72737 .xword 0x64a75b25cb6cfb2a
72738 .xword 0xe2eaa749c7bbf367
72739 .xword 0x2a5c212ece1e19f9
72740 .xword 0x94bce210858673d7
72741 .xword 0x80aef31a12671803
72742 .xword 0xdaa20aa38d4ff3a1
72743 .xword 0x368645ab3726a492
72744 .xword 0x2b3135cda3641035
72745 .xword 0xe4be00fca0323b59
72746 .xword 0x4a6a3098edf7381a
72747 .xword 0x30f76dd5264c3b22
72748 .xword 0x0e84f57042683d0a
72749 .xword 0x3899de793bf4ea25
72750 .xword 0x23bb22d3701f8206
72751 .xword 0xdfcd02871fe5bf1d
72752 .xword 0x030a523145e7ea4b
72753 .xword 0x915f13b78eacb7ec
72754 .xword 0x180c146fef59734a
72755 .xword 0x5fabd735243f482e
72756 .xword 0xc719fa3951c63a77
72757 .xword 0x7966c1c10b1c21f5
72758 .xword 0xe072372892418e66
72759 .xword 0x3037ffd6e3b39cd9
72760 .xword 0xf6dfc54549a0ca32
72761 .xword 0xce124c520e7f087b
72762 .xword 0xe97a95d0af2058eb
72763 .xword 0x272535ed9a49c92e
72764 .xword 0x6f445ea2ef659256
72765 .xword 0x64bf84d7751c4d5e
72766 .xword 0xa81a1c42639ce572
72767 .xword 0x1e1b965aa10cd66a
72768 .xword 0xde821ea93ac26bef
72769 .xword 0x64decc5086f89175
72770 .xword 0x3f162961d591df4f
72771 .xword 0x822d4d342f644db7
72772 .xword 0x65343ff495dae138
72773 .xword 0xa6e9e8ef85a78d41
72774 .xword 0xe1ed208353b93e12
72775 .xword 0xc1bebafefe6bb6ef
72776 .xword 0xcfb43caf9e01a425
72777 .xword 0x6592410a21612ef1
72778 .xword 0x7a6125cffc33db5c
72779 .xword 0x1e014bd654341145
72780 .xword 0x44151874bcb44c68
72781 .xword 0xbcb676cfc5502e7b
72782 .xword 0xeb6463b570fe0942
72783 .xword 0x7a96ab6b1bfe7e40
72784 .xword 0x6d19723ddb958d7f
72785 .xword 0x5d0062d9cfd1df5d
72786 .xword 0xccdbd1555f82be18
72787 .xword 0x26749db68d480ce2
72788 .xword 0x71ef67d8d75971cd
72789 .xword 0xc19f6ae81527b780
72790 .xword 0x1079b937ede8bc0a
72791 .xword 0xc444da5e781e2476
72792 .xword 0x8273e589a51612c8
72793 .xword 0x50252c4cc7029da4
72794 .xword 0x077c2f4f314e47ea
72795 .xword 0x2f7d015fcca104b1
72796 .xword 0xee53ab906729defc
72797 .xword 0xcda9f3619e17845f
72798 .xword 0x71e965ee022fc002
72799 .xword 0x96f8affbad159622
72800 .xword 0x54d75930d2f4ddd5
72801 .xword 0xd89c746e2ac19a68
72802 .xword 0xf1d474d60170e093
72803 .xword 0x308fde3ed70dfec1
72804 .xword 0xc99f9de551626167
72805 .xword 0xa14047b5a21877be
72806 .xword 0x699f9bcaf886ef6b
72807 .xword 0x24c2fe61b504f225
72808 .xword 0x8fcfa06973117996
72809 .xword 0x35755959d173addc
72810 .xword 0x4d47ab61a87309e0
72811 .xword 0x37399669ed15666e
72812 .xword 0x983ce857c1c79274
72813 .xword 0x822a2ac1994e6d1b
72814 .xword 0x4e4975b805f68110
72815 .xword 0x158d5e436ceb6165
72816 .xword 0x409c144453da02df
72817 .xword 0x060754bab3cc80de
72818 .xword 0x7297ee3e3764fa94
72819 .xword 0x99dd203c019df446
72820 .xword 0x27df25d8a2f60470
72821 .xword 0xb9120d9645d77f67
72822 .xword 0xe339167394401294
72823 .xword 0xc99de7529c06361d
72824 .xword 0x826a2ceeb0b309c7
72825 .xword 0x84147e99028b006e
72826 .xword 0xc026be497c4427d3
72827 .xword 0xd03a33ef546cf224
72828 .xword 0x2d33be7c9281fb4a
72829 .xword 0x0ec20f74ce49943a
72830 .xword 0xe5ef83c4e12653bc
72831 .xword 0x5fb97d9b2a839647
72832 .xword 0x4f50ed4936934578
72833 .xword 0x6bd8ae97b1a76de5
72834 .xword 0xd7f11c65ca3152b7
72835 .xword 0xb22a2e3d3406aca3
72836 .xword 0x588e56de858a021a
72837 .xword 0x184cdb61e6b75f85
72838 .xword 0xbd24b5c5bb38c8b6
72839 .xword 0x519fac2c664d5311
72840 .xword 0xc2df0f0349b6a54f
72841 .xword 0xd52618e285429a80
72842 .xword 0xc5088b27ec9f1c6a
72843 .xword 0x250af612068b7c59
72844 .xword 0x017411107da30837
72845 .xword 0xad263bdd01c315a7
72846 .xword 0x85ab82225b3a38f5
72847 .xword 0x165dc87fe0137777
72848 .xword 0x5c0189d0648d3fdc
72849 .xword 0x662803465e70a90c
72850 .xword 0x8686cbba56551eff
72851 .xword 0x6f838d581e58c344
72852 .xword 0xef45c784b6919db9
72853 .xword 0xcdd53ff13a855c6a
72854 .xword 0xe9e27ed28499ec6d
72855 .xword 0xab37024418ea1c8a
72856 .xword 0x8e26c281a42908a5
72857 .xword 0x910c539698446069
72858 .xword 0xb4b2c754b4d302dc
72859 .xword 0x4aa43e7411a3db07
72860 .xword 0xb2501948ab3401f4
72861 .xword 0xf341db3592c5f2f6
72862 .xword 0x6493f16e8b455349
72863 .xword 0x1334ce7e1aef84b0
72864_t1_sslkey_dest:
72865 .xword 0xDEADBEEFDEADBEEF
72866 .xword 0xDEADBEEFDEADBEEF
72867 .xword 0xDEADBEEFDEADBEEF
72868 .xword 0xDEADBEEFDEADBEEF
72869 .xword 0xDEADBEEFDEADBEEF
72870 .xword 0xDEADBEEFDEADBEEF
72871 .xword 0xDEADBEEFDEADBEEF
72872 .xword 0xDEADBEEFDEADBEEF
72873 .xword 0xDEADBEEFDEADBEEF
72874 .xword 0xDEADBEEFDEADBEEF
72875 .xword 0xDEADBEEFDEADBEEF
72876 .xword 0xDEADBEEFDEADBEEF
72877 .xword 0xDEADBEEFDEADBEEF
72878 .xword 0xDEADBEEFDEADBEEF
72879 .xword 0xDEADBEEFDEADBEEF
72880 .xword 0xDEADBEEFDEADBEEF
72881 .xword 0xDEADBEEFDEADBEEF
72882 .xword 0xDEADBEEFDEADBEEF
72883 .xword 0xDEADBEEFDEADBEEF
72884 .xword 0xDEADBEEFDEADBEEF
72885 .xword 0xDEADBEEFDEADBEEF
72886 .xword 0xDEADBEEFDEADBEEF
72887 .xword 0xDEADBEEFDEADBEEF
72888 .xword 0xDEADBEEFDEADBEEF
72889 .xword 0xDEADBEEFDEADBEEF
72890 .xword 0xDEADBEEFDEADBEEF
72891 .xword 0xDEADBEEFDEADBEEF
72892 .xword 0xDEADBEEFDEADBEEF
72893 .xword 0xDEADBEEFDEADBEEF
72894 .xword 0xDEADBEEFDEADBEEF
72895 .xword 0xDEADBEEFDEADBEEF
72896 .xword 0xDEADBEEFDEADBEEF
72897 .xword 0xDEADBEEFDEADBEEF
72898 .xword 0xDEADBEEFDEADBEEF
72899 .xword 0xDEADBEEFDEADBEEF
72900 .xword 0xDEADBEEFDEADBEEF
72901 .xword 0xDEADBEEFDEADBEEF
72902 .xword 0xDEADBEEFDEADBEEF
72903 .xword 0xDEADBEEFDEADBEEF
72904 .xword 0xDEADBEEFDEADBEEF
72905 .xword 0xDEADBEEFDEADBEEF
72906 .xword 0xDEADBEEFDEADBEEF
72907 .xword 0xDEADBEEFDEADBEEF
72908 .xword 0xDEADBEEFDEADBEEF
72909 .xword 0xDEADBEEFDEADBEEF
72910 .xword 0xDEADBEEFDEADBEEF
72911 .xword 0xDEADBEEFDEADBEEF
72912 .xword 0xDEADBEEFDEADBEEF
72913 .xword 0xDEADBEEFDEADBEEF
72914 .xword 0xDEADBEEFDEADBEEF
72915 .xword 0xDEADBEEFDEADBEEF
72916 .xword 0xDEADBEEFDEADBEEF
72917 .xword 0xDEADBEEFDEADBEEF
72918 .xword 0xDEADBEEFDEADBEEF
72919 .xword 0xDEADBEEFDEADBEEF
72920 .xword 0xDEADBEEFDEADBEEF
72921 .xword 0xDEADBEEFDEADBEEF
72922 .xword 0xDEADBEEFDEADBEEF
72923 .xword 0xDEADBEEFDEADBEEF
72924 .xword 0xDEADBEEFDEADBEEF
72925 .xword 0xDEADBEEFDEADBEEF
72926 .xword 0xDEADBEEFDEADBEEF
72927 .xword 0xDEADBEEFDEADBEEF
72928 .xword 0xDEADBEEFDEADBEEF
72929 .xword 0xDEADBEEFDEADBEEF
72930 .xword 0xDEADBEEFDEADBEEF
72931 .xword 0xDEADBEEFDEADBEEF
72932 .xword 0xDEADBEEFDEADBEEF
72933 .xword 0xDEADBEEFDEADBEEF
72934 .xword 0xDEADBEEFDEADBEEF
72935 .xword 0xDEADBEEFDEADBEEF
72936 .xword 0xDEADBEEFDEADBEEF
72937 .xword 0xDEADBEEFDEADBEEF
72938 .xword 0xDEADBEEFDEADBEEF
72939 .xword 0xDEADBEEFDEADBEEF
72940 .xword 0xDEADBEEFDEADBEEF
72941 .xword 0xDEADBEEFDEADBEEF
72942 .xword 0xDEADBEEFDEADBEEF
72943 .xword 0xDEADBEEFDEADBEEF
72944 .xword 0xDEADBEEFDEADBEEF
72945 .xword 0xDEADBEEFDEADBEEF
72946 .xword 0xDEADBEEFDEADBEEF
72947 .xword 0xDEADBEEFDEADBEEF
72948 .xword 0xDEADBEEFDEADBEEF
72949 .xword 0xDEADBEEFDEADBEEF
72950 .xword 0xDEADBEEFDEADBEEF
72951 .xword 0xDEADBEEFDEADBEEF
72952 .xword 0xDEADBEEFDEADBEEF
72953 .xword 0xDEADBEEFDEADBEEF
72954 .xword 0xDEADBEEFDEADBEEF
72955 .xword 0xDEADBEEFDEADBEEF
72956 .xword 0xDEADBEEFDEADBEEF
72957 .xword 0xDEADBEEFDEADBEEF
72958 .xword 0xDEADBEEFDEADBEEF
72959 .xword 0xDEADBEEFDEADBEEF
72960 .xword 0xDEADBEEFDEADBEEF
72961 .xword 0xDEADBEEFDEADBEEF
72962 .xword 0xDEADBEEFDEADBEEF
72963 .xword 0xDEADBEEFDEADBEEF
72964 .xword 0xDEADBEEFDEADBEEF
72965 .xword 0xDEADBEEFDEADBEEF
72966 .xword 0xDEADBEEFDEADBEEF
72967 .xword 0xDEADBEEFDEADBEEF
72968 .xword 0xDEADBEEFDEADBEEF
72969 .xword 0xDEADBEEFDEADBEEF
72970 .xword 0xDEADBEEFDEADBEEF
72971 .xword 0xDEADBEEFDEADBEEF
72972 .xword 0xDEADBEEFDEADBEEF
72973 .xword 0xDEADBEEFDEADBEEF
72974 .xword 0xDEADBEEFDEADBEEF
72975 .xword 0xDEADBEEFDEADBEEF
72976 .xword 0xDEADBEEFDEADBEEF
72977 .xword 0xDEADBEEFDEADBEEF
72978 .xword 0xDEADBEEFDEADBEEF
72979 .xword 0xDEADBEEFDEADBEEF
72980 .xword 0xDEADBEEFDEADBEEF
72981 .xword 0xDEADBEEFDEADBEEF
72982 .xword 0xDEADBEEFDEADBEEF
72983 .xword 0xDEADBEEFDEADBEEF
72984 .xword 0xDEADBEEFDEADBEEF
72985 .xword 0xDEADBEEFDEADBEEF
72986 .xword 0xDEADBEEFDEADBEEF
72987 .xword 0xDEADBEEFDEADBEEF
72988 .xword 0xDEADBEEFDEADBEEF
72989 .xword 0xDEADBEEFDEADBEEF
72990 .xword 0xDEADBEEFDEADBEEF
72991 .xword 0xDEADBEEFDEADBEEF
72992 .xword 0xDEADBEEFDEADBEEF
72993 .xword 0xDEADBEEFDEADBEEF
72994 .xword 0xDEADBEEFDEADBEEF
72995 .xword 0xDEADBEEFDEADBEEF
72996 .xword 0xDEADBEEFDEADBEEF
72997 .xword 0xDEADBEEFDEADBEEF
72998 .xword 0xDEADBEEFDEADBEEF
72999 .xword 0xDEADBEEFDEADBEEF
73000 .xword 0xDEADBEEFDEADBEEF
73001 .xword 0xDEADBEEFDEADBEEF
73002 .xword 0xDEADBEEFDEADBEEF
73003 .xword 0xDEADBEEFDEADBEEF
73004 .xword 0xDEADBEEFDEADBEEF
73005 .xword 0xDEADBEEFDEADBEEF
73006 .xword 0xDEADBEEFDEADBEEF
73007 .xword 0xDEADBEEFDEADBEEF
73008 .xword 0xDEADBEEFDEADBEEF
73009 .xword 0xDEADBEEFDEADBEEF
73010 .xword 0xDEADBEEFDEADBEEF
73011 .xword 0xDEADBEEFDEADBEEF
73012 .xword 0xDEADBEEFDEADBEEF
73013 .xword 0xDEADBEEFDEADBEEF
73014 .xword 0xDEADBEEFDEADBEEF
73015 .xword 0xDEADBEEFDEADBEEF
73016 .xword 0xDEADBEEFDEADBEEF
73017 .xword 0xDEADBEEFDEADBEEF
73018 .xword 0xDEADBEEFDEADBEEF
73019 .xword 0xDEADBEEFDEADBEEF
73020 .xword 0xDEADBEEFDEADBEEF
73021 .xword 0xDEADBEEFDEADBEEF
73022 .xword 0xDEADBEEFDEADBEEF
73023 .xword 0xDEADBEEFDEADBEEF
73024 .xword 0xDEADBEEFDEADBEEF
73025 .xword 0xDEADBEEFDEADBEEF
73026 .xword 0xDEADBEEFDEADBEEF
73027 .xword 0xDEADBEEFDEADBEEF
73028 .xword 0xDEADBEEFDEADBEEF
73029 .xword 0xDEADBEEFDEADBEEF
73030 .xword 0xDEADBEEFDEADBEEF
73031 .xword 0xDEADBEEFDEADBEEF
73032 .xword 0xDEADBEEFDEADBEEF
73033 .xword 0xDEADBEEFDEADBEEF
73034 .xword 0xDEADBEEFDEADBEEF
73035 .xword 0xDEADBEEFDEADBEEF
73036 .xword 0xDEADBEEFDEADBEEF
73037 .xword 0xDEADBEEFDEADBEEF
73038 .xword 0xDEADBEEFDEADBEEF
73039 .xword 0xDEADBEEFDEADBEEF
73040 .xword 0xDEADBEEFDEADBEEF
73041 .xword 0xDEADBEEFDEADBEEF
73042 .xword 0xDEADBEEFDEADBEEF
73043 .xword 0xDEADBEEFDEADBEEF
73044 .xword 0xDEADBEEFDEADBEEF
73045 .xword 0xDEADBEEFDEADBEEF
73046 .xword 0xDEADBEEFDEADBEEF
73047 .xword 0xDEADBEEFDEADBEEF
73048 .xword 0xDEADBEEFDEADBEEF
73049 .xword 0xDEADBEEFDEADBEEF
73050 .xword 0xDEADBEEFDEADBEEF
73051 .xword 0xDEADBEEFDEADBEEF
73052 .xword 0xDEADBEEFDEADBEEF
73053 .xword 0xDEADBEEFDEADBEEF
73054 .xword 0xDEADBEEFDEADBEEF
73055 .xword 0xDEADBEEFDEADBEEF
73056 .xword 0xDEADBEEFDEADBEEF
73057 .xword 0xDEADBEEFDEADBEEF
73058 .xword 0xDEADBEEFDEADBEEF
73059 .xword 0xDEADBEEFDEADBEEF
73060 .xword 0xDEADBEEFDEADBEEF
73061 .xword 0xDEADBEEFDEADBEEF
73062 .xword 0xDEADBEEFDEADBEEF
73063 .xword 0xDEADBEEFDEADBEEF
73064 .xword 0xDEADBEEFDEADBEEF
73065 .xword 0xDEADBEEFDEADBEEF
73066 .xword 0xDEADBEEFDEADBEEF
73067 .xword 0xDEADBEEFDEADBEEF
73068 .xword 0xDEADBEEFDEADBEEF
73069 .xword 0xDEADBEEFDEADBEEF
73070 .xword 0xDEADBEEFDEADBEEF
73071 .xword 0xDEADBEEFDEADBEEF
73072 .xword 0xDEADBEEFDEADBEEF
73073 .xword 0xDEADBEEFDEADBEEF
73074 .xword 0xDEADBEEFDEADBEEF
73075 .xword 0xDEADBEEFDEADBEEF
73076 .xword 0xDEADBEEFDEADBEEF
73077 .xword 0xDEADBEEFDEADBEEF
73078 .xword 0xDEADBEEFDEADBEEF
73079 .xword 0xDEADBEEFDEADBEEF
73080 .xword 0xDEADBEEFDEADBEEF
73081 .xword 0xDEADBEEFDEADBEEF
73082 .xword 0xDEADBEEFDEADBEEF
73083 .xword 0xDEADBEEFDEADBEEF
73084 .xword 0xDEADBEEFDEADBEEF
73085 .xword 0xDEADBEEFDEADBEEF
73086 .xword 0xDEADBEEFDEADBEEF
73087 .xword 0xDEADBEEFDEADBEEF
73088 .xword 0xDEADBEEFDEADBEEF
73089 .xword 0xDEADBEEFDEADBEEF
73090 .xword 0xDEADBEEFDEADBEEF
73091 .xword 0xDEADBEEFDEADBEEF
73092 .xword 0xDEADBEEFDEADBEEF
73093 .xword 0xDEADBEEFDEADBEEF
73094 .xword 0xDEADBEEFDEADBEEF
73095 .xword 0xDEADBEEFDEADBEEF
73096 .xword 0xDEADBEEFDEADBEEF
73097 .xword 0xDEADBEEFDEADBEEF
73098 .xword 0xDEADBEEFDEADBEEF
73099 .xword 0xDEADBEEFDEADBEEF
73100 .xword 0xDEADBEEFDEADBEEF
73101 .xword 0xDEADBEEFDEADBEEF
73102 .xword 0xDEADBEEFDEADBEEF
73103 .xword 0xDEADBEEFDEADBEEF
73104 .xword 0xDEADBEEFDEADBEEF
73105 .xword 0xDEADBEEFDEADBEEF
73106 .xword 0xDEADBEEFDEADBEEF
73107 .xword 0xDEADBEEFDEADBEEF
73108 .xword 0xDEADBEEFDEADBEEF
73109 .xword 0xDEADBEEFDEADBEEF
73110 .xword 0xDEADBEEFDEADBEEF
73111 .xword 0xDEADBEEFDEADBEEF
73112 .xword 0xDEADBEEFDEADBEEF
73113 .xword 0xDEADBEEFDEADBEEF
73114 .xword 0xDEADBEEFDEADBEEF
73115 .xword 0xDEADBEEFDEADBEEF
73116 .xword 0xDEADBEEFDEADBEEF
73117 .xword 0xDEADBEEFDEADBEEF
73118 .xword 0xDEADBEEFDEADBEEF
73119 .xword 0xDEADBEEFDEADBEEF
73120 .xword 0xDEADBEEFDEADBEEF
73121 .xword 0xDEADBEEFDEADBEEF
73122 .xword 0xDEADBEEFDEADBEEF
73123 .xword 0xDEADBEEFDEADBEEF
73124 .xword 0xDEADBEEFDEADBEEF
73125 .xword 0xDEADBEEFDEADBEEF
73126 .xword 0xDEADBEEFDEADBEEF
73127 .xword 0xDEADBEEFDEADBEEF
73128 .xword 0xDEADBEEFDEADBEEF
73129 .xword 0xDEADBEEFDEADBEEF
73130 .xword 0xDEADBEEFDEADBEEF
73131 .xword 0xDEADBEEFDEADBEEF
73132 .xword 0xDEADBEEFDEADBEEF
73133 .xword 0xDEADBEEFDEADBEEF
73134 .xword 0xDEADBEEFDEADBEEF
73135 .xword 0xDEADBEEFDEADBEEF
73136 .xword 0xDEADBEEFDEADBEEF
73137 .xword 0xDEADBEEFDEADBEEF
73138 .xword 0xDEADBEEFDEADBEEF
73139 .xword 0xDEADBEEFDEADBEEF
73140_t1_sslkey_auth_key:
73141 .xword 0xe7fae3de742d09ac
73142 .xword 0x9212162e67ff4d7b
73143 .xword 0xfba4b1ab2483a062
73144 .xword 0x798794dbf3bcb763
73145 .xword 0x9e287344fdca59d2
73146 .xword 0x02217cec701c2c0f
73147 .xword 0x20a3818764b074e0
73148 .xword 0x36bc33406fff8dff
73149 .xword 0x7ae2a45f96edbde1
73150 .xword 0x6534688d4f0b77ef
73151 .xword 0x49a942420a56b7a4
73152 .xword 0x66c1df5b92cb4de6
73153 .xword 0x28adf357c8329d39
73154 .xword 0xefa0597895f59306
73155 .xword 0xc5f4433af94e52ff
73156 .xword 0x35adb180716382e4
73157 .xword 0xe7cb5a72af866448
73158 .xword 0xbe7c70f090d44141
73159 .xword 0x0b2203cf767e1987
73160 .xword 0xadaf9e0835977020
73161 .xword 0x6c9e6ba3ca82475e
73162 .xword 0x957e37325d5ac268
73163 .xword 0xee275b900c043c24
73164_t1_sslkey_auth_iv:
73165 .xword 0xe44f6f918f87f21a
73166 .xword 0x9fed216da996d063
73167 .xword 0x315692240ce4c94a
73168 .xword 0x661610411151c13b
73169 .xword 0xb248d6f3c29fff29
73170 .xword 0x6b315ee75eefb4aa
73171 .xword 0x15d355687c567a82
73172 .xword 0x9fc748582a7c5e61
73173 .xword 0x41cc7e54176f32c1
73174 .xword 0x7d2a10e8a2ca0b2f
73175 .xword 0xe08bb2eafcefecf8
73176 .xword 0xc4adceb706f48360
73177 .xword 0xf637659301dd72c5
73178 .xword 0x4527a8a503337d16
73179 .xword 0x7004e0ca77cef8d4
73180 .xword 0x6537f06017d0b87c
73181 .xword 0xa786f319f43c87b2
73182 .xword 0x70a7995096731294
73183 .xword 0xb45fa15bb5ee6811
73184 .xword 0x0fbdcaaff4a36053
73185 .xword 0x06e46ce6057ce19f
73186 .xword 0x845e23ac0502769a
73187 .xword 0x2e045a12122bbb38
73188_t1_sslkey_fas_result:
73189 .xword 0xDEADBEEFDEADBEEF
73190 .xword 0xDEADBEEFDEADBEEF
73191 .xword 0xDEADBEEFDEADBEEF
73192 .xword 0xDEADBEEFDEADBEEF
73193 .xword 0xDEADBEEFDEADBEEF
73194 .xword 0xDEADBEEFDEADBEEF
73195 .xword 0xDEADBEEFDEADBEEF
73196 .xword 0xDEADBEEFDEADBEEF
73197 .xword 0xDEADBEEFDEADBEEF
73198 .xword 0xDEADBEEFDEADBEEF
73199 .xword 0xDEADBEEFDEADBEEF
73200 .xword 0xDEADBEEFDEADBEEF
73201 .xword 0xDEADBEEFDEADBEEF
73202 .xword 0xDEADBEEFDEADBEEF
73203 .xword 0xDEADBEEFDEADBEEF
73204 .xword 0xDEADBEEFDEADBEEF
73205 .xword 0xDEADBEEFDEADBEEF
73206 .xword 0xDEADBEEFDEADBEEF
73207 .xword 0xDEADBEEFDEADBEEF
73208 .xword 0xDEADBEEFDEADBEEF
73209 .xword 0xDEADBEEFDEADBEEF
73210 .xword 0xDEADBEEFDEADBEEF
73211 .xword 0xDEADBEEFDEADBEEF
73212_t1_aes_toc:
73213 .xword _t1_aes_cwd_array
73214 .xword _t1_aes_src
73215 .xword _t1_aes_auth_key
73216 .xword _t1_aes_auth_iv
73217 .xword _t1_aes_fas_result
73218 .xword _t1_aes_key_array
73219 .xword _t1_aes_iv_array
73220 .xword _t1_aes_dest
73221 .xword _t1_aes_alignment_array
73222_t1_des_toc:
73223 .xword _t1_des_cwd_array
73224 .xword _t1_des_src
73225 .xword _t1_des_auth_key
73226 .xword _t1_des_auth_iv
73227 .xword _t1_des_fas_result
73228 .xword _t1_des_key_array
73229 .xword _t1_des_iv_array
73230 .xword _t1_des_dest
73231 .xword _t1_des_alignment_array
73232_t1_copy_toc:
73233 .xword _t1_copy_cwd_array
73234 .xword _t1_copy_src
73235 .xword _t1_copy_auth_key
73236 .xword _t1_copy_auth_iv
73237 .xword _t1_copy_fas_result
73238 .xword _t1_copy_key_array
73239 .xword _t1_copy_iv_array
73240 .xword _t1_copy_dest
73241 .xword _t1_copy_alignment_array
73242_t1_crc_toc:
73243 .xword _t1_crc_cwd_array
73244 .xword _t1_crc_src
73245 .xword _t1_crc_auth_key
73246 .xword _t1_crc_auth_iv
73247 .xword _t1_crc_fas_result
73248 .xword _t1_crc_key_array
73249 .xword _t1_crc_iv_array
73250 .xword _t1_crc_dest
73251 .xword _t1_crc_alignment_array
73252_t1_hash_toc:
73253 .xword _t1_hash_cwd_array
73254 .xword _t1_hash_src
73255 .xword _t1_hash_auth_key
73256 .xword _t1_hash_auth_iv
73257 .xword _t1_hash_fas_result
73258 .xword _t1_hash_key_array
73259 .xword _t1_hash_iv_array
73260 .xword _t1_hash_dest
73261 .xword _t1_hash_alignment_array
73262_t1_hmac_toc:
73263 .xword _t1_hmac_cwd_array
73264 .xword _t1_hmac_src
73265 .xword _t1_hmac_auth_key
73266 .xword _t1_hmac_auth_iv
73267 .xword _t1_hmac_fas_result
73268 .xword _t1_hmac_key_array
73269 .xword _t1_hmac_iv_array
73270 .xword _t1_hmac_dest
73271 .xword _t1_hmac_alignment_array
73272_t1_rc4_toc:
73273 .xword _t1_rc4_cwd_array
73274 .xword _t1_rc4_src
73275 .xword _t1_rc4_auth_key
73276 .xword _t1_rc4_auth_iv
73277 .xword _t1_rc4_fas_result
73278 .xword _t1_rc4_key_array
73279 .xword _t1_rc4_iv_array
73280 .xword _t1_rc4_dest
73281 .xword _t1_rc4_alignment_array
73282_t1_sslkey_toc:
73283 .xword _t1_sslkey_cwd_array
73284 .xword _t1_sslkey_src
73285 .xword _t1_sslkey_auth_key
73286 .xword _t1_sslkey_auth_iv
73287 .xword _t1_sslkey_fas_result
73288 .xword _t1_sslkey_key_array
73289 .xword _t1_sslkey_iv_array
73290 .xword _t1_sslkey_dest
73291 .xword _t1_sslkey_alignment_array
73292_t1_table_of_context7:
73293 .xword _t1_aes_toc
73294 .xword _t1_des_toc
73295 .xword _t1_copy_toc
73296 .xword _t1_crc_toc
73297 .xword _t1_hash_toc
73298 .xword _t1_hmac_toc
73299 .xword _t1_rc4_toc
73300 .xword _t1_sslkey_toc
73301
73302!# CWQ data area, set aside 512 CW's worth
73303!# 512*8*8 = 32KB
73304.align 32*1024
73305_t1_cwq_base7:
73306 .xword 0xAAAAAAAAAAAAAAA
73307 .xword 0xAAAAAAAAAAAAAAA
73308 .xword 0xAAAAAAAAAAAAAAA
73309 .xword 0xAAAAAAAAAAAAAAA
73310 .xword 0xAAAAAAAAAAAAAAA
73311 .xword 0xAAAAAAAAAAAAAAA
73312 .xword 0xAAAAAAAAAAAAAAA
73313 .xword 0xAAAAAAAAAAAAAAA
73314.align 32*1024
73315_t1_cwq_last7:
73316
73317SECTION .T_MAX_DATA DATA_VA=0x10000000
73318attr_data {
73319 Name = .T_MAX_DATA
73320 hypervisor
73321}
73322.data
73323_t2_user_data_start:
73324_t2_scratch_area:
73325
73326.align 8
73327.global _t2_ma_operands
73328_t2_ma_operands:
73329.xword 0xad79a6ab4f4e56dc
73330.xword 0x62a118d0d6dae992
73331.xword 0xd017a932fb35451c
73332.xword 0x378fa2ba582fbf75
73333.xword 0xb1e80e8612dedb51
73334.xword 0x1f5fe147586487fc
73335.xword 0xf731cca27d698a41
73336.xword 0xa815b6f30a6570ec
73337.xword 0x3b392b9ebf7cfa2a
73338.xword 0x1f248d54f6c1ef89
73339.xword 0x85c75a21c71f25b3
73340.xword 0x4e8f0939c7d97884
73341.xword 0x9ff26edd87153fd2
73342.xword 0x2a1473859bc3c0a4
73343.xword 0xf4e658bd6ea7f481
73344.xword 0xa26887860bbb3123
73345.xword 0xaf667f733e5ff5ba
73346.xword 0x9d5b49c5508a2f9a
73347.xword 0xeebd35e9eb9d0997
73348.xword 0x3c8204b691654032
73349.xword 0x3b76267721c3f0cf
73350.xword 0xe4e2cd59d9a29a7c
73351.xword 0x40faa0ed149fa908
73352.xword 0x444258e5a9eb8630
73353.xword 0xb0ee6d66d2d2a915
73354.xword 0x68dcc80eb34454ca
73355.xword 0x2b7d6650a2b577a4
73356.xword 0x7c304a1690a91b1f
73357.xword 0x92f365ddb434afcc
73358.xword 0x0bdc1a491e6d9284
73359.xword 0xe5a48976cd4f6796
73360.xword 0x9efc9750f7b5281f
73361.xword 0xa691ac042da56244
73362.xword 0x4bd460b05a8c36a0
73363.xword 0x47ad950480696352
73364.xword 0xebbc325fb839389b
73365.xword 0x44fd9df7724e4fab
73366.xword 0x75a0569e83cae446
73367.xword 0x2d5c429f812e6370
73368.xword 0x3d5114fd754b5c47
73369.xword 0xd135558532beeacc
73370.xword 0xeeae7292593d09f2
73371.xword 0x622c478160068f59
73372.xword 0x53ee0b23ad193783
73373.xword 0xb064b8c64c0fcf1c
73374.xword 0x15f65b90b5a00ae4
73375.xword 0x1d13ef6c169a52b7
73376.xword 0x9b2a05d295c44394
73377.xword 0x4ec3f8e8c332a5cc
73378.xword 0x84e16c46deff31e9
73379.xword 0x4287d446fa63fc26
73380.xword 0x69e261ec42f30f77
73381.xword 0x95184e6407ab85de
73382.xword 0x968fe9c1772d2ab2
73383.xword 0x5db6fbe8afdd4efe
73384.xword 0xe22eafe19e45995f
73385.xword 0xa3b9d033c5f170db
73386.xword 0x016ce5c6a6677723
73387.xword 0xdcde39c295826b85
73388.xword 0x694d567fa335c9a1
73389.xword 0x3f33df2ae3ca0db3
73390.xword 0x5edb6f3b7bdc3330
73391.xword 0xb83b9894a58073c0
73392.xword 0x2efa0178587d86ae
73393.xword 0x63b274f256f9e7bc
73394.xword 0xce71167a9aa4908f
73395.xword 0x3d9f35d2d51ad92f
73396.xword 0x0f657b12e6a9b9fd
73397.xword 0x63727881aa2903c7
73398.xword 0xd3486191df93eba6
73399.xword 0xe9f8ab9829711998
73400.xword 0x42085eb54dbb057a
73401.xword 0xcf48c6d79ee39ea0
73402.xword 0x3b6982a946cf9231
73403.xword 0x380bf14aed7df9c3
73404.xword 0x7324ed266a17f896
73405.xword 0x4a69d8b43be95938
73406.xword 0x74c0d3308d7fd432
73407.xword 0xeaf1d4c631ac0930
73408.xword 0xb043367076e64bfe
73409.xword 0xd23c9c97eed9bcfe
73410.xword 0xcc6c936149e2afdd
73411.xword 0xa9fd06292d1c9a96
73412.xword 0x3397808458069557
73413.xword 0xa423d40116f58f4e
73414.xword 0xa5c455d992ea2335
73415.xword 0xa9ffb8c6d4d32c98
73416.xword 0x1fc287a6b4f09a63
73417.xword 0xb152f8b291cdcd34
73418.xword 0x675adefa945aa2ed
73419.xword 0xbd1849a1420c5700
73420.xword 0xd1069df74620d2e9
73421.xword 0x9546b6e02f836bb1
73422.xword 0xcff6d7c933d4678a
73423.xword 0x2e7c96f45fcd6866
73424.xword 0x562d01c47efb5b97
73425.xword 0x264c1128e7097e0f
73426.xword 0xef5b30b147dafefc
73427.xword 0xdadfb62c49c97cf4
73428.xword 0x71974c43b21d4f12
73429.xword 0xbda40626709be1fb
73430.xword 0x94272d5a1ed69d27
73431.xword 0x65c911243b5b4921
73432.xword 0x4b249b290be8d23b
73433.xword 0x3287b6456fb6547f
73434.xword 0xc64b47762ef0ebbb
73435.xword 0xc2938248969b05f2
73436.xword 0x86014dfb333e5a97
73437.xword 0x1c2182dba192919d
73438.xword 0x9d4cc10587e9089c
73439.xword 0xf9338d46836626b4
73440.xword 0x5b0475f0325325b5
73441.xword 0x6a0c30cd224d5de6
73442.xword 0x4def8a69209d03eb
73443.xword 0x3b5b6eb947c31db5
73444.xword 0x0b09e9c8f91c24f2
73445.xword 0xcb25de4cfc5c1f64
73446.xword 0x784c06b721edf39c
73447.xword 0x74de8d49bcbae6d1
73448.xword 0xc52c9e078a67f3ba
73449.xword 0x2c16add815f8ffd4
73450.xword 0x9f2e4dc98db3502c
73451.xword 0x392b936e0a2e18db
73452.xword 0xf1a73ea3c4b85805
73453.xword 0x45f57dd5310954fc
73454.xword 0x9eac3fa2fddba027
73455.xword 0xdf6162135c6ab6d3
73456.xword 0x0cc7a72e0e6de473
73457.xword 0xe9180381a8849701
73458.xword 0xc53004cff81eb241
73459.xword 0x3e33fbeb36c0e77c
73460.xword 0x58a0bc6977380b9d
73461.xword 0x873a29fdcfaefa5c
73462.xword 0xf9238dea23e270f4
73463.xword 0x30cb0f6bf276b330
73464.xword 0x4ef649c521d7ad5d
73465.xword 0xed6261256a7286fe
73466.xword 0x2007754e35e3d97d
73467.xword 0xecb3729d668b00a3
73468.xword 0x41dd7c6718f41969
73469.xword 0x78b0db8138f273da
73470.xword 0xc780b0b2fa9f12fc
73471.xword 0xf881f96f3fb45501
73472.xword 0xf03ab63b5f583af8
73473.xword 0x496a00ca01b088c8
73474.xword 0xd65432aeae938920
73475.xword 0x49528f2f2e5e526d
73476.xword 0x91029c558c9dbd79
73477.xword 0x0e83678da0e71795
73478.xword 0x51a7c102ab611513
73479.xword 0x4597fbfee893cfdf
73480.xword 0xbd2574ffbf8565cf
73481.xword 0x87cfe054b142b6c8
73482.xword 0xfa2b3df6b12a52ea
73483.xword 0xdad9eafad815ca80
73484.xword 0x0847be23a73656ab
73485.xword 0x2895b7d09029a271
73486.xword 0xfdd014a6ec0e111f
73487.xword 0x9190cc69c3d5718d
73488.xword 0xde0d6e3641121bde
73489.xword 0xef736de38df1c693
73490.xword 0xc965d41311dded37
73491.xword 0xb9a4b45652e5c65b
73492.xword 0x92a618b44de5da35
73493
73494.align 8
73495.global _t2_ma_operations
73496_t2_ma_operations:
73497.xword 0
73498.xword 0x00020038 | (3 << 18) !ma_ctl_Ld (0)
73499
73500.xword 0
73501.xword 0x00020125 | (3 << 18) !ma_ctl_St (0)
73502
73503.xword 0x80604020
73504.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (0)
73505
73506.xword 0x356a
73507.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (0)
73508
73509.xword 0x0000006000408020
73510.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (0)
73511
73512.xword 0x6a35
73513.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (0)
73514
73515.xword 0x78285050
73516.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (0)
73517
73518.xword 0x80604020
73519.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (0)
73520
73521.xword 0x00287850
73522.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (0)
73523
73524.xword 0x00287850
73525.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (0)
73526
73527.xword 0x78285050
73528.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (0)
73529
73530.xword 0x64500000003c0000
73531.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (0)
73532
73533.xword 0x7766000000554422
73534.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (0)
73535
73536.xword 0x706200000054462a
73537.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (0)
73538
73539.xword 0x784d005800423721
73540.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (0)
73541
73542.xword 0x804d006000504020
73543.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (0)
73544
73545.xword 0x0000006000408020
73546.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (0)
73547
73548.xword 0
73549.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (0)
73550
73551.xword 0
73552.xword 0x00020081 | (3 << 18) !ma_ctl_Ld (1)
73553
73554.xword 0
73555.xword 0x0002015a | (3 << 18) !ma_ctl_St (1)
73556
73557.xword 0x80604020
73558.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (1)
73559
73560.xword 0x356a
73561.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (1)
73562
73563.xword 0x0000006000408020
73564.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (1)
73565
73566.xword 0x6a35
73567.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (1)
73568
73569.xword 0x78285050
73570.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (1)
73571
73572.xword 0x80604020
73573.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (1)
73574
73575.xword 0x00287850
73576.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (1)
73577
73578.xword 0x00287850
73579.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (1)
73580
73581.xword 0x78285050
73582.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (1)
73583
73584.xword 0x64500000003c0000
73585.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (1)
73586
73587.xword 0x7766000000554422
73588.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (1)
73589
73590.xword 0x706200000054462a
73591.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (1)
73592
73593.xword 0x784d005800423721
73594.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (1)
73595
73596.xword 0x804d006000504020
73597.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (1)
73598
73599.xword 0x0000006000408020
73600.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (1)
73601
73602.xword 0
73603.xword 0x00021105 | (3 << 18) !ma_ctl_StParity (1)
73604
73605.xword 0
73606.xword 0x00020092 | (3 << 18) !ma_ctl_Ld (2)
73607
73608.xword 0
73609.xword 0x00020126 | (3 << 18) !ma_ctl_St (2)
73610
73611.xword 0x80604020
73612.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (2)
73613
73614.xword 0x356a
73615.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (2)
73616
73617.xword 0x0000006000408020
73618.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (2)
73619
73620.xword 0x6a35
73621.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (2)
73622
73623.xword 0x78285050
73624.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (2)
73625
73626.xword 0x80604020
73627.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (2)
73628
73629.xword 0x00287850
73630.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (2)
73631
73632.xword 0x00287850
73633.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (2)
73634
73635.xword 0x78285050
73636.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (2)
73637
73638.xword 0x64500000003c0000
73639.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (2)
73640
73641.xword 0x7766000000554422
73642.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (2)
73643
73644.xword 0x706200000054462a
73645.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (2)
73646
73647.xword 0x784d005800423721
73648.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (2)
73649
73650.xword 0x804d006000504020
73651.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (2)
73652
73653.xword 0x0000006000408020
73654.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (2)
73655
73656.xword 0
73657.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (2)
73658
73659.xword 0
73660.xword 0x00020083 | (3 << 18) !ma_ctl_Ld (3)
73661
73662.xword 0
73663.xword 0x0002017f | (3 << 18) !ma_ctl_St (3)
73664
73665.xword 0x80604020
73666.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (3)
73667
73668.xword 0x356a
73669.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (3)
73670
73671.xword 0x0000006000408020
73672.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (3)
73673
73674.xword 0x6a35
73675.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (3)
73676
73677.xword 0x78285050
73678.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (3)
73679
73680.xword 0x80604020
73681.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (3)
73682
73683.xword 0x00287850
73684.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (3)
73685
73686.xword 0x00287850
73687.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (3)
73688
73689.xword 0x78285050
73690.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (3)
73691
73692.xword 0x64500000003c0000
73693.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (3)
73694
73695.xword 0x7766000000554422
73696.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (3)
73697
73698.xword 0x706200000054462a
73699.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (3)
73700
73701.xword 0x784d005800423721
73702.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (3)
73703
73704.xword 0x804d006000504020
73705.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (3)
73706
73707.xword 0x0000006000408020
73708.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (3)
73709
73710.xword 0
73711.xword 0x00021100 | (3 << 18) !ma_ctl_StParity (3)
73712
73713.xword 0
73714.xword 0x00020044 | (3 << 18) !ma_ctl_Ld (4)
73715
73716.xword 0
73717.xword 0x00020129 | (3 << 18) !ma_ctl_St (4)
73718
73719.xword 0x80604020
73720.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (4)
73721
73722.xword 0x356a
73723.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (4)
73724
73725.xword 0x0000006000408020
73726.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (4)
73727
73728.xword 0x6a35
73729.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (4)
73730
73731.xword 0x78285050
73732.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (4)
73733
73734.xword 0x80604020
73735.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (4)
73736
73737.xword 0x00287850
73738.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (4)
73739
73740.xword 0x00287850
73741.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (4)
73742
73743.xword 0x78285050
73744.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (4)
73745
73746.xword 0x64500000003c0000
73747.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (4)
73748
73749.xword 0x7766000000554422
73750.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (4)
73751
73752.xword 0x706200000054462a
73753.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (4)
73754
73755.xword 0x784d005800423721
73756.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (4)
73757
73758.xword 0x804d006000504020
73759.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (4)
73760
73761.xword 0x0000006000408020
73762.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (4)
73763
73764.xword 0
73765.xword 0x0002110a | (3 << 18) !ma_ctl_StParity (4)
73766
73767.xword 0
73768.xword 0x00020088 | (3 << 18) !ma_ctl_Ld (5)
73769
73770.xword 0
73771.xword 0x0002012b | (3 << 18) !ma_ctl_St (5)
73772
73773.xword 0x80604020
73774.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (5)
73775
73776.xword 0x356a
73777.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (5)
73778
73779.xword 0x0000006000408020
73780.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (5)
73781
73782.xword 0x6a35
73783.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (5)
73784
73785.xword 0x78285050
73786.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (5)
73787
73788.xword 0x80604020
73789.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (5)
73790
73791.xword 0x00287850
73792.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (5)
73793
73794.xword 0x00287850
73795.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (5)
73796
73797.xword 0x78285050
73798.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (5)
73799
73800.xword 0x64500000003c0000
73801.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (5)
73802
73803.xword 0x7766000000554422
73804.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (5)
73805
73806.xword 0x706200000054462a
73807.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (5)
73808
73809.xword 0x784d005800423721
73810.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (5)
73811
73812.xword 0x804d006000504020
73813.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (5)
73814
73815.xword 0x0000006000408020
73816.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (5)
73817
73818.xword 0
73819.xword 0x0002111a | (3 << 18) !ma_ctl_StParity (5)
73820
73821.xword 0
73822.xword 0x00020096 | (3 << 18) !ma_ctl_Ld (6)
73823
73824.xword 0
73825.xword 0x0002015d | (3 << 18) !ma_ctl_St (6)
73826
73827.xword 0x80604020
73828.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (6)
73829
73830.xword 0x356a
73831.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (6)
73832
73833.xword 0x0000006000408020
73834.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (6)
73835
73836.xword 0x6a35
73837.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (6)
73838
73839.xword 0x78285050
73840.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (6)
73841
73842.xword 0x80604020
73843.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (6)
73844
73845.xword 0x00287850
73846.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (6)
73847
73848.xword 0x00287850
73849.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (6)
73850
73851.xword 0x78285050
73852.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (6)
73853
73854.xword 0x64500000003c0000
73855.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (6)
73856
73857.xword 0x7766000000554422
73858.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (6)
73859
73860.xword 0x706200000054462a
73861.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (6)
73862
73863.xword 0x784d005800423721
73864.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (6)
73865
73866.xword 0x804d006000504020
73867.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (6)
73868
73869.xword 0x0000006000408020
73870.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (6)
73871
73872.xword 0
73873.xword 0x00021104 | (3 << 18) !ma_ctl_StParity (6)
73874
73875.xword 0
73876.xword 0x0002009e | (3 << 18) !ma_ctl_Ld (7)
73877
73878.xword 0
73879.xword 0x0002016e | (3 << 18) !ma_ctl_St (7)
73880
73881.xword 0x80604020
73882.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (7)
73883
73884.xword 0x356a
73885.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (7)
73886
73887.xword 0x0000006000408020
73888.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (7)
73889
73890.xword 0x6a35
73891.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (7)
73892
73893.xword 0x78285050
73894.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (7)
73895
73896.xword 0x80604020
73897.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (7)
73898
73899.xword 0x00287850
73900.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (7)
73901
73902.xword 0x00287850
73903.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (7)
73904
73905.xword 0x78285050
73906.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (7)
73907
73908.xword 0x64500000003c0000
73909.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (7)
73910
73911.xword 0x7766000000554422
73912.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (7)
73913
73914.xword 0x706200000054462a
73915.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (7)
73916
73917.xword 0x784d005800423721
73918.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (7)
73919
73920.xword 0x804d006000504020
73921.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (7)
73922
73923.xword 0x0000006000408020
73924.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (7)
73925
73926.xword 0
73927.xword 0x00021114 | (3 << 18) !ma_ctl_StParity (7)
73928
73929.xword 0
73930.xword 0x0002005b | (3 << 18) !ma_ctl_Ld (8)
73931
73932.xword 0
73933.xword 0x00020188 | (3 << 18) !ma_ctl_St (8)
73934
73935.xword 0x80604020
73936.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (8)
73937
73938.xword 0x356a
73939.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (8)
73940
73941.xword 0x0000006000408020
73942.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (8)
73943
73944.xword 0x6a35
73945.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (8)
73946
73947.xword 0x78285050
73948.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (8)
73949
73950.xword 0x80604020
73951.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (8)
73952
73953.xword 0x00287850
73954.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (8)
73955
73956.xword 0x00287850
73957.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (8)
73958
73959.xword 0x78285050
73960.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (8)
73961
73962.xword 0x64500000003c0000
73963.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (8)
73964
73965.xword 0x7766000000554422
73966.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (8)
73967
73968.xword 0x706200000054462a
73969.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (8)
73970
73971.xword 0x784d005800423721
73972.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (8)
73973
73974.xword 0x804d006000504020
73975.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (8)
73976
73977.xword 0x0000006000408020
73978.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (8)
73979
73980.xword 0
73981.xword 0x00021106 | (3 << 18) !ma_ctl_StParity (8)
73982
73983.xword 0
73984.xword 0x00020066 | (3 << 18) !ma_ctl_Ld (9)
73985
73986.xword 0
73987.xword 0x0002012c | (3 << 18) !ma_ctl_St (9)
73988
73989.xword 0x80604020
73990.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (9)
73991
73992.xword 0x356a
73993.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (9)
73994
73995.xword 0x0000006000408020
73996.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (9)
73997
73998.xword 0x6a35
73999.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (9)
74000
74001.xword 0x78285050
74002.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (9)
74003
74004.xword 0x80604020
74005.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (9)
74006
74007.xword 0x00287850
74008.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (9)
74009
74010.xword 0x00287850
74011.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (9)
74012
74013.xword 0x78285050
74014.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (9)
74015
74016.xword 0x64500000003c0000
74017.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (9)
74018
74019.xword 0x7766000000554422
74020.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (9)
74021
74022.xword 0x706200000054462a
74023.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (9)
74024
74025.xword 0x784d005800423721
74026.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (9)
74027
74028.xword 0x804d006000504020
74029.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (9)
74030
74031.xword 0x0000006000408020
74032.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (9)
74033
74034.xword 0
74035.xword 0x00021104 | (3 << 18) !ma_ctl_StParity (9)
74036
74037.xword 0
74038.xword 0x00020061 | (3 << 18) !ma_ctl_Ld (10)
74039
74040.xword 0
74041.xword 0x00020169 | (3 << 18) !ma_ctl_St (10)
74042
74043.xword 0x80604020
74044.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (10)
74045
74046.xword 0x356a
74047.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (10)
74048
74049.xword 0x0000006000408020
74050.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (10)
74051
74052.xword 0x6a35
74053.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (10)
74054
74055.xword 0x78285050
74056.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (10)
74057
74058.xword 0x80604020
74059.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (10)
74060
74061.xword 0x00287850
74062.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (10)
74063
74064.xword 0x00287850
74065.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (10)
74066
74067.xword 0x78285050
74068.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (10)
74069
74070.xword 0x64500000003c0000
74071.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (10)
74072
74073.xword 0x7766000000554422
74074.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (10)
74075
74076.xword 0x706200000054462a
74077.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (10)
74078
74079.xword 0x784d005800423721
74080.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (10)
74081
74082.xword 0x804d006000504020
74083.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (10)
74084
74085.xword 0x0000006000408020
74086.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (10)
74087
74088.xword 0
74089.xword 0x0002110e | (3 << 18) !ma_ctl_StParity (10)
74090
74091.xword 0
74092.xword 0x00020028 | (3 << 18) !ma_ctl_Ld (11)
74093
74094.xword 0
74095.xword 0x0002013c | (3 << 18) !ma_ctl_St (11)
74096
74097.xword 0x80604020
74098.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (11)
74099
74100.xword 0x356a
74101.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (11)
74102
74103.xword 0x0000006000408020
74104.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (11)
74105
74106.xword 0x6a35
74107.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (11)
74108
74109.xword 0x78285050
74110.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (11)
74111
74112.xword 0x80604020
74113.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (11)
74114
74115.xword 0x00287850
74116.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (11)
74117
74118.xword 0x00287850
74119.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (11)
74120
74121.xword 0x78285050
74122.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (11)
74123
74124.xword 0x64500000003c0000
74125.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (11)
74126
74127.xword 0x7766000000554422
74128.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (11)
74129
74130.xword 0x706200000054462a
74131.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (11)
74132
74133.xword 0x784d005800423721
74134.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (11)
74135
74136.xword 0x804d006000504020
74137.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (11)
74138
74139.xword 0x0000006000408020
74140.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (11)
74141
74142.xword 0
74143.xword 0x00021103 | (3 << 18) !ma_ctl_StParity (11)
74144
74145.xword 0
74146.xword 0x00020047 | (3 << 18) !ma_ctl_Ld (12)
74147
74148.xword 0
74149.xword 0x00020153 | (3 << 18) !ma_ctl_St (12)
74150
74151.xword 0x80604020
74152.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (12)
74153
74154.xword 0x356a
74155.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (12)
74156
74157.xword 0x0000006000408020
74158.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (12)
74159
74160.xword 0x6a35
74161.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (12)
74162
74163.xword 0x78285050
74164.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (12)
74165
74166.xword 0x80604020
74167.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (12)
74168
74169.xword 0x00287850
74170.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (12)
74171
74172.xword 0x00287850
74173.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (12)
74174
74175.xword 0x78285050
74176.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (12)
74177
74178.xword 0x64500000003c0000
74179.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (12)
74180
74181.xword 0x7766000000554422
74182.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (12)
74183
74184.xword 0x706200000054462a
74185.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (12)
74186
74187.xword 0x784d005800423721
74188.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (12)
74189
74190.xword 0x804d006000504020
74191.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (12)
74192
74193.xword 0x0000006000408020
74194.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (12)
74195
74196.xword 0
74197.xword 0x00021116 | (3 << 18) !ma_ctl_StParity (12)
74198
74199.xword 0
74200.xword 0x00020078 | (3 << 18) !ma_ctl_Ld (13)
74201
74202.xword 0
74203.xword 0x00020132 | (3 << 18) !ma_ctl_St (13)
74204
74205.xword 0x80604020
74206.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (13)
74207
74208.xword 0x356a
74209.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (13)
74210
74211.xword 0x0000006000408020
74212.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (13)
74213
74214.xword 0x6a35
74215.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (13)
74216
74217.xword 0x78285050
74218.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (13)
74219
74220.xword 0x80604020
74221.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (13)
74222
74223.xword 0x00287850
74224.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (13)
74225
74226.xword 0x00287850
74227.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (13)
74228
74229.xword 0x78285050
74230.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (13)
74231
74232.xword 0x64500000003c0000
74233.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (13)
74234
74235.xword 0x7766000000554422
74236.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (13)
74237
74238.xword 0x706200000054462a
74239.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (13)
74240
74241.xword 0x784d005800423721
74242.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (13)
74243
74244.xword 0x804d006000504020
74245.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (13)
74246
74247.xword 0x0000006000408020
74248.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (13)
74249
74250.xword 0
74251.xword 0x00021100 | (3 << 18) !ma_ctl_StParity (13)
74252
74253.xword 0
74254.xword 0x0002007f | (3 << 18) !ma_ctl_Ld (14)
74255
74256.xword 0
74257.xword 0x0002015b | (3 << 18) !ma_ctl_St (14)
74258
74259.xword 0x80604020
74260.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (14)
74261
74262.xword 0x356a
74263.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (14)
74264
74265.xword 0x0000006000408020
74266.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (14)
74267
74268.xword 0x6a35
74269.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (14)
74270
74271.xword 0x78285050
74272.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (14)
74273
74274.xword 0x80604020
74275.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (14)
74276
74277.xword 0x00287850
74278.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (14)
74279
74280.xword 0x00287850
74281.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (14)
74282
74283.xword 0x78285050
74284.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (14)
74285
74286.xword 0x64500000003c0000
74287.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (14)
74288
74289.xword 0x7766000000554422
74290.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (14)
74291
74292.xword 0x706200000054462a
74293.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (14)
74294
74295.xword 0x784d005800423721
74296.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (14)
74297
74298.xword 0x804d006000504020
74299.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (14)
74300
74301.xword 0x0000006000408020
74302.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (14)
74303
74304.xword 0
74305.xword 0x00021108 | (3 << 18) !ma_ctl_StParity (14)
74306
74307.xword 0
74308.xword 0x0002002f | (3 << 18) !ma_ctl_Ld (15)
74309
74310.xword 0
74311.xword 0x00020166 | (3 << 18) !ma_ctl_St (15)
74312
74313.xword 0x80604020
74314.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (15)
74315
74316.xword 0x356a
74317.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (15)
74318
74319.xword 0x0000006000408020
74320.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (15)
74321
74322.xword 0x6a35
74323.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (15)
74324
74325.xword 0x78285050
74326.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (15)
74327
74328.xword 0x80604020
74329.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (15)
74330
74331.xword 0x00287850
74332.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (15)
74333
74334.xword 0x00287850
74335.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (15)
74336
74337.xword 0x78285050
74338.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (15)
74339
74340.xword 0x64500000003c0000
74341.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (15)
74342
74343.xword 0x7766000000554422
74344.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (15)
74345
74346.xword 0x706200000054462a
74347.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (15)
74348
74349.xword 0x784d005800423721
74350.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (15)
74351
74352.xword 0x804d006000504020
74353.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (15)
74354
74355.xword 0x0000006000408020
74356.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (15)
74357
74358.xword 0
74359.xword 0x0002110b | (3 << 18) !ma_ctl_StParity (15)
74360
74361.xword 0
74362.xword 0x0002009e | (3 << 18) !ma_ctl_Ld (16)
74363
74364.xword 0
74365.xword 0x00020161 | (3 << 18) !ma_ctl_St (16)
74366
74367.xword 0x80604020
74368.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (16)
74369
74370.xword 0x356a
74371.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (16)
74372
74373.xword 0x0000006000408020
74374.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (16)
74375
74376.xword 0x6a35
74377.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (16)
74378
74379.xword 0x78285050
74380.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (16)
74381
74382.xword 0x80604020
74383.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (16)
74384
74385.xword 0x00287850
74386.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (16)
74387
74388.xword 0x00287850
74389.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (16)
74390
74391.xword 0x78285050
74392.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (16)
74393
74394.xword 0x64500000003c0000
74395.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (16)
74396
74397.xword 0x7766000000554422
74398.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (16)
74399
74400.xword 0x706200000054462a
74401.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (16)
74402
74403.xword 0x784d005800423721
74404.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (16)
74405
74406.xword 0x804d006000504020
74407.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (16)
74408
74409.xword 0x0000006000408020
74410.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (16)
74411
74412.xword 0
74413.xword 0x00021115 | (3 << 18) !ma_ctl_StParity (16)
74414
74415.xword 0
74416.xword 0x0002006c | (3 << 18) !ma_ctl_Ld (17)
74417
74418.xword 0
74419.xword 0x00020176 | (3 << 18) !ma_ctl_St (17)
74420
74421.xword 0x80604020
74422.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (17)
74423
74424.xword 0x356a
74425.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (17)
74426
74427.xword 0x0000006000408020
74428.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (17)
74429
74430.xword 0x6a35
74431.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (17)
74432
74433.xword 0x78285050
74434.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (17)
74435
74436.xword 0x80604020
74437.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (17)
74438
74439.xword 0x00287850
74440.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (17)
74441
74442.xword 0x00287850
74443.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (17)
74444
74445.xword 0x78285050
74446.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (17)
74447
74448.xword 0x64500000003c0000
74449.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (17)
74450
74451.xword 0x7766000000554422
74452.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (17)
74453
74454.xword 0x706200000054462a
74455.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (17)
74456
74457.xword 0x784d005800423721
74458.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (17)
74459
74460.xword 0x804d006000504020
74461.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (17)
74462
74463.xword 0x0000006000408020
74464.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (17)
74465
74466.xword 0
74467.xword 0x0002111d | (3 << 18) !ma_ctl_StParity (17)
74468
74469.xword 0
74470.xword 0x00020055 | (3 << 18) !ma_ctl_Ld (18)
74471
74472.xword 0
74473.xword 0x00020122 | (3 << 18) !ma_ctl_St (18)
74474
74475.xword 0x80604020
74476.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (18)
74477
74478.xword 0x356a
74479.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (18)
74480
74481.xword 0x0000006000408020
74482.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (18)
74483
74484.xword 0x6a35
74485.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (18)
74486
74487.xword 0x78285050
74488.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (18)
74489
74490.xword 0x80604020
74491.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (18)
74492
74493.xword 0x00287850
74494.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (18)
74495
74496.xword 0x00287850
74497.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (18)
74498
74499.xword 0x78285050
74500.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (18)
74501
74502.xword 0x64500000003c0000
74503.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (18)
74504
74505.xword 0x7766000000554422
74506.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (18)
74507
74508.xword 0x706200000054462a
74509.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (18)
74510
74511.xword 0x784d005800423721
74512.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (18)
74513
74514.xword 0x804d006000504020
74515.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (18)
74516
74517.xword 0x0000006000408020
74518.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (18)
74519
74520.xword 0
74521.xword 0x0002110c | (3 << 18) !ma_ctl_StParity (18)
74522
74523.xword 0
74524.xword 0x0002007e | (3 << 18) !ma_ctl_Ld (19)
74525
74526.xword 0
74527.xword 0x00020166 | (3 << 18) !ma_ctl_St (19)
74528
74529.xword 0x80604020
74530.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (19)
74531
74532.xword 0x356a
74533.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (19)
74534
74535.xword 0x0000006000408020
74536.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (19)
74537
74538.xword 0x6a35
74539.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (19)
74540
74541.xword 0x78285050
74542.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (19)
74543
74544.xword 0x80604020
74545.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (19)
74546
74547.xword 0x00287850
74548.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (19)
74549
74550.xword 0x00287850
74551.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (19)
74552
74553.xword 0x78285050
74554.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (19)
74555
74556.xword 0x64500000003c0000
74557.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (19)
74558
74559.xword 0x7766000000554422
74560.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (19)
74561
74562.xword 0x706200000054462a
74563.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (19)
74564
74565.xword 0x784d005800423721
74566.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (19)
74567
74568.xword 0x804d006000504020
74569.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (19)
74570
74571.xword 0x0000006000408020
74572.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (19)
74573
74574.xword 0
74575.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (19)
74576
74577.xword 0
74578.xword 0x0002009d | (3 << 18) !ma_ctl_Ld (20)
74579
74580.xword 0
74581.xword 0x0002013a | (3 << 18) !ma_ctl_St (20)
74582
74583.xword 0x80604020
74584.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (20)
74585
74586.xword 0x356a
74587.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (20)
74588
74589.xword 0x0000006000408020
74590.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (20)
74591
74592.xword 0x6a35
74593.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (20)
74594
74595.xword 0x78285050
74596.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (20)
74597
74598.xword 0x80604020
74599.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (20)
74600
74601.xword 0x00287850
74602.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (20)
74603
74604.xword 0x00287850
74605.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (20)
74606
74607.xword 0x78285050
74608.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (20)
74609
74610.xword 0x64500000003c0000
74611.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (20)
74612
74613.xword 0x7766000000554422
74614.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (20)
74615
74616.xword 0x706200000054462a
74617.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (20)
74618
74619.xword 0x784d005800423721
74620.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (20)
74621
74622.xword 0x804d006000504020
74623.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (20)
74624
74625.xword 0x0000006000408020
74626.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (20)
74627
74628.xword 0
74629.xword 0x00021115 | (3 << 18) !ma_ctl_StParity (20)
74630
74631.xword 0
74632.xword 0x00020069 | (3 << 18) !ma_ctl_Ld (21)
74633
74634.xword 0
74635.xword 0x0002016e | (3 << 18) !ma_ctl_St (21)
74636
74637.xword 0x80604020
74638.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (21)
74639
74640.xword 0x356a
74641.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (21)
74642
74643.xword 0x0000006000408020
74644.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (21)
74645
74646.xword 0x6a35
74647.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (21)
74648
74649.xword 0x78285050
74650.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (21)
74651
74652.xword 0x80604020
74653.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (21)
74654
74655.xword 0x00287850
74656.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (21)
74657
74658.xword 0x00287850
74659.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (21)
74660
74661.xword 0x78285050
74662.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (21)
74663
74664.xword 0x64500000003c0000
74665.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (21)
74666
74667.xword 0x7766000000554422
74668.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (21)
74669
74670.xword 0x706200000054462a
74671.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (21)
74672
74673.xword 0x784d005800423721
74674.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (21)
74675
74676.xword 0x804d006000504020
74677.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (21)
74678
74679.xword 0x0000006000408020
74680.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (21)
74681
74682.xword 0
74683.xword 0x0002110c | (3 << 18) !ma_ctl_StParity (21)
74684
74685.xword 0
74686.xword 0x0002005d | (3 << 18) !ma_ctl_Ld (22)
74687
74688.xword 0
74689.xword 0x00020177 | (3 << 18) !ma_ctl_St (22)
74690
74691.xword 0x80604020
74692.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (22)
74693
74694.xword 0x356a
74695.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (22)
74696
74697.xword 0x0000006000408020
74698.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (22)
74699
74700.xword 0x6a35
74701.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (22)
74702
74703.xword 0x78285050
74704.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (22)
74705
74706.xword 0x80604020
74707.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (22)
74708
74709.xword 0x00287850
74710.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (22)
74711
74712.xword 0x00287850
74713.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (22)
74714
74715.xword 0x78285050
74716.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (22)
74717
74718.xword 0x64500000003c0000
74719.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (22)
74720
74721.xword 0x7766000000554422
74722.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (22)
74723
74724.xword 0x706200000054462a
74725.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (22)
74726
74727.xword 0x784d005800423721
74728.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (22)
74729
74730.xword 0x804d006000504020
74731.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (22)
74732
74733.xword 0x0000006000408020
74734.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (22)
74735
74736.xword 0
74737.xword 0x00021102 | (3 << 18) !ma_ctl_StParity (22)
74738
74739.xword 0
74740.xword 0x00020082 | (3 << 18) !ma_ctl_Ld (23)
74741
74742.xword 0
74743.xword 0x00020148 | (3 << 18) !ma_ctl_St (23)
74744
74745.xword 0x80604020
74746.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (23)
74747
74748.xword 0x356a
74749.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (23)
74750
74751.xword 0x0000006000408020
74752.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (23)
74753
74754.xword 0x6a35
74755.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (23)
74756
74757.xword 0x78285050
74758.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (23)
74759
74760.xword 0x80604020
74761.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (23)
74762
74763.xword 0x00287850
74764.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (23)
74765
74766.xword 0x00287850
74767.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (23)
74768
74769.xword 0x78285050
74770.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (23)
74771
74772.xword 0x64500000003c0000
74773.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (23)
74774
74775.xword 0x7766000000554422
74776.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (23)
74777
74778.xword 0x706200000054462a
74779.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (23)
74780
74781.xword 0x784d005800423721
74782.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (23)
74783
74784.xword 0x804d006000504020
74785.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (23)
74786
74787.xword 0x0000006000408020
74788.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (23)
74789
74790.xword 0
74791.xword 0x0002111b | (3 << 18) !ma_ctl_StParity (23)
74792
74793.xword 0
74794.xword 0x0002001e | (3 << 18) !ma_ctl_Ld (24)
74795
74796.xword 0
74797.xword 0x00020188 | (3 << 18) !ma_ctl_St (24)
74798
74799.xword 0x80604020
74800.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (24)
74801
74802.xword 0x356a
74803.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (24)
74804
74805.xword 0x0000006000408020
74806.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (24)
74807
74808.xword 0x6a35
74809.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (24)
74810
74811.xword 0x78285050
74812.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (24)
74813
74814.xword 0x80604020
74815.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (24)
74816
74817.xword 0x00287850
74818.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (24)
74819
74820.xword 0x00287850
74821.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (24)
74822
74823.xword 0x78285050
74824.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (24)
74825
74826.xword 0x64500000003c0000
74827.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (24)
74828
74829.xword 0x7766000000554422
74830.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (24)
74831
74832.xword 0x706200000054462a
74833.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (24)
74834
74835.xword 0x784d005800423721
74836.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (24)
74837
74838.xword 0x804d006000504020
74839.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (24)
74840
74841.xword 0x0000006000408020
74842.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (24)
74843
74844.xword 0
74845.xword 0x0002110a | (3 << 18) !ma_ctl_StParity (24)
74846
74847.xword 0
74848.xword 0x00020059 | (3 << 18) !ma_ctl_Ld (25)
74849
74850.xword 0
74851.xword 0x0002017e | (3 << 18) !ma_ctl_St (25)
74852
74853.xword 0x80604020
74854.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (25)
74855
74856.xword 0x356a
74857.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (25)
74858
74859.xword 0x0000006000408020
74860.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (25)
74861
74862.xword 0x6a35
74863.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (25)
74864
74865.xword 0x78285050
74866.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (25)
74867
74868.xword 0x80604020
74869.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (25)
74870
74871.xword 0x00287850
74872.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (25)
74873
74874.xword 0x00287850
74875.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (25)
74876
74877.xword 0x78285050
74878.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (25)
74879
74880.xword 0x64500000003c0000
74881.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (25)
74882
74883.xword 0x7766000000554422
74884.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (25)
74885
74886.xword 0x706200000054462a
74887.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (25)
74888
74889.xword 0x784d005800423721
74890.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (25)
74891
74892.xword 0x804d006000504020
74893.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (25)
74894
74895.xword 0x0000006000408020
74896.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (25)
74897
74898.xword 0
74899.xword 0x0002111e | (3 << 18) !ma_ctl_StParity (25)
74900
74901.xword 0
74902.xword 0x0002006d | (3 << 18) !ma_ctl_Ld (26)
74903
74904.xword 0
74905.xword 0x00020128 | (3 << 18) !ma_ctl_St (26)
74906
74907.xword 0x80604020
74908.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (26)
74909
74910.xword 0x356a
74911.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (26)
74912
74913.xword 0x0000006000408020
74914.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (26)
74915
74916.xword 0x6a35
74917.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (26)
74918
74919.xword 0x78285050
74920.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (26)
74921
74922.xword 0x80604020
74923.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (26)
74924
74925.xword 0x00287850
74926.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (26)
74927
74928.xword 0x00287850
74929.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (26)
74930
74931.xword 0x78285050
74932.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (26)
74933
74934.xword 0x64500000003c0000
74935.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (26)
74936
74937.xword 0x7766000000554422
74938.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (26)
74939
74940.xword 0x706200000054462a
74941.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (26)
74942
74943.xword 0x784d005800423721
74944.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (26)
74945
74946.xword 0x804d006000504020
74947.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (26)
74948
74949.xword 0x0000006000408020
74950.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (26)
74951
74952.xword 0
74953.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (26)
74954
74955.xword 0
74956.xword 0x00020090 | (3 << 18) !ma_ctl_Ld (27)
74957
74958.xword 0
74959.xword 0x00020152 | (3 << 18) !ma_ctl_St (27)
74960
74961.xword 0x80604020
74962.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (27)
74963
74964.xword 0x356a
74965.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (27)
74966
74967.xword 0x0000006000408020
74968.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (27)
74969
74970.xword 0x6a35
74971.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (27)
74972
74973.xword 0x78285050
74974.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (27)
74975
74976.xword 0x80604020
74977.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (27)
74978
74979.xword 0x00287850
74980.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (27)
74981
74982.xword 0x00287850
74983.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (27)
74984
74985.xword 0x78285050
74986.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (27)
74987
74988.xword 0x64500000003c0000
74989.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (27)
74990
74991.xword 0x7766000000554422
74992.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (27)
74993
74994.xword 0x706200000054462a
74995.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (27)
74996
74997.xword 0x784d005800423721
74998.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (27)
74999
75000.xword 0x804d006000504020
75001.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (27)
75002
75003.xword 0x0000006000408020
75004.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (27)
75005
75006.xword 0
75007.xword 0x00021117 | (3 << 18) !ma_ctl_StParity (27)
75008
75009.xword 0
75010.xword 0x00020066 | (3 << 18) !ma_ctl_Ld (28)
75011
75012.xword 0
75013.xword 0x0002018a | (3 << 18) !ma_ctl_St (28)
75014
75015.xword 0x80604020
75016.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (28)
75017
75018.xword 0x356a
75019.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (28)
75020
75021.xword 0x0000006000408020
75022.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (28)
75023
75024.xword 0x6a35
75025.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (28)
75026
75027.xword 0x78285050
75028.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (28)
75029
75030.xword 0x80604020
75031.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (28)
75032
75033.xword 0x00287850
75034.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (28)
75035
75036.xword 0x00287850
75037.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (28)
75038
75039.xword 0x78285050
75040.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (28)
75041
75042.xword 0x64500000003c0000
75043.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (28)
75044
75045.xword 0x7766000000554422
75046.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (28)
75047
75048.xword 0x706200000054462a
75049.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (28)
75050
75051.xword 0x784d005800423721
75052.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (28)
75053
75054.xword 0x804d006000504020
75055.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (28)
75056
75057.xword 0x0000006000408020
75058.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (28)
75059
75060.xword 0
75061.xword 0x0002110c | (3 << 18) !ma_ctl_StParity (28)
75062
75063.xword 0
75064.xword 0x00020026 | (3 << 18) !ma_ctl_Ld (29)
75065
75066.xword 0
75067.xword 0x00020160 | (3 << 18) !ma_ctl_St (29)
75068
75069.xword 0x80604020
75070.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (29)
75071
75072.xword 0x356a
75073.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (29)
75074
75075.xword 0x0000006000408020
75076.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (29)
75077
75078.xword 0x6a35
75079.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (29)
75080
75081.xword 0x78285050
75082.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (29)
75083
75084.xword 0x80604020
75085.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (29)
75086
75087.xword 0x00287850
75088.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (29)
75089
75090.xword 0x00287850
75091.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (29)
75092
75093.xword 0x78285050
75094.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (29)
75095
75096.xword 0x64500000003c0000
75097.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (29)
75098
75099.xword 0x7766000000554422
75100.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (29)
75101
75102.xword 0x706200000054462a
75103.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (29)
75104
75105.xword 0x784d005800423721
75106.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (29)
75107
75108.xword 0x804d006000504020
75109.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (29)
75110
75111.xword 0x0000006000408020
75112.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (29)
75113
75114.xword 0
75115.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (29)
75116
75117.xword 0
75118.xword 0x00020045 | (3 << 18) !ma_ctl_Ld (30)
75119
75120.xword 0
75121.xword 0x00020184 | (3 << 18) !ma_ctl_St (30)
75122
75123.xword 0x80604020
75124.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (30)
75125
75126.xword 0x356a
75127.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (30)
75128
75129.xword 0x0000006000408020
75130.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (30)
75131
75132.xword 0x6a35
75133.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (30)
75134
75135.xword 0x78285050
75136.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (30)
75137
75138.xword 0x80604020
75139.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (30)
75140
75141.xword 0x00287850
75142.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (30)
75143
75144.xword 0x00287850
75145.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (30)
75146
75147.xword 0x78285050
75148.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (30)
75149
75150.xword 0x64500000003c0000
75151.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (30)
75152
75153.xword 0x7766000000554422
75154.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (30)
75155
75156.xword 0x706200000054462a
75157.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (30)
75158
75159.xword 0x784d005800423721
75160.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (30)
75161
75162.xword 0x804d006000504020
75163.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (30)
75164
75165.xword 0x0000006000408020
75166.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (30)
75167
75168.xword 0
75169.xword 0x0002111d | (3 << 18) !ma_ctl_StParity (30)
75170
75171.xword 0
75172.xword 0x00020067 | (3 << 18) !ma_ctl_Ld (31)
75173
75174.xword 0
75175.xword 0x00020176 | (3 << 18) !ma_ctl_St (31)
75176
75177.xword 0x80604020
75178.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (31)
75179
75180.xword 0x356a
75181.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (31)
75182
75183.xword 0x0000006000408020
75184.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (31)
75185
75186.xword 0x6a35
75187.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (31)
75188
75189.xword 0x78285050
75190.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (31)
75191
75192.xword 0x80604020
75193.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (31)
75194
75195.xword 0x00287850
75196.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (31)
75197
75198.xword 0x00287850
75199.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (31)
75200
75201.xword 0x78285050
75202.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (31)
75203
75204.xword 0x64500000003c0000
75205.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (31)
75206
75207.xword 0x7766000000554422
75208.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (31)
75209
75210.xword 0x706200000054462a
75211.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (31)
75212
75213.xword 0x784d005800423721
75214.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (31)
75215
75216.xword 0x804d006000504020
75217.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (31)
75218
75219.xword 0x0000006000408020
75220.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (31)
75221
75222.xword 0
75223.xword 0x00021115 | (3 << 18) !ma_ctl_StParity (31)
75224
75225.xword 0
75226.xword 0x00020026 | (3 << 18) !ma_ctl_Ld (32)
75227
75228.xword 0
75229.xword 0x00020185 | (3 << 18) !ma_ctl_St (32)
75230
75231.xword 0x80604020
75232.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (32)
75233
75234.xword 0x356a
75235.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (32)
75236
75237.xword 0x0000006000408020
75238.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (32)
75239
75240.xword 0x6a35
75241.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (32)
75242
75243.xword 0x78285050
75244.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (32)
75245
75246.xword 0x80604020
75247.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (32)
75248
75249.xword 0x00287850
75250.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (32)
75251
75252.xword 0x00287850
75253.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (32)
75254
75255.xword 0x78285050
75256.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (32)
75257
75258.xword 0x64500000003c0000
75259.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (32)
75260
75261.xword 0x7766000000554422
75262.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (32)
75263
75264.xword 0x706200000054462a
75265.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (32)
75266
75267.xword 0x784d005800423721
75268.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (32)
75269
75270.xword 0x804d006000504020
75271.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (32)
75272
75273.xword 0x0000006000408020
75274.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (32)
75275
75276.xword 0
75277.xword 0x00021105 | (3 << 18) !ma_ctl_StParity (32)
75278
75279.xword 0
75280.xword 0x00020082 | (3 << 18) !ma_ctl_Ld (33)
75281
75282.xword 0
75283.xword 0x0002012c | (3 << 18) !ma_ctl_St (33)
75284
75285.xword 0x80604020
75286.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (33)
75287
75288.xword 0x356a
75289.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (33)
75290
75291.xword 0x0000006000408020
75292.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (33)
75293
75294.xword 0x6a35
75295.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (33)
75296
75297.xword 0x78285050
75298.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (33)
75299
75300.xword 0x80604020
75301.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (33)
75302
75303.xword 0x00287850
75304.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (33)
75305
75306.xword 0x00287850
75307.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (33)
75308
75309.xword 0x78285050
75310.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (33)
75311
75312.xword 0x64500000003c0000
75313.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (33)
75314
75315.xword 0x7766000000554422
75316.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (33)
75317
75318.xword 0x706200000054462a
75319.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (33)
75320
75321.xword 0x784d005800423721
75322.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (33)
75323
75324.xword 0x804d006000504020
75325.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (33)
75326
75327.xword 0x0000006000408020
75328.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (33)
75329
75330.xword 0
75331.xword 0x00021103 | (3 << 18) !ma_ctl_StParity (33)
75332
75333.xword 0
75334.xword 0x00020046 | (3 << 18) !ma_ctl_Ld (34)
75335
75336.xword 0
75337.xword 0x0002012e | (3 << 18) !ma_ctl_St (34)
75338
75339.xword 0x80604020
75340.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (34)
75341
75342.xword 0x356a
75343.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (34)
75344
75345.xword 0x0000006000408020
75346.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (34)
75347
75348.xword 0x6a35
75349.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (34)
75350
75351.xword 0x78285050
75352.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (34)
75353
75354.xword 0x80604020
75355.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (34)
75356
75357.xword 0x00287850
75358.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (34)
75359
75360.xword 0x00287850
75361.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (34)
75362
75363.xword 0x78285050
75364.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (34)
75365
75366.xword 0x64500000003c0000
75367.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (34)
75368
75369.xword 0x7766000000554422
75370.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (34)
75371
75372.xword 0x706200000054462a
75373.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (34)
75374
75375.xword 0x784d005800423721
75376.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (34)
75377
75378.xword 0x804d006000504020
75379.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (34)
75380
75381.xword 0x0000006000408020
75382.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (34)
75383
75384.xword 0
75385.xword 0x00021117 | (3 << 18) !ma_ctl_StParity (34)
75386
75387.xword 0
75388.xword 0x0002002b | (3 << 18) !ma_ctl_Ld (35)
75389
75390.xword 0
75391.xword 0x0002014d | (3 << 18) !ma_ctl_St (35)
75392
75393.xword 0x80604020
75394.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (35)
75395
75396.xword 0x356a
75397.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (35)
75398
75399.xword 0x0000006000408020
75400.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (35)
75401
75402.xword 0x6a35
75403.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (35)
75404
75405.xword 0x78285050
75406.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (35)
75407
75408.xword 0x80604020
75409.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (35)
75410
75411.xword 0x00287850
75412.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (35)
75413
75414.xword 0x00287850
75415.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (35)
75416
75417.xword 0x78285050
75418.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (35)
75419
75420.xword 0x64500000003c0000
75421.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (35)
75422
75423.xword 0x7766000000554422
75424.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (35)
75425
75426.xword 0x706200000054462a
75427.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (35)
75428
75429.xword 0x784d005800423721
75430.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (35)
75431
75432.xword 0x804d006000504020
75433.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (35)
75434
75435.xword 0x0000006000408020
75436.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (35)
75437
75438.xword 0
75439.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (35)
75440
75441.xword 0
75442.xword 0x0002009b | (3 << 18) !ma_ctl_Ld (36)
75443
75444.xword 0
75445.xword 0x0002018a | (3 << 18) !ma_ctl_St (36)
75446
75447.xword 0x80604020
75448.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (36)
75449
75450.xword 0x356a
75451.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (36)
75452
75453.xword 0x0000006000408020
75454.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (36)
75455
75456.xword 0x6a35
75457.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (36)
75458
75459.xword 0x78285050
75460.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (36)
75461
75462.xword 0x80604020
75463.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (36)
75464
75465.xword 0x00287850
75466.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (36)
75467
75468.xword 0x00287850
75469.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (36)
75470
75471.xword 0x78285050
75472.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (36)
75473
75474.xword 0x64500000003c0000
75475.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (36)
75476
75477.xword 0x7766000000554422
75478.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (36)
75479
75480.xword 0x706200000054462a
75481.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (36)
75482
75483.xword 0x784d005800423721
75484.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (36)
75485
75486.xword 0x804d006000504020
75487.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (36)
75488
75489.xword 0x0000006000408020
75490.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (36)
75491
75492.xword 0
75493.xword 0x00021108 | (3 << 18) !ma_ctl_StParity (36)
75494
75495.xword 0
75496.xword 0x00020036 | (3 << 18) !ma_ctl_Ld (37)
75497
75498.xword 0
75499.xword 0x0002014c | (3 << 18) !ma_ctl_St (37)
75500
75501.xword 0x80604020
75502.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (37)
75503
75504.xword 0x356a
75505.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (37)
75506
75507.xword 0x0000006000408020
75508.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (37)
75509
75510.xword 0x6a35
75511.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (37)
75512
75513.xword 0x78285050
75514.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (37)
75515
75516.xword 0x80604020
75517.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (37)
75518
75519.xword 0x00287850
75520.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (37)
75521
75522.xword 0x00287850
75523.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (37)
75524
75525.xword 0x78285050
75526.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (37)
75527
75528.xword 0x64500000003c0000
75529.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (37)
75530
75531.xword 0x7766000000554422
75532.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (37)
75533
75534.xword 0x706200000054462a
75535.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (37)
75536
75537.xword 0x784d005800423721
75538.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (37)
75539
75540.xword 0x804d006000504020
75541.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (37)
75542
75543.xword 0x0000006000408020
75544.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (37)
75545
75546.xword 0
75547.xword 0x0002110b | (3 << 18) !ma_ctl_StParity (37)
75548
75549.xword 0
75550.xword 0x00020047 | (3 << 18) !ma_ctl_Ld (38)
75551
75552.xword 0
75553.xword 0x0002017a | (3 << 18) !ma_ctl_St (38)
75554
75555.xword 0x80604020
75556.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (38)
75557
75558.xword 0x356a
75559.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (38)
75560
75561.xword 0x0000006000408020
75562.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (38)
75563
75564.xword 0x6a35
75565.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (38)
75566
75567.xword 0x78285050
75568.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (38)
75569
75570.xword 0x80604020
75571.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (38)
75572
75573.xword 0x00287850
75574.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (38)
75575
75576.xword 0x00287850
75577.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (38)
75578
75579.xword 0x78285050
75580.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (38)
75581
75582.xword 0x64500000003c0000
75583.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (38)
75584
75585.xword 0x7766000000554422
75586.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (38)
75587
75588.xword 0x706200000054462a
75589.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (38)
75590
75591.xword 0x784d005800423721
75592.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (38)
75593
75594.xword 0x804d006000504020
75595.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (38)
75596
75597.xword 0x0000006000408020
75598.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (38)
75599
75600.xword 0
75601.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (38)
75602
75603.xword 0
75604.xword 0x00020089 | (3 << 18) !ma_ctl_Ld (39)
75605
75606.xword 0
75607.xword 0x00020143 | (3 << 18) !ma_ctl_St (39)
75608
75609.xword 0x80604020
75610.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (39)
75611
75612.xword 0x356a
75613.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (39)
75614
75615.xword 0x0000006000408020
75616.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (39)
75617
75618.xword 0x6a35
75619.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (39)
75620
75621.xword 0x78285050
75622.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (39)
75623
75624.xword 0x80604020
75625.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (39)
75626
75627.xword 0x00287850
75628.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (39)
75629
75630.xword 0x00287850
75631.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (39)
75632
75633.xword 0x78285050
75634.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (39)
75635
75636.xword 0x64500000003c0000
75637.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (39)
75638
75639.xword 0x7766000000554422
75640.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (39)
75641
75642.xword 0x706200000054462a
75643.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (39)
75644
75645.xword 0x784d005800423721
75646.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (39)
75647
75648.xword 0x804d006000504020
75649.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (39)
75650
75651.xword 0x0000006000408020
75652.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (39)
75653
75654.xword 0
75655.xword 0x00021108 | (3 << 18) !ma_ctl_StParity (39)
75656
75657.xword 0
75658.xword 0x0002002a | (3 << 18) !ma_ctl_Ld (40)
75659
75660.xword 0
75661.xword 0x00020133 | (3 << 18) !ma_ctl_St (40)
75662
75663.xword 0x80604020
75664.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (40)
75665
75666.xword 0x356a
75667.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (40)
75668
75669.xword 0x0000006000408020
75670.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (40)
75671
75672.xword 0x6a35
75673.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (40)
75674
75675.xword 0x78285050
75676.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (40)
75677
75678.xword 0x80604020
75679.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (40)
75680
75681.xword 0x00287850
75682.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (40)
75683
75684.xword 0x00287850
75685.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (40)
75686
75687.xword 0x78285050
75688.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (40)
75689
75690.xword 0x64500000003c0000
75691.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (40)
75692
75693.xword 0x7766000000554422
75694.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (40)
75695
75696.xword 0x706200000054462a
75697.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (40)
75698
75699.xword 0x784d005800423721
75700.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (40)
75701
75702.xword 0x804d006000504020
75703.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (40)
75704
75705.xword 0x0000006000408020
75706.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (40)
75707
75708.xword 0
75709.xword 0x00021103 | (3 << 18) !ma_ctl_StParity (40)
75710
75711.xword 0
75712.xword 0x00020068 | (3 << 18) !ma_ctl_Ld (41)
75713
75714.xword 0
75715.xword 0x00020143 | (3 << 18) !ma_ctl_St (41)
75716
75717.xword 0x80604020
75718.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (41)
75719
75720.xword 0x356a
75721.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (41)
75722
75723.xword 0x0000006000408020
75724.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (41)
75725
75726.xword 0x6a35
75727.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (41)
75728
75729.xword 0x78285050
75730.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (41)
75731
75732.xword 0x80604020
75733.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (41)
75734
75735.xword 0x00287850
75736.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (41)
75737
75738.xword 0x00287850
75739.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (41)
75740
75741.xword 0x78285050
75742.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (41)
75743
75744.xword 0x64500000003c0000
75745.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (41)
75746
75747.xword 0x7766000000554422
75748.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (41)
75749
75750.xword 0x706200000054462a
75751.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (41)
75752
75753.xword 0x784d005800423721
75754.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (41)
75755
75756.xword 0x804d006000504020
75757.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (41)
75758
75759.xword 0x0000006000408020
75760.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (41)
75761
75762.xword 0
75763.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (41)
75764
75765.xword 0
75766.xword 0x00020087 | (3 << 18) !ma_ctl_Ld (42)
75767
75768.xword 0
75769.xword 0x0002015c | (3 << 18) !ma_ctl_St (42)
75770
75771.xword 0x80604020
75772.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (42)
75773
75774.xword 0x356a
75775.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (42)
75776
75777.xword 0x0000006000408020
75778.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (42)
75779
75780.xword 0x6a35
75781.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (42)
75782
75783.xword 0x78285050
75784.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (42)
75785
75786.xword 0x80604020
75787.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (42)
75788
75789.xword 0x00287850
75790.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (42)
75791
75792.xword 0x00287850
75793.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (42)
75794
75795.xword 0x78285050
75796.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (42)
75797
75798.xword 0x64500000003c0000
75799.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (42)
75800
75801.xword 0x7766000000554422
75802.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (42)
75803
75804.xword 0x706200000054462a
75805.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (42)
75806
75807.xword 0x784d005800423721
75808.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (42)
75809
75810.xword 0x804d006000504020
75811.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (42)
75812
75813.xword 0x0000006000408020
75814.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (42)
75815
75816.xword 0
75817.xword 0x00021118 | (3 << 18) !ma_ctl_StParity (42)
75818
75819.xword 0
75820.xword 0x00020031 | (3 << 18) !ma_ctl_Ld (43)
75821
75822.xword 0
75823.xword 0x00020125 | (3 << 18) !ma_ctl_St (43)
75824
75825.xword 0x80604020
75826.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (43)
75827
75828.xword 0x356a
75829.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (43)
75830
75831.xword 0x0000006000408020
75832.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (43)
75833
75834.xword 0x6a35
75835.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (43)
75836
75837.xword 0x78285050
75838.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (43)
75839
75840.xword 0x80604020
75841.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (43)
75842
75843.xword 0x00287850
75844.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (43)
75845
75846.xword 0x00287850
75847.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (43)
75848
75849.xword 0x78285050
75850.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (43)
75851
75852.xword 0x64500000003c0000
75853.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (43)
75854
75855.xword 0x7766000000554422
75856.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (43)
75857
75858.xword 0x706200000054462a
75859.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (43)
75860
75861.xword 0x784d005800423721
75862.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (43)
75863
75864.xword 0x804d006000504020
75865.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (43)
75866
75867.xword 0x0000006000408020
75868.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (43)
75869
75870.xword 0
75871.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (43)
75872
75873.xword 0
75874.xword 0x00020091 | (3 << 18) !ma_ctl_Ld (44)
75875
75876.xword 0
75877.xword 0x0002013b | (3 << 18) !ma_ctl_St (44)
75878
75879.xword 0x80604020
75880.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (44)
75881
75882.xword 0x356a
75883.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (44)
75884
75885.xword 0x0000006000408020
75886.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (44)
75887
75888.xword 0x6a35
75889.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (44)
75890
75891.xword 0x78285050
75892.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (44)
75893
75894.xword 0x80604020
75895.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (44)
75896
75897.xword 0x00287850
75898.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (44)
75899
75900.xword 0x00287850
75901.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (44)
75902
75903.xword 0x78285050
75904.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (44)
75905
75906.xword 0x64500000003c0000
75907.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (44)
75908
75909.xword 0x7766000000554422
75910.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (44)
75911
75912.xword 0x706200000054462a
75913.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (44)
75914
75915.xword 0x784d005800423721
75916.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (44)
75917
75918.xword 0x804d006000504020
75919.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (44)
75920
75921.xword 0x0000006000408020
75922.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (44)
75923
75924.xword 0
75925.xword 0x0002110a | (3 << 18) !ma_ctl_StParity (44)
75926
75927.xword 0
75928.xword 0x00020054 | (3 << 18) !ma_ctl_Ld (45)
75929
75930.xword 0
75931.xword 0x0002015d | (3 << 18) !ma_ctl_St (45)
75932
75933.xword 0x80604020
75934.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (45)
75935
75936.xword 0x356a
75937.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (45)
75938
75939.xword 0x0000006000408020
75940.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (45)
75941
75942.xword 0x6a35
75943.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (45)
75944
75945.xword 0x78285050
75946.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (45)
75947
75948.xword 0x80604020
75949.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (45)
75950
75951.xword 0x00287850
75952.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (45)
75953
75954.xword 0x00287850
75955.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (45)
75956
75957.xword 0x78285050
75958.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (45)
75959
75960.xword 0x64500000003c0000
75961.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (45)
75962
75963.xword 0x7766000000554422
75964.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (45)
75965
75966.xword 0x706200000054462a
75967.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (45)
75968
75969.xword 0x784d005800423721
75970.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (45)
75971
75972.xword 0x804d006000504020
75973.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (45)
75974
75975.xword 0x0000006000408020
75976.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (45)
75977
75978.xword 0
75979.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (45)
75980
75981.xword 0
75982.xword 0x00020064 | (3 << 18) !ma_ctl_Ld (46)
75983
75984.xword 0
75985.xword 0x0002014b | (3 << 18) !ma_ctl_St (46)
75986
75987.xword 0x80604020
75988.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (46)
75989
75990.xword 0x356a
75991.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (46)
75992
75993.xword 0x0000006000408020
75994.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (46)
75995
75996.xword 0x6a35
75997.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (46)
75998
75999.xword 0x78285050
76000.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (46)
76001
76002.xword 0x80604020
76003.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (46)
76004
76005.xword 0x00287850
76006.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (46)
76007
76008.xword 0x00287850
76009.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (46)
76010
76011.xword 0x78285050
76012.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (46)
76013
76014.xword 0x64500000003c0000
76015.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (46)
76016
76017.xword 0x7766000000554422
76018.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (46)
76019
76020.xword 0x706200000054462a
76021.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (46)
76022
76023.xword 0x784d005800423721
76024.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (46)
76025
76026.xword 0x804d006000504020
76027.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (46)
76028
76029.xword 0x0000006000408020
76030.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (46)
76031
76032.xword 0
76033.xword 0x00021104 | (3 << 18) !ma_ctl_StParity (46)
76034
76035.xword 0
76036.xword 0x0002009b | (3 << 18) !ma_ctl_Ld (47)
76037
76038.xword 0
76039.xword 0x00020154 | (3 << 18) !ma_ctl_St (47)
76040
76041.xword 0x80604020
76042.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (47)
76043
76044.xword 0x356a
76045.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (47)
76046
76047.xword 0x0000006000408020
76048.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (47)
76049
76050.xword 0x6a35
76051.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (47)
76052
76053.xword 0x78285050
76054.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (47)
76055
76056.xword 0x80604020
76057.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (47)
76058
76059.xword 0x00287850
76060.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (47)
76061
76062.xword 0x00287850
76063.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (47)
76064
76065.xword 0x78285050
76066.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (47)
76067
76068.xword 0x64500000003c0000
76069.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (47)
76070
76071.xword 0x7766000000554422
76072.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (47)
76073
76074.xword 0x706200000054462a
76075.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (47)
76076
76077.xword 0x784d005800423721
76078.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (47)
76079
76080.xword 0x804d006000504020
76081.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (47)
76082
76083.xword 0x0000006000408020
76084.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (47)
76085
76086.xword 0
76087.xword 0x00021111 | (3 << 18) !ma_ctl_StParity (47)
76088
76089.xword 0
76090.xword 0x0002005c | (3 << 18) !ma_ctl_Ld (48)
76091
76092.xword 0
76093.xword 0x00020171 | (3 << 18) !ma_ctl_St (48)
76094
76095.xword 0x80604020
76096.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (48)
76097
76098.xword 0x356a
76099.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (48)
76100
76101.xword 0x0000006000408020
76102.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (48)
76103
76104.xword 0x6a35
76105.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (48)
76106
76107.xword 0x78285050
76108.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (48)
76109
76110.xword 0x80604020
76111.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (48)
76112
76113.xword 0x00287850
76114.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (48)
76115
76116.xword 0x00287850
76117.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (48)
76118
76119.xword 0x78285050
76120.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (48)
76121
76122.xword 0x64500000003c0000
76123.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (48)
76124
76125.xword 0x7766000000554422
76126.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (48)
76127
76128.xword 0x706200000054462a
76129.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (48)
76130
76131.xword 0x784d005800423721
76132.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (48)
76133
76134.xword 0x804d006000504020
76135.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (48)
76136
76137.xword 0x0000006000408020
76138.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (48)
76139
76140.xword 0
76141.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (48)
76142
76143.xword 0
76144.xword 0x0002004c | (3 << 18) !ma_ctl_Ld (49)
76145
76146.xword 0
76147.xword 0x00020130 | (3 << 18) !ma_ctl_St (49)
76148
76149.xword 0x80604020
76150.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (49)
76151
76152.xword 0x356a
76153.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (49)
76154
76155.xword 0x0000006000408020
76156.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (49)
76157
76158.xword 0x6a35
76159.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (49)
76160
76161.xword 0x78285050
76162.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (49)
76163
76164.xword 0x80604020
76165.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (49)
76166
76167.xword 0x00287850
76168.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (49)
76169
76170.xword 0x00287850
76171.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (49)
76172
76173.xword 0x78285050
76174.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (49)
76175
76176.xword 0x64500000003c0000
76177.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (49)
76178
76179.xword 0x7766000000554422
76180.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (49)
76181
76182.xword 0x706200000054462a
76183.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (49)
76184
76185.xword 0x784d005800423721
76186.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (49)
76187
76188.xword 0x804d006000504020
76189.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (49)
76190
76191.xword 0x0000006000408020
76192.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (49)
76193
76194.xword 0
76195.xword 0x00021118 | (3 << 18) !ma_ctl_StParity (49)
76196
76197.xword 0
76198.xword 0x0002007e | (3 << 18) !ma_ctl_Ld (50)
76199
76200.xword 0
76201.xword 0x0002013c | (3 << 18) !ma_ctl_St (50)
76202
76203.xword 0x80604020
76204.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (50)
76205
76206.xword 0x356a
76207.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (50)
76208
76209.xword 0x0000006000408020
76210.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (50)
76211
76212.xword 0x6a35
76213.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (50)
76214
76215.xword 0x78285050
76216.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (50)
76217
76218.xword 0x80604020
76219.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (50)
76220
76221.xword 0x00287850
76222.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (50)
76223
76224.xword 0x00287850
76225.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (50)
76226
76227.xword 0x78285050
76228.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (50)
76229
76230.xword 0x64500000003c0000
76231.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (50)
76232
76233.xword 0x7766000000554422
76234.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (50)
76235
76236.xword 0x706200000054462a
76237.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (50)
76238
76239.xword 0x784d005800423721
76240.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (50)
76241
76242.xword 0x804d006000504020
76243.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (50)
76244
76245.xword 0x0000006000408020
76246.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (50)
76247
76248.xword 0
76249.xword 0x00021117 | (3 << 18) !ma_ctl_StParity (50)
76250
76251.xword 0
76252.xword 0x00020092 | (3 << 18) !ma_ctl_Ld (51)
76253
76254.xword 0
76255.xword 0x0002018c | (3 << 18) !ma_ctl_St (51)
76256
76257.xword 0x80604020
76258.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (51)
76259
76260.xword 0x356a
76261.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (51)
76262
76263.xword 0x0000006000408020
76264.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (51)
76265
76266.xword 0x6a35
76267.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (51)
76268
76269.xword 0x78285050
76270.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (51)
76271
76272.xword 0x80604020
76273.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (51)
76274
76275.xword 0x00287850
76276.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (51)
76277
76278.xword 0x00287850
76279.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (51)
76280
76281.xword 0x78285050
76282.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (51)
76283
76284.xword 0x64500000003c0000
76285.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (51)
76286
76287.xword 0x7766000000554422
76288.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (51)
76289
76290.xword 0x706200000054462a
76291.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (51)
76292
76293.xword 0x784d005800423721
76294.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (51)
76295
76296.xword 0x804d006000504020
76297.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (51)
76298
76299.xword 0x0000006000408020
76300.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (51)
76301
76302.xword 0
76303.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (51)
76304
76305.xword 0
76306.xword 0x0002002c | (3 << 18) !ma_ctl_Ld (52)
76307
76308.xword 0
76309.xword 0x00020188 | (3 << 18) !ma_ctl_St (52)
76310
76311.xword 0x80604020
76312.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (52)
76313
76314.xword 0x356a
76315.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (52)
76316
76317.xword 0x0000006000408020
76318.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (52)
76319
76320.xword 0x6a35
76321.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (52)
76322
76323.xword 0x78285050
76324.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (52)
76325
76326.xword 0x80604020
76327.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (52)
76328
76329.xword 0x00287850
76330.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (52)
76331
76332.xword 0x00287850
76333.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (52)
76334
76335.xword 0x78285050
76336.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (52)
76337
76338.xword 0x64500000003c0000
76339.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (52)
76340
76341.xword 0x7766000000554422
76342.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (52)
76343
76344.xword 0x706200000054462a
76345.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (52)
76346
76347.xword 0x784d005800423721
76348.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (52)
76349
76350.xword 0x804d006000504020
76351.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (52)
76352
76353.xword 0x0000006000408020
76354.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (52)
76355
76356.xword 0
76357.xword 0x0002110c | (3 << 18) !ma_ctl_StParity (52)
76358
76359.xword 0
76360.xword 0x00020021 | (3 << 18) !ma_ctl_Ld (53)
76361
76362.xword 0
76363.xword 0x00020170 | (3 << 18) !ma_ctl_St (53)
76364
76365.xword 0x80604020
76366.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (53)
76367
76368.xword 0x356a
76369.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (53)
76370
76371.xword 0x0000006000408020
76372.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (53)
76373
76374.xword 0x6a35
76375.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (53)
76376
76377.xword 0x78285050
76378.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (53)
76379
76380.xword 0x80604020
76381.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (53)
76382
76383.xword 0x00287850
76384.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (53)
76385
76386.xword 0x00287850
76387.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (53)
76388
76389.xword 0x78285050
76390.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (53)
76391
76392.xword 0x64500000003c0000
76393.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (53)
76394
76395.xword 0x7766000000554422
76396.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (53)
76397
76398.xword 0x706200000054462a
76399.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (53)
76400
76401.xword 0x784d005800423721
76402.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (53)
76403
76404.xword 0x804d006000504020
76405.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (53)
76406
76407.xword 0x0000006000408020
76408.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (53)
76409
76410.xword 0
76411.xword 0x00021108 | (3 << 18) !ma_ctl_StParity (53)
76412
76413.xword 0
76414.xword 0x00020036 | (3 << 18) !ma_ctl_Ld (54)
76415
76416.xword 0
76417.xword 0x00020120 | (3 << 18) !ma_ctl_St (54)
76418
76419.xword 0x80604020
76420.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (54)
76421
76422.xword 0x356a
76423.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (54)
76424
76425.xword 0x0000006000408020
76426.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (54)
76427
76428.xword 0x6a35
76429.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (54)
76430
76431.xword 0x78285050
76432.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (54)
76433
76434.xword 0x80604020
76435.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (54)
76436
76437.xword 0x00287850
76438.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (54)
76439
76440.xword 0x00287850
76441.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (54)
76442
76443.xword 0x78285050
76444.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (54)
76445
76446.xword 0x64500000003c0000
76447.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (54)
76448
76449.xword 0x7766000000554422
76450.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (54)
76451
76452.xword 0x706200000054462a
76453.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (54)
76454
76455.xword 0x784d005800423721
76456.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (54)
76457
76458.xword 0x804d006000504020
76459.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (54)
76460
76461.xword 0x0000006000408020
76462.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (54)
76463
76464.xword 0
76465.xword 0x00021117 | (3 << 18) !ma_ctl_StParity (54)
76466
76467.xword 0
76468.xword 0x0002008d | (3 << 18) !ma_ctl_Ld (55)
76469
76470.xword 0
76471.xword 0x00020144 | (3 << 18) !ma_ctl_St (55)
76472
76473.xword 0x80604020
76474.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (55)
76475
76476.xword 0x356a
76477.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (55)
76478
76479.xword 0x0000006000408020
76480.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (55)
76481
76482.xword 0x6a35
76483.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (55)
76484
76485.xword 0x78285050
76486.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (55)
76487
76488.xword 0x80604020
76489.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (55)
76490
76491.xword 0x00287850
76492.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (55)
76493
76494.xword 0x00287850
76495.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (55)
76496
76497.xword 0x78285050
76498.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (55)
76499
76500.xword 0x64500000003c0000
76501.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (55)
76502
76503.xword 0x7766000000554422
76504.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (55)
76505
76506.xword 0x706200000054462a
76507.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (55)
76508
76509.xword 0x784d005800423721
76510.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (55)
76511
76512.xword 0x804d006000504020
76513.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (55)
76514
76515.xword 0x0000006000408020
76516.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (55)
76517
76518.xword 0
76519.xword 0x0002111e | (3 << 18) !ma_ctl_StParity (55)
76520
76521.xword 0
76522.xword 0x00020027 | (3 << 18) !ma_ctl_Ld (56)
76523
76524.xword 0
76525.xword 0x00020154 | (3 << 18) !ma_ctl_St (56)
76526
76527.xword 0x80604020
76528.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (56)
76529
76530.xword 0x356a
76531.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (56)
76532
76533.xword 0x0000006000408020
76534.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (56)
76535
76536.xword 0x6a35
76537.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (56)
76538
76539.xword 0x78285050
76540.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (56)
76541
76542.xword 0x80604020
76543.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (56)
76544
76545.xword 0x00287850
76546.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (56)
76547
76548.xword 0x00287850
76549.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (56)
76550
76551.xword 0x78285050
76552.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (56)
76553
76554.xword 0x64500000003c0000
76555.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (56)
76556
76557.xword 0x7766000000554422
76558.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (56)
76559
76560.xword 0x706200000054462a
76561.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (56)
76562
76563.xword 0x784d005800423721
76564.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (56)
76565
76566.xword 0x804d006000504020
76567.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (56)
76568
76569.xword 0x0000006000408020
76570.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (56)
76571
76572.xword 0
76573.xword 0x00021103 | (3 << 18) !ma_ctl_StParity (56)
76574
76575.xword 0
76576.xword 0x0002001e | (3 << 18) !ma_ctl_Ld (57)
76577
76578.xword 0
76579.xword 0x0002012c | (3 << 18) !ma_ctl_St (57)
76580
76581.xword 0x80604020
76582.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (57)
76583
76584.xword 0x356a
76585.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (57)
76586
76587.xword 0x0000006000408020
76588.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (57)
76589
76590.xword 0x6a35
76591.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (57)
76592
76593.xword 0x78285050
76594.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (57)
76595
76596.xword 0x80604020
76597.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (57)
76598
76599.xword 0x00287850
76600.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (57)
76601
76602.xword 0x00287850
76603.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (57)
76604
76605.xword 0x78285050
76606.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (57)
76607
76608.xword 0x64500000003c0000
76609.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (57)
76610
76611.xword 0x7766000000554422
76612.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (57)
76613
76614.xword 0x706200000054462a
76615.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (57)
76616
76617.xword 0x784d005800423721
76618.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (57)
76619
76620.xword 0x804d006000504020
76621.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (57)
76622
76623.xword 0x0000006000408020
76624.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (57)
76625
76626.xword 0
76627.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (57)
76628
76629.xword 0
76630.xword 0x00020024 | (3 << 18) !ma_ctl_Ld (58)
76631
76632.xword 0
76633.xword 0x00020182 | (3 << 18) !ma_ctl_St (58)
76634
76635.xword 0x80604020
76636.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (58)
76637
76638.xword 0x356a
76639.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (58)
76640
76641.xword 0x0000006000408020
76642.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (58)
76643
76644.xword 0x6a35
76645.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (58)
76646
76647.xword 0x78285050
76648.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (58)
76649
76650.xword 0x80604020
76651.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (58)
76652
76653.xword 0x00287850
76654.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (58)
76655
76656.xword 0x00287850
76657.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (58)
76658
76659.xword 0x78285050
76660.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (58)
76661
76662.xword 0x64500000003c0000
76663.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (58)
76664
76665.xword 0x7766000000554422
76666.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (58)
76667
76668.xword 0x706200000054462a
76669.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (58)
76670
76671.xword 0x784d005800423721
76672.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (58)
76673
76674.xword 0x804d006000504020
76675.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (58)
76676
76677.xword 0x0000006000408020
76678.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (58)
76679
76680.xword 0
76681.xword 0x0002110c | (3 << 18) !ma_ctl_StParity (58)
76682
76683
76684.align 8
76685.global _t2_ma_results
76686_t2_ma_results:
76687.xword 0xDEADBEEFDEADBEEF
76688.xword 0xDEADBEEFDEADBEEF
76689.xword 0xDEADBEEFDEADBEEF
76690.xword 0xDEADBEEFDEADBEEF
76691.xword 0xDEADBEEFDEADBEEF
76692.xword 0xDEADBEEFDEADBEEF
76693.xword 0xDEADBEEFDEADBEEF
76694.xword 0xDEADBEEFDEADBEEF
76695.xword 0xDEADBEEFDEADBEEF
76696.xword 0xDEADBEEFDEADBEEF
76697.xword 0xDEADBEEFDEADBEEF
76698.xword 0xDEADBEEFDEADBEEF
76699.xword 0xDEADBEEFDEADBEEF
76700.xword 0xDEADBEEFDEADBEEF
76701.xword 0xDEADBEEFDEADBEEF
76702.xword 0xDEADBEEFDEADBEEF
76703.xword 0xDEADBEEFDEADBEEF
76704.xword 0xDEADBEEFDEADBEEF
76705.xword 0xDEADBEEFDEADBEEF
76706.xword 0xDEADBEEFDEADBEEF
76707.xword 0xDEADBEEFDEADBEEF
76708.xword 0xDEADBEEFDEADBEEF
76709.xword 0xDEADBEEFDEADBEEF
76710.xword 0xDEADBEEFDEADBEEF
76711.xword 0xDEADBEEFDEADBEEF
76712.xword 0xDEADBEEFDEADBEEF
76713.xword 0xDEADBEEFDEADBEEF
76714.xword 0xDEADBEEFDEADBEEF
76715.xword 0xDEADBEEFDEADBEEF
76716.xword 0xDEADBEEFDEADBEEF
76717.xword 0xDEADBEEFDEADBEEF
76718.xword 0xDEADBEEFDEADBEEF
76719.xword 0xDEADBEEFDEADBEEF
76720.xword 0xDEADBEEFDEADBEEF
76721.xword 0xDEADBEEFDEADBEEF
76722.xword 0xDEADBEEFDEADBEEF
76723.xword 0xDEADBEEFDEADBEEF
76724.xword 0xDEADBEEFDEADBEEF
76725.xword 0xDEADBEEFDEADBEEF
76726.xword 0xDEADBEEFDEADBEEF
76727.xword 0xDEADBEEFDEADBEEF
76728.xword 0xDEADBEEFDEADBEEF
76729.xword 0xDEADBEEFDEADBEEF
76730.xword 0xDEADBEEFDEADBEEF
76731.xword 0xDEADBEEFDEADBEEF
76732.xword 0xDEADBEEFDEADBEEF
76733.xword 0xDEADBEEFDEADBEEF
76734.xword 0xDEADBEEFDEADBEEF
76735.xword 0xDEADBEEFDEADBEEF
76736.xword 0xDEADBEEFDEADBEEF
76737.xword 0xDEADBEEFDEADBEEF
76738.xword 0xDEADBEEFDEADBEEF
76739.xword 0xDEADBEEFDEADBEEF
76740.xword 0xDEADBEEFDEADBEEF
76741.xword 0xDEADBEEFDEADBEEF
76742.xword 0xDEADBEEFDEADBEEF
76743.xword 0xDEADBEEFDEADBEEF
76744.xword 0xDEADBEEFDEADBEEF
76745.xword 0xDEADBEEFDEADBEEF
76746.xword 0xDEADBEEFDEADBEEF
76747.xword 0xDEADBEEFDEADBEEF
76748.xword 0xDEADBEEFDEADBEEF
76749.xword 0xDEADBEEFDEADBEEF
76750.xword 0xDEADBEEFDEADBEEF
76751.xword 0xDEADBEEFDEADBEEF
76752.xword 0xDEADBEEFDEADBEEF
76753.xword 0xDEADBEEFDEADBEEF
76754.xword 0xDEADBEEFDEADBEEF
76755.xword 0xDEADBEEFDEADBEEF
76756.xword 0xDEADBEEFDEADBEEF
76757.xword 0xDEADBEEFDEADBEEF
76758.xword 0xDEADBEEFDEADBEEF
76759.xword 0xDEADBEEFDEADBEEF
76760.xword 0xDEADBEEFDEADBEEF
76761.xword 0xDEADBEEFDEADBEEF
76762.xword 0xDEADBEEFDEADBEEF
76763.xword 0xDEADBEEFDEADBEEF
76764.xword 0xDEADBEEFDEADBEEF
76765.xword 0xDEADBEEFDEADBEEF
76766.xword 0xDEADBEEFDEADBEEF
76767.xword 0xDEADBEEFDEADBEEF
76768.xword 0xDEADBEEFDEADBEEF
76769.xword 0xDEADBEEFDEADBEEF
76770.xword 0xDEADBEEFDEADBEEF
76771.xword 0xDEADBEEFDEADBEEF
76772.xword 0xDEADBEEFDEADBEEF
76773.xword 0xDEADBEEFDEADBEEF
76774.xword 0xDEADBEEFDEADBEEF
76775.xword 0xDEADBEEFDEADBEEF
76776.xword 0xDEADBEEFDEADBEEF
76777.xword 0xDEADBEEFDEADBEEF
76778.xword 0xDEADBEEFDEADBEEF
76779.xword 0xDEADBEEFDEADBEEF
76780.xword 0xDEADBEEFDEADBEEF
76781.xword 0xDEADBEEFDEADBEEF
76782.xword 0xDEADBEEFDEADBEEF
76783.xword 0xDEADBEEFDEADBEEF
76784.xword 0xDEADBEEFDEADBEEF
76785.xword 0xDEADBEEFDEADBEEF
76786.xword 0xDEADBEEFDEADBEEF
76787.xword 0xDEADBEEFDEADBEEF
76788.xword 0xDEADBEEFDEADBEEF
76789.xword 0xDEADBEEFDEADBEEF
76790.xword 0xDEADBEEFDEADBEEF
76791.xword 0xDEADBEEFDEADBEEF
76792.xword 0xDEADBEEFDEADBEEF
76793.xword 0xDEADBEEFDEADBEEF
76794.xword 0xDEADBEEFDEADBEEF
76795.xword 0xDEADBEEFDEADBEEF
76796.xword 0xDEADBEEFDEADBEEF
76797.xword 0xDEADBEEFDEADBEEF
76798.xword 0xDEADBEEFDEADBEEF
76799.xword 0xDEADBEEFDEADBEEF
76800.xword 0xDEADBEEFDEADBEEF
76801.xword 0xDEADBEEFDEADBEEF
76802.xword 0xDEADBEEFDEADBEEF
76803.xword 0xDEADBEEFDEADBEEF
76804.xword 0xDEADBEEFDEADBEEF
76805.xword 0xDEADBEEFDEADBEEF
76806.xword 0xDEADBEEFDEADBEEF
76807.xword 0xDEADBEEFDEADBEEF
76808.xword 0xDEADBEEFDEADBEEF
76809.xword 0xDEADBEEFDEADBEEF
76810.xword 0xDEADBEEFDEADBEEF
76811.xword 0xDEADBEEFDEADBEEF
76812.xword 0xDEADBEEFDEADBEEF
76813.xword 0xDEADBEEFDEADBEEF
76814.xword 0xDEADBEEFDEADBEEF
76815.xword 0xDEADBEEFDEADBEEF
76816.xword 0xDEADBEEFDEADBEEF
76817.xword 0xDEADBEEFDEADBEEF
76818.xword 0xDEADBEEFDEADBEEF
76819.xword 0xDEADBEEFDEADBEEF
76820.xword 0xDEADBEEFDEADBEEF
76821.xword 0xDEADBEEFDEADBEEF
76822.xword 0xDEADBEEFDEADBEEF
76823.xword 0xDEADBEEFDEADBEEF
76824.xword 0xDEADBEEFDEADBEEF
76825.xword 0xDEADBEEFDEADBEEF
76826.xword 0xDEADBEEFDEADBEEF
76827.xword 0xDEADBEEFDEADBEEF
76828.xword 0xDEADBEEFDEADBEEF
76829.xword 0xDEADBEEFDEADBEEF
76830.xword 0xDEADBEEFDEADBEEF
76831.xword 0xDEADBEEFDEADBEEF
76832.xword 0xDEADBEEFDEADBEEF
76833.xword 0xDEADBEEFDEADBEEF
76834.xword 0xDEADBEEFDEADBEEF
76835.xword 0xDEADBEEFDEADBEEF
76836.xword 0xDEADBEEFDEADBEEF
76837.xword 0xDEADBEEFDEADBEEF
76838.xword 0xDEADBEEFDEADBEEF
76839.xword 0xDEADBEEFDEADBEEF
76840.xword 0xDEADBEEFDEADBEEF
76841.xword 0xDEADBEEFDEADBEEF
76842.xword 0xDEADBEEFDEADBEEF
76843.xword 0xDEADBEEFDEADBEEF
76844.xword 0xDEADBEEFDEADBEEF
76845.xword 0xDEADBEEFDEADBEEF
76846.xword 0xDEADBEEFDEADBEEF
76847.xword 0xDEADBEEFDEADBEEF
76848.xword 0xDEADBEEFDEADBEEF
76849.xword 0xDEADBEEFDEADBEEF
76850.xword 0xDEADBEEFDEADBEEF
76851SECTION ._t2_T_MAX_DATA1 DATA_VA=269484032
76852attr_data {
76853 Name = ._t2_T_MAX_DATA1
76854 hypervisor
76855}
76856
76857.data
76858_t2_user_data_start:
76859_t2_scratch_area:
76860
76861.align 8
76862.global _t2_ma_operands1
76863_t2_ma_operands1:
76864.xword 0xd8f98e110d547454
76865.xword 0x9c44d306d303a37c
76866.xword 0x34e78822a7e6f845
76867.xword 0xa6d10b15b89490cc
76868.xword 0x97d31c84d4a69011
76869.xword 0xeb190d6e287a058c
76870.xword 0x8125895f0fb1acd7
76871.xword 0xcd99880a5a9abb71
76872.xword 0x6ac167b36183a699
76873.xword 0x14f9748994deba89
76874.xword 0xb55974a29d588280
76875.xword 0x0a74d8c19222309e
76876.xword 0x9545fb39cb56bdd2
76877.xword 0xecd9890b205bda48
76878.xword 0x9dc9125fec50a6c8
76879.xword 0x736be8b2a73c5919
76880.xword 0x25d64576d80729d9
76881.xword 0xbe7d115481d6d3a2
76882.xword 0x953ba2890c38d41e
76883.xword 0x2bd56ea356e2565b
76884.xword 0xbfe88b14360a19a5
76885.xword 0x4a6319b5e90e2a90
76886.xword 0xd9c086a783ce3e23
76887.xword 0xdb5d2889ae098a42
76888.xword 0x37ffbecc6c9d36c0
76889.xword 0x88a4248af29a5c7b
76890.xword 0x93f9c9872761c6a3
76891.xword 0x1f886806df2a98d1
76892.xword 0x541fef3b88d216e9
76893.xword 0x079255a272610cc9
76894.xword 0x3f850ff50532623d
76895.xword 0x33ca58089e56598a
76896.xword 0xa428e1d1e1388cb6
76897.xword 0x50de514019446845
76898.xword 0xf1dac526cd5f5604
76899.xword 0x8a2102005a5f111b
76900.xword 0xfa1eb372597f0a1e
76901.xword 0x971e8f0b0f75f5fd
76902.xword 0x6be9ca12a7901bc2
76903.xword 0xa39b84ac8a65557f
76904.xword 0x5a4f70d7392c33ff
76905.xword 0xfa66d63ee0a30794
76906.xword 0x41c510dab55fb150
76907.xword 0x9a87b288e7dc22ed
76908.xword 0x31d6ddcc8b3c720f
76909.xword 0xa4abf7cd74cde969
76910.xword 0x9aa4943f7a9a27f7
76911.xword 0xa8cbdc8cda84af4e
76912.xword 0xf685b1dc8ff85e2a
76913.xword 0x0e41a12b43d2d4d1
76914.xword 0xaf794a59a9adb910
76915.xword 0x90f4841c3432e9e8
76916.xword 0xd326d2db4bd81dc3
76917.xword 0x663ff2cf75bf1199
76918.xword 0x7c41402d217884c1
76919.xword 0xb8ec330611cbe7d4
76920.xword 0x7bf2b6c8c9a561a3
76921.xword 0x4ef75272f717abad
76922.xword 0x0cf0e6ef6cfc9578
76923.xword 0xe8783e5395d35977
76924.xword 0x089fcd3516d21f1e
76925.xword 0x02edda9d4973492c
76926.xword 0x7e9970972d6dcba1
76927.xword 0x9945c8850b18f936
76928.xword 0xa23fc7d315f09e78
76929.xword 0x67a638775dffdbbf
76930.xword 0xd7d8f397daadbd7d
76931.xword 0xd3d76d134ed1169f
76932.xword 0x872aa8b3dee03153
76933.xword 0xdd913795b6ce0f08
76934.xword 0xb7b439e00bccaa0b
76935.xword 0xe7e97d5f3870d88f
76936.xword 0xc1e3198ca590f7b2
76937.xword 0x47b76f2e8a1a9d67
76938.xword 0xf3a10e8a8564054b
76939.xword 0x6aa0c7da8aad46a3
76940.xword 0xed3e26af285d6354
76941.xword 0xdd8106f791b1aa1b
76942.xword 0xdd19bf5fa4756e0c
76943.xword 0x589a8ed61c3b49a7
76944.xword 0x671e0a8e20fd6e8e
76945.xword 0x9b989cfc388f658a
76946.xword 0x5e6ba5b0f6352a05
76947.xword 0x55ec133c29b322e0
76948.xword 0xd61a7a334c6f564c
76949.xword 0x694de0993a1073c1
76950.xword 0xe01a851531cb9548
76951.xword 0xc387ed370274a7dd
76952.xword 0xa8a743bc9d69b125
76953.xword 0xf143b0f41efa8657
76954.xword 0x630224e0a5884e1e
76955.xword 0x0410054c914e2f89
76956.xword 0xf5986e4f00c9e4df
76957.xword 0xe2f9e1e54ec07d1b
76958.xword 0xbf2bb094ac9fe649
76959.xword 0xd9d88e517963b148
76960.xword 0x074ca0e8b5558c90
76961.xword 0xb15e2e0d42aedf3a
76962.xword 0x7578d4a2ae9daf0c
76963.xword 0x058454bff6bd7db8
76964.xword 0x3809740aaccf9360
76965.xword 0x17b4bca19922798b
76966.xword 0x4da3d48d9443cc8f
76967.xword 0x89ff95f295eccbbf
76968.xword 0x53f315563ed08c74
76969.xword 0xb0ede8fbcd7a12e6
76970.xword 0x1c5621de50647ba6
76971.xword 0xc74a0d01bd0118e6
76972.xword 0x5ead971879452215
76973.xword 0x358cabfaedb66f9a
76974.xword 0x98ba29c82f7e6014
76975.xword 0xff23fb1bb7f75eda
76976.xword 0x0278cf94cb5ceaad
76977.xword 0x40311900890d2a66
76978.xword 0x369fe5c2a47897c0
76979.xword 0xe682e78082ac2491
76980.xword 0x8debc40060d0adc4
76981.xword 0xc775f70a63f1ad08
76982.xword 0x4d932efddea12a33
76983.xword 0x9f6a53ff6aed8e36
76984.xword 0xad214260d41086b1
76985.xword 0xda529b1aef1f2919
76986.xword 0x0e68f897b6ceec9b
76987.xword 0x67e11a8d76b6bb46
76988.xword 0x372ace14c70b487d
76989.xword 0xb97aac641076a33d
76990.xword 0xf2f025d73b7bcdb4
76991.xword 0x10d6cb0267dbd6c5
76992.xword 0x963664f8f5e128b1
76993.xword 0x5d275e2b62bb4951
76994.xword 0xfadb640cd42623c1
76995.xword 0xd6dae8e05ea6c945
76996.xword 0xd8449c1bf8aa88d3
76997.xword 0x2e11f14ef28e5db1
76998.xword 0x9523061a6e330f8d
76999.xword 0xda92f48d86bbfb6b
77000.xword 0xca938cd14dd2cf2e
77001.xword 0xd907770940f23217
77002.xword 0x39dafacbcc25eced
77003.xword 0xc64a65dbaa56580b
77004.xword 0xa67d765d4c6239b9
77005.xword 0x3fd8b2ff5a79beba
77006.xword 0xb7fb73aceb9555fb
77007.xword 0xae9ca067748fb6b9
77008.xword 0x604b59f1b264bf6a
77009.xword 0xbf682c679d92e09e
77010.xword 0x776dd9050ac7d5a0
77011.xword 0xb9066774e0c153fa
77012.xword 0x666056deffeb765c
77013.xword 0x7e013d937205d81e
77014.xword 0xaee3340d76777dea
77015.xword 0x849b7ded4fe4c73d
77016.xword 0xa87446d199cdb48e
77017.xword 0xa7a8c08b24ab0a86
77018.xword 0x0ae55b728953a86d
77019.xword 0x928915d45ff1cd11
77020.xword 0xe843500bc98677d9
77021.xword 0x8f7f6449384b1a90
77022.xword 0x031e1f0cca43e2b7
77023.xword 0x72fd886537e4e8b1
77024.xword 0x24a3ccc9057e327a
77025.xword 0xab99ba59e2c82eda
77026.xword 0x2ed055bc472dae4c
77027.xword 0xf621a01b3321f712
77028
77029.align 8
77030.global _t2_ma_operations1
77031_t2_ma_operations1:
77032.xword 0
77033.xword 0x00020090 | (3 << 18) !ma_ctl_Ld (0)
77034
77035.xword 0
77036.xword 0x0002016f | (3 << 18) !ma_ctl_St (0)
77037
77038.xword 0x80604020
77039.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (0)
77040
77041.xword 0x356a
77042.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (0)
77043
77044.xword 0x0000006000408020
77045.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (0)
77046
77047.xword 0x6a35
77048.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (0)
77049
77050.xword 0x78285050
77051.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (0)
77052
77053.xword 0x80604020
77054.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (0)
77055
77056.xword 0x00287850
77057.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (0)
77058
77059.xword 0x00287850
77060.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (0)
77061
77062.xword 0x78285050
77063.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (0)
77064
77065.xword 0x64500000003c0000
77066.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (0)
77067
77068.xword 0x7766000000554422
77069.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (0)
77070
77071.xword 0x706200000054462a
77072.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (0)
77073
77074.xword 0x784d005800423721
77075.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (0)
77076
77077.xword 0x804d006000504020
77078.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (0)
77079
77080.xword 0x0000006000408020
77081.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (0)
77082
77083.xword 0
77084.xword 0x00021100 | (3 << 18) !ma_ctl_StParity (0)
77085
77086.xword 0
77087.xword 0x00020067 | (3 << 18) !ma_ctl_Ld (1)
77088
77089.xword 0
77090.xword 0x00020122 | (3 << 18) !ma_ctl_St (1)
77091
77092.xword 0x80604020
77093.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (1)
77094
77095.xword 0x356a
77096.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (1)
77097
77098.xword 0x0000006000408020
77099.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (1)
77100
77101.xword 0x6a35
77102.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (1)
77103
77104.xword 0x78285050
77105.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (1)
77106
77107.xword 0x80604020
77108.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (1)
77109
77110.xword 0x00287850
77111.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (1)
77112
77113.xword 0x00287850
77114.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (1)
77115
77116.xword 0x78285050
77117.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (1)
77118
77119.xword 0x64500000003c0000
77120.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (1)
77121
77122.xword 0x7766000000554422
77123.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (1)
77124
77125.xword 0x706200000054462a
77126.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (1)
77127
77128.xword 0x784d005800423721
77129.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (1)
77130
77131.xword 0x804d006000504020
77132.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (1)
77133
77134.xword 0x0000006000408020
77135.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (1)
77136
77137.xword 0
77138.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (1)
77139
77140.xword 0
77141.xword 0x00020031 | (3 << 18) !ma_ctl_Ld (2)
77142
77143.xword 0
77144.xword 0x00020142 | (3 << 18) !ma_ctl_St (2)
77145
77146.xword 0x80604020
77147.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (2)
77148
77149.xword 0x356a
77150.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (2)
77151
77152.xword 0x0000006000408020
77153.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (2)
77154
77155.xword 0x6a35
77156.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (2)
77157
77158.xword 0x78285050
77159.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (2)
77160
77161.xword 0x80604020
77162.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (2)
77163
77164.xword 0x00287850
77165.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (2)
77166
77167.xword 0x00287850
77168.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (2)
77169
77170.xword 0x78285050
77171.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (2)
77172
77173.xword 0x64500000003c0000
77174.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (2)
77175
77176.xword 0x7766000000554422
77177.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (2)
77178
77179.xword 0x706200000054462a
77180.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (2)
77181
77182.xword 0x784d005800423721
77183.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (2)
77184
77185.xword 0x804d006000504020
77186.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (2)
77187
77188.xword 0x0000006000408020
77189.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (2)
77190
77191.xword 0
77192.xword 0x00021105 | (3 << 18) !ma_ctl_StParity (2)
77193
77194.xword 0
77195.xword 0x00020040 | (3 << 18) !ma_ctl_Ld (3)
77196
77197.xword 0
77198.xword 0x00020190 | (3 << 18) !ma_ctl_St (3)
77199
77200.xword 0x80604020
77201.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (3)
77202
77203.xword 0x356a
77204.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (3)
77205
77206.xword 0x0000006000408020
77207.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (3)
77208
77209.xword 0x6a35
77210.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (3)
77211
77212.xword 0x78285050
77213.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (3)
77214
77215.xword 0x80604020
77216.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (3)
77217
77218.xword 0x00287850
77219.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (3)
77220
77221.xword 0x00287850
77222.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (3)
77223
77224.xword 0x78285050
77225.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (3)
77226
77227.xword 0x64500000003c0000
77228.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (3)
77229
77230.xword 0x7766000000554422
77231.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (3)
77232
77233.xword 0x706200000054462a
77234.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (3)
77235
77236.xword 0x784d005800423721
77237.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (3)
77238
77239.xword 0x804d006000504020
77240.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (3)
77241
77242.xword 0x0000006000408020
77243.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (3)
77244
77245.xword 0
77246.xword 0x0002111e | (3 << 18) !ma_ctl_StParity (3)
77247
77248.xword 0
77249.xword 0x00020093 | (3 << 18) !ma_ctl_Ld (4)
77250
77251.xword 0
77252.xword 0x00020143 | (3 << 18) !ma_ctl_St (4)
77253
77254.xword 0x80604020
77255.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (4)
77256
77257.xword 0x356a
77258.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (4)
77259
77260.xword 0x0000006000408020
77261.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (4)
77262
77263.xword 0x6a35
77264.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (4)
77265
77266.xword 0x78285050
77267.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (4)
77268
77269.xword 0x80604020
77270.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (4)
77271
77272.xword 0x00287850
77273.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (4)
77274
77275.xword 0x00287850
77276.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (4)
77277
77278.xword 0x78285050
77279.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (4)
77280
77281.xword 0x64500000003c0000
77282.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (4)
77283
77284.xword 0x7766000000554422
77285.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (4)
77286
77287.xword 0x706200000054462a
77288.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (4)
77289
77290.xword 0x784d005800423721
77291.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (4)
77292
77293.xword 0x804d006000504020
77294.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (4)
77295
77296.xword 0x0000006000408020
77297.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (4)
77298
77299.xword 0
77300.xword 0x00021113 | (3 << 18) !ma_ctl_StParity (4)
77301
77302.xword 0
77303.xword 0x00020098 | (3 << 18) !ma_ctl_Ld (5)
77304
77305.xword 0
77306.xword 0x00020147 | (3 << 18) !ma_ctl_St (5)
77307
77308.xword 0x80604020
77309.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (5)
77310
77311.xword 0x356a
77312.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (5)
77313
77314.xword 0x0000006000408020
77315.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (5)
77316
77317.xword 0x6a35
77318.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (5)
77319
77320.xword 0x78285050
77321.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (5)
77322
77323.xword 0x80604020
77324.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (5)
77325
77326.xword 0x00287850
77327.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (5)
77328
77329.xword 0x00287850
77330.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (5)
77331
77332.xword 0x78285050
77333.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (5)
77334
77335.xword 0x64500000003c0000
77336.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (5)
77337
77338.xword 0x7766000000554422
77339.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (5)
77340
77341.xword 0x706200000054462a
77342.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (5)
77343
77344.xword 0x784d005800423721
77345.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (5)
77346
77347.xword 0x804d006000504020
77348.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (5)
77349
77350.xword 0x0000006000408020
77351.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (5)
77352
77353.xword 0
77354.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (5)
77355
77356.xword 0
77357.xword 0x0002009c | (3 << 18) !ma_ctl_Ld (6)
77358
77359.xword 0
77360.xword 0x00020135 | (3 << 18) !ma_ctl_St (6)
77361
77362.xword 0x80604020
77363.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (6)
77364
77365.xword 0x356a
77366.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (6)
77367
77368.xword 0x0000006000408020
77369.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (6)
77370
77371.xword 0x6a35
77372.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (6)
77373
77374.xword 0x78285050
77375.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (6)
77376
77377.xword 0x80604020
77378.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (6)
77379
77380.xword 0x00287850
77381.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (6)
77382
77383.xword 0x00287850
77384.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (6)
77385
77386.xword 0x78285050
77387.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (6)
77388
77389.xword 0x64500000003c0000
77390.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (6)
77391
77392.xword 0x7766000000554422
77393.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (6)
77394
77395.xword 0x706200000054462a
77396.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (6)
77397
77398.xword 0x784d005800423721
77399.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (6)
77400
77401.xword 0x804d006000504020
77402.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (6)
77403
77404.xword 0x0000006000408020
77405.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (6)
77406
77407.xword 0
77408.xword 0x00021101 | (3 << 18) !ma_ctl_StParity (6)
77409
77410.xword 0
77411.xword 0x00020057 | (3 << 18) !ma_ctl_Ld (7)
77412
77413.xword 0
77414.xword 0x0002016a | (3 << 18) !ma_ctl_St (7)
77415
77416.xword 0x80604020
77417.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (7)
77418
77419.xword 0x356a
77420.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (7)
77421
77422.xword 0x0000006000408020
77423.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (7)
77424
77425.xword 0x6a35
77426.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (7)
77427
77428.xword 0x78285050
77429.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (7)
77430
77431.xword 0x80604020
77432.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (7)
77433
77434.xword 0x00287850
77435.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (7)
77436
77437.xword 0x00287850
77438.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (7)
77439
77440.xword 0x78285050
77441.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (7)
77442
77443.xword 0x64500000003c0000
77444.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (7)
77445
77446.xword 0x7766000000554422
77447.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (7)
77448
77449.xword 0x706200000054462a
77450.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (7)
77451
77452.xword 0x784d005800423721
77453.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (7)
77454
77455.xword 0x804d006000504020
77456.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (7)
77457
77458.xword 0x0000006000408020
77459.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (7)
77460
77461.xword 0
77462.xword 0x0002110f | (3 << 18) !ma_ctl_StParity (7)
77463
77464.xword 0
77465.xword 0x0002008d | (3 << 18) !ma_ctl_Ld (8)
77466
77467.xword 0
77468.xword 0x00020168 | (3 << 18) !ma_ctl_St (8)
77469
77470.xword 0x80604020
77471.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (8)
77472
77473.xword 0x356a
77474.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (8)
77475
77476.xword 0x0000006000408020
77477.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (8)
77478
77479.xword 0x6a35
77480.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (8)
77481
77482.xword 0x78285050
77483.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (8)
77484
77485.xword 0x80604020
77486.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (8)
77487
77488.xword 0x00287850
77489.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (8)
77490
77491.xword 0x00287850
77492.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (8)
77493
77494.xword 0x78285050
77495.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (8)
77496
77497.xword 0x64500000003c0000
77498.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (8)
77499
77500.xword 0x7766000000554422
77501.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (8)
77502
77503.xword 0x706200000054462a
77504.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (8)
77505
77506.xword 0x784d005800423721
77507.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (8)
77508
77509.xword 0x804d006000504020
77510.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (8)
77511
77512.xword 0x0000006000408020
77513.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (8)
77514
77515.xword 0
77516.xword 0x00021105 | (3 << 18) !ma_ctl_StParity (8)
77517
77518.xword 0
77519.xword 0x00020094 | (3 << 18) !ma_ctl_Ld (9)
77520
77521.xword 0
77522.xword 0x00020146 | (3 << 18) !ma_ctl_St (9)
77523
77524.xword 0x80604020
77525.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (9)
77526
77527.xword 0x356a
77528.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (9)
77529
77530.xword 0x0000006000408020
77531.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (9)
77532
77533.xword 0x6a35
77534.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (9)
77535
77536.xword 0x78285050
77537.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (9)
77538
77539.xword 0x80604020
77540.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (9)
77541
77542.xword 0x00287850
77543.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (9)
77544
77545.xword 0x00287850
77546.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (9)
77547
77548.xword 0x78285050
77549.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (9)
77550
77551.xword 0x64500000003c0000
77552.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (9)
77553
77554.xword 0x7766000000554422
77555.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (9)
77556
77557.xword 0x706200000054462a
77558.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (9)
77559
77560.xword 0x784d005800423721
77561.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (9)
77562
77563.xword 0x804d006000504020
77564.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (9)
77565
77566.xword 0x0000006000408020
77567.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (9)
77568
77569.xword 0
77570.xword 0x00021103 | (3 << 18) !ma_ctl_StParity (9)
77571
77572.xword 0
77573.xword 0x00020093 | (3 << 18) !ma_ctl_Ld (10)
77574
77575.xword 0
77576.xword 0x00020160 | (3 << 18) !ma_ctl_St (10)
77577
77578.xword 0x80604020
77579.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (10)
77580
77581.xword 0x356a
77582.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (10)
77583
77584.xword 0x0000006000408020
77585.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (10)
77586
77587.xword 0x6a35
77588.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (10)
77589
77590.xword 0x78285050
77591.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (10)
77592
77593.xword 0x80604020
77594.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (10)
77595
77596.xword 0x00287850
77597.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (10)
77598
77599.xword 0x00287850
77600.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (10)
77601
77602.xword 0x78285050
77603.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (10)
77604
77605.xword 0x64500000003c0000
77606.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (10)
77607
77608.xword 0x7766000000554422
77609.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (10)
77610
77611.xword 0x706200000054462a
77612.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (10)
77613
77614.xword 0x784d005800423721
77615.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (10)
77616
77617.xword 0x804d006000504020
77618.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (10)
77619
77620.xword 0x0000006000408020
77621.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (10)
77622
77623.xword 0
77624.xword 0x00021106 | (3 << 18) !ma_ctl_StParity (10)
77625
77626.xword 0
77627.xword 0x0002002e | (3 << 18) !ma_ctl_Ld (11)
77628
77629.xword 0
77630.xword 0x00020169 | (3 << 18) !ma_ctl_St (11)
77631
77632.xword 0x80604020
77633.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (11)
77634
77635.xword 0x356a
77636.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (11)
77637
77638.xword 0x0000006000408020
77639.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (11)
77640
77641.xword 0x6a35
77642.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (11)
77643
77644.xword 0x78285050
77645.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (11)
77646
77647.xword 0x80604020
77648.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (11)
77649
77650.xword 0x00287850
77651.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (11)
77652
77653.xword 0x00287850
77654.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (11)
77655
77656.xword 0x78285050
77657.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (11)
77658
77659.xword 0x64500000003c0000
77660.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (11)
77661
77662.xword 0x7766000000554422
77663.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (11)
77664
77665.xword 0x706200000054462a
77666.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (11)
77667
77668.xword 0x784d005800423721
77669.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (11)
77670
77671.xword 0x804d006000504020
77672.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (11)
77673
77674.xword 0x0000006000408020
77675.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (11)
77676
77677.xword 0
77678.xword 0x00021114 | (3 << 18) !ma_ctl_StParity (11)
77679
77680.xword 0
77681.xword 0x0002003f | (3 << 18) !ma_ctl_Ld (12)
77682
77683.xword 0
77684.xword 0x00020134 | (3 << 18) !ma_ctl_St (12)
77685
77686.xword 0x80604020
77687.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (12)
77688
77689.xword 0x356a
77690.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (12)
77691
77692.xword 0x0000006000408020
77693.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (12)
77694
77695.xword 0x6a35
77696.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (12)
77697
77698.xword 0x78285050
77699.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (12)
77700
77701.xword 0x80604020
77702.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (12)
77703
77704.xword 0x00287850
77705.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (12)
77706
77707.xword 0x00287850
77708.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (12)
77709
77710.xword 0x78285050
77711.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (12)
77712
77713.xword 0x64500000003c0000
77714.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (12)
77715
77716.xword 0x7766000000554422
77717.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (12)
77718
77719.xword 0x706200000054462a
77720.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (12)
77721
77722.xword 0x784d005800423721
77723.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (12)
77724
77725.xword 0x804d006000504020
77726.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (12)
77727
77728.xword 0x0000006000408020
77729.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (12)
77730
77731.xword 0
77732.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (12)
77733
77734.xword 0
77735.xword 0x0002005d | (3 << 18) !ma_ctl_Ld (13)
77736
77737.xword 0
77738.xword 0x00020168 | (3 << 18) !ma_ctl_St (13)
77739
77740.xword 0x80604020
77741.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (13)
77742
77743.xword 0x356a
77744.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (13)
77745
77746.xword 0x0000006000408020
77747.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (13)
77748
77749.xword 0x6a35
77750.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (13)
77751
77752.xword 0x78285050
77753.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (13)
77754
77755.xword 0x80604020
77756.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (13)
77757
77758.xword 0x00287850
77759.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (13)
77760
77761.xword 0x00287850
77762.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (13)
77763
77764.xword 0x78285050
77765.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (13)
77766
77767.xword 0x64500000003c0000
77768.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (13)
77769
77770.xword 0x7766000000554422
77771.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (13)
77772
77773.xword 0x706200000054462a
77774.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (13)
77775
77776.xword 0x784d005800423721
77777.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (13)
77778
77779.xword 0x804d006000504020
77780.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (13)
77781
77782.xword 0x0000006000408020
77783.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (13)
77784
77785.xword 0
77786.xword 0x00021100 | (3 << 18) !ma_ctl_StParity (13)
77787
77788.xword 0
77789.xword 0x0002005e | (3 << 18) !ma_ctl_Ld (14)
77790
77791.xword 0
77792.xword 0x00020136 | (3 << 18) !ma_ctl_St (14)
77793
77794.xword 0x80604020
77795.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (14)
77796
77797.xword 0x356a
77798.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (14)
77799
77800.xword 0x0000006000408020
77801.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (14)
77802
77803.xword 0x6a35
77804.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (14)
77805
77806.xword 0x78285050
77807.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (14)
77808
77809.xword 0x80604020
77810.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (14)
77811
77812.xword 0x00287850
77813.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (14)
77814
77815.xword 0x00287850
77816.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (14)
77817
77818.xword 0x78285050
77819.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (14)
77820
77821.xword 0x64500000003c0000
77822.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (14)
77823
77824.xword 0x7766000000554422
77825.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (14)
77826
77827.xword 0x706200000054462a
77828.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (14)
77829
77830.xword 0x784d005800423721
77831.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (14)
77832
77833.xword 0x804d006000504020
77834.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (14)
77835
77836.xword 0x0000006000408020
77837.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (14)
77838
77839.xword 0
77840.xword 0x0002110f | (3 << 18) !ma_ctl_StParity (14)
77841
77842.xword 0
77843.xword 0x00020023 | (3 << 18) !ma_ctl_Ld (15)
77844
77845.xword 0
77846.xword 0x00020127 | (3 << 18) !ma_ctl_St (15)
77847
77848.xword 0x80604020
77849.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (15)
77850
77851.xword 0x356a
77852.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (15)
77853
77854.xword 0x0000006000408020
77855.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (15)
77856
77857.xword 0x6a35
77858.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (15)
77859
77860.xword 0x78285050
77861.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (15)
77862
77863.xword 0x80604020
77864.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (15)
77865
77866.xword 0x00287850
77867.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (15)
77868
77869.xword 0x00287850
77870.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (15)
77871
77872.xword 0x78285050
77873.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (15)
77874
77875.xword 0x64500000003c0000
77876.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (15)
77877
77878.xword 0x7766000000554422
77879.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (15)
77880
77881.xword 0x706200000054462a
77882.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (15)
77883
77884.xword 0x784d005800423721
77885.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (15)
77886
77887.xword 0x804d006000504020
77888.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (15)
77889
77890.xword 0x0000006000408020
77891.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (15)
77892
77893.xword 0
77894.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (15)
77895
77896.xword 0
77897.xword 0x0002006d | (3 << 18) !ma_ctl_Ld (16)
77898
77899.xword 0
77900.xword 0x0002018f | (3 << 18) !ma_ctl_St (16)
77901
77902.xword 0x80604020
77903.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (16)
77904
77905.xword 0x356a
77906.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (16)
77907
77908.xword 0x0000006000408020
77909.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (16)
77910
77911.xword 0x6a35
77912.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (16)
77913
77914.xword 0x78285050
77915.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (16)
77916
77917.xword 0x80604020
77918.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (16)
77919
77920.xword 0x00287850
77921.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (16)
77922
77923.xword 0x00287850
77924.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (16)
77925
77926.xword 0x78285050
77927.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (16)
77928
77929.xword 0x64500000003c0000
77930.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (16)
77931
77932.xword 0x7766000000554422
77933.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (16)
77934
77935.xword 0x706200000054462a
77936.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (16)
77937
77938.xword 0x784d005800423721
77939.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (16)
77940
77941.xword 0x804d006000504020
77942.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (16)
77943
77944.xword 0x0000006000408020
77945.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (16)
77946
77947.xword 0
77948.xword 0x00021111 | (3 << 18) !ma_ctl_StParity (16)
77949
77950.xword 0
77951.xword 0x00020046 | (3 << 18) !ma_ctl_Ld (17)
77952
77953.xword 0
77954.xword 0x0002017d | (3 << 18) !ma_ctl_St (17)
77955
77956.xword 0x80604020
77957.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (17)
77958
77959.xword 0x356a
77960.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (17)
77961
77962.xword 0x0000006000408020
77963.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (17)
77964
77965.xword 0x6a35
77966.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (17)
77967
77968.xword 0x78285050
77969.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (17)
77970
77971.xword 0x80604020
77972.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (17)
77973
77974.xword 0x00287850
77975.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (17)
77976
77977.xword 0x00287850
77978.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (17)
77979
77980.xword 0x78285050
77981.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (17)
77982
77983.xword 0x64500000003c0000
77984.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (17)
77985
77986.xword 0x7766000000554422
77987.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (17)
77988
77989.xword 0x706200000054462a
77990.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (17)
77991
77992.xword 0x784d005800423721
77993.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (17)
77994
77995.xword 0x804d006000504020
77996.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (17)
77997
77998.xword 0x0000006000408020
77999.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (17)
78000
78001.xword 0
78002.xword 0x00021101 | (3 << 18) !ma_ctl_StParity (17)
78003
78004.xword 0
78005.xword 0x0002003b | (3 << 18) !ma_ctl_Ld (18)
78006
78007.xword 0
78008.xword 0x0002014e | (3 << 18) !ma_ctl_St (18)
78009
78010.xword 0x80604020
78011.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (18)
78012
78013.xword 0x356a
78014.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (18)
78015
78016.xword 0x0000006000408020
78017.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (18)
78018
78019.xword 0x6a35
78020.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (18)
78021
78022.xword 0x78285050
78023.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (18)
78024
78025.xword 0x80604020
78026.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (18)
78027
78028.xword 0x00287850
78029.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (18)
78030
78031.xword 0x00287850
78032.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (18)
78033
78034.xword 0x78285050
78035.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (18)
78036
78037.xword 0x64500000003c0000
78038.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (18)
78039
78040.xword 0x7766000000554422
78041.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (18)
78042
78043.xword 0x706200000054462a
78044.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (18)
78045
78046.xword 0x784d005800423721
78047.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (18)
78048
78049.xword 0x804d006000504020
78050.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (18)
78051
78052.xword 0x0000006000408020
78053.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (18)
78054
78055.xword 0
78056.xword 0x0002110a | (3 << 18) !ma_ctl_StParity (18)
78057
78058.xword 0
78059.xword 0x00020075 | (3 << 18) !ma_ctl_Ld (19)
78060
78061.xword 0
78062.xword 0x00020141 | (3 << 18) !ma_ctl_St (19)
78063
78064.xword 0x80604020
78065.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (19)
78066
78067.xword 0x356a
78068.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (19)
78069
78070.xword 0x0000006000408020
78071.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (19)
78072
78073.xword 0x6a35
78074.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (19)
78075
78076.xword 0x78285050
78077.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (19)
78078
78079.xword 0x80604020
78080.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (19)
78081
78082.xword 0x00287850
78083.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (19)
78084
78085.xword 0x00287850
78086.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (19)
78087
78088.xword 0x78285050
78089.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (19)
78090
78091.xword 0x64500000003c0000
78092.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (19)
78093
78094.xword 0x7766000000554422
78095.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (19)
78096
78097.xword 0x706200000054462a
78098.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (19)
78099
78100.xword 0x784d005800423721
78101.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (19)
78102
78103.xword 0x804d006000504020
78104.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (19)
78105
78106.xword 0x0000006000408020
78107.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (19)
78108
78109.xword 0
78110.xword 0x0002110f | (3 << 18) !ma_ctl_StParity (19)
78111
78112.xword 0
78113.xword 0x00020032 | (3 << 18) !ma_ctl_Ld (20)
78114
78115.xword 0
78116.xword 0x0002012a | (3 << 18) !ma_ctl_St (20)
78117
78118.xword 0x80604020
78119.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (20)
78120
78121.xword 0x356a
78122.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (20)
78123
78124.xword 0x0000006000408020
78125.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (20)
78126
78127.xword 0x6a35
78128.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (20)
78129
78130.xword 0x78285050
78131.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (20)
78132
78133.xword 0x80604020
78134.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (20)
78135
78136.xword 0x00287850
78137.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (20)
78138
78139.xword 0x00287850
78140.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (20)
78141
78142.xword 0x78285050
78143.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (20)
78144
78145.xword 0x64500000003c0000
78146.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (20)
78147
78148.xword 0x7766000000554422
78149.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (20)
78150
78151.xword 0x706200000054462a
78152.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (20)
78153
78154.xword 0x784d005800423721
78155.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (20)
78156
78157.xword 0x804d006000504020
78158.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (20)
78159
78160.xword 0x0000006000408020
78161.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (20)
78162
78163.xword 0
78164.xword 0x00021116 | (3 << 18) !ma_ctl_StParity (20)
78165
78166.xword 0
78167.xword 0x00020050 | (3 << 18) !ma_ctl_Ld (21)
78168
78169.xword 0
78170.xword 0x0002017f | (3 << 18) !ma_ctl_St (21)
78171
78172.xword 0x80604020
78173.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (21)
78174
78175.xword 0x356a
78176.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (21)
78177
78178.xword 0x0000006000408020
78179.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (21)
78180
78181.xword 0x6a35
78182.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (21)
78183
78184.xword 0x78285050
78185.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (21)
78186
78187.xword 0x80604020
78188.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (21)
78189
78190.xword 0x00287850
78191.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (21)
78192
78193.xword 0x00287850
78194.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (21)
78195
78196.xword 0x78285050
78197.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (21)
78198
78199.xword 0x64500000003c0000
78200.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (21)
78201
78202.xword 0x7766000000554422
78203.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (21)
78204
78205.xword 0x706200000054462a
78206.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (21)
78207
78208.xword 0x784d005800423721
78209.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (21)
78210
78211.xword 0x804d006000504020
78212.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (21)
78213
78214.xword 0x0000006000408020
78215.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (21)
78216
78217.xword 0
78218.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (21)
78219
78220.xword 0
78221.xword 0x00020092 | (3 << 18) !ma_ctl_Ld (22)
78222
78223.xword 0
78224.xword 0x0002018e | (3 << 18) !ma_ctl_St (22)
78225
78226.xword 0x80604020
78227.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (22)
78228
78229.xword 0x356a
78230.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (22)
78231
78232.xword 0x0000006000408020
78233.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (22)
78234
78235.xword 0x6a35
78236.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (22)
78237
78238.xword 0x78285050
78239.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (22)
78240
78241.xword 0x80604020
78242.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (22)
78243
78244.xword 0x00287850
78245.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (22)
78246
78247.xword 0x00287850
78248.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (22)
78249
78250.xword 0x78285050
78251.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (22)
78252
78253.xword 0x64500000003c0000
78254.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (22)
78255
78256.xword 0x7766000000554422
78257.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (22)
78258
78259.xword 0x706200000054462a
78260.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (22)
78261
78262.xword 0x784d005800423721
78263.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (22)
78264
78265.xword 0x804d006000504020
78266.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (22)
78267
78268.xword 0x0000006000408020
78269.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (22)
78270
78271.xword 0
78272.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (22)
78273
78274.xword 0
78275.xword 0x00020044 | (3 << 18) !ma_ctl_Ld (23)
78276
78277.xword 0
78278.xword 0x00020165 | (3 << 18) !ma_ctl_St (23)
78279
78280.xword 0x80604020
78281.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (23)
78282
78283.xword 0x356a
78284.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (23)
78285
78286.xword 0x0000006000408020
78287.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (23)
78288
78289.xword 0x6a35
78290.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (23)
78291
78292.xword 0x78285050
78293.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (23)
78294
78295.xword 0x80604020
78296.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (23)
78297
78298.xword 0x00287850
78299.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (23)
78300
78301.xword 0x00287850
78302.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (23)
78303
78304.xword 0x78285050
78305.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (23)
78306
78307.xword 0x64500000003c0000
78308.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (23)
78309
78310.xword 0x7766000000554422
78311.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (23)
78312
78313.xword 0x706200000054462a
78314.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (23)
78315
78316.xword 0x784d005800423721
78317.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (23)
78318
78319.xword 0x804d006000504020
78320.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (23)
78321
78322.xword 0x0000006000408020
78323.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (23)
78324
78325.xword 0
78326.xword 0x0002110e | (3 << 18) !ma_ctl_StParity (23)
78327
78328.xword 0
78329.xword 0x00020065 | (3 << 18) !ma_ctl_Ld (24)
78330
78331.xword 0
78332.xword 0x00020132 | (3 << 18) !ma_ctl_St (24)
78333
78334.xword 0x80604020
78335.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (24)
78336
78337.xword 0x356a
78338.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (24)
78339
78340.xword 0x0000006000408020
78341.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (24)
78342
78343.xword 0x6a35
78344.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (24)
78345
78346.xword 0x78285050
78347.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (24)
78348
78349.xword 0x80604020
78350.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (24)
78351
78352.xword 0x00287850
78353.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (24)
78354
78355.xword 0x00287850
78356.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (24)
78357
78358.xword 0x78285050
78359.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (24)
78360
78361.xword 0x64500000003c0000
78362.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (24)
78363
78364.xword 0x7766000000554422
78365.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (24)
78366
78367.xword 0x706200000054462a
78368.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (24)
78369
78370.xword 0x784d005800423721
78371.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (24)
78372
78373.xword 0x804d006000504020
78374.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (24)
78375
78376.xword 0x0000006000408020
78377.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (24)
78378
78379.xword 0
78380.xword 0x00021116 | (3 << 18) !ma_ctl_StParity (24)
78381
78382.xword 0
78383.xword 0x00020060 | (3 << 18) !ma_ctl_Ld (25)
78384
78385.xword 0
78386.xword 0x0002014e | (3 << 18) !ma_ctl_St (25)
78387
78388.xword 0x80604020
78389.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (25)
78390
78391.xword 0x356a
78392.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (25)
78393
78394.xword 0x0000006000408020
78395.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (25)
78396
78397.xword 0x6a35
78398.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (25)
78399
78400.xword 0x78285050
78401.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (25)
78402
78403.xword 0x80604020
78404.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (25)
78405
78406.xword 0x00287850
78407.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (25)
78408
78409.xword 0x00287850
78410.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (25)
78411
78412.xword 0x78285050
78413.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (25)
78414
78415.xword 0x64500000003c0000
78416.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (25)
78417
78418.xword 0x7766000000554422
78419.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (25)
78420
78421.xword 0x706200000054462a
78422.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (25)
78423
78424.xword 0x784d005800423721
78425.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (25)
78426
78427.xword 0x804d006000504020
78428.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (25)
78429
78430.xword 0x0000006000408020
78431.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (25)
78432
78433.xword 0
78434.xword 0x0002110f | (3 << 18) !ma_ctl_StParity (25)
78435
78436.xword 0
78437.xword 0x0002006c | (3 << 18) !ma_ctl_Ld (26)
78438
78439.xword 0
78440.xword 0x00020150 | (3 << 18) !ma_ctl_St (26)
78441
78442.xword 0x80604020
78443.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (26)
78444
78445.xword 0x356a
78446.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (26)
78447
78448.xword 0x0000006000408020
78449.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (26)
78450
78451.xword 0x6a35
78452.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (26)
78453
78454.xword 0x78285050
78455.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (26)
78456
78457.xword 0x80604020
78458.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (26)
78459
78460.xword 0x00287850
78461.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (26)
78462
78463.xword 0x00287850
78464.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (26)
78465
78466.xword 0x78285050
78467.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (26)
78468
78469.xword 0x64500000003c0000
78470.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (26)
78471
78472.xword 0x7766000000554422
78473.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (26)
78474
78475.xword 0x706200000054462a
78476.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (26)
78477
78478.xword 0x784d005800423721
78479.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (26)
78480
78481.xword 0x804d006000504020
78482.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (26)
78483
78484.xword 0x0000006000408020
78485.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (26)
78486
78487.xword 0
78488.xword 0x00021102 | (3 << 18) !ma_ctl_StParity (26)
78489
78490.xword 0
78491.xword 0x00020087 | (3 << 18) !ma_ctl_Ld (27)
78492
78493.xword 0
78494.xword 0x0002012b | (3 << 18) !ma_ctl_St (27)
78495
78496.xword 0x80604020
78497.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (27)
78498
78499.xword 0x356a
78500.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (27)
78501
78502.xword 0x0000006000408020
78503.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (27)
78504
78505.xword 0x6a35
78506.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (27)
78507
78508.xword 0x78285050
78509.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (27)
78510
78511.xword 0x80604020
78512.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (27)
78513
78514.xword 0x00287850
78515.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (27)
78516
78517.xword 0x00287850
78518.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (27)
78519
78520.xword 0x78285050
78521.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (27)
78522
78523.xword 0x64500000003c0000
78524.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (27)
78525
78526.xword 0x7766000000554422
78527.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (27)
78528
78529.xword 0x706200000054462a
78530.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (27)
78531
78532.xword 0x784d005800423721
78533.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (27)
78534
78535.xword 0x804d006000504020
78536.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (27)
78537
78538.xword 0x0000006000408020
78539.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (27)
78540
78541.xword 0
78542.xword 0x00021116 | (3 << 18) !ma_ctl_StParity (27)
78543
78544.xword 0
78545.xword 0x00020024 | (3 << 18) !ma_ctl_Ld (28)
78546
78547.xword 0
78548.xword 0x0002017b | (3 << 18) !ma_ctl_St (28)
78549
78550.xword 0x80604020
78551.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (28)
78552
78553.xword 0x356a
78554.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (28)
78555
78556.xword 0x0000006000408020
78557.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (28)
78558
78559.xword 0x6a35
78560.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (28)
78561
78562.xword 0x78285050
78563.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (28)
78564
78565.xword 0x80604020
78566.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (28)
78567
78568.xword 0x00287850
78569.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (28)
78570
78571.xword 0x00287850
78572.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (28)
78573
78574.xword 0x78285050
78575.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (28)
78576
78577.xword 0x64500000003c0000
78578.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (28)
78579
78580.xword 0x7766000000554422
78581.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (28)
78582
78583.xword 0x706200000054462a
78584.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (28)
78585
78586.xword 0x784d005800423721
78587.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (28)
78588
78589.xword 0x804d006000504020
78590.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (28)
78591
78592.xword 0x0000006000408020
78593.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (28)
78594
78595.xword 0
78596.xword 0x0002110b | (3 << 18) !ma_ctl_StParity (28)
78597
78598.xword 0
78599.xword 0x0002009c | (3 << 18) !ma_ctl_Ld (29)
78600
78601.xword 0
78602.xword 0x0002016c | (3 << 18) !ma_ctl_St (29)
78603
78604.xword 0x80604020
78605.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (29)
78606
78607.xword 0x356a
78608.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (29)
78609
78610.xword 0x0000006000408020
78611.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (29)
78612
78613.xword 0x6a35
78614.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (29)
78615
78616.xword 0x78285050
78617.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (29)
78618
78619.xword 0x80604020
78620.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (29)
78621
78622.xword 0x00287850
78623.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (29)
78624
78625.xword 0x00287850
78626.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (29)
78627
78628.xword 0x78285050
78629.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (29)
78630
78631.xword 0x64500000003c0000
78632.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (29)
78633
78634.xword 0x7766000000554422
78635.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (29)
78636
78637.xword 0x706200000054462a
78638.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (29)
78639
78640.xword 0x784d005800423721
78641.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (29)
78642
78643.xword 0x804d006000504020
78644.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (29)
78645
78646.xword 0x0000006000408020
78647.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (29)
78648
78649.xword 0
78650.xword 0x0002111b | (3 << 18) !ma_ctl_StParity (29)
78651
78652.xword 0
78653.xword 0x0002002a | (3 << 18) !ma_ctl_Ld (30)
78654
78655.xword 0
78656.xword 0x00020154 | (3 << 18) !ma_ctl_St (30)
78657
78658.xword 0x80604020
78659.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (30)
78660
78661.xword 0x356a
78662.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (30)
78663
78664.xword 0x0000006000408020
78665.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (30)
78666
78667.xword 0x6a35
78668.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (30)
78669
78670.xword 0x78285050
78671.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (30)
78672
78673.xword 0x80604020
78674.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (30)
78675
78676.xword 0x00287850
78677.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (30)
78678
78679.xword 0x00287850
78680.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (30)
78681
78682.xword 0x78285050
78683.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (30)
78684
78685.xword 0x64500000003c0000
78686.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (30)
78687
78688.xword 0x7766000000554422
78689.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (30)
78690
78691.xword 0x706200000054462a
78692.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (30)
78693
78694.xword 0x784d005800423721
78695.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (30)
78696
78697.xword 0x804d006000504020
78698.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (30)
78699
78700.xword 0x0000006000408020
78701.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (30)
78702
78703.xword 0
78704.xword 0x0002111e | (3 << 18) !ma_ctl_StParity (30)
78705
78706.xword 0
78707.xword 0x0002009e | (3 << 18) !ma_ctl_Ld (31)
78708
78709.xword 0
78710.xword 0x00020191 | (3 << 18) !ma_ctl_St (31)
78711
78712.xword 0x80604020
78713.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (31)
78714
78715.xword 0x356a
78716.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (31)
78717
78718.xword 0x0000006000408020
78719.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (31)
78720
78721.xword 0x6a35
78722.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (31)
78723
78724.xword 0x78285050
78725.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (31)
78726
78727.xword 0x80604020
78728.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (31)
78729
78730.xword 0x00287850
78731.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (31)
78732
78733.xword 0x00287850
78734.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (31)
78735
78736.xword 0x78285050
78737.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (31)
78738
78739.xword 0x64500000003c0000
78740.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (31)
78741
78742.xword 0x7766000000554422
78743.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (31)
78744
78745.xword 0x706200000054462a
78746.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (31)
78747
78748.xword 0x784d005800423721
78749.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (31)
78750
78751.xword 0x804d006000504020
78752.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (31)
78753
78754.xword 0x0000006000408020
78755.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (31)
78756
78757.xword 0
78758.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (31)
78759
78760.xword 0
78761.xword 0x0002008d | (3 << 18) !ma_ctl_Ld (32)
78762
78763.xword 0
78764.xword 0x0002018f | (3 << 18) !ma_ctl_St (32)
78765
78766.xword 0x80604020
78767.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (32)
78768
78769.xword 0x356a
78770.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (32)
78771
78772.xword 0x0000006000408020
78773.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (32)
78774
78775.xword 0x6a35
78776.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (32)
78777
78778.xword 0x78285050
78779.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (32)
78780
78781.xword 0x80604020
78782.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (32)
78783
78784.xword 0x00287850
78785.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (32)
78786
78787.xword 0x00287850
78788.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (32)
78789
78790.xword 0x78285050
78791.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (32)
78792
78793.xword 0x64500000003c0000
78794.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (32)
78795
78796.xword 0x7766000000554422
78797.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (32)
78798
78799.xword 0x706200000054462a
78800.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (32)
78801
78802.xword 0x784d005800423721
78803.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (32)
78804
78805.xword 0x804d006000504020
78806.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (32)
78807
78808.xword 0x0000006000408020
78809.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (32)
78810
78811.xword 0
78812.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (32)
78813
78814.xword 0
78815.xword 0x00020086 | (3 << 18) !ma_ctl_Ld (33)
78816
78817.xword 0
78818.xword 0x0002012a | (3 << 18) !ma_ctl_St (33)
78819
78820.xword 0x80604020
78821.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (33)
78822
78823.xword 0x356a
78824.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (33)
78825
78826.xword 0x0000006000408020
78827.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (33)
78828
78829.xword 0x6a35
78830.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (33)
78831
78832.xword 0x78285050
78833.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (33)
78834
78835.xword 0x80604020
78836.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (33)
78837
78838.xword 0x00287850
78839.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (33)
78840
78841.xword 0x00287850
78842.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (33)
78843
78844.xword 0x78285050
78845.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (33)
78846
78847.xword 0x64500000003c0000
78848.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (33)
78849
78850.xword 0x7766000000554422
78851.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (33)
78852
78853.xword 0x706200000054462a
78854.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (33)
78855
78856.xword 0x784d005800423721
78857.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (33)
78858
78859.xword 0x804d006000504020
78860.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (33)
78861
78862.xword 0x0000006000408020
78863.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (33)
78864
78865.xword 0
78866.xword 0x0002111b | (3 << 18) !ma_ctl_StParity (33)
78867
78868.xword 0
78869.xword 0x0002002d | (3 << 18) !ma_ctl_Ld (34)
78870
78871.xword 0
78872.xword 0x0002013f | (3 << 18) !ma_ctl_St (34)
78873
78874.xword 0x80604020
78875.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (34)
78876
78877.xword 0x356a
78878.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (34)
78879
78880.xword 0x0000006000408020
78881.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (34)
78882
78883.xword 0x6a35
78884.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (34)
78885
78886.xword 0x78285050
78887.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (34)
78888
78889.xword 0x80604020
78890.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (34)
78891
78892.xword 0x00287850
78893.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (34)
78894
78895.xword 0x00287850
78896.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (34)
78897
78898.xword 0x78285050
78899.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (34)
78900
78901.xword 0x64500000003c0000
78902.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (34)
78903
78904.xword 0x7766000000554422
78905.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (34)
78906
78907.xword 0x706200000054462a
78908.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (34)
78909
78910.xword 0x784d005800423721
78911.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (34)
78912
78913.xword 0x804d006000504020
78914.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (34)
78915
78916.xword 0x0000006000408020
78917.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (34)
78918
78919.xword 0
78920.xword 0x0002110a | (3 << 18) !ma_ctl_StParity (34)
78921
78922.xword 0
78923.xword 0x0002004b | (3 << 18) !ma_ctl_Ld (35)
78924
78925.xword 0
78926.xword 0x00020180 | (3 << 18) !ma_ctl_St (35)
78927
78928.xword 0x80604020
78929.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (35)
78930
78931.xword 0x356a
78932.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (35)
78933
78934.xword 0x0000006000408020
78935.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (35)
78936
78937.xword 0x6a35
78938.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (35)
78939
78940.xword 0x78285050
78941.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (35)
78942
78943.xword 0x80604020
78944.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (35)
78945
78946.xword 0x00287850
78947.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (35)
78948
78949.xword 0x00287850
78950.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (35)
78951
78952.xword 0x78285050
78953.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (35)
78954
78955.xword 0x64500000003c0000
78956.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (35)
78957
78958.xword 0x7766000000554422
78959.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (35)
78960
78961.xword 0x706200000054462a
78962.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (35)
78963
78964.xword 0x784d005800423721
78965.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (35)
78966
78967.xword 0x804d006000504020
78968.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (35)
78969
78970.xword 0x0000006000408020
78971.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (35)
78972
78973.xword 0
78974.xword 0x00021111 | (3 << 18) !ma_ctl_StParity (35)
78975
78976.xword 0
78977.xword 0x00020040 | (3 << 18) !ma_ctl_Ld (36)
78978
78979.xword 0
78980.xword 0x00020132 | (3 << 18) !ma_ctl_St (36)
78981
78982.xword 0x80604020
78983.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (36)
78984
78985.xword 0x356a
78986.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (36)
78987
78988.xword 0x0000006000408020
78989.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (36)
78990
78991.xword 0x6a35
78992.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (36)
78993
78994.xword 0x78285050
78995.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (36)
78996
78997.xword 0x80604020
78998.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (36)
78999
79000.xword 0x00287850
79001.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (36)
79002
79003.xword 0x00287850
79004.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (36)
79005
79006.xword 0x78285050
79007.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (36)
79008
79009.xword 0x64500000003c0000
79010.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (36)
79011
79012.xword 0x7766000000554422
79013.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (36)
79014
79015.xword 0x706200000054462a
79016.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (36)
79017
79018.xword 0x784d005800423721
79019.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (36)
79020
79021.xword 0x804d006000504020
79022.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (36)
79023
79024.xword 0x0000006000408020
79025.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (36)
79026
79027.xword 0
79028.xword 0x0002111a | (3 << 18) !ma_ctl_StParity (36)
79029
79030.xword 0
79031.xword 0x00020097 | (3 << 18) !ma_ctl_Ld (37)
79032
79033.xword 0
79034.xword 0x0002018f | (3 << 18) !ma_ctl_St (37)
79035
79036.xword 0x80604020
79037.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (37)
79038
79039.xword 0x356a
79040.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (37)
79041
79042.xword 0x0000006000408020
79043.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (37)
79044
79045.xword 0x6a35
79046.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (37)
79047
79048.xword 0x78285050
79049.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (37)
79050
79051.xword 0x80604020
79052.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (37)
79053
79054.xword 0x00287850
79055.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (37)
79056
79057.xword 0x00287850
79058.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (37)
79059
79060.xword 0x78285050
79061.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (37)
79062
79063.xword 0x64500000003c0000
79064.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (37)
79065
79066.xword 0x7766000000554422
79067.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (37)
79068
79069.xword 0x706200000054462a
79070.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (37)
79071
79072.xword 0x784d005800423721
79073.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (37)
79074
79075.xword 0x804d006000504020
79076.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (37)
79077
79078.xword 0x0000006000408020
79079.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (37)
79080
79081.xword 0
79082.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (37)
79083
79084.xword 0
79085.xword 0x0002002e | (3 << 18) !ma_ctl_Ld (38)
79086
79087.xword 0
79088.xword 0x00020128 | (3 << 18) !ma_ctl_St (38)
79089
79090.xword 0x80604020
79091.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (38)
79092
79093.xword 0x356a
79094.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (38)
79095
79096.xword 0x0000006000408020
79097.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (38)
79098
79099.xword 0x6a35
79100.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (38)
79101
79102.xword 0x78285050
79103.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (38)
79104
79105.xword 0x80604020
79106.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (38)
79107
79108.xword 0x00287850
79109.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (38)
79110
79111.xword 0x00287850
79112.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (38)
79113
79114.xword 0x78285050
79115.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (38)
79116
79117.xword 0x64500000003c0000
79118.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (38)
79119
79120.xword 0x7766000000554422
79121.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (38)
79122
79123.xword 0x706200000054462a
79124.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (38)
79125
79126.xword 0x784d005800423721
79127.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (38)
79128
79129.xword 0x804d006000504020
79130.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (38)
79131
79132.xword 0x0000006000408020
79133.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (38)
79134
79135.xword 0
79136.xword 0x00021113 | (3 << 18) !ma_ctl_StParity (38)
79137
79138.xword 0
79139.xword 0x00020055 | (3 << 18) !ma_ctl_Ld (39)
79140
79141.xword 0
79142.xword 0x00020136 | (3 << 18) !ma_ctl_St (39)
79143
79144.xword 0x80604020
79145.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (39)
79146
79147.xword 0x356a
79148.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (39)
79149
79150.xword 0x0000006000408020
79151.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (39)
79152
79153.xword 0x6a35
79154.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (39)
79155
79156.xword 0x78285050
79157.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (39)
79158
79159.xword 0x80604020
79160.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (39)
79161
79162.xword 0x00287850
79163.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (39)
79164
79165.xword 0x00287850
79166.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (39)
79167
79168.xword 0x78285050
79169.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (39)
79170
79171.xword 0x64500000003c0000
79172.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (39)
79173
79174.xword 0x7766000000554422
79175.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (39)
79176
79177.xword 0x706200000054462a
79178.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (39)
79179
79180.xword 0x784d005800423721
79181.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (39)
79182
79183.xword 0x804d006000504020
79184.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (39)
79185
79186.xword 0x0000006000408020
79187.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (39)
79188
79189.xword 0
79190.xword 0x00021105 | (3 << 18) !ma_ctl_StParity (39)
79191
79192.xword 0
79193.xword 0x00020064 | (3 << 18) !ma_ctl_Ld (40)
79194
79195.xword 0
79196.xword 0x0002015d | (3 << 18) !ma_ctl_St (40)
79197
79198.xword 0x80604020
79199.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (40)
79200
79201.xword 0x356a
79202.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (40)
79203
79204.xword 0x0000006000408020
79205.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (40)
79206
79207.xword 0x6a35
79208.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (40)
79209
79210.xword 0x78285050
79211.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (40)
79212
79213.xword 0x80604020
79214.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (40)
79215
79216.xword 0x00287850
79217.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (40)
79218
79219.xword 0x00287850
79220.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (40)
79221
79222.xword 0x78285050
79223.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (40)
79224
79225.xword 0x64500000003c0000
79226.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (40)
79227
79228.xword 0x7766000000554422
79229.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (40)
79230
79231.xword 0x706200000054462a
79232.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (40)
79233
79234.xword 0x784d005800423721
79235.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (40)
79236
79237.xword 0x804d006000504020
79238.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (40)
79239
79240.xword 0x0000006000408020
79241.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (40)
79242
79243.xword 0
79244.xword 0x0002111e | (3 << 18) !ma_ctl_StParity (40)
79245
79246.xword 0
79247.xword 0x0002009c | (3 << 18) !ma_ctl_Ld (41)
79248
79249.xword 0
79250.xword 0x00020159 | (3 << 18) !ma_ctl_St (41)
79251
79252.xword 0x80604020
79253.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (41)
79254
79255.xword 0x356a
79256.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (41)
79257
79258.xword 0x0000006000408020
79259.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (41)
79260
79261.xword 0x6a35
79262.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (41)
79263
79264.xword 0x78285050
79265.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (41)
79266
79267.xword 0x80604020
79268.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (41)
79269
79270.xword 0x00287850
79271.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (41)
79272
79273.xword 0x00287850
79274.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (41)
79275
79276.xword 0x78285050
79277.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (41)
79278
79279.xword 0x64500000003c0000
79280.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (41)
79281
79282.xword 0x7766000000554422
79283.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (41)
79284
79285.xword 0x706200000054462a
79286.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (41)
79287
79288.xword 0x784d005800423721
79289.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (41)
79290
79291.xword 0x804d006000504020
79292.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (41)
79293
79294.xword 0x0000006000408020
79295.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (41)
79296
79297.xword 0
79298.xword 0x00021108 | (3 << 18) !ma_ctl_StParity (41)
79299
79300.xword 0
79301.xword 0x0002003a | (3 << 18) !ma_ctl_Ld (42)
79302
79303.xword 0
79304.xword 0x00020157 | (3 << 18) !ma_ctl_St (42)
79305
79306.xword 0x80604020
79307.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (42)
79308
79309.xword 0x356a
79310.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (42)
79311
79312.xword 0x0000006000408020
79313.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (42)
79314
79315.xword 0x6a35
79316.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (42)
79317
79318.xword 0x78285050
79319.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (42)
79320
79321.xword 0x80604020
79322.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (42)
79323
79324.xword 0x00287850
79325.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (42)
79326
79327.xword 0x00287850
79328.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (42)
79329
79330.xword 0x78285050
79331.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (42)
79332
79333.xword 0x64500000003c0000
79334.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (42)
79335
79336.xword 0x7766000000554422
79337.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (42)
79338
79339.xword 0x706200000054462a
79340.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (42)
79341
79342.xword 0x784d005800423721
79343.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (42)
79344
79345.xword 0x804d006000504020
79346.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (42)
79347
79348.xword 0x0000006000408020
79349.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (42)
79350
79351.xword 0
79352.xword 0x00021102 | (3 << 18) !ma_ctl_StParity (42)
79353
79354.xword 0
79355.xword 0x00020090 | (3 << 18) !ma_ctl_Ld (43)
79356
79357.xword 0
79358.xword 0x0002013c | (3 << 18) !ma_ctl_St (43)
79359
79360.xword 0x80604020
79361.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (43)
79362
79363.xword 0x356a
79364.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (43)
79365
79366.xword 0x0000006000408020
79367.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (43)
79368
79369.xword 0x6a35
79370.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (43)
79371
79372.xword 0x78285050
79373.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (43)
79374
79375.xword 0x80604020
79376.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (43)
79377
79378.xword 0x00287850
79379.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (43)
79380
79381.xword 0x00287850
79382.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (43)
79383
79384.xword 0x78285050
79385.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (43)
79386
79387.xword 0x64500000003c0000
79388.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (43)
79389
79390.xword 0x7766000000554422
79391.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (43)
79392
79393.xword 0x706200000054462a
79394.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (43)
79395
79396.xword 0x784d005800423721
79397.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (43)
79398
79399.xword 0x804d006000504020
79400.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (43)
79401
79402.xword 0x0000006000408020
79403.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (43)
79404
79405.xword 0
79406.xword 0x00021116 | (3 << 18) !ma_ctl_StParity (43)
79407
79408.xword 0
79409.xword 0x0002001e | (3 << 18) !ma_ctl_Ld (44)
79410
79411.xword 0
79412.xword 0x00020166 | (3 << 18) !ma_ctl_St (44)
79413
79414.xword 0x80604020
79415.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (44)
79416
79417.xword 0x356a
79418.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (44)
79419
79420.xword 0x0000006000408020
79421.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (44)
79422
79423.xword 0x6a35
79424.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (44)
79425
79426.xword 0x78285050
79427.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (44)
79428
79429.xword 0x80604020
79430.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (44)
79431
79432.xword 0x00287850
79433.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (44)
79434
79435.xword 0x00287850
79436.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (44)
79437
79438.xword 0x78285050
79439.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (44)
79440
79441.xword 0x64500000003c0000
79442.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (44)
79443
79444.xword 0x7766000000554422
79445.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (44)
79446
79447.xword 0x706200000054462a
79448.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (44)
79449
79450.xword 0x784d005800423721
79451.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (44)
79452
79453.xword 0x804d006000504020
79454.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (44)
79455
79456.xword 0x0000006000408020
79457.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (44)
79458
79459.xword 0
79460.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (44)
79461
79462.xword 0
79463.xword 0x0002004e | (3 << 18) !ma_ctl_Ld (45)
79464
79465.xword 0
79466.xword 0x00020149 | (3 << 18) !ma_ctl_St (45)
79467
79468.xword 0x80604020
79469.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (45)
79470
79471.xword 0x356a
79472.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (45)
79473
79474.xword 0x0000006000408020
79475.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (45)
79476
79477.xword 0x6a35
79478.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (45)
79479
79480.xword 0x78285050
79481.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (45)
79482
79483.xword 0x80604020
79484.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (45)
79485
79486.xword 0x00287850
79487.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (45)
79488
79489.xword 0x00287850
79490.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (45)
79491
79492.xword 0x78285050
79493.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (45)
79494
79495.xword 0x64500000003c0000
79496.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (45)
79497
79498.xword 0x7766000000554422
79499.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (45)
79500
79501.xword 0x706200000054462a
79502.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (45)
79503
79504.xword 0x784d005800423721
79505.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (45)
79506
79507.xword 0x804d006000504020
79508.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (45)
79509
79510.xword 0x0000006000408020
79511.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (45)
79512
79513.xword 0
79514.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (45)
79515
79516.xword 0
79517.xword 0x0002004f | (3 << 18) !ma_ctl_Ld (46)
79518
79519.xword 0
79520.xword 0x0002015b | (3 << 18) !ma_ctl_St (46)
79521
79522.xword 0x80604020
79523.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (46)
79524
79525.xword 0x356a
79526.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (46)
79527
79528.xword 0x0000006000408020
79529.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (46)
79530
79531.xword 0x6a35
79532.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (46)
79533
79534.xword 0x78285050
79535.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (46)
79536
79537.xword 0x80604020
79538.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (46)
79539
79540.xword 0x00287850
79541.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (46)
79542
79543.xword 0x00287850
79544.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (46)
79545
79546.xword 0x78285050
79547.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (46)
79548
79549.xword 0x64500000003c0000
79550.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (46)
79551
79552.xword 0x7766000000554422
79553.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (46)
79554
79555.xword 0x706200000054462a
79556.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (46)
79557
79558.xword 0x784d005800423721
79559.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (46)
79560
79561.xword 0x804d006000504020
79562.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (46)
79563
79564.xword 0x0000006000408020
79565.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (46)
79566
79567.xword 0
79568.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (46)
79569
79570.xword 0
79571.xword 0x0002009d | (3 << 18) !ma_ctl_Ld (47)
79572
79573.xword 0
79574.xword 0x00020170 | (3 << 18) !ma_ctl_St (47)
79575
79576.xword 0x80604020
79577.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (47)
79578
79579.xword 0x356a
79580.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (47)
79581
79582.xword 0x0000006000408020
79583.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (47)
79584
79585.xword 0x6a35
79586.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (47)
79587
79588.xword 0x78285050
79589.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (47)
79590
79591.xword 0x80604020
79592.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (47)
79593
79594.xword 0x00287850
79595.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (47)
79596
79597.xword 0x00287850
79598.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (47)
79599
79600.xword 0x78285050
79601.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (47)
79602
79603.xword 0x64500000003c0000
79604.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (47)
79605
79606.xword 0x7766000000554422
79607.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (47)
79608
79609.xword 0x706200000054462a
79610.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (47)
79611
79612.xword 0x784d005800423721
79613.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (47)
79614
79615.xword 0x804d006000504020
79616.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (47)
79617
79618.xword 0x0000006000408020
79619.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (47)
79620
79621.xword 0
79622.xword 0x00021100 | (3 << 18) !ma_ctl_StParity (47)
79623
79624.xword 0
79625.xword 0x0002002f | (3 << 18) !ma_ctl_Ld (48)
79626
79627.xword 0
79628.xword 0x00020142 | (3 << 18) !ma_ctl_St (48)
79629
79630.xword 0x80604020
79631.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (48)
79632
79633.xword 0x356a
79634.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (48)
79635
79636.xword 0x0000006000408020
79637.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (48)
79638
79639.xword 0x6a35
79640.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (48)
79641
79642.xword 0x78285050
79643.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (48)
79644
79645.xword 0x80604020
79646.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (48)
79647
79648.xword 0x00287850
79649.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (48)
79650
79651.xword 0x00287850
79652.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (48)
79653
79654.xword 0x78285050
79655.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (48)
79656
79657.xword 0x64500000003c0000
79658.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (48)
79659
79660.xword 0x7766000000554422
79661.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (48)
79662
79663.xword 0x706200000054462a
79664.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (48)
79665
79666.xword 0x784d005800423721
79667.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (48)
79668
79669.xword 0x804d006000504020
79670.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (48)
79671
79672.xword 0x0000006000408020
79673.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (48)
79674
79675.xword 0
79676.xword 0x00021116 | (3 << 18) !ma_ctl_StParity (48)
79677
79678.xword 0
79679.xword 0x00020045 | (3 << 18) !ma_ctl_Ld (49)
79680
79681.xword 0
79682.xword 0x00020166 | (3 << 18) !ma_ctl_St (49)
79683
79684.xword 0x80604020
79685.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (49)
79686
79687.xword 0x356a
79688.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (49)
79689
79690.xword 0x0000006000408020
79691.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (49)
79692
79693.xword 0x6a35
79694.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (49)
79695
79696.xword 0x78285050
79697.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (49)
79698
79699.xword 0x80604020
79700.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (49)
79701
79702.xword 0x00287850
79703.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (49)
79704
79705.xword 0x00287850
79706.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (49)
79707
79708.xword 0x78285050
79709.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (49)
79710
79711.xword 0x64500000003c0000
79712.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (49)
79713
79714.xword 0x7766000000554422
79715.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (49)
79716
79717.xword 0x706200000054462a
79718.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (49)
79719
79720.xword 0x784d005800423721
79721.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (49)
79722
79723.xword 0x804d006000504020
79724.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (49)
79725
79726.xword 0x0000006000408020
79727.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (49)
79728
79729.xword 0
79730.xword 0x00021114 | (3 << 18) !ma_ctl_StParity (49)
79731
79732.xword 0
79733.xword 0x00020091 | (3 << 18) !ma_ctl_Ld (50)
79734
79735.xword 0
79736.xword 0x0002011e | (3 << 18) !ma_ctl_St (50)
79737
79738.xword 0x80604020
79739.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (50)
79740
79741.xword 0x356a
79742.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (50)
79743
79744.xword 0x0000006000408020
79745.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (50)
79746
79747.xword 0x6a35
79748.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (50)
79749
79750.xword 0x78285050
79751.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (50)
79752
79753.xword 0x80604020
79754.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (50)
79755
79756.xword 0x00287850
79757.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (50)
79758
79759.xword 0x00287850
79760.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (50)
79761
79762.xword 0x78285050
79763.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (50)
79764
79765.xword 0x64500000003c0000
79766.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (50)
79767
79768.xword 0x7766000000554422
79769.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (50)
79770
79771.xword 0x706200000054462a
79772.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (50)
79773
79774.xword 0x784d005800423721
79775.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (50)
79776
79777.xword 0x804d006000504020
79778.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (50)
79779
79780.xword 0x0000006000408020
79781.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (50)
79782
79783.xword 0
79784.xword 0x0002111b | (3 << 18) !ma_ctl_StParity (50)
79785
79786.xword 0
79787.xword 0x0002008d | (3 << 18) !ma_ctl_Ld (51)
79788
79789.xword 0
79790.xword 0x00020145 | (3 << 18) !ma_ctl_St (51)
79791
79792.xword 0x80604020
79793.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (51)
79794
79795.xword 0x356a
79796.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (51)
79797
79798.xword 0x0000006000408020
79799.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (51)
79800
79801.xword 0x6a35
79802.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (51)
79803
79804.xword 0x78285050
79805.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (51)
79806
79807.xword 0x80604020
79808.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (51)
79809
79810.xword 0x00287850
79811.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (51)
79812
79813.xword 0x00287850
79814.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (51)
79815
79816.xword 0x78285050
79817.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (51)
79818
79819.xword 0x64500000003c0000
79820.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (51)
79821
79822.xword 0x7766000000554422
79823.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (51)
79824
79825.xword 0x706200000054462a
79826.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (51)
79827
79828.xword 0x784d005800423721
79829.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (51)
79830
79831.xword 0x804d006000504020
79832.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (51)
79833
79834.xword 0x0000006000408020
79835.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (51)
79836
79837.xword 0
79838.xword 0x00021106 | (3 << 18) !ma_ctl_StParity (51)
79839
79840.xword 0
79841.xword 0x0002004c | (3 << 18) !ma_ctl_Ld (52)
79842
79843.xword 0
79844.xword 0x00020169 | (3 << 18) !ma_ctl_St (52)
79845
79846.xword 0x80604020
79847.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (52)
79848
79849.xword 0x356a
79850.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (52)
79851
79852.xword 0x0000006000408020
79853.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (52)
79854
79855.xword 0x6a35
79856.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (52)
79857
79858.xword 0x78285050
79859.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (52)
79860
79861.xword 0x80604020
79862.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (52)
79863
79864.xword 0x00287850
79865.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (52)
79866
79867.xword 0x00287850
79868.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (52)
79869
79870.xword 0x78285050
79871.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (52)
79872
79873.xword 0x64500000003c0000
79874.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (52)
79875
79876.xword 0x7766000000554422
79877.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (52)
79878
79879.xword 0x706200000054462a
79880.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (52)
79881
79882.xword 0x784d005800423721
79883.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (52)
79884
79885.xword 0x804d006000504020
79886.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (52)
79887
79888.xword 0x0000006000408020
79889.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (52)
79890
79891.xword 0
79892.xword 0x00021113 | (3 << 18) !ma_ctl_StParity (52)
79893
79894.xword 0
79895.xword 0x0002002e | (3 << 18) !ma_ctl_Ld (53)
79896
79897.xword 0
79898.xword 0x00020184 | (3 << 18) !ma_ctl_St (53)
79899
79900.xword 0x80604020
79901.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (53)
79902
79903.xword 0x356a
79904.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (53)
79905
79906.xword 0x0000006000408020
79907.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (53)
79908
79909.xword 0x6a35
79910.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (53)
79911
79912.xword 0x78285050
79913.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (53)
79914
79915.xword 0x80604020
79916.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (53)
79917
79918.xword 0x00287850
79919.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (53)
79920
79921.xword 0x00287850
79922.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (53)
79923
79924.xword 0x78285050
79925.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (53)
79926
79927.xword 0x64500000003c0000
79928.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (53)
79929
79930.xword 0x7766000000554422
79931.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (53)
79932
79933.xword 0x706200000054462a
79934.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (53)
79935
79936.xword 0x784d005800423721
79937.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (53)
79938
79939.xword 0x804d006000504020
79940.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (53)
79941
79942.xword 0x0000006000408020
79943.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (53)
79944
79945.xword 0
79946.xword 0x00021114 | (3 << 18) !ma_ctl_StParity (53)
79947
79948.xword 0
79949.xword 0x00020038 | (3 << 18) !ma_ctl_Ld (54)
79950
79951.xword 0
79952.xword 0x0002017f | (3 << 18) !ma_ctl_St (54)
79953
79954.xword 0x80604020
79955.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (54)
79956
79957.xword 0x356a
79958.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (54)
79959
79960.xword 0x0000006000408020
79961.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (54)
79962
79963.xword 0x6a35
79964.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (54)
79965
79966.xword 0x78285050
79967.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (54)
79968
79969.xword 0x80604020
79970.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (54)
79971
79972.xword 0x00287850
79973.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (54)
79974
79975.xword 0x00287850
79976.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (54)
79977
79978.xword 0x78285050
79979.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (54)
79980
79981.xword 0x64500000003c0000
79982.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (54)
79983
79984.xword 0x7766000000554422
79985.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (54)
79986
79987.xword 0x706200000054462a
79988.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (54)
79989
79990.xword 0x784d005800423721
79991.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (54)
79992
79993.xword 0x804d006000504020
79994.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (54)
79995
79996.xword 0x0000006000408020
79997.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (54)
79998
79999.xword 0
80000.xword 0x00021101 | (3 << 18) !ma_ctl_StParity (54)
80001
80002.xword 0
80003.xword 0x00020084 | (3 << 18) !ma_ctl_Ld (55)
80004
80005.xword 0
80006.xword 0x00020138 | (3 << 18) !ma_ctl_St (55)
80007
80008.xword 0x80604020
80009.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (55)
80010
80011.xword 0x356a
80012.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (55)
80013
80014.xword 0x0000006000408020
80015.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (55)
80016
80017.xword 0x6a35
80018.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (55)
80019
80020.xword 0x78285050
80021.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (55)
80022
80023.xword 0x80604020
80024.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (55)
80025
80026.xword 0x00287850
80027.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (55)
80028
80029.xword 0x00287850
80030.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (55)
80031
80032.xword 0x78285050
80033.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (55)
80034
80035.xword 0x64500000003c0000
80036.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (55)
80037
80038.xword 0x7766000000554422
80039.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (55)
80040
80041.xword 0x706200000054462a
80042.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (55)
80043
80044.xword 0x784d005800423721
80045.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (55)
80046
80047.xword 0x804d006000504020
80048.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (55)
80049
80050.xword 0x0000006000408020
80051.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (55)
80052
80053.xword 0
80054.xword 0x00021116 | (3 << 18) !ma_ctl_StParity (55)
80055
80056.xword 0
80057.xword 0x0002006a | (3 << 18) !ma_ctl_Ld (56)
80058
80059.xword 0
80060.xword 0x00020148 | (3 << 18) !ma_ctl_St (56)
80061
80062.xword 0x80604020
80063.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (56)
80064
80065.xword 0x356a
80066.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (56)
80067
80068.xword 0x0000006000408020
80069.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (56)
80070
80071.xword 0x6a35
80072.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (56)
80073
80074.xword 0x78285050
80075.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (56)
80076
80077.xword 0x80604020
80078.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (56)
80079
80080.xword 0x00287850
80081.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (56)
80082
80083.xword 0x00287850
80084.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (56)
80085
80086.xword 0x78285050
80087.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (56)
80088
80089.xword 0x64500000003c0000
80090.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (56)
80091
80092.xword 0x7766000000554422
80093.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (56)
80094
80095.xword 0x706200000054462a
80096.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (56)
80097
80098.xword 0x784d005800423721
80099.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (56)
80100
80101.xword 0x804d006000504020
80102.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (56)
80103
80104.xword 0x0000006000408020
80105.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (56)
80106
80107.xword 0
80108.xword 0x0002110f | (3 << 18) !ma_ctl_StParity (56)
80109
80110.xword 0
80111.xword 0x0002008a | (3 << 18) !ma_ctl_Ld (57)
80112
80113.xword 0
80114.xword 0x0002013a | (3 << 18) !ma_ctl_St (57)
80115
80116.xword 0x80604020
80117.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (57)
80118
80119.xword 0x356a
80120.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (57)
80121
80122.xword 0x0000006000408020
80123.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (57)
80124
80125.xword 0x6a35
80126.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (57)
80127
80128.xword 0x78285050
80129.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (57)
80130
80131.xword 0x80604020
80132.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (57)
80133
80134.xword 0x00287850
80135.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (57)
80136
80137.xword 0x00287850
80138.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (57)
80139
80140.xword 0x78285050
80141.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (57)
80142
80143.xword 0x64500000003c0000
80144.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (57)
80145
80146.xword 0x7766000000554422
80147.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (57)
80148
80149.xword 0x706200000054462a
80150.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (57)
80151
80152.xword 0x784d005800423721
80153.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (57)
80154
80155.xword 0x804d006000504020
80156.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (57)
80157
80158.xword 0x0000006000408020
80159.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (57)
80160
80161.xword 0
80162.xword 0x00021101 | (3 << 18) !ma_ctl_StParity (57)
80163
80164.xword 0
80165.xword 0x00020094 | (3 << 18) !ma_ctl_Ld (58)
80166
80167.xword 0
80168.xword 0x00020148 | (3 << 18) !ma_ctl_St (58)
80169
80170.xword 0x80604020
80171.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (58)
80172
80173.xword 0x356a
80174.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (58)
80175
80176.xword 0x0000006000408020
80177.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (58)
80178
80179.xword 0x6a35
80180.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (58)
80181
80182.xword 0x78285050
80183.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (58)
80184
80185.xword 0x80604020
80186.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (58)
80187
80188.xword 0x00287850
80189.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (58)
80190
80191.xword 0x00287850
80192.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (58)
80193
80194.xword 0x78285050
80195.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (58)
80196
80197.xword 0x64500000003c0000
80198.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (58)
80199
80200.xword 0x7766000000554422
80201.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (58)
80202
80203.xword 0x706200000054462a
80204.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (58)
80205
80206.xword 0x784d005800423721
80207.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (58)
80208
80209.xword 0x804d006000504020
80210.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (58)
80211
80212.xword 0x0000006000408020
80213.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (58)
80214
80215.xword 0
80216.xword 0x00021115 | (3 << 18) !ma_ctl_StParity (58)
80217
80218
80219.align 8
80220.global _t2_ma_results1
80221_t2_ma_results1:
80222.xword 0xDEADBEEFDEADBEEF
80223.xword 0xDEADBEEFDEADBEEF
80224.xword 0xDEADBEEFDEADBEEF
80225.xword 0xDEADBEEFDEADBEEF
80226.xword 0xDEADBEEFDEADBEEF
80227.xword 0xDEADBEEFDEADBEEF
80228.xword 0xDEADBEEFDEADBEEF
80229.xword 0xDEADBEEFDEADBEEF
80230.xword 0xDEADBEEFDEADBEEF
80231.xword 0xDEADBEEFDEADBEEF
80232.xword 0xDEADBEEFDEADBEEF
80233.xword 0xDEADBEEFDEADBEEF
80234.xword 0xDEADBEEFDEADBEEF
80235.xword 0xDEADBEEFDEADBEEF
80236.xword 0xDEADBEEFDEADBEEF
80237.xword 0xDEADBEEFDEADBEEF
80238.xword 0xDEADBEEFDEADBEEF
80239.xword 0xDEADBEEFDEADBEEF
80240.xword 0xDEADBEEFDEADBEEF
80241.xword 0xDEADBEEFDEADBEEF
80242.xword 0xDEADBEEFDEADBEEF
80243.xword 0xDEADBEEFDEADBEEF
80244.xword 0xDEADBEEFDEADBEEF
80245.xword 0xDEADBEEFDEADBEEF
80246.xword 0xDEADBEEFDEADBEEF
80247.xword 0xDEADBEEFDEADBEEF
80248.xword 0xDEADBEEFDEADBEEF
80249.xword 0xDEADBEEFDEADBEEF
80250.xword 0xDEADBEEFDEADBEEF
80251.xword 0xDEADBEEFDEADBEEF
80252.xword 0xDEADBEEFDEADBEEF
80253.xword 0xDEADBEEFDEADBEEF
80254.xword 0xDEADBEEFDEADBEEF
80255.xword 0xDEADBEEFDEADBEEF
80256.xword 0xDEADBEEFDEADBEEF
80257.xword 0xDEADBEEFDEADBEEF
80258.xword 0xDEADBEEFDEADBEEF
80259.xword 0xDEADBEEFDEADBEEF
80260.xword 0xDEADBEEFDEADBEEF
80261.xword 0xDEADBEEFDEADBEEF
80262.xword 0xDEADBEEFDEADBEEF
80263.xword 0xDEADBEEFDEADBEEF
80264.xword 0xDEADBEEFDEADBEEF
80265.xword 0xDEADBEEFDEADBEEF
80266.xword 0xDEADBEEFDEADBEEF
80267.xword 0xDEADBEEFDEADBEEF
80268.xword 0xDEADBEEFDEADBEEF
80269.xword 0xDEADBEEFDEADBEEF
80270.xword 0xDEADBEEFDEADBEEF
80271.xword 0xDEADBEEFDEADBEEF
80272.xword 0xDEADBEEFDEADBEEF
80273.xword 0xDEADBEEFDEADBEEF
80274.xword 0xDEADBEEFDEADBEEF
80275.xword 0xDEADBEEFDEADBEEF
80276.xword 0xDEADBEEFDEADBEEF
80277.xword 0xDEADBEEFDEADBEEF
80278.xword 0xDEADBEEFDEADBEEF
80279.xword 0xDEADBEEFDEADBEEF
80280.xword 0xDEADBEEFDEADBEEF
80281.xword 0xDEADBEEFDEADBEEF
80282.xword 0xDEADBEEFDEADBEEF
80283.xword 0xDEADBEEFDEADBEEF
80284.xword 0xDEADBEEFDEADBEEF
80285.xword 0xDEADBEEFDEADBEEF
80286.xword 0xDEADBEEFDEADBEEF
80287.xword 0xDEADBEEFDEADBEEF
80288.xword 0xDEADBEEFDEADBEEF
80289.xword 0xDEADBEEFDEADBEEF
80290.xword 0xDEADBEEFDEADBEEF
80291.xword 0xDEADBEEFDEADBEEF
80292.xword 0xDEADBEEFDEADBEEF
80293.xword 0xDEADBEEFDEADBEEF
80294.xword 0xDEADBEEFDEADBEEF
80295.xword 0xDEADBEEFDEADBEEF
80296.xword 0xDEADBEEFDEADBEEF
80297.xword 0xDEADBEEFDEADBEEF
80298.xword 0xDEADBEEFDEADBEEF
80299.xword 0xDEADBEEFDEADBEEF
80300.xword 0xDEADBEEFDEADBEEF
80301.xword 0xDEADBEEFDEADBEEF
80302.xword 0xDEADBEEFDEADBEEF
80303.xword 0xDEADBEEFDEADBEEF
80304.xword 0xDEADBEEFDEADBEEF
80305.xword 0xDEADBEEFDEADBEEF
80306.xword 0xDEADBEEFDEADBEEF
80307.xword 0xDEADBEEFDEADBEEF
80308.xword 0xDEADBEEFDEADBEEF
80309.xword 0xDEADBEEFDEADBEEF
80310.xword 0xDEADBEEFDEADBEEF
80311.xword 0xDEADBEEFDEADBEEF
80312.xword 0xDEADBEEFDEADBEEF
80313.xword 0xDEADBEEFDEADBEEF
80314.xword 0xDEADBEEFDEADBEEF
80315.xword 0xDEADBEEFDEADBEEF
80316.xword 0xDEADBEEFDEADBEEF
80317.xword 0xDEADBEEFDEADBEEF
80318.xword 0xDEADBEEFDEADBEEF
80319.xword 0xDEADBEEFDEADBEEF
80320.xword 0xDEADBEEFDEADBEEF
80321.xword 0xDEADBEEFDEADBEEF
80322.xword 0xDEADBEEFDEADBEEF
80323.xword 0xDEADBEEFDEADBEEF
80324.xword 0xDEADBEEFDEADBEEF
80325.xword 0xDEADBEEFDEADBEEF
80326.xword 0xDEADBEEFDEADBEEF
80327.xword 0xDEADBEEFDEADBEEF
80328.xword 0xDEADBEEFDEADBEEF
80329.xword 0xDEADBEEFDEADBEEF
80330.xword 0xDEADBEEFDEADBEEF
80331.xword 0xDEADBEEFDEADBEEF
80332.xword 0xDEADBEEFDEADBEEF
80333.xword 0xDEADBEEFDEADBEEF
80334.xword 0xDEADBEEFDEADBEEF
80335.xword 0xDEADBEEFDEADBEEF
80336.xword 0xDEADBEEFDEADBEEF
80337.xword 0xDEADBEEFDEADBEEF
80338.xword 0xDEADBEEFDEADBEEF
80339.xword 0xDEADBEEFDEADBEEF
80340.xword 0xDEADBEEFDEADBEEF
80341.xword 0xDEADBEEFDEADBEEF
80342.xword 0xDEADBEEFDEADBEEF
80343.xword 0xDEADBEEFDEADBEEF
80344.xword 0xDEADBEEFDEADBEEF
80345.xword 0xDEADBEEFDEADBEEF
80346.xword 0xDEADBEEFDEADBEEF
80347.xword 0xDEADBEEFDEADBEEF
80348.xword 0xDEADBEEFDEADBEEF
80349.xword 0xDEADBEEFDEADBEEF
80350.xword 0xDEADBEEFDEADBEEF
80351.xword 0xDEADBEEFDEADBEEF
80352.xword 0xDEADBEEFDEADBEEF
80353.xword 0xDEADBEEFDEADBEEF
80354.xword 0xDEADBEEFDEADBEEF
80355.xword 0xDEADBEEFDEADBEEF
80356.xword 0xDEADBEEFDEADBEEF
80357.xword 0xDEADBEEFDEADBEEF
80358.xword 0xDEADBEEFDEADBEEF
80359.xword 0xDEADBEEFDEADBEEF
80360.xword 0xDEADBEEFDEADBEEF
80361.xword 0xDEADBEEFDEADBEEF
80362.xword 0xDEADBEEFDEADBEEF
80363.xword 0xDEADBEEFDEADBEEF
80364.xword 0xDEADBEEFDEADBEEF
80365.xword 0xDEADBEEFDEADBEEF
80366.xword 0xDEADBEEFDEADBEEF
80367.xword 0xDEADBEEFDEADBEEF
80368.xword 0xDEADBEEFDEADBEEF
80369.xword 0xDEADBEEFDEADBEEF
80370.xword 0xDEADBEEFDEADBEEF
80371.xword 0xDEADBEEFDEADBEEF
80372.xword 0xDEADBEEFDEADBEEF
80373.xword 0xDEADBEEFDEADBEEF
80374.xword 0xDEADBEEFDEADBEEF
80375.xword 0xDEADBEEFDEADBEEF
80376.xword 0xDEADBEEFDEADBEEF
80377.xword 0xDEADBEEFDEADBEEF
80378.xword 0xDEADBEEFDEADBEEF
80379.xword 0xDEADBEEFDEADBEEF
80380.xword 0xDEADBEEFDEADBEEF
80381.xword 0xDEADBEEFDEADBEEF
80382.xword 0xDEADBEEFDEADBEEF
80383.xword 0xDEADBEEFDEADBEEF
80384.xword 0xDEADBEEFDEADBEEF
80385.xword 0xDEADBEEFDEADBEEF
80386SECTION ._t2_T_MAX_DATA2 DATA_VA=270532608
80387attr_data {
80388 Name = ._t2_T_MAX_DATA2
80389 hypervisor
80390}
80391
80392.data
80393_t2_user_data_start:
80394_t2_scratch_area:
80395
80396.align 8
80397.global _t2_ma_operands2
80398_t2_ma_operands2:
80399.xword 0x9db03299551f986a
80400.xword 0xebe9cdd5a5f57564
80401.xword 0x3cf407b8cf54cc65
80402.xword 0x586f469b9fb7011f
80403.xword 0x9121b31047e56a2e
80404.xword 0x9cdd814fa6b88bd0
80405.xword 0xe007e37f902aea46
80406.xword 0x3548ebb6d3a1a895
80407.xword 0x089be7d085f92d0b
80408.xword 0x0e42824968909511
80409.xword 0xb3a658b246644d67
80410.xword 0x5de0fc59c208565f
80411.xword 0x9384d739cd3f982d
80412.xword 0x175d099052042ac4
80413.xword 0x4e08b9ba3ba99d22
80414.xword 0x969488a7d3e4eee3
80415.xword 0x03b05ec147d2d9c6
80416.xword 0x977b98a877ec6b05
80417.xword 0x6f6e68f7e13d075a
80418.xword 0x30ea809d62d06670
80419.xword 0x75ff5f8f7ccb8fbb
80420.xword 0xe147c3222bacc9b6
80421.xword 0xac6fd69c6e4d4cd0
80422.xword 0x3e5ed292955fccbf
80423.xword 0x23954b20d1773f36
80424.xword 0xa7edd99877191d4e
80425.xword 0x8321146d3ff24b4b
80426.xword 0x44cf1ffdd37d7008
80427.xword 0x2822973bdcec402e
80428.xword 0xd748bd451e54f77e
80429.xword 0x2aee3975f74c95c4
80430.xword 0x99d68f42613f5718
80431.xword 0x5c06b8af5373b2a0
80432.xword 0xc4cb8734b59beb2c
80433.xword 0xa58c42d4edce9b44
80434.xword 0xafed702e4eb90c06
80435.xword 0x29424c9005db7b94
80436.xword 0x7dbf3e0bfb886bac
80437.xword 0x09f8a39c293db8f5
80438.xword 0xb76706892b3e72b6
80439.xword 0x179d8e0f29961e0c
80440.xword 0x920042c794edf9a5
80441.xword 0xc03c9538a4e9c8fc
80442.xword 0x346b43a59e3f19dc
80443.xword 0xee984022ec42c906
80444.xword 0x6692d313db192410
80445.xword 0xda189cd892f132a1
80446.xword 0xf3e4daa42032c61b
80447.xword 0x5efa1a7961a84f34
80448.xword 0x9c66b51d455a976a
80449.xword 0x5f96e56f9425d6fe
80450.xword 0xdae8770cb9db9049
80451.xword 0x216561e187924896
80452.xword 0x9921a8a86d3c8361
80453.xword 0xd8131b15e212435e
80454.xword 0xb7d86c171cfc9f12
80455.xword 0x023897fa0a9e7a3a
80456.xword 0xe95af9da49c9f808
80457.xword 0x71e45d2f27b11319
80458.xword 0xfd0e6599a0d551d2
80459.xword 0x116e8a71316d20c2
80460.xword 0xec33ac4fb639bd71
80461.xword 0x66266ac00ec6e01e
80462.xword 0x684e7d02d6ce8ce1
80463.xword 0x166043310ca5778a
80464.xword 0x43cd9ae9bffd2f17
80465.xword 0x2435b9b73f69b872
80466.xword 0xbc7eaa469f2dab69
80467.xword 0x48ee30c04904807b
80468.xword 0x16109bd251fd8e13
80469.xword 0xd33c9b4591f02d8c
80470.xword 0x9a70a82fb60c9499
80471.xword 0x0fde6405e08a465c
80472.xword 0x546121b84de42d4a
80473.xword 0x638595d74c1a7084
80474.xword 0xeb3b9d5d3b1132c5
80475.xword 0x74f1d952606a8a1d
80476.xword 0x02dd9b970fcdd774
80477.xword 0x4893bb331870caa3
80478.xword 0x170deeae44680473
80479.xword 0x116074e40c0986eb
80480.xword 0xf1376cfcc4699149
80481.xword 0x764cfb5971e18ab6
80482.xword 0xfc90779877a3d1b0
80483.xword 0xe33c8fe587cf8be6
80484.xword 0x5956b21b159281c5
80485.xword 0x6af0ee09492e6414
80486.xword 0x480d01d3c8755159
80487.xword 0x792ba32f03f0ae98
80488.xword 0x4685b3c2f661f267
80489.xword 0x5e3ed4d87481aeda
80490.xword 0xa89142d782739645
80491.xword 0x096bd72242e4b051
80492.xword 0x9bcf127813cc441b
80493.xword 0x85b64b3262b750b2
80494.xword 0x7792c1c6df0b34ee
80495.xword 0x4eccb82929ea8c50
80496.xword 0x79c6fa0b2c99eb34
80497.xword 0x8416afe11b5aff80
80498.xword 0x9b802b356f08c08b
80499.xword 0x6b7fe4da2ca460aa
80500.xword 0x8a7648c2e0c069fb
80501.xword 0x8514a287c652b756
80502.xword 0xd6da2541d8cf6842
80503.xword 0xae8974125d0d346e
80504.xword 0x7bef3153f98349c0
80505.xword 0x935dbce7148b5b5e
80506.xword 0x25c0a325a363ec5d
80507.xword 0x501671c1ded0056b
80508.xword 0xd736db970e5b34f8
80509.xword 0x56cebbdb3fff3f81
80510.xword 0x671293a501be9635
80511.xword 0x4d3b34b7a3f3aecd
80512.xword 0x036e77114df99476
80513.xword 0x9b4db35ab8393d78
80514.xword 0x0c12f7bbd8629549
80515.xword 0x3b14ac50302334a1
80516.xword 0x9830010bee90eee3
80517.xword 0xbfbf46c31d6443ce
80518.xword 0xb0ff27d7df08f6d4
80519.xword 0x02cadde5dc60c71f
80520.xword 0x60ca437156418447
80521.xword 0xcd352d0a7a4d4222
80522.xword 0x8d93168fb606ba54
80523.xword 0x0e8f68cadc2d763b
80524.xword 0x7bcb9da8f32a9672
80525.xword 0x4bb2a40940d92d27
80526.xword 0xaae429fd52cd54c5
80527.xword 0x5353427e0a9dfcb3
80528.xword 0xb096879a1ce8e0de
80529.xword 0x0fd4624bcf769f96
80530.xword 0xbce2130b9f83099b
80531.xword 0x544123c4eda0fc39
80532.xword 0x1e6fb452d80240a6
80533.xword 0x00553b7b8f8214ed
80534.xword 0xc384bf5501deed95
80535.xword 0x113c8931db761bf0
80536.xword 0x70da6f96fba7056d
80537.xword 0xfcb0ace2849d3b8a
80538.xword 0xe69d8101d00f6700
80539.xword 0x77c3d5f24cab827e
80540.xword 0xd569e8056df951ca
80541.xword 0x1058a6388bbff7bf
80542.xword 0x541ac65f7f14bb8b
80543.xword 0x04ad37059435ce6d
80544.xword 0x16f4b3533fb6cadb
80545.xword 0xa76ed3382a65b216
80546.xword 0x5a5a3213abed24fb
80547.xword 0x111537547413c711
80548.xword 0xb882e522bb7b9468
80549.xword 0x163db1ce3ec7b5b8
80550.xword 0x390138f4126aa594
80551.xword 0x0056851dda4f8c4e
80552.xword 0x8e7288225672eae6
80553.xword 0x3c293e5c47d4c534
80554.xword 0x91d9a23ae6504839
80555.xword 0x9ca45170a156a8dd
80556.xword 0x0e5c64421c3a7978
80557.xword 0xcb2f1877c314e8d0
80558.xword 0x66bba4698f882d9d
80559.xword 0xa5be510adb03ff09
80560.xword 0x33825e8b3223bebc
80561.xword 0x849e23ff47e3560d
80562.xword 0xbccbc711e2dd065e
80563
80564.align 8
80565.global _t2_ma_operations2
80566_t2_ma_operations2:
80567.xword 0
80568.xword 0x00020078 | (3 << 18) !ma_ctl_Ld (0)
80569
80570.xword 0
80571.xword 0x00020136 | (3 << 18) !ma_ctl_St (0)
80572
80573.xword 0x80604020
80574.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (0)
80575
80576.xword 0x356a
80577.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (0)
80578
80579.xword 0x0000006000408020
80580.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (0)
80581
80582.xword 0x6a35
80583.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (0)
80584
80585.xword 0x78285050
80586.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (0)
80587
80588.xword 0x80604020
80589.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (0)
80590
80591.xword 0x00287850
80592.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (0)
80593
80594.xword 0x00287850
80595.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (0)
80596
80597.xword 0x78285050
80598.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (0)
80599
80600.xword 0x64500000003c0000
80601.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (0)
80602
80603.xword 0x7766000000554422
80604.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (0)
80605
80606.xword 0x706200000054462a
80607.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (0)
80608
80609.xword 0x784d005800423721
80610.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (0)
80611
80612.xword 0x804d006000504020
80613.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (0)
80614
80615.xword 0x0000006000408020
80616.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (0)
80617
80618.xword 0
80619.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (0)
80620
80621.xword 0
80622.xword 0x00020027 | (3 << 18) !ma_ctl_Ld (1)
80623
80624.xword 0
80625.xword 0x0002018c | (3 << 18) !ma_ctl_St (1)
80626
80627.xword 0x80604020
80628.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (1)
80629
80630.xword 0x356a
80631.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (1)
80632
80633.xword 0x0000006000408020
80634.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (1)
80635
80636.xword 0x6a35
80637.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (1)
80638
80639.xword 0x78285050
80640.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (1)
80641
80642.xword 0x80604020
80643.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (1)
80644
80645.xword 0x00287850
80646.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (1)
80647
80648.xword 0x00287850
80649.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (1)
80650
80651.xword 0x78285050
80652.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (1)
80653
80654.xword 0x64500000003c0000
80655.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (1)
80656
80657.xword 0x7766000000554422
80658.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (1)
80659
80660.xword 0x706200000054462a
80661.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (1)
80662
80663.xword 0x784d005800423721
80664.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (1)
80665
80666.xword 0x804d006000504020
80667.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (1)
80668
80669.xword 0x0000006000408020
80670.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (1)
80671
80672.xword 0
80673.xword 0x00021114 | (3 << 18) !ma_ctl_StParity (1)
80674
80675.xword 0
80676.xword 0x00020066 | (3 << 18) !ma_ctl_Ld (2)
80677
80678.xword 0
80679.xword 0x00020156 | (3 << 18) !ma_ctl_St (2)
80680
80681.xword 0x80604020
80682.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (2)
80683
80684.xword 0x356a
80685.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (2)
80686
80687.xword 0x0000006000408020
80688.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (2)
80689
80690.xword 0x6a35
80691.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (2)
80692
80693.xword 0x78285050
80694.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (2)
80695
80696.xword 0x80604020
80697.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (2)
80698
80699.xword 0x00287850
80700.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (2)
80701
80702.xword 0x00287850
80703.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (2)
80704
80705.xword 0x78285050
80706.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (2)
80707
80708.xword 0x64500000003c0000
80709.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (2)
80710
80711.xword 0x7766000000554422
80712.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (2)
80713
80714.xword 0x706200000054462a
80715.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (2)
80716
80717.xword 0x784d005800423721
80718.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (2)
80719
80720.xword 0x804d006000504020
80721.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (2)
80722
80723.xword 0x0000006000408020
80724.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (2)
80725
80726.xword 0
80727.xword 0x00021118 | (3 << 18) !ma_ctl_StParity (2)
80728
80729.xword 0
80730.xword 0x00020032 | (3 << 18) !ma_ctl_Ld (3)
80731
80732.xword 0
80733.xword 0x0002013a | (3 << 18) !ma_ctl_St (3)
80734
80735.xword 0x80604020
80736.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (3)
80737
80738.xword 0x356a
80739.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (3)
80740
80741.xword 0x0000006000408020
80742.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (3)
80743
80744.xword 0x6a35
80745.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (3)
80746
80747.xword 0x78285050
80748.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (3)
80749
80750.xword 0x80604020
80751.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (3)
80752
80753.xword 0x00287850
80754.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (3)
80755
80756.xword 0x00287850
80757.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (3)
80758
80759.xword 0x78285050
80760.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (3)
80761
80762.xword 0x64500000003c0000
80763.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (3)
80764
80765.xword 0x7766000000554422
80766.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (3)
80767
80768.xword 0x706200000054462a
80769.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (3)
80770
80771.xword 0x784d005800423721
80772.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (3)
80773
80774.xword 0x804d006000504020
80775.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (3)
80776
80777.xword 0x0000006000408020
80778.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (3)
80779
80780.xword 0
80781.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (3)
80782
80783.xword 0
80784.xword 0x0002002f | (3 << 18) !ma_ctl_Ld (4)
80785
80786.xword 0
80787.xword 0x00020125 | (3 << 18) !ma_ctl_St (4)
80788
80789.xword 0x80604020
80790.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (4)
80791
80792.xword 0x356a
80793.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (4)
80794
80795.xword 0x0000006000408020
80796.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (4)
80797
80798.xword 0x6a35
80799.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (4)
80800
80801.xword 0x78285050
80802.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (4)
80803
80804.xword 0x80604020
80805.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (4)
80806
80807.xword 0x00287850
80808.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (4)
80809
80810.xword 0x00287850
80811.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (4)
80812
80813.xword 0x78285050
80814.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (4)
80815
80816.xword 0x64500000003c0000
80817.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (4)
80818
80819.xword 0x7766000000554422
80820.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (4)
80821
80822.xword 0x706200000054462a
80823.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (4)
80824
80825.xword 0x784d005800423721
80826.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (4)
80827
80828.xword 0x804d006000504020
80829.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (4)
80830
80831.xword 0x0000006000408020
80832.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (4)
80833
80834.xword 0
80835.xword 0x00021117 | (3 << 18) !ma_ctl_StParity (4)
80836
80837.xword 0
80838.xword 0x0002003f | (3 << 18) !ma_ctl_Ld (5)
80839
80840.xword 0
80841.xword 0x00020126 | (3 << 18) !ma_ctl_St (5)
80842
80843.xword 0x80604020
80844.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (5)
80845
80846.xword 0x356a
80847.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (5)
80848
80849.xword 0x0000006000408020
80850.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (5)
80851
80852.xword 0x6a35
80853.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (5)
80854
80855.xword 0x78285050
80856.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (5)
80857
80858.xword 0x80604020
80859.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (5)
80860
80861.xword 0x00287850
80862.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (5)
80863
80864.xword 0x00287850
80865.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (5)
80866
80867.xword 0x78285050
80868.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (5)
80869
80870.xword 0x64500000003c0000
80871.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (5)
80872
80873.xword 0x7766000000554422
80874.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (5)
80875
80876.xword 0x706200000054462a
80877.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (5)
80878
80879.xword 0x784d005800423721
80880.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (5)
80881
80882.xword 0x804d006000504020
80883.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (5)
80884
80885.xword 0x0000006000408020
80886.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (5)
80887
80888.xword 0
80889.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (5)
80890
80891.xword 0
80892.xword 0x00020058 | (3 << 18) !ma_ctl_Ld (6)
80893
80894.xword 0
80895.xword 0x0002012d | (3 << 18) !ma_ctl_St (6)
80896
80897.xword 0x80604020
80898.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (6)
80899
80900.xword 0x356a
80901.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (6)
80902
80903.xword 0x0000006000408020
80904.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (6)
80905
80906.xword 0x6a35
80907.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (6)
80908
80909.xword 0x78285050
80910.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (6)
80911
80912.xword 0x80604020
80913.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (6)
80914
80915.xword 0x00287850
80916.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (6)
80917
80918.xword 0x00287850
80919.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (6)
80920
80921.xword 0x78285050
80922.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (6)
80923
80924.xword 0x64500000003c0000
80925.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (6)
80926
80927.xword 0x7766000000554422
80928.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (6)
80929
80930.xword 0x706200000054462a
80931.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (6)
80932
80933.xword 0x784d005800423721
80934.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (6)
80935
80936.xword 0x804d006000504020
80937.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (6)
80938
80939.xword 0x0000006000408020
80940.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (6)
80941
80942.xword 0
80943.xword 0x00021109 | (3 << 18) !ma_ctl_StParity (6)
80944
80945.xword 0
80946.xword 0x00020074 | (3 << 18) !ma_ctl_Ld (7)
80947
80948.xword 0
80949.xword 0x0002014a | (3 << 18) !ma_ctl_St (7)
80950
80951.xword 0x80604020
80952.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (7)
80953
80954.xword 0x356a
80955.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (7)
80956
80957.xword 0x0000006000408020
80958.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (7)
80959
80960.xword 0x6a35
80961.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (7)
80962
80963.xword 0x78285050
80964.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (7)
80965
80966.xword 0x80604020
80967.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (7)
80968
80969.xword 0x00287850
80970.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (7)
80971
80972.xword 0x00287850
80973.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (7)
80974
80975.xword 0x78285050
80976.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (7)
80977
80978.xword 0x64500000003c0000
80979.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (7)
80980
80981.xword 0x7766000000554422
80982.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (7)
80983
80984.xword 0x706200000054462a
80985.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (7)
80986
80987.xword 0x784d005800423721
80988.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (7)
80989
80990.xword 0x804d006000504020
80991.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (7)
80992
80993.xword 0x0000006000408020
80994.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (7)
80995
80996.xword 0
80997.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (7)
80998
80999.xword 0
81000.xword 0x00020057 | (3 << 18) !ma_ctl_Ld (8)
81001
81002.xword 0
81003.xword 0x00020161 | (3 << 18) !ma_ctl_St (8)
81004
81005.xword 0x80604020
81006.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (8)
81007
81008.xword 0x356a
81009.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (8)
81010
81011.xword 0x0000006000408020
81012.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (8)
81013
81014.xword 0x6a35
81015.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (8)
81016
81017.xword 0x78285050
81018.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (8)
81019
81020.xword 0x80604020
81021.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (8)
81022
81023.xword 0x00287850
81024.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (8)
81025
81026.xword 0x00287850
81027.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (8)
81028
81029.xword 0x78285050
81030.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (8)
81031
81032.xword 0x64500000003c0000
81033.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (8)
81034
81035.xword 0x7766000000554422
81036.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (8)
81037
81038.xword 0x706200000054462a
81039.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (8)
81040
81041.xword 0x784d005800423721
81042.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (8)
81043
81044.xword 0x804d006000504020
81045.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (8)
81046
81047.xword 0x0000006000408020
81048.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (8)
81049
81050.xword 0
81051.xword 0x0002111b | (3 << 18) !ma_ctl_StParity (8)
81052
81053.xword 0
81054.xword 0x00020076 | (3 << 18) !ma_ctl_Ld (9)
81055
81056.xword 0
81057.xword 0x00020148 | (3 << 18) !ma_ctl_St (9)
81058
81059.xword 0x80604020
81060.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (9)
81061
81062.xword 0x356a
81063.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (9)
81064
81065.xword 0x0000006000408020
81066.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (9)
81067
81068.xword 0x6a35
81069.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (9)
81070
81071.xword 0x78285050
81072.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (9)
81073
81074.xword 0x80604020
81075.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (9)
81076
81077.xword 0x00287850
81078.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (9)
81079
81080.xword 0x00287850
81081.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (9)
81082
81083.xword 0x78285050
81084.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (9)
81085
81086.xword 0x64500000003c0000
81087.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (9)
81088
81089.xword 0x7766000000554422
81090.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (9)
81091
81092.xword 0x706200000054462a
81093.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (9)
81094
81095.xword 0x784d005800423721
81096.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (9)
81097
81098.xword 0x804d006000504020
81099.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (9)
81100
81101.xword 0x0000006000408020
81102.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (9)
81103
81104.xword 0
81105.xword 0x00021106 | (3 << 18) !ma_ctl_StParity (9)
81106
81107.xword 0
81108.xword 0x0002007a | (3 << 18) !ma_ctl_Ld (10)
81109
81110.xword 0
81111.xword 0x0002011e | (3 << 18) !ma_ctl_St (10)
81112
81113.xword 0x80604020
81114.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (10)
81115
81116.xword 0x356a
81117.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (10)
81118
81119.xword 0x0000006000408020
81120.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (10)
81121
81122.xword 0x6a35
81123.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (10)
81124
81125.xword 0x78285050
81126.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (10)
81127
81128.xword 0x80604020
81129.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (10)
81130
81131.xword 0x00287850
81132.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (10)
81133
81134.xword 0x00287850
81135.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (10)
81136
81137.xword 0x78285050
81138.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (10)
81139
81140.xword 0x64500000003c0000
81141.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (10)
81142
81143.xword 0x7766000000554422
81144.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (10)
81145
81146.xword 0x706200000054462a
81147.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (10)
81148
81149.xword 0x784d005800423721
81150.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (10)
81151
81152.xword 0x804d006000504020
81153.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (10)
81154
81155.xword 0x0000006000408020
81156.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (10)
81157
81158.xword 0
81159.xword 0x0002110b | (3 << 18) !ma_ctl_StParity (10)
81160
81161.xword 0
81162.xword 0x00020068 | (3 << 18) !ma_ctl_Ld (11)
81163
81164.xword 0
81165.xword 0x00020158 | (3 << 18) !ma_ctl_St (11)
81166
81167.xword 0x80604020
81168.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (11)
81169
81170.xword 0x356a
81171.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (11)
81172
81173.xword 0x0000006000408020
81174.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (11)
81175
81176.xword 0x6a35
81177.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (11)
81178
81179.xword 0x78285050
81180.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (11)
81181
81182.xword 0x80604020
81183.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (11)
81184
81185.xword 0x00287850
81186.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (11)
81187
81188.xword 0x00287850
81189.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (11)
81190
81191.xword 0x78285050
81192.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (11)
81193
81194.xword 0x64500000003c0000
81195.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (11)
81196
81197.xword 0x7766000000554422
81198.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (11)
81199
81200.xword 0x706200000054462a
81201.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (11)
81202
81203.xword 0x784d005800423721
81204.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (11)
81205
81206.xword 0x804d006000504020
81207.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (11)
81208
81209.xword 0x0000006000408020
81210.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (11)
81211
81212.xword 0
81213.xword 0x00021103 | (3 << 18) !ma_ctl_StParity (11)
81214
81215.xword 0
81216.xword 0x0002007f | (3 << 18) !ma_ctl_Ld (12)
81217
81218.xword 0
81219.xword 0x00020162 | (3 << 18) !ma_ctl_St (12)
81220
81221.xword 0x80604020
81222.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (12)
81223
81224.xword 0x356a
81225.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (12)
81226
81227.xword 0x0000006000408020
81228.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (12)
81229
81230.xword 0x6a35
81231.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (12)
81232
81233.xword 0x78285050
81234.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (12)
81235
81236.xword 0x80604020
81237.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (12)
81238
81239.xword 0x00287850
81240.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (12)
81241
81242.xword 0x00287850
81243.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (12)
81244
81245.xword 0x78285050
81246.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (12)
81247
81248.xword 0x64500000003c0000
81249.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (12)
81250
81251.xword 0x7766000000554422
81252.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (12)
81253
81254.xword 0x706200000054462a
81255.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (12)
81256
81257.xword 0x784d005800423721
81258.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (12)
81259
81260.xword 0x804d006000504020
81261.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (12)
81262
81263.xword 0x0000006000408020
81264.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (12)
81265
81266.xword 0
81267.xword 0x0002110b | (3 << 18) !ma_ctl_StParity (12)
81268
81269.xword 0
81270.xword 0x00020040 | (3 << 18) !ma_ctl_Ld (13)
81271
81272.xword 0
81273.xword 0x0002018a | (3 << 18) !ma_ctl_St (13)
81274
81275.xword 0x80604020
81276.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (13)
81277
81278.xword 0x356a
81279.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (13)
81280
81281.xword 0x0000006000408020
81282.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (13)
81283
81284.xword 0x6a35
81285.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (13)
81286
81287.xword 0x78285050
81288.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (13)
81289
81290.xword 0x80604020
81291.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (13)
81292
81293.xword 0x00287850
81294.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (13)
81295
81296.xword 0x00287850
81297.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (13)
81298
81299.xword 0x78285050
81300.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (13)
81301
81302.xword 0x64500000003c0000
81303.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (13)
81304
81305.xword 0x7766000000554422
81306.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (13)
81307
81308.xword 0x706200000054462a
81309.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (13)
81310
81311.xword 0x784d005800423721
81312.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (13)
81313
81314.xword 0x804d006000504020
81315.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (13)
81316
81317.xword 0x0000006000408020
81318.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (13)
81319
81320.xword 0
81321.xword 0x00021117 | (3 << 18) !ma_ctl_StParity (13)
81322
81323.xword 0
81324.xword 0x0002003a | (3 << 18) !ma_ctl_Ld (14)
81325
81326.xword 0
81327.xword 0x0002012e | (3 << 18) !ma_ctl_St (14)
81328
81329.xword 0x80604020
81330.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (14)
81331
81332.xword 0x356a
81333.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (14)
81334
81335.xword 0x0000006000408020
81336.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (14)
81337
81338.xword 0x6a35
81339.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (14)
81340
81341.xword 0x78285050
81342.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (14)
81343
81344.xword 0x80604020
81345.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (14)
81346
81347.xword 0x00287850
81348.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (14)
81349
81350.xword 0x00287850
81351.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (14)
81352
81353.xword 0x78285050
81354.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (14)
81355
81356.xword 0x64500000003c0000
81357.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (14)
81358
81359.xword 0x7766000000554422
81360.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (14)
81361
81362.xword 0x706200000054462a
81363.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (14)
81364
81365.xword 0x784d005800423721
81366.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (14)
81367
81368.xword 0x804d006000504020
81369.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (14)
81370
81371.xword 0x0000006000408020
81372.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (14)
81373
81374.xword 0
81375.xword 0x0002111a | (3 << 18) !ma_ctl_StParity (14)
81376
81377.xword 0
81378.xword 0x00020035 | (3 << 18) !ma_ctl_Ld (15)
81379
81380.xword 0
81381.xword 0x0002016f | (3 << 18) !ma_ctl_St (15)
81382
81383.xword 0x80604020
81384.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (15)
81385
81386.xword 0x356a
81387.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (15)
81388
81389.xword 0x0000006000408020
81390.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (15)
81391
81392.xword 0x6a35
81393.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (15)
81394
81395.xword 0x78285050
81396.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (15)
81397
81398.xword 0x80604020
81399.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (15)
81400
81401.xword 0x00287850
81402.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (15)
81403
81404.xword 0x00287850
81405.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (15)
81406
81407.xword 0x78285050
81408.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (15)
81409
81410.xword 0x64500000003c0000
81411.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (15)
81412
81413.xword 0x7766000000554422
81414.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (15)
81415
81416.xword 0x706200000054462a
81417.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (15)
81418
81419.xword 0x784d005800423721
81420.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (15)
81421
81422.xword 0x804d006000504020
81423.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (15)
81424
81425.xword 0x0000006000408020
81426.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (15)
81427
81428.xword 0
81429.xword 0x00021111 | (3 << 18) !ma_ctl_StParity (15)
81430
81431.xword 0
81432.xword 0x0002008f | (3 << 18) !ma_ctl_Ld (16)
81433
81434.xword 0
81435.xword 0x00020130 | (3 << 18) !ma_ctl_St (16)
81436
81437.xword 0x80604020
81438.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (16)
81439
81440.xword 0x356a
81441.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (16)
81442
81443.xword 0x0000006000408020
81444.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (16)
81445
81446.xword 0x6a35
81447.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (16)
81448
81449.xword 0x78285050
81450.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (16)
81451
81452.xword 0x80604020
81453.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (16)
81454
81455.xword 0x00287850
81456.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (16)
81457
81458.xword 0x00287850
81459.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (16)
81460
81461.xword 0x78285050
81462.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (16)
81463
81464.xword 0x64500000003c0000
81465.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (16)
81466
81467.xword 0x7766000000554422
81468.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (16)
81469
81470.xword 0x706200000054462a
81471.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (16)
81472
81473.xword 0x784d005800423721
81474.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (16)
81475
81476.xword 0x804d006000504020
81477.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (16)
81478
81479.xword 0x0000006000408020
81480.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (16)
81481
81482.xword 0
81483.xword 0x00021118 | (3 << 18) !ma_ctl_StParity (16)
81484
81485.xword 0
81486.xword 0x00020035 | (3 << 18) !ma_ctl_Ld (17)
81487
81488.xword 0
81489.xword 0x00020156 | (3 << 18) !ma_ctl_St (17)
81490
81491.xword 0x80604020
81492.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (17)
81493
81494.xword 0x356a
81495.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (17)
81496
81497.xword 0x0000006000408020
81498.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (17)
81499
81500.xword 0x6a35
81501.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (17)
81502
81503.xword 0x78285050
81504.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (17)
81505
81506.xword 0x80604020
81507.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (17)
81508
81509.xword 0x00287850
81510.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (17)
81511
81512.xword 0x00287850
81513.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (17)
81514
81515.xword 0x78285050
81516.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (17)
81517
81518.xword 0x64500000003c0000
81519.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (17)
81520
81521.xword 0x7766000000554422
81522.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (17)
81523
81524.xword 0x706200000054462a
81525.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (17)
81526
81527.xword 0x784d005800423721
81528.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (17)
81529
81530.xword 0x804d006000504020
81531.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (17)
81532
81533.xword 0x0000006000408020
81534.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (17)
81535
81536.xword 0
81537.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (17)
81538
81539.xword 0
81540.xword 0x0002002c | (3 << 18) !ma_ctl_Ld (18)
81541
81542.xword 0
81543.xword 0x00020123 | (3 << 18) !ma_ctl_St (18)
81544
81545.xword 0x80604020
81546.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (18)
81547
81548.xword 0x356a
81549.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (18)
81550
81551.xword 0x0000006000408020
81552.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (18)
81553
81554.xword 0x6a35
81555.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (18)
81556
81557.xword 0x78285050
81558.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (18)
81559
81560.xword 0x80604020
81561.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (18)
81562
81563.xword 0x00287850
81564.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (18)
81565
81566.xword 0x00287850
81567.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (18)
81568
81569.xword 0x78285050
81570.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (18)
81571
81572.xword 0x64500000003c0000
81573.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (18)
81574
81575.xword 0x7766000000554422
81576.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (18)
81577
81578.xword 0x706200000054462a
81579.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (18)
81580
81581.xword 0x784d005800423721
81582.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (18)
81583
81584.xword 0x804d006000504020
81585.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (18)
81586
81587.xword 0x0000006000408020
81588.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (18)
81589
81590.xword 0
81591.xword 0x00021106 | (3 << 18) !ma_ctl_StParity (18)
81592
81593.xword 0
81594.xword 0x00020030 | (3 << 18) !ma_ctl_Ld (19)
81595
81596.xword 0
81597.xword 0x00020179 | (3 << 18) !ma_ctl_St (19)
81598
81599.xword 0x80604020
81600.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (19)
81601
81602.xword 0x356a
81603.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (19)
81604
81605.xword 0x0000006000408020
81606.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (19)
81607
81608.xword 0x6a35
81609.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (19)
81610
81611.xword 0x78285050
81612.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (19)
81613
81614.xword 0x80604020
81615.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (19)
81616
81617.xword 0x00287850
81618.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (19)
81619
81620.xword 0x00287850
81621.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (19)
81622
81623.xword 0x78285050
81624.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (19)
81625
81626.xword 0x64500000003c0000
81627.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (19)
81628
81629.xword 0x7766000000554422
81630.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (19)
81631
81632.xword 0x706200000054462a
81633.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (19)
81634
81635.xword 0x784d005800423721
81636.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (19)
81637
81638.xword 0x804d006000504020
81639.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (19)
81640
81641.xword 0x0000006000408020
81642.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (19)
81643
81644.xword 0
81645.xword 0x0002110a | (3 << 18) !ma_ctl_StParity (19)
81646
81647.xword 0
81648.xword 0x0002006b | (3 << 18) !ma_ctl_Ld (20)
81649
81650.xword 0
81651.xword 0x00020164 | (3 << 18) !ma_ctl_St (20)
81652
81653.xword 0x80604020
81654.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (20)
81655
81656.xword 0x356a
81657.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (20)
81658
81659.xword 0x0000006000408020
81660.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (20)
81661
81662.xword 0x6a35
81663.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (20)
81664
81665.xword 0x78285050
81666.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (20)
81667
81668.xword 0x80604020
81669.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (20)
81670
81671.xword 0x00287850
81672.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (20)
81673
81674.xword 0x00287850
81675.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (20)
81676
81677.xword 0x78285050
81678.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (20)
81679
81680.xword 0x64500000003c0000
81681.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (20)
81682
81683.xword 0x7766000000554422
81684.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (20)
81685
81686.xword 0x706200000054462a
81687.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (20)
81688
81689.xword 0x784d005800423721
81690.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (20)
81691
81692.xword 0x804d006000504020
81693.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (20)
81694
81695.xword 0x0000006000408020
81696.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (20)
81697
81698.xword 0
81699.xword 0x0002111a | (3 << 18) !ma_ctl_StParity (20)
81700
81701.xword 0
81702.xword 0x0002009e | (3 << 18) !ma_ctl_Ld (21)
81703
81704.xword 0
81705.xword 0x00020141 | (3 << 18) !ma_ctl_St (21)
81706
81707.xword 0x80604020
81708.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (21)
81709
81710.xword 0x356a
81711.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (21)
81712
81713.xword 0x0000006000408020
81714.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (21)
81715
81716.xword 0x6a35
81717.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (21)
81718
81719.xword 0x78285050
81720.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (21)
81721
81722.xword 0x80604020
81723.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (21)
81724
81725.xword 0x00287850
81726.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (21)
81727
81728.xword 0x00287850
81729.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (21)
81730
81731.xword 0x78285050
81732.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (21)
81733
81734.xword 0x64500000003c0000
81735.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (21)
81736
81737.xword 0x7766000000554422
81738.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (21)
81739
81740.xword 0x706200000054462a
81741.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (21)
81742
81743.xword 0x784d005800423721
81744.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (21)
81745
81746.xword 0x804d006000504020
81747.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (21)
81748
81749.xword 0x0000006000408020
81750.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (21)
81751
81752.xword 0
81753.xword 0x00021118 | (3 << 18) !ma_ctl_StParity (21)
81754
81755.xword 0
81756.xword 0x00020052 | (3 << 18) !ma_ctl_Ld (22)
81757
81758.xword 0
81759.xword 0x00020156 | (3 << 18) !ma_ctl_St (22)
81760
81761.xword 0x80604020
81762.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (22)
81763
81764.xword 0x356a
81765.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (22)
81766
81767.xword 0x0000006000408020
81768.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (22)
81769
81770.xword 0x6a35
81771.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (22)
81772
81773.xword 0x78285050
81774.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (22)
81775
81776.xword 0x80604020
81777.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (22)
81778
81779.xword 0x00287850
81780.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (22)
81781
81782.xword 0x00287850
81783.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (22)
81784
81785.xword 0x78285050
81786.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (22)
81787
81788.xword 0x64500000003c0000
81789.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (22)
81790
81791.xword 0x7766000000554422
81792.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (22)
81793
81794.xword 0x706200000054462a
81795.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (22)
81796
81797.xword 0x784d005800423721
81798.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (22)
81799
81800.xword 0x804d006000504020
81801.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (22)
81802
81803.xword 0x0000006000408020
81804.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (22)
81805
81806.xword 0
81807.xword 0x0002110f | (3 << 18) !ma_ctl_StParity (22)
81808
81809.xword 0
81810.xword 0x0002002e | (3 << 18) !ma_ctl_Ld (23)
81811
81812.xword 0
81813.xword 0x0002013c | (3 << 18) !ma_ctl_St (23)
81814
81815.xword 0x80604020
81816.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (23)
81817
81818.xword 0x356a
81819.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (23)
81820
81821.xword 0x0000006000408020
81822.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (23)
81823
81824.xword 0x6a35
81825.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (23)
81826
81827.xword 0x78285050
81828.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (23)
81829
81830.xword 0x80604020
81831.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (23)
81832
81833.xword 0x00287850
81834.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (23)
81835
81836.xword 0x00287850
81837.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (23)
81838
81839.xword 0x78285050
81840.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (23)
81841
81842.xword 0x64500000003c0000
81843.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (23)
81844
81845.xword 0x7766000000554422
81846.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (23)
81847
81848.xword 0x706200000054462a
81849.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (23)
81850
81851.xword 0x784d005800423721
81852.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (23)
81853
81854.xword 0x804d006000504020
81855.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (23)
81856
81857.xword 0x0000006000408020
81858.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (23)
81859
81860.xword 0
81861.xword 0x00021103 | (3 << 18) !ma_ctl_StParity (23)
81862
81863.xword 0
81864.xword 0x00020074 | (3 << 18) !ma_ctl_Ld (24)
81865
81866.xword 0
81867.xword 0x00020142 | (3 << 18) !ma_ctl_St (24)
81868
81869.xword 0x80604020
81870.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (24)
81871
81872.xword 0x356a
81873.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (24)
81874
81875.xword 0x0000006000408020
81876.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (24)
81877
81878.xword 0x6a35
81879.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (24)
81880
81881.xword 0x78285050
81882.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (24)
81883
81884.xword 0x80604020
81885.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (24)
81886
81887.xword 0x00287850
81888.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (24)
81889
81890.xword 0x00287850
81891.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (24)
81892
81893.xword 0x78285050
81894.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (24)
81895
81896.xword 0x64500000003c0000
81897.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (24)
81898
81899.xword 0x7766000000554422
81900.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (24)
81901
81902.xword 0x706200000054462a
81903.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (24)
81904
81905.xword 0x784d005800423721
81906.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (24)
81907
81908.xword 0x804d006000504020
81909.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (24)
81910
81911.xword 0x0000006000408020
81912.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (24)
81913
81914.xword 0
81915.xword 0x00021116 | (3 << 18) !ma_ctl_StParity (24)
81916
81917.xword 0
81918.xword 0x0002009c | (3 << 18) !ma_ctl_Ld (25)
81919
81920.xword 0
81921.xword 0x00020133 | (3 << 18) !ma_ctl_St (25)
81922
81923.xword 0x80604020
81924.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (25)
81925
81926.xword 0x356a
81927.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (25)
81928
81929.xword 0x0000006000408020
81930.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (25)
81931
81932.xword 0x6a35
81933.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (25)
81934
81935.xword 0x78285050
81936.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (25)
81937
81938.xword 0x80604020
81939.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (25)
81940
81941.xword 0x00287850
81942.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (25)
81943
81944.xword 0x00287850
81945.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (25)
81946
81947.xword 0x78285050
81948.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (25)
81949
81950.xword 0x64500000003c0000
81951.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (25)
81952
81953.xword 0x7766000000554422
81954.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (25)
81955
81956.xword 0x706200000054462a
81957.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (25)
81958
81959.xword 0x784d005800423721
81960.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (25)
81961
81962.xword 0x804d006000504020
81963.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (25)
81964
81965.xword 0x0000006000408020
81966.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (25)
81967
81968.xword 0
81969.xword 0x0002110e | (3 << 18) !ma_ctl_StParity (25)
81970
81971.xword 0
81972.xword 0x00020084 | (3 << 18) !ma_ctl_Ld (26)
81973
81974.xword 0
81975.xword 0x00020172 | (3 << 18) !ma_ctl_St (26)
81976
81977.xword 0x80604020
81978.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (26)
81979
81980.xword 0x356a
81981.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (26)
81982
81983.xword 0x0000006000408020
81984.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (26)
81985
81986.xword 0x6a35
81987.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (26)
81988
81989.xword 0x78285050
81990.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (26)
81991
81992.xword 0x80604020
81993.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (26)
81994
81995.xword 0x00287850
81996.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (26)
81997
81998.xword 0x00287850
81999.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (26)
82000
82001.xword 0x78285050
82002.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (26)
82003
82004.xword 0x64500000003c0000
82005.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (26)
82006
82007.xword 0x7766000000554422
82008.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (26)
82009
82010.xword 0x706200000054462a
82011.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (26)
82012
82013.xword 0x784d005800423721
82014.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (26)
82015
82016.xword 0x804d006000504020
82017.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (26)
82018
82019.xword 0x0000006000408020
82020.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (26)
82021
82022.xword 0
82023.xword 0x00021118 | (3 << 18) !ma_ctl_StParity (26)
82024
82025.xword 0
82026.xword 0x0002002d | (3 << 18) !ma_ctl_Ld (27)
82027
82028.xword 0
82029.xword 0x00020145 | (3 << 18) !ma_ctl_St (27)
82030
82031.xword 0x80604020
82032.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (27)
82033
82034.xword 0x356a
82035.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (27)
82036
82037.xword 0x0000006000408020
82038.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (27)
82039
82040.xword 0x6a35
82041.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (27)
82042
82043.xword 0x78285050
82044.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (27)
82045
82046.xword 0x80604020
82047.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (27)
82048
82049.xword 0x00287850
82050.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (27)
82051
82052.xword 0x00287850
82053.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (27)
82054
82055.xword 0x78285050
82056.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (27)
82057
82058.xword 0x64500000003c0000
82059.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (27)
82060
82061.xword 0x7766000000554422
82062.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (27)
82063
82064.xword 0x706200000054462a
82065.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (27)
82066
82067.xword 0x784d005800423721
82068.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (27)
82069
82070.xword 0x804d006000504020
82071.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (27)
82072
82073.xword 0x0000006000408020
82074.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (27)
82075
82076.xword 0
82077.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (27)
82078
82079.xword 0
82080.xword 0x00020089 | (3 << 18) !ma_ctl_Ld (28)
82081
82082.xword 0
82083.xword 0x00020123 | (3 << 18) !ma_ctl_St (28)
82084
82085.xword 0x80604020
82086.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (28)
82087
82088.xword 0x356a
82089.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (28)
82090
82091.xword 0x0000006000408020
82092.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (28)
82093
82094.xword 0x6a35
82095.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (28)
82096
82097.xword 0x78285050
82098.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (28)
82099
82100.xword 0x80604020
82101.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (28)
82102
82103.xword 0x00287850
82104.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (28)
82105
82106.xword 0x00287850
82107.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (28)
82108
82109.xword 0x78285050
82110.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (28)
82111
82112.xword 0x64500000003c0000
82113.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (28)
82114
82115.xword 0x7766000000554422
82116.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (28)
82117
82118.xword 0x706200000054462a
82119.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (28)
82120
82121.xword 0x784d005800423721
82122.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (28)
82123
82124.xword 0x804d006000504020
82125.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (28)
82126
82127.xword 0x0000006000408020
82128.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (28)
82129
82130.xword 0
82131.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (28)
82132
82133.xword 0
82134.xword 0x0002005c | (3 << 18) !ma_ctl_Ld (29)
82135
82136.xword 0
82137.xword 0x0002012e | (3 << 18) !ma_ctl_St (29)
82138
82139.xword 0x80604020
82140.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (29)
82141
82142.xword 0x356a
82143.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (29)
82144
82145.xword 0x0000006000408020
82146.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (29)
82147
82148.xword 0x6a35
82149.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (29)
82150
82151.xword 0x78285050
82152.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (29)
82153
82154.xword 0x80604020
82155.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (29)
82156
82157.xword 0x00287850
82158.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (29)
82159
82160.xword 0x00287850
82161.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (29)
82162
82163.xword 0x78285050
82164.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (29)
82165
82166.xword 0x64500000003c0000
82167.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (29)
82168
82169.xword 0x7766000000554422
82170.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (29)
82171
82172.xword 0x706200000054462a
82173.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (29)
82174
82175.xword 0x784d005800423721
82176.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (29)
82177
82178.xword 0x804d006000504020
82179.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (29)
82180
82181.xword 0x0000006000408020
82182.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (29)
82183
82184.xword 0
82185.xword 0x00021109 | (3 << 18) !ma_ctl_StParity (29)
82186
82187.xword 0
82188.xword 0x0002005d | (3 << 18) !ma_ctl_Ld (30)
82189
82190.xword 0
82191.xword 0x00020160 | (3 << 18) !ma_ctl_St (30)
82192
82193.xword 0x80604020
82194.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (30)
82195
82196.xword 0x356a
82197.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (30)
82198
82199.xword 0x0000006000408020
82200.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (30)
82201
82202.xword 0x6a35
82203.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (30)
82204
82205.xword 0x78285050
82206.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (30)
82207
82208.xword 0x80604020
82209.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (30)
82210
82211.xword 0x00287850
82212.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (30)
82213
82214.xword 0x00287850
82215.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (30)
82216
82217.xword 0x78285050
82218.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (30)
82219
82220.xword 0x64500000003c0000
82221.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (30)
82222
82223.xword 0x7766000000554422
82224.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (30)
82225
82226.xword 0x706200000054462a
82227.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (30)
82228
82229.xword 0x784d005800423721
82230.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (30)
82231
82232.xword 0x804d006000504020
82233.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (30)
82234
82235.xword 0x0000006000408020
82236.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (30)
82237
82238.xword 0
82239.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (30)
82240
82241.xword 0
82242.xword 0x0002005a | (3 << 18) !ma_ctl_Ld (31)
82243
82244.xword 0
82245.xword 0x0002013c | (3 << 18) !ma_ctl_St (31)
82246
82247.xword 0x80604020
82248.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (31)
82249
82250.xword 0x356a
82251.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (31)
82252
82253.xword 0x0000006000408020
82254.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (31)
82255
82256.xword 0x6a35
82257.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (31)
82258
82259.xword 0x78285050
82260.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (31)
82261
82262.xword 0x80604020
82263.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (31)
82264
82265.xword 0x00287850
82266.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (31)
82267
82268.xword 0x00287850
82269.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (31)
82270
82271.xword 0x78285050
82272.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (31)
82273
82274.xword 0x64500000003c0000
82275.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (31)
82276
82277.xword 0x7766000000554422
82278.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (31)
82279
82280.xword 0x706200000054462a
82281.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (31)
82282
82283.xword 0x784d005800423721
82284.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (31)
82285
82286.xword 0x804d006000504020
82287.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (31)
82288
82289.xword 0x0000006000408020
82290.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (31)
82291
82292.xword 0
82293.xword 0x00021106 | (3 << 18) !ma_ctl_StParity (31)
82294
82295.xword 0
82296.xword 0x0002006a | (3 << 18) !ma_ctl_Ld (32)
82297
82298.xword 0
82299.xword 0x00020169 | (3 << 18) !ma_ctl_St (32)
82300
82301.xword 0x80604020
82302.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (32)
82303
82304.xword 0x356a
82305.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (32)
82306
82307.xword 0x0000006000408020
82308.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (32)
82309
82310.xword 0x6a35
82311.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (32)
82312
82313.xword 0x78285050
82314.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (32)
82315
82316.xword 0x80604020
82317.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (32)
82318
82319.xword 0x00287850
82320.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (32)
82321
82322.xword 0x00287850
82323.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (32)
82324
82325.xword 0x78285050
82326.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (32)
82327
82328.xword 0x64500000003c0000
82329.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (32)
82330
82331.xword 0x7766000000554422
82332.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (32)
82333
82334.xword 0x706200000054462a
82335.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (32)
82336
82337.xword 0x784d005800423721
82338.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (32)
82339
82340.xword 0x804d006000504020
82341.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (32)
82342
82343.xword 0x0000006000408020
82344.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (32)
82345
82346.xword 0
82347.xword 0x0002110e | (3 << 18) !ma_ctl_StParity (32)
82348
82349.xword 0
82350.xword 0x0002003f | (3 << 18) !ma_ctl_Ld (33)
82351
82352.xword 0
82353.xword 0x00020138 | (3 << 18) !ma_ctl_St (33)
82354
82355.xword 0x80604020
82356.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (33)
82357
82358.xword 0x356a
82359.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (33)
82360
82361.xword 0x0000006000408020
82362.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (33)
82363
82364.xword 0x6a35
82365.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (33)
82366
82367.xword 0x78285050
82368.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (33)
82369
82370.xword 0x80604020
82371.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (33)
82372
82373.xword 0x00287850
82374.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (33)
82375
82376.xword 0x00287850
82377.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (33)
82378
82379.xword 0x78285050
82380.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (33)
82381
82382.xword 0x64500000003c0000
82383.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (33)
82384
82385.xword 0x7766000000554422
82386.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (33)
82387
82388.xword 0x706200000054462a
82389.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (33)
82390
82391.xword 0x784d005800423721
82392.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (33)
82393
82394.xword 0x804d006000504020
82395.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (33)
82396
82397.xword 0x0000006000408020
82398.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (33)
82399
82400.xword 0
82401.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (33)
82402
82403.xword 0
82404.xword 0x0002007b | (3 << 18) !ma_ctl_Ld (34)
82405
82406.xword 0
82407.xword 0x0002018f | (3 << 18) !ma_ctl_St (34)
82408
82409.xword 0x80604020
82410.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (34)
82411
82412.xword 0x356a
82413.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (34)
82414
82415.xword 0x0000006000408020
82416.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (34)
82417
82418.xword 0x6a35
82419.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (34)
82420
82421.xword 0x78285050
82422.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (34)
82423
82424.xword 0x80604020
82425.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (34)
82426
82427.xword 0x00287850
82428.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (34)
82429
82430.xword 0x00287850
82431.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (34)
82432
82433.xword 0x78285050
82434.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (34)
82435
82436.xword 0x64500000003c0000
82437.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (34)
82438
82439.xword 0x7766000000554422
82440.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (34)
82441
82442.xword 0x706200000054462a
82443.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (34)
82444
82445.xword 0x784d005800423721
82446.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (34)
82447
82448.xword 0x804d006000504020
82449.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (34)
82450
82451.xword 0x0000006000408020
82452.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (34)
82453
82454.xword 0
82455.xword 0x00021114 | (3 << 18) !ma_ctl_StParity (34)
82456
82457.xword 0
82458.xword 0x0002009e | (3 << 18) !ma_ctl_Ld (35)
82459
82460.xword 0
82461.xword 0x00020158 | (3 << 18) !ma_ctl_St (35)
82462
82463.xword 0x80604020
82464.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (35)
82465
82466.xword 0x356a
82467.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (35)
82468
82469.xword 0x0000006000408020
82470.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (35)
82471
82472.xword 0x6a35
82473.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (35)
82474
82475.xword 0x78285050
82476.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (35)
82477
82478.xword 0x80604020
82479.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (35)
82480
82481.xword 0x00287850
82482.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (35)
82483
82484.xword 0x00287850
82485.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (35)
82486
82487.xword 0x78285050
82488.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (35)
82489
82490.xword 0x64500000003c0000
82491.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (35)
82492
82493.xword 0x7766000000554422
82494.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (35)
82495
82496.xword 0x706200000054462a
82497.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (35)
82498
82499.xword 0x784d005800423721
82500.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (35)
82501
82502.xword 0x804d006000504020
82503.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (35)
82504
82505.xword 0x0000006000408020
82506.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (35)
82507
82508.xword 0
82509.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (35)
82510
82511.xword 0
82512.xword 0x0002002d | (3 << 18) !ma_ctl_Ld (36)
82513
82514.xword 0
82515.xword 0x00020122 | (3 << 18) !ma_ctl_St (36)
82516
82517.xword 0x80604020
82518.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (36)
82519
82520.xword 0x356a
82521.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (36)
82522
82523.xword 0x0000006000408020
82524.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (36)
82525
82526.xword 0x6a35
82527.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (36)
82528
82529.xword 0x78285050
82530.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (36)
82531
82532.xword 0x80604020
82533.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (36)
82534
82535.xword 0x00287850
82536.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (36)
82537
82538.xword 0x00287850
82539.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (36)
82540
82541.xword 0x78285050
82542.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (36)
82543
82544.xword 0x64500000003c0000
82545.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (36)
82546
82547.xword 0x7766000000554422
82548.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (36)
82549
82550.xword 0x706200000054462a
82551.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (36)
82552
82553.xword 0x784d005800423721
82554.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (36)
82555
82556.xword 0x804d006000504020
82557.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (36)
82558
82559.xword 0x0000006000408020
82560.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (36)
82561
82562.xword 0
82563.xword 0x00021102 | (3 << 18) !ma_ctl_StParity (36)
82564
82565.xword 0
82566.xword 0x0002005f | (3 << 18) !ma_ctl_Ld (37)
82567
82568.xword 0
82569.xword 0x0002016d | (3 << 18) !ma_ctl_St (37)
82570
82571.xword 0x80604020
82572.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (37)
82573
82574.xword 0x356a
82575.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (37)
82576
82577.xword 0x0000006000408020
82578.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (37)
82579
82580.xword 0x6a35
82581.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (37)
82582
82583.xword 0x78285050
82584.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (37)
82585
82586.xword 0x80604020
82587.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (37)
82588
82589.xword 0x00287850
82590.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (37)
82591
82592.xword 0x00287850
82593.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (37)
82594
82595.xword 0x78285050
82596.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (37)
82597
82598.xword 0x64500000003c0000
82599.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (37)
82600
82601.xword 0x7766000000554422
82602.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (37)
82603
82604.xword 0x706200000054462a
82605.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (37)
82606
82607.xword 0x784d005800423721
82608.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (37)
82609
82610.xword 0x804d006000504020
82611.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (37)
82612
82613.xword 0x0000006000408020
82614.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (37)
82615
82616.xword 0
82617.xword 0x0002110a | (3 << 18) !ma_ctl_StParity (37)
82618
82619.xword 0
82620.xword 0x00020033 | (3 << 18) !ma_ctl_Ld (38)
82621
82622.xword 0
82623.xword 0x00020160 | (3 << 18) !ma_ctl_St (38)
82624
82625.xword 0x80604020
82626.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (38)
82627
82628.xword 0x356a
82629.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (38)
82630
82631.xword 0x0000006000408020
82632.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (38)
82633
82634.xword 0x6a35
82635.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (38)
82636
82637.xword 0x78285050
82638.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (38)
82639
82640.xword 0x80604020
82641.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (38)
82642
82643.xword 0x00287850
82644.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (38)
82645
82646.xword 0x00287850
82647.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (38)
82648
82649.xword 0x78285050
82650.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (38)
82651
82652.xword 0x64500000003c0000
82653.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (38)
82654
82655.xword 0x7766000000554422
82656.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (38)
82657
82658.xword 0x706200000054462a
82659.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (38)
82660
82661.xword 0x784d005800423721
82662.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (38)
82663
82664.xword 0x804d006000504020
82665.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (38)
82666
82667.xword 0x0000006000408020
82668.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (38)
82669
82670.xword 0
82671.xword 0x00021108 | (3 << 18) !ma_ctl_StParity (38)
82672
82673.xword 0
82674.xword 0x00020087 | (3 << 18) !ma_ctl_Ld (39)
82675
82676.xword 0
82677.xword 0x0002015d | (3 << 18) !ma_ctl_St (39)
82678
82679.xword 0x80604020
82680.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (39)
82681
82682.xword 0x356a
82683.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (39)
82684
82685.xword 0x0000006000408020
82686.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (39)
82687
82688.xword 0x6a35
82689.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (39)
82690
82691.xword 0x78285050
82692.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (39)
82693
82694.xword 0x80604020
82695.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (39)
82696
82697.xword 0x00287850
82698.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (39)
82699
82700.xword 0x00287850
82701.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (39)
82702
82703.xword 0x78285050
82704.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (39)
82705
82706.xword 0x64500000003c0000
82707.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (39)
82708
82709.xword 0x7766000000554422
82710.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (39)
82711
82712.xword 0x706200000054462a
82713.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (39)
82714
82715.xword 0x784d005800423721
82716.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (39)
82717
82718.xword 0x804d006000504020
82719.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (39)
82720
82721.xword 0x0000006000408020
82722.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (39)
82723
82724.xword 0
82725.xword 0x00021113 | (3 << 18) !ma_ctl_StParity (39)
82726
82727.xword 0
82728.xword 0x0002005c | (3 << 18) !ma_ctl_Ld (40)
82729
82730.xword 0
82731.xword 0x0002016e | (3 << 18) !ma_ctl_St (40)
82732
82733.xword 0x80604020
82734.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (40)
82735
82736.xword 0x356a
82737.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (40)
82738
82739.xword 0x0000006000408020
82740.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (40)
82741
82742.xword 0x6a35
82743.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (40)
82744
82745.xword 0x78285050
82746.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (40)
82747
82748.xword 0x80604020
82749.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (40)
82750
82751.xword 0x00287850
82752.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (40)
82753
82754.xword 0x00287850
82755.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (40)
82756
82757.xword 0x78285050
82758.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (40)
82759
82760.xword 0x64500000003c0000
82761.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (40)
82762
82763.xword 0x7766000000554422
82764.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (40)
82765
82766.xword 0x706200000054462a
82767.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (40)
82768
82769.xword 0x784d005800423721
82770.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (40)
82771
82772.xword 0x804d006000504020
82773.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (40)
82774
82775.xword 0x0000006000408020
82776.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (40)
82777
82778.xword 0
82779.xword 0x00021105 | (3 << 18) !ma_ctl_StParity (40)
82780
82781.xword 0
82782.xword 0x00020030 | (3 << 18) !ma_ctl_Ld (41)
82783
82784.xword 0
82785.xword 0x00020157 | (3 << 18) !ma_ctl_St (41)
82786
82787.xword 0x80604020
82788.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (41)
82789
82790.xword 0x356a
82791.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (41)
82792
82793.xword 0x0000006000408020
82794.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (41)
82795
82796.xword 0x6a35
82797.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (41)
82798
82799.xword 0x78285050
82800.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (41)
82801
82802.xword 0x80604020
82803.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (41)
82804
82805.xword 0x00287850
82806.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (41)
82807
82808.xword 0x00287850
82809.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (41)
82810
82811.xword 0x78285050
82812.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (41)
82813
82814.xword 0x64500000003c0000
82815.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (41)
82816
82817.xword 0x7766000000554422
82818.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (41)
82819
82820.xword 0x706200000054462a
82821.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (41)
82822
82823.xword 0x784d005800423721
82824.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (41)
82825
82826.xword 0x804d006000504020
82827.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (41)
82828
82829.xword 0x0000006000408020
82830.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (41)
82831
82832.xword 0
82833.xword 0x0002110d | (3 << 18) !ma_ctl_StParity (41)
82834
82835.xword 0
82836.xword 0x00020072 | (3 << 18) !ma_ctl_Ld (42)
82837
82838.xword 0
82839.xword 0x0002012c | (3 << 18) !ma_ctl_St (42)
82840
82841.xword 0x80604020
82842.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (42)
82843
82844.xword 0x356a
82845.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (42)
82846
82847.xword 0x0000006000408020
82848.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (42)
82849
82850.xword 0x6a35
82851.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (42)
82852
82853.xword 0x78285050
82854.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (42)
82855
82856.xword 0x80604020
82857.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (42)
82858
82859.xword 0x00287850
82860.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (42)
82861
82862.xword 0x00287850
82863.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (42)
82864
82865.xword 0x78285050
82866.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (42)
82867
82868.xword 0x64500000003c0000
82869.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (42)
82870
82871.xword 0x7766000000554422
82872.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (42)
82873
82874.xword 0x706200000054462a
82875.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (42)
82876
82877.xword 0x784d005800423721
82878.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (42)
82879
82880.xword 0x804d006000504020
82881.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (42)
82882
82883.xword 0x0000006000408020
82884.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (42)
82885
82886.xword 0
82887.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (42)
82888
82889.xword 0
82890.xword 0x00020098 | (3 << 18) !ma_ctl_Ld (43)
82891
82892.xword 0
82893.xword 0x00020165 | (3 << 18) !ma_ctl_St (43)
82894
82895.xword 0x80604020
82896.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (43)
82897
82898.xword 0x356a
82899.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (43)
82900
82901.xword 0x0000006000408020
82902.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (43)
82903
82904.xword 0x6a35
82905.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (43)
82906
82907.xword 0x78285050
82908.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (43)
82909
82910.xword 0x80604020
82911.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (43)
82912
82913.xword 0x00287850
82914.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (43)
82915
82916.xword 0x00287850
82917.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (43)
82918
82919.xword 0x78285050
82920.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (43)
82921
82922.xword 0x64500000003c0000
82923.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (43)
82924
82925.xword 0x7766000000554422
82926.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (43)
82927
82928.xword 0x706200000054462a
82929.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (43)
82930
82931.xword 0x784d005800423721
82932.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (43)
82933
82934.xword 0x804d006000504020
82935.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (43)
82936
82937.xword 0x0000006000408020
82938.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (43)
82939
82940.xword 0
82941.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (43)
82942
82943.xword 0
82944.xword 0x00020024 | (3 << 18) !ma_ctl_Ld (44)
82945
82946.xword 0
82947.xword 0x00020188 | (3 << 18) !ma_ctl_St (44)
82948
82949.xword 0x80604020
82950.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (44)
82951
82952.xword 0x356a
82953.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (44)
82954
82955.xword 0x0000006000408020
82956.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (44)
82957
82958.xword 0x6a35
82959.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (44)
82960
82961.xword 0x78285050
82962.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (44)
82963
82964.xword 0x80604020
82965.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (44)
82966
82967.xword 0x00287850
82968.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (44)
82969
82970.xword 0x00287850
82971.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (44)
82972
82973.xword 0x78285050
82974.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (44)
82975
82976.xword 0x64500000003c0000
82977.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (44)
82978
82979.xword 0x7766000000554422
82980.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (44)
82981
82982.xword 0x706200000054462a
82983.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (44)
82984
82985.xword 0x784d005800423721
82986.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (44)
82987
82988.xword 0x804d006000504020
82989.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (44)
82990
82991.xword 0x0000006000408020
82992.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (44)
82993
82994.xword 0
82995.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (44)
82996
82997.xword 0
82998.xword 0x00020071 | (3 << 18) !ma_ctl_Ld (45)
82999
83000.xword 0
83001.xword 0x00020176 | (3 << 18) !ma_ctl_St (45)
83002
83003.xword 0x80604020
83004.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (45)
83005
83006.xword 0x356a
83007.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (45)
83008
83009.xword 0x0000006000408020
83010.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (45)
83011
83012.xword 0x6a35
83013.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (45)
83014
83015.xword 0x78285050
83016.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (45)
83017
83018.xword 0x80604020
83019.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (45)
83020
83021.xword 0x00287850
83022.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (45)
83023
83024.xword 0x00287850
83025.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (45)
83026
83027.xword 0x78285050
83028.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (45)
83029
83030.xword 0x64500000003c0000
83031.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (45)
83032
83033.xword 0x7766000000554422
83034.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (45)
83035
83036.xword 0x706200000054462a
83037.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (45)
83038
83039.xword 0x784d005800423721
83040.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (45)
83041
83042.xword 0x804d006000504020
83043.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (45)
83044
83045.xword 0x0000006000408020
83046.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (45)
83047
83048.xword 0
83049.xword 0x00021113 | (3 << 18) !ma_ctl_StParity (45)
83050
83051.xword 0
83052.xword 0x0002006b | (3 << 18) !ma_ctl_Ld (46)
83053
83054.xword 0
83055.xword 0x0002014a | (3 << 18) !ma_ctl_St (46)
83056
83057.xword 0x80604020
83058.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (46)
83059
83060.xword 0x356a
83061.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (46)
83062
83063.xword 0x0000006000408020
83064.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (46)
83065
83066.xword 0x6a35
83067.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (46)
83068
83069.xword 0x78285050
83070.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (46)
83071
83072.xword 0x80604020
83073.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (46)
83074
83075.xword 0x00287850
83076.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (46)
83077
83078.xword 0x00287850
83079.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (46)
83080
83081.xword 0x78285050
83082.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (46)
83083
83084.xword 0x64500000003c0000
83085.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (46)
83086
83087.xword 0x7766000000554422
83088.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (46)
83089
83090.xword 0x706200000054462a
83091.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (46)
83092
83093.xword 0x784d005800423721
83094.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (46)
83095
83096.xword 0x804d006000504020
83097.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (46)
83098
83099.xword 0x0000006000408020
83100.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (46)
83101
83102.xword 0
83103.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (46)
83104
83105.xword 0
83106.xword 0x00020043 | (3 << 18) !ma_ctl_Ld (47)
83107
83108.xword 0
83109.xword 0x00020169 | (3 << 18) !ma_ctl_St (47)
83110
83111.xword 0x80604020
83112.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (47)
83113
83114.xword 0x356a
83115.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (47)
83116
83117.xword 0x0000006000408020
83118.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (47)
83119
83120.xword 0x6a35
83121.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (47)
83122
83123.xword 0x78285050
83124.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (47)
83125
83126.xword 0x80604020
83127.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (47)
83128
83129.xword 0x00287850
83130.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (47)
83131
83132.xword 0x00287850
83133.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (47)
83134
83135.xword 0x78285050
83136.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (47)
83137
83138.xword 0x64500000003c0000
83139.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (47)
83140
83141.xword 0x7766000000554422
83142.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (47)
83143
83144.xword 0x706200000054462a
83145.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (47)
83146
83147.xword 0x784d005800423721
83148.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (47)
83149
83150.xword 0x804d006000504020
83151.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (47)
83152
83153.xword 0x0000006000408020
83154.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (47)
83155
83156.xword 0
83157.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (47)
83158
83159.xword 0
83160.xword 0x0002008c | (3 << 18) !ma_ctl_Ld (48)
83161
83162.xword 0
83163.xword 0x0002018e | (3 << 18) !ma_ctl_St (48)
83164
83165.xword 0x80604020
83166.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (48)
83167
83168.xword 0x356a
83169.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (48)
83170
83171.xword 0x0000006000408020
83172.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (48)
83173
83174.xword 0x6a35
83175.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (48)
83176
83177.xword 0x78285050
83178.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (48)
83179
83180.xword 0x80604020
83181.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (48)
83182
83183.xword 0x00287850
83184.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (48)
83185
83186.xword 0x00287850
83187.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (48)
83188
83189.xword 0x78285050
83190.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (48)
83191
83192.xword 0x64500000003c0000
83193.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (48)
83194
83195.xword 0x7766000000554422
83196.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (48)
83197
83198.xword 0x706200000054462a
83199.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (48)
83200
83201.xword 0x784d005800423721
83202.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (48)
83203
83204.xword 0x804d006000504020
83205.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (48)
83206
83207.xword 0x0000006000408020
83208.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (48)
83209
83210.xword 0
83211.xword 0x00021103 | (3 << 18) !ma_ctl_StParity (48)
83212
83213.xword 0
83214.xword 0x00020033 | (3 << 18) !ma_ctl_Ld (49)
83215
83216.xword 0
83217.xword 0x00020157 | (3 << 18) !ma_ctl_St (49)
83218
83219.xword 0x80604020
83220.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (49)
83221
83222.xword 0x356a
83223.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (49)
83224
83225.xword 0x0000006000408020
83226.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (49)
83227
83228.xword 0x6a35
83229.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (49)
83230
83231.xword 0x78285050
83232.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (49)
83233
83234.xword 0x80604020
83235.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (49)
83236
83237.xword 0x00287850
83238.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (49)
83239
83240.xword 0x00287850
83241.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (49)
83242
83243.xword 0x78285050
83244.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (49)
83245
83246.xword 0x64500000003c0000
83247.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (49)
83248
83249.xword 0x7766000000554422
83250.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (49)
83251
83252.xword 0x706200000054462a
83253.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (49)
83254
83255.xword 0x784d005800423721
83256.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (49)
83257
83258.xword 0x804d006000504020
83259.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (49)
83260
83261.xword 0x0000006000408020
83262.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (49)
83263
83264.xword 0
83265.xword 0x00021109 | (3 << 18) !ma_ctl_StParity (49)
83266
83267.xword 0
83268.xword 0x0002006b | (3 << 18) !ma_ctl_Ld (50)
83269
83270.xword 0
83271.xword 0x00020155 | (3 << 18) !ma_ctl_St (50)
83272
83273.xword 0x80604020
83274.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (50)
83275
83276.xword 0x356a
83277.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (50)
83278
83279.xword 0x0000006000408020
83280.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (50)
83281
83282.xword 0x6a35
83283.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (50)
83284
83285.xword 0x78285050
83286.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (50)
83287
83288.xword 0x80604020
83289.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (50)
83290
83291.xword 0x00287850
83292.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (50)
83293
83294.xword 0x00287850
83295.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (50)
83296
83297.xword 0x78285050
83298.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (50)
83299
83300.xword 0x64500000003c0000
83301.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (50)
83302
83303.xword 0x7766000000554422
83304.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (50)
83305
83306.xword 0x706200000054462a
83307.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (50)
83308
83309.xword 0x784d005800423721
83310.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (50)
83311
83312.xword 0x804d006000504020
83313.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (50)
83314
83315.xword 0x0000006000408020
83316.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (50)
83317
83318.xword 0
83319.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (50)
83320
83321.xword 0
83322.xword 0x00020074 | (3 << 18) !ma_ctl_Ld (51)
83323
83324.xword 0
83325.xword 0x00020147 | (3 << 18) !ma_ctl_St (51)
83326
83327.xword 0x80604020
83328.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (51)
83329
83330.xword 0x356a
83331.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (51)
83332
83333.xword 0x0000006000408020
83334.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (51)
83335
83336.xword 0x6a35
83337.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (51)
83338
83339.xword 0x78285050
83340.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (51)
83341
83342.xword 0x80604020
83343.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (51)
83344
83345.xword 0x00287850
83346.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (51)
83347
83348.xword 0x00287850
83349.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (51)
83350
83351.xword 0x78285050
83352.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (51)
83353
83354.xword 0x64500000003c0000
83355.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (51)
83356
83357.xword 0x7766000000554422
83358.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (51)
83359
83360.xword 0x706200000054462a
83361.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (51)
83362
83363.xword 0x784d005800423721
83364.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (51)
83365
83366.xword 0x804d006000504020
83367.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (51)
83368
83369.xword 0x0000006000408020
83370.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (51)
83371
83372.xword 0
83373.xword 0x00021118 | (3 << 18) !ma_ctl_StParity (51)
83374
83375.xword 0
83376.xword 0x00020071 | (3 << 18) !ma_ctl_Ld (52)
83377
83378.xword 0
83379.xword 0x0002017d | (3 << 18) !ma_ctl_St (52)
83380
83381.xword 0x80604020
83382.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (52)
83383
83384.xword 0x356a
83385.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (52)
83386
83387.xword 0x0000006000408020
83388.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (52)
83389
83390.xword 0x6a35
83391.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (52)
83392
83393.xword 0x78285050
83394.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (52)
83395
83396.xword 0x80604020
83397.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (52)
83398
83399.xword 0x00287850
83400.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (52)
83401
83402.xword 0x00287850
83403.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (52)
83404
83405.xword 0x78285050
83406.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (52)
83407
83408.xword 0x64500000003c0000
83409.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (52)
83410
83411.xword 0x7766000000554422
83412.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (52)
83413
83414.xword 0x706200000054462a
83415.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (52)
83416
83417.xword 0x784d005800423721
83418.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (52)
83419
83420.xword 0x804d006000504020
83421.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (52)
83422
83423.xword 0x0000006000408020
83424.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (52)
83425
83426.xword 0
83427.xword 0x00021109 | (3 << 18) !ma_ctl_StParity (52)
83428
83429.xword 0
83430.xword 0x0002007b | (3 << 18) !ma_ctl_Ld (53)
83431
83432.xword 0
83433.xword 0x00020176 | (3 << 18) !ma_ctl_St (53)
83434
83435.xword 0x80604020
83436.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (53)
83437
83438.xword 0x356a
83439.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (53)
83440
83441.xword 0x0000006000408020
83442.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (53)
83443
83444.xword 0x6a35
83445.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (53)
83446
83447.xword 0x78285050
83448.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (53)
83449
83450.xword 0x80604020
83451.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (53)
83452
83453.xword 0x00287850
83454.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (53)
83455
83456.xword 0x00287850
83457.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (53)
83458
83459.xword 0x78285050
83460.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (53)
83461
83462.xword 0x64500000003c0000
83463.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (53)
83464
83465.xword 0x7766000000554422
83466.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (53)
83467
83468.xword 0x706200000054462a
83469.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (53)
83470
83471.xword 0x784d005800423721
83472.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (53)
83473
83474.xword 0x804d006000504020
83475.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (53)
83476
83477.xword 0x0000006000408020
83478.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (53)
83479
83480.xword 0
83481.xword 0x00021116 | (3 << 18) !ma_ctl_StParity (53)
83482
83483.xword 0
83484.xword 0x00020082 | (3 << 18) !ma_ctl_Ld (54)
83485
83486.xword 0
83487.xword 0x00020163 | (3 << 18) !ma_ctl_St (54)
83488
83489.xword 0x80604020
83490.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (54)
83491
83492.xword 0x356a
83493.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (54)
83494
83495.xword 0x0000006000408020
83496.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (54)
83497
83498.xword 0x6a35
83499.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (54)
83500
83501.xword 0x78285050
83502.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (54)
83503
83504.xword 0x80604020
83505.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (54)
83506
83507.xword 0x00287850
83508.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (54)
83509
83510.xword 0x00287850
83511.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (54)
83512
83513.xword 0x78285050
83514.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (54)
83515
83516.xword 0x64500000003c0000
83517.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (54)
83518
83519.xword 0x7766000000554422
83520.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (54)
83521
83522.xword 0x706200000054462a
83523.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (54)
83524
83525.xword 0x784d005800423721
83526.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (54)
83527
83528.xword 0x804d006000504020
83529.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (54)
83530
83531.xword 0x0000006000408020
83532.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (54)
83533
83534.xword 0
83535.xword 0x0002111b | (3 << 18) !ma_ctl_StParity (54)
83536
83537.xword 0
83538.xword 0x00020053 | (3 << 18) !ma_ctl_Ld (55)
83539
83540.xword 0
83541.xword 0x0002016c | (3 << 18) !ma_ctl_St (55)
83542
83543.xword 0x80604020
83544.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (55)
83545
83546.xword 0x356a
83547.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (55)
83548
83549.xword 0x0000006000408020
83550.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (55)
83551
83552.xword 0x6a35
83553.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (55)
83554
83555.xword 0x78285050
83556.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (55)
83557
83558.xword 0x80604020
83559.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (55)
83560
83561.xword 0x00287850
83562.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (55)
83563
83564.xword 0x00287850
83565.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (55)
83566
83567.xword 0x78285050
83568.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (55)
83569
83570.xword 0x64500000003c0000
83571.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (55)
83572
83573.xword 0x7766000000554422
83574.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (55)
83575
83576.xword 0x706200000054462a
83577.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (55)
83578
83579.xword 0x784d005800423721
83580.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (55)
83581
83582.xword 0x804d006000504020
83583.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (55)
83584
83585.xword 0x0000006000408020
83586.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (55)
83587
83588.xword 0
83589.xword 0x00021105 | (3 << 18) !ma_ctl_StParity (55)
83590
83591.xword 0
83592.xword 0x00020068 | (3 << 18) !ma_ctl_Ld (56)
83593
83594.xword 0
83595.xword 0x0002016b | (3 << 18) !ma_ctl_St (56)
83596
83597.xword 0x80604020
83598.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (56)
83599
83600.xword 0x356a
83601.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (56)
83602
83603.xword 0x0000006000408020
83604.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (56)
83605
83606.xword 0x6a35
83607.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (56)
83608
83609.xword 0x78285050
83610.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (56)
83611
83612.xword 0x80604020
83613.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (56)
83614
83615.xword 0x00287850
83616.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (56)
83617
83618.xword 0x00287850
83619.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (56)
83620
83621.xword 0x78285050
83622.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (56)
83623
83624.xword 0x64500000003c0000
83625.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (56)
83626
83627.xword 0x7766000000554422
83628.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (56)
83629
83630.xword 0x706200000054462a
83631.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (56)
83632
83633.xword 0x784d005800423721
83634.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (56)
83635
83636.xword 0x804d006000504020
83637.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (56)
83638
83639.xword 0x0000006000408020
83640.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (56)
83641
83642.xword 0
83643.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (56)
83644
83645.xword 0
83646.xword 0x00020051 | (3 << 18) !ma_ctl_Ld (57)
83647
83648.xword 0
83649.xword 0x0002015a | (3 << 18) !ma_ctl_St (57)
83650
83651.xword 0x80604020
83652.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (57)
83653
83654.xword 0x356a
83655.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (57)
83656
83657.xword 0x0000006000408020
83658.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (57)
83659
83660.xword 0x6a35
83661.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (57)
83662
83663.xword 0x78285050
83664.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (57)
83665
83666.xword 0x80604020
83667.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (57)
83668
83669.xword 0x00287850
83670.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (57)
83671
83672.xword 0x00287850
83673.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (57)
83674
83675.xword 0x78285050
83676.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (57)
83677
83678.xword 0x64500000003c0000
83679.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (57)
83680
83681.xword 0x7766000000554422
83682.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (57)
83683
83684.xword 0x706200000054462a
83685.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (57)
83686
83687.xword 0x784d005800423721
83688.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (57)
83689
83690.xword 0x804d006000504020
83691.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (57)
83692
83693.xword 0x0000006000408020
83694.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (57)
83695
83696.xword 0
83697.xword 0x00021104 | (3 << 18) !ma_ctl_StParity (57)
83698
83699.xword 0
83700.xword 0x00020049 | (3 << 18) !ma_ctl_Ld (58)
83701
83702.xword 0
83703.xword 0x00020166 | (3 << 18) !ma_ctl_St (58)
83704
83705.xword 0x80604020
83706.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (58)
83707
83708.xword 0x356a
83709.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (58)
83710
83711.xword 0x0000006000408020
83712.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (58)
83713
83714.xword 0x6a35
83715.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (58)
83716
83717.xword 0x78285050
83718.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (58)
83719
83720.xword 0x80604020
83721.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (58)
83722
83723.xword 0x00287850
83724.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (58)
83725
83726.xword 0x00287850
83727.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (58)
83728
83729.xword 0x78285050
83730.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (58)
83731
83732.xword 0x64500000003c0000
83733.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (58)
83734
83735.xword 0x7766000000554422
83736.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (58)
83737
83738.xword 0x706200000054462a
83739.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (58)
83740
83741.xword 0x784d005800423721
83742.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (58)
83743
83744.xword 0x804d006000504020
83745.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (58)
83746
83747.xword 0x0000006000408020
83748.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (58)
83749
83750.xword 0
83751.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (58)
83752
83753
83754.align 8
83755.global _t2_ma_results2
83756_t2_ma_results2:
83757.xword 0xDEADBEEFDEADBEEF
83758.xword 0xDEADBEEFDEADBEEF
83759.xword 0xDEADBEEFDEADBEEF
83760.xword 0xDEADBEEFDEADBEEF
83761.xword 0xDEADBEEFDEADBEEF
83762.xword 0xDEADBEEFDEADBEEF
83763.xword 0xDEADBEEFDEADBEEF
83764.xword 0xDEADBEEFDEADBEEF
83765.xword 0xDEADBEEFDEADBEEF
83766.xword 0xDEADBEEFDEADBEEF
83767.xword 0xDEADBEEFDEADBEEF
83768.xword 0xDEADBEEFDEADBEEF
83769.xword 0xDEADBEEFDEADBEEF
83770.xword 0xDEADBEEFDEADBEEF
83771.xword 0xDEADBEEFDEADBEEF
83772.xword 0xDEADBEEFDEADBEEF
83773.xword 0xDEADBEEFDEADBEEF
83774.xword 0xDEADBEEFDEADBEEF
83775.xword 0xDEADBEEFDEADBEEF
83776.xword 0xDEADBEEFDEADBEEF
83777.xword 0xDEADBEEFDEADBEEF
83778.xword 0xDEADBEEFDEADBEEF
83779.xword 0xDEADBEEFDEADBEEF
83780.xword 0xDEADBEEFDEADBEEF
83781.xword 0xDEADBEEFDEADBEEF
83782.xword 0xDEADBEEFDEADBEEF
83783.xword 0xDEADBEEFDEADBEEF
83784.xword 0xDEADBEEFDEADBEEF
83785.xword 0xDEADBEEFDEADBEEF
83786.xword 0xDEADBEEFDEADBEEF
83787.xword 0xDEADBEEFDEADBEEF
83788.xword 0xDEADBEEFDEADBEEF
83789.xword 0xDEADBEEFDEADBEEF
83790.xword 0xDEADBEEFDEADBEEF
83791.xword 0xDEADBEEFDEADBEEF
83792.xword 0xDEADBEEFDEADBEEF
83793.xword 0xDEADBEEFDEADBEEF
83794.xword 0xDEADBEEFDEADBEEF
83795.xword 0xDEADBEEFDEADBEEF
83796.xword 0xDEADBEEFDEADBEEF
83797.xword 0xDEADBEEFDEADBEEF
83798.xword 0xDEADBEEFDEADBEEF
83799.xword 0xDEADBEEFDEADBEEF
83800.xword 0xDEADBEEFDEADBEEF
83801.xword 0xDEADBEEFDEADBEEF
83802.xword 0xDEADBEEFDEADBEEF
83803.xword 0xDEADBEEFDEADBEEF
83804.xword 0xDEADBEEFDEADBEEF
83805.xword 0xDEADBEEFDEADBEEF
83806.xword 0xDEADBEEFDEADBEEF
83807.xword 0xDEADBEEFDEADBEEF
83808.xword 0xDEADBEEFDEADBEEF
83809.xword 0xDEADBEEFDEADBEEF
83810.xword 0xDEADBEEFDEADBEEF
83811.xword 0xDEADBEEFDEADBEEF
83812.xword 0xDEADBEEFDEADBEEF
83813.xword 0xDEADBEEFDEADBEEF
83814.xword 0xDEADBEEFDEADBEEF
83815.xword 0xDEADBEEFDEADBEEF
83816.xword 0xDEADBEEFDEADBEEF
83817.xword 0xDEADBEEFDEADBEEF
83818.xword 0xDEADBEEFDEADBEEF
83819.xword 0xDEADBEEFDEADBEEF
83820.xword 0xDEADBEEFDEADBEEF
83821.xword 0xDEADBEEFDEADBEEF
83822.xword 0xDEADBEEFDEADBEEF
83823.xword 0xDEADBEEFDEADBEEF
83824.xword 0xDEADBEEFDEADBEEF
83825.xword 0xDEADBEEFDEADBEEF
83826.xword 0xDEADBEEFDEADBEEF
83827.xword 0xDEADBEEFDEADBEEF
83828.xword 0xDEADBEEFDEADBEEF
83829.xword 0xDEADBEEFDEADBEEF
83830.xword 0xDEADBEEFDEADBEEF
83831.xword 0xDEADBEEFDEADBEEF
83832.xword 0xDEADBEEFDEADBEEF
83833.xword 0xDEADBEEFDEADBEEF
83834.xword 0xDEADBEEFDEADBEEF
83835.xword 0xDEADBEEFDEADBEEF
83836.xword 0xDEADBEEFDEADBEEF
83837.xword 0xDEADBEEFDEADBEEF
83838.xword 0xDEADBEEFDEADBEEF
83839.xword 0xDEADBEEFDEADBEEF
83840.xword 0xDEADBEEFDEADBEEF
83841.xword 0xDEADBEEFDEADBEEF
83842.xword 0xDEADBEEFDEADBEEF
83843.xword 0xDEADBEEFDEADBEEF
83844.xword 0xDEADBEEFDEADBEEF
83845.xword 0xDEADBEEFDEADBEEF
83846.xword 0xDEADBEEFDEADBEEF
83847.xword 0xDEADBEEFDEADBEEF
83848.xword 0xDEADBEEFDEADBEEF
83849.xword 0xDEADBEEFDEADBEEF
83850.xword 0xDEADBEEFDEADBEEF
83851.xword 0xDEADBEEFDEADBEEF
83852.xword 0xDEADBEEFDEADBEEF
83853.xword 0xDEADBEEFDEADBEEF
83854.xword 0xDEADBEEFDEADBEEF
83855.xword 0xDEADBEEFDEADBEEF
83856.xword 0xDEADBEEFDEADBEEF
83857.xword 0xDEADBEEFDEADBEEF
83858.xword 0xDEADBEEFDEADBEEF
83859.xword 0xDEADBEEFDEADBEEF
83860.xword 0xDEADBEEFDEADBEEF
83861.xword 0xDEADBEEFDEADBEEF
83862.xword 0xDEADBEEFDEADBEEF
83863.xword 0xDEADBEEFDEADBEEF
83864.xword 0xDEADBEEFDEADBEEF
83865.xword 0xDEADBEEFDEADBEEF
83866.xword 0xDEADBEEFDEADBEEF
83867.xword 0xDEADBEEFDEADBEEF
83868.xword 0xDEADBEEFDEADBEEF
83869.xword 0xDEADBEEFDEADBEEF
83870.xword 0xDEADBEEFDEADBEEF
83871.xword 0xDEADBEEFDEADBEEF
83872.xword 0xDEADBEEFDEADBEEF
83873.xword 0xDEADBEEFDEADBEEF
83874.xword 0xDEADBEEFDEADBEEF
83875.xword 0xDEADBEEFDEADBEEF
83876.xword 0xDEADBEEFDEADBEEF
83877.xword 0xDEADBEEFDEADBEEF
83878.xword 0xDEADBEEFDEADBEEF
83879.xword 0xDEADBEEFDEADBEEF
83880.xword 0xDEADBEEFDEADBEEF
83881.xword 0xDEADBEEFDEADBEEF
83882.xword 0xDEADBEEFDEADBEEF
83883.xword 0xDEADBEEFDEADBEEF
83884.xword 0xDEADBEEFDEADBEEF
83885.xword 0xDEADBEEFDEADBEEF
83886.xword 0xDEADBEEFDEADBEEF
83887.xword 0xDEADBEEFDEADBEEF
83888.xword 0xDEADBEEFDEADBEEF
83889.xword 0xDEADBEEFDEADBEEF
83890.xword 0xDEADBEEFDEADBEEF
83891.xword 0xDEADBEEFDEADBEEF
83892.xword 0xDEADBEEFDEADBEEF
83893.xword 0xDEADBEEFDEADBEEF
83894.xword 0xDEADBEEFDEADBEEF
83895.xword 0xDEADBEEFDEADBEEF
83896.xword 0xDEADBEEFDEADBEEF
83897.xword 0xDEADBEEFDEADBEEF
83898.xword 0xDEADBEEFDEADBEEF
83899.xword 0xDEADBEEFDEADBEEF
83900.xword 0xDEADBEEFDEADBEEF
83901.xword 0xDEADBEEFDEADBEEF
83902.xword 0xDEADBEEFDEADBEEF
83903.xword 0xDEADBEEFDEADBEEF
83904.xword 0xDEADBEEFDEADBEEF
83905.xword 0xDEADBEEFDEADBEEF
83906.xword 0xDEADBEEFDEADBEEF
83907.xword 0xDEADBEEFDEADBEEF
83908.xword 0xDEADBEEFDEADBEEF
83909.xword 0xDEADBEEFDEADBEEF
83910.xword 0xDEADBEEFDEADBEEF
83911.xword 0xDEADBEEFDEADBEEF
83912.xword 0xDEADBEEFDEADBEEF
83913.xword 0xDEADBEEFDEADBEEF
83914.xword 0xDEADBEEFDEADBEEF
83915.xword 0xDEADBEEFDEADBEEF
83916.xword 0xDEADBEEFDEADBEEF
83917.xword 0xDEADBEEFDEADBEEF
83918.xword 0xDEADBEEFDEADBEEF
83919.xword 0xDEADBEEFDEADBEEF
83920.xword 0xDEADBEEFDEADBEEF
83921SECTION ._t2_T_MAX_DATA3 DATA_VA=271581184
83922attr_data {
83923 Name = ._t2_T_MAX_DATA3
83924 hypervisor
83925}
83926
83927.data
83928_t2_user_data_start:
83929_t2_scratch_area:
83930
83931.align 8
83932.global _t2_ma_operands3
83933_t2_ma_operands3:
83934.xword 0x00b21c32877ec088
83935.xword 0x18e0fdc53fe2c1cb
83936.xword 0x415fcbec865f1798
83937.xword 0xb5d1134d563d8f00
83938.xword 0xea19b76757aecb30
83939.xword 0x9fb29ae9da1ad102
83940.xword 0x980aba54e27c8fa7
83941.xword 0xbf3b8dcf803e99c7
83942.xword 0x9fbe56c5d25d8ed4
83943.xword 0x199326944b0431d8
83944.xword 0xff6ad2a53aa3a207
83945.xword 0x72d41a317112b3fa
83946.xword 0x0091d9b07dfd01b9
83947.xword 0x06da79338a79dd90
83948.xword 0x596243bd55cc719b
83949.xword 0x067b5cf6bf55c2f9
83950.xword 0xb832322534e9bdb9
83951.xword 0x163ba5a54d36a09c
83952.xword 0xb3a669ac2fc2835d
83953.xword 0xdfde010f85b7b5b3
83954.xword 0x8d8bec6a4a967ed5
83955.xword 0xbbfef45fa7e945e4
83956.xword 0x52acc98b553d2437
83957.xword 0x92cd063d230732a4
83958.xword 0xe0ae8c283937de82
83959.xword 0xe4c87abbde12266d
83960.xword 0xa6e9c4e87133614e
83961.xword 0x44c05ca09c17fd77
83962.xword 0x92d6c3b635d3ddd9
83963.xword 0xe7e50503dc5e0b63
83964.xword 0xd4d33cbd512b13dc
83965.xword 0x321a0ab98f16c4f6
83966.xword 0xec91d748b677548b
83967.xword 0xb553830f1173e6d1
83968.xword 0xbcb1b1c452afd566
83969.xword 0x62ea3b70a854519d
83970.xword 0x97408fbe16914977
83971.xword 0xf3fbf34743e6d9f9
83972.xword 0x8251428b22fecf67
83973.xword 0x06ecf2cbd24f2434
83974.xword 0xe2ea2c17ae02eafa
83975.xword 0xab66bf3ea2474744
83976.xword 0x06a4f44523d0ccb8
83977.xword 0xb71f2de32eb7b1b8
83978.xword 0xcd9083530afb041b
83979.xword 0x1a12610f620d2bdf
83980.xword 0x53dbd286d6ec045b
83981.xword 0x5ef8b606119542a0
83982.xword 0x2d2decc5b65d35c8
83983.xword 0x8804c414302a2c51
83984.xword 0x3b117d5cf50a807e
83985.xword 0xbd7677d9c0cc6bac
83986.xword 0x2898dee6432a0cd9
83987.xword 0x199f93d8413a923d
83988.xword 0xcd2af534735b64fe
83989.xword 0xfb9a734f24c70a65
83990.xword 0x0d23d2b34724d2d6
83991.xword 0x2aaa627de2c440cf
83992.xword 0x7d5ed0dc29419aad
83993.xword 0x8a8afa953dcfb877
83994.xword 0xe7353e36cb5f5e9c
83995.xword 0x88c4123c848574a5
83996.xword 0x81db873480b651ed
83997.xword 0xc04a5630e0a47ec0
83998.xword 0x9ea62f764e986f86
83999.xword 0xa2cceec9756f7a0e
84000.xword 0x829e9a178994caa9
84001.xword 0xa6e947f7d0a649b2
84002.xword 0xaa6955ecfc41da76
84003.xword 0x2beb60d0457edfb0
84004.xword 0x97ba4c6aac126f85
84005.xword 0x0cd94998a77472f5
84006.xword 0xad52965715f68258
84007.xword 0xcd04e9bf6295563b
84008.xword 0xad8e9ed305d3715e
84009.xword 0xf014f4b9080f131a
84010.xword 0xdc0449980cf023d5
84011.xword 0xfed5fd93b0c45814
84012.xword 0x822eac771d88a55f
84013.xword 0x03cf643403c5d471
84014.xword 0x9e499dff31be37b7
84015.xword 0x899f47d28d896997
84016.xword 0x1e90b88dc37f765e
84017.xword 0x2baf540d7c698d55
84018.xword 0x57dd127306928e47
84019.xword 0xa17f2bac8f2a5004
84020.xword 0x1c25f24f2c69a88c
84021.xword 0xd57a2e7682dfdcd0
84022.xword 0x42bd5122eb4b059e
84023.xword 0xde744de93235d55b
84024.xword 0xa92f927f4315f910
84025.xword 0xf2cf4ea3cd6644a2
84026.xword 0x8f38b260da61e7d6
84027.xword 0xcf57beb9d987880e
84028.xword 0x792fbfcd2858dd24
84029.xword 0x7b611994ce85f9b7
84030.xword 0xf341a684f7afa3ef
84031.xword 0x1d19d39688f7221e
84032.xword 0xd45300277e8a6eeb
84033.xword 0x4a96be33205416d6
84034.xword 0xe072432a8f02f077
84035.xword 0x2102799625a5e501
84036.xword 0x4d61f05e682c4ebe
84037.xword 0x47c932ef4bcfc065
84038.xword 0xe0f8e84465e2106d
84039.xword 0x70747850fd340253
84040.xword 0x195108ebfed8f0d8
84041.xword 0x2dd4d58b8a517be8
84042.xword 0x3a500cc8d31e4b3a
84043.xword 0xbbc87fb654923fef
84044.xword 0xeba171001bb13ba6
84045.xword 0x7435351e13bae5dc
84046.xword 0x49a2aca545575c7a
84047.xword 0x328a8f5f894152e6
84048.xword 0x5c52db4515c4013e
84049.xword 0x56880fe1533a85f5
84050.xword 0x138347fe58547c1c
84051.xword 0x3d4e63201c0805e8
84052.xword 0x5017545699bc93ba
84053.xword 0x53b4099eb6c70037
84054.xword 0xbc0653e9ade3ca64
84055.xword 0x73d2d0fd5d3e1fa3
84056.xword 0xfd323306ab06aa4a
84057.xword 0x1d648831ed737f3d
84058.xword 0x1f0c09e8edfff9a1
84059.xword 0x3508e5d21e3e9c0b
84060.xword 0x9c4553567a2dbca3
84061.xword 0x3f5e754ec766949b
84062.xword 0x9c8263c127864f11
84063.xword 0x2dbaa3353c088e58
84064.xword 0x8d3a158751215166
84065.xword 0xfb3f6496f2355513
84066.xword 0x9ca8783fdfc73d17
84067.xword 0x1c8a6fff4dee4a2a
84068.xword 0xa4c45de480c91d0c
84069.xword 0x6add53a5e106dea0
84070.xword 0x5d21ee445407e381
84071.xword 0x729148296b285952
84072.xword 0xa836b7642bd8f69d
84073.xword 0x2ca415715e89f624
84074.xword 0xf6caf30f2eaba32e
84075.xword 0x63cce8f23b998dcf
84076.xword 0x4cee69960e5937f3
84077.xword 0x0123a5c2407dfb1e
84078.xword 0xe85f9c5bb11f2414
84079.xword 0xc33ae7b35689ab68
84080.xword 0x2415fdf2e332ef2e
84081.xword 0x61ee39109f5505eb
84082.xword 0x7c4c0b7bf5866bd2
84083.xword 0x112db2f4d55cf38f
84084.xword 0x1a30f64e369d03c3
84085.xword 0x3d598e9dd317b236
84086.xword 0x44c95fd212c0be49
84087.xword 0xb8a51d06b8cf5130
84088.xword 0x05fe4561d3a706ad
84089.xword 0xc78301916f79e291
84090.xword 0x5762a20d0415b947
84091.xword 0x0c580e8be2d85f32
84092.xword 0xcd96ac62cacd62dc
84093.xword 0x0cd61e2872b41c5d
84094.xword 0x43c968606dd2c447
84095.xword 0x0e7e5a0e9b909467
84096.xword 0x12e44e248c086af5
84097.xword 0x829a11061150d0c2
84098
84099.align 8
84100.global _t2_ma_operations3
84101_t2_ma_operations3:
84102.xword 0
84103.xword 0x0002009b | (3 << 18) !ma_ctl_Ld (0)
84104
84105.xword 0
84106.xword 0x00020142 | (3 << 18) !ma_ctl_St (0)
84107
84108.xword 0x80604020
84109.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (0)
84110
84111.xword 0x356a
84112.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (0)
84113
84114.xword 0x0000006000408020
84115.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (0)
84116
84117.xword 0x6a35
84118.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (0)
84119
84120.xword 0x78285050
84121.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (0)
84122
84123.xword 0x80604020
84124.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (0)
84125
84126.xword 0x00287850
84127.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (0)
84128
84129.xword 0x00287850
84130.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (0)
84131
84132.xword 0x78285050
84133.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (0)
84134
84135.xword 0x64500000003c0000
84136.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (0)
84137
84138.xword 0x7766000000554422
84139.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (0)
84140
84141.xword 0x706200000054462a
84142.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (0)
84143
84144.xword 0x784d005800423721
84145.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (0)
84146
84147.xword 0x804d006000504020
84148.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (0)
84149
84150.xword 0x0000006000408020
84151.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (0)
84152
84153.xword 0
84154.xword 0x0002110c | (3 << 18) !ma_ctl_StParity (0)
84155
84156.xword 0
84157.xword 0x00020046 | (3 << 18) !ma_ctl_Ld (1)
84158
84159.xword 0
84160.xword 0x00020136 | (3 << 18) !ma_ctl_St (1)
84161
84162.xword 0x80604020
84163.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (1)
84164
84165.xword 0x356a
84166.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (1)
84167
84168.xword 0x0000006000408020
84169.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (1)
84170
84171.xword 0x6a35
84172.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (1)
84173
84174.xword 0x78285050
84175.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (1)
84176
84177.xword 0x80604020
84178.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (1)
84179
84180.xword 0x00287850
84181.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (1)
84182
84183.xword 0x00287850
84184.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (1)
84185
84186.xword 0x78285050
84187.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (1)
84188
84189.xword 0x64500000003c0000
84190.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (1)
84191
84192.xword 0x7766000000554422
84193.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (1)
84194
84195.xword 0x706200000054462a
84196.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (1)
84197
84198.xword 0x784d005800423721
84199.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (1)
84200
84201.xword 0x804d006000504020
84202.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (1)
84203
84204.xword 0x0000006000408020
84205.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (1)
84206
84207.xword 0
84208.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (1)
84209
84210.xword 0
84211.xword 0x00020037 | (3 << 18) !ma_ctl_Ld (2)
84212
84213.xword 0
84214.xword 0x0002012c | (3 << 18) !ma_ctl_St (2)
84215
84216.xword 0x80604020
84217.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (2)
84218
84219.xword 0x356a
84220.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (2)
84221
84222.xword 0x0000006000408020
84223.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (2)
84224
84225.xword 0x6a35
84226.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (2)
84227
84228.xword 0x78285050
84229.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (2)
84230
84231.xword 0x80604020
84232.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (2)
84233
84234.xword 0x00287850
84235.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (2)
84236
84237.xword 0x00287850
84238.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (2)
84239
84240.xword 0x78285050
84241.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (2)
84242
84243.xword 0x64500000003c0000
84244.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (2)
84245
84246.xword 0x7766000000554422
84247.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (2)
84248
84249.xword 0x706200000054462a
84250.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (2)
84251
84252.xword 0x784d005800423721
84253.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (2)
84254
84255.xword 0x804d006000504020
84256.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (2)
84257
84258.xword 0x0000006000408020
84259.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (2)
84260
84261.xword 0
84262.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (2)
84263
84264.xword 0
84265.xword 0x0002002f | (3 << 18) !ma_ctl_Ld (3)
84266
84267.xword 0
84268.xword 0x0002014e | (3 << 18) !ma_ctl_St (3)
84269
84270.xword 0x80604020
84271.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (3)
84272
84273.xword 0x356a
84274.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (3)
84275
84276.xword 0x0000006000408020
84277.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (3)
84278
84279.xword 0x6a35
84280.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (3)
84281
84282.xword 0x78285050
84283.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (3)
84284
84285.xword 0x80604020
84286.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (3)
84287
84288.xword 0x00287850
84289.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (3)
84290
84291.xword 0x00287850
84292.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (3)
84293
84294.xword 0x78285050
84295.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (3)
84296
84297.xword 0x64500000003c0000
84298.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (3)
84299
84300.xword 0x7766000000554422
84301.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (3)
84302
84303.xword 0x706200000054462a
84304.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (3)
84305
84306.xword 0x784d005800423721
84307.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (3)
84308
84309.xword 0x804d006000504020
84310.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (3)
84311
84312.xword 0x0000006000408020
84313.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (3)
84314
84315.xword 0
84316.xword 0x00021118 | (3 << 18) !ma_ctl_StParity (3)
84317
84318.xword 0
84319.xword 0x00020088 | (3 << 18) !ma_ctl_Ld (4)
84320
84321.xword 0
84322.xword 0x00020190 | (3 << 18) !ma_ctl_St (4)
84323
84324.xword 0x80604020
84325.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (4)
84326
84327.xword 0x356a
84328.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (4)
84329
84330.xword 0x0000006000408020
84331.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (4)
84332
84333.xword 0x6a35
84334.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (4)
84335
84336.xword 0x78285050
84337.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (4)
84338
84339.xword 0x80604020
84340.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (4)
84341
84342.xword 0x00287850
84343.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (4)
84344
84345.xword 0x00287850
84346.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (4)
84347
84348.xword 0x78285050
84349.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (4)
84350
84351.xword 0x64500000003c0000
84352.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (4)
84353
84354.xword 0x7766000000554422
84355.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (4)
84356
84357.xword 0x706200000054462a
84358.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (4)
84359
84360.xword 0x784d005800423721
84361.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (4)
84362
84363.xword 0x804d006000504020
84364.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (4)
84365
84366.xword 0x0000006000408020
84367.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (4)
84368
84369.xword 0
84370.xword 0x00021104 | (3 << 18) !ma_ctl_StParity (4)
84371
84372.xword 0
84373.xword 0x00020061 | (3 << 18) !ma_ctl_Ld (5)
84374
84375.xword 0
84376.xword 0x00020189 | (3 << 18) !ma_ctl_St (5)
84377
84378.xword 0x80604020
84379.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (5)
84380
84381.xword 0x356a
84382.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (5)
84383
84384.xword 0x0000006000408020
84385.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (5)
84386
84387.xword 0x6a35
84388.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (5)
84389
84390.xword 0x78285050
84391.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (5)
84392
84393.xword 0x80604020
84394.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (5)
84395
84396.xword 0x00287850
84397.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (5)
84398
84399.xword 0x00287850
84400.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (5)
84401
84402.xword 0x78285050
84403.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (5)
84404
84405.xword 0x64500000003c0000
84406.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (5)
84407
84408.xword 0x7766000000554422
84409.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (5)
84410
84411.xword 0x706200000054462a
84412.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (5)
84413
84414.xword 0x784d005800423721
84415.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (5)
84416
84417.xword 0x804d006000504020
84418.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (5)
84419
84420.xword 0x0000006000408020
84421.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (5)
84422
84423.xword 0
84424.xword 0x00021118 | (3 << 18) !ma_ctl_StParity (5)
84425
84426.xword 0
84427.xword 0x0002008a | (3 << 18) !ma_ctl_Ld (6)
84428
84429.xword 0
84430.xword 0x00020186 | (3 << 18) !ma_ctl_St (6)
84431
84432.xword 0x80604020
84433.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (6)
84434
84435.xword 0x356a
84436.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (6)
84437
84438.xword 0x0000006000408020
84439.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (6)
84440
84441.xword 0x6a35
84442.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (6)
84443
84444.xword 0x78285050
84445.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (6)
84446
84447.xword 0x80604020
84448.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (6)
84449
84450.xword 0x00287850
84451.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (6)
84452
84453.xword 0x00287850
84454.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (6)
84455
84456.xword 0x78285050
84457.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (6)
84458
84459.xword 0x64500000003c0000
84460.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (6)
84461
84462.xword 0x7766000000554422
84463.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (6)
84464
84465.xword 0x706200000054462a
84466.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (6)
84467
84468.xword 0x784d005800423721
84469.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (6)
84470
84471.xword 0x804d006000504020
84472.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (6)
84473
84474.xword 0x0000006000408020
84475.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (6)
84476
84477.xword 0
84478.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (6)
84479
84480.xword 0
84481.xword 0x0002009f | (3 << 18) !ma_ctl_Ld (7)
84482
84483.xword 0
84484.xword 0x00020159 | (3 << 18) !ma_ctl_St (7)
84485
84486.xword 0x80604020
84487.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (7)
84488
84489.xword 0x356a
84490.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (7)
84491
84492.xword 0x0000006000408020
84493.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (7)
84494
84495.xword 0x6a35
84496.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (7)
84497
84498.xword 0x78285050
84499.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (7)
84500
84501.xword 0x80604020
84502.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (7)
84503
84504.xword 0x00287850
84505.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (7)
84506
84507.xword 0x00287850
84508.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (7)
84509
84510.xword 0x78285050
84511.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (7)
84512
84513.xword 0x64500000003c0000
84514.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (7)
84515
84516.xword 0x7766000000554422
84517.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (7)
84518
84519.xword 0x706200000054462a
84520.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (7)
84521
84522.xword 0x784d005800423721
84523.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (7)
84524
84525.xword 0x804d006000504020
84526.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (7)
84527
84528.xword 0x0000006000408020
84529.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (7)
84530
84531.xword 0
84532.xword 0x00021118 | (3 << 18) !ma_ctl_StParity (7)
84533
84534.xword 0
84535.xword 0x0002005f | (3 << 18) !ma_ctl_Ld (8)
84536
84537.xword 0
84538.xword 0x0002015e | (3 << 18) !ma_ctl_St (8)
84539
84540.xword 0x80604020
84541.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (8)
84542
84543.xword 0x356a
84544.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (8)
84545
84546.xword 0x0000006000408020
84547.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (8)
84548
84549.xword 0x6a35
84550.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (8)
84551
84552.xword 0x78285050
84553.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (8)
84554
84555.xword 0x80604020
84556.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (8)
84557
84558.xword 0x00287850
84559.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (8)
84560
84561.xword 0x00287850
84562.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (8)
84563
84564.xword 0x78285050
84565.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (8)
84566
84567.xword 0x64500000003c0000
84568.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (8)
84569
84570.xword 0x7766000000554422
84571.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (8)
84572
84573.xword 0x706200000054462a
84574.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (8)
84575
84576.xword 0x784d005800423721
84577.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (8)
84578
84579.xword 0x804d006000504020
84580.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (8)
84581
84582.xword 0x0000006000408020
84583.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (8)
84584
84585.xword 0
84586.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (8)
84587
84588.xword 0
84589.xword 0x0002008a | (3 << 18) !ma_ctl_Ld (9)
84590
84591.xword 0
84592.xword 0x00020186 | (3 << 18) !ma_ctl_St (9)
84593
84594.xword 0x80604020
84595.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (9)
84596
84597.xword 0x356a
84598.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (9)
84599
84600.xword 0x0000006000408020
84601.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (9)
84602
84603.xword 0x6a35
84604.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (9)
84605
84606.xword 0x78285050
84607.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (9)
84608
84609.xword 0x80604020
84610.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (9)
84611
84612.xword 0x00287850
84613.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (9)
84614
84615.xword 0x00287850
84616.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (9)
84617
84618.xword 0x78285050
84619.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (9)
84620
84621.xword 0x64500000003c0000
84622.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (9)
84623
84624.xword 0x7766000000554422
84625.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (9)
84626
84627.xword 0x706200000054462a
84628.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (9)
84629
84630.xword 0x784d005800423721
84631.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (9)
84632
84633.xword 0x804d006000504020
84634.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (9)
84635
84636.xword 0x0000006000408020
84637.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (9)
84638
84639.xword 0
84640.xword 0x0002110c | (3 << 18) !ma_ctl_StParity (9)
84641
84642.xword 0
84643.xword 0x0002008e | (3 << 18) !ma_ctl_Ld (10)
84644
84645.xword 0
84646.xword 0x0002012f | (3 << 18) !ma_ctl_St (10)
84647
84648.xword 0x80604020
84649.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (10)
84650
84651.xword 0x356a
84652.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (10)
84653
84654.xword 0x0000006000408020
84655.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (10)
84656
84657.xword 0x6a35
84658.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (10)
84659
84660.xword 0x78285050
84661.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (10)
84662
84663.xword 0x80604020
84664.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (10)
84665
84666.xword 0x00287850
84667.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (10)
84668
84669.xword 0x00287850
84670.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (10)
84671
84672.xword 0x78285050
84673.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (10)
84674
84675.xword 0x64500000003c0000
84676.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (10)
84677
84678.xword 0x7766000000554422
84679.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (10)
84680
84681.xword 0x706200000054462a
84682.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (10)
84683
84684.xword 0x784d005800423721
84685.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (10)
84686
84687.xword 0x804d006000504020
84688.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (10)
84689
84690.xword 0x0000006000408020
84691.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (10)
84692
84693.xword 0
84694.xword 0x00021117 | (3 << 18) !ma_ctl_StParity (10)
84695
84696.xword 0
84697.xword 0x00020095 | (3 << 18) !ma_ctl_Ld (11)
84698
84699.xword 0
84700.xword 0x0002013b | (3 << 18) !ma_ctl_St (11)
84701
84702.xword 0x80604020
84703.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (11)
84704
84705.xword 0x356a
84706.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (11)
84707
84708.xword 0x0000006000408020
84709.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (11)
84710
84711.xword 0x6a35
84712.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (11)
84713
84714.xword 0x78285050
84715.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (11)
84716
84717.xword 0x80604020
84718.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (11)
84719
84720.xword 0x00287850
84721.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (11)
84722
84723.xword 0x00287850
84724.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (11)
84725
84726.xword 0x78285050
84727.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (11)
84728
84729.xword 0x64500000003c0000
84730.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (11)
84731
84732.xword 0x7766000000554422
84733.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (11)
84734
84735.xword 0x706200000054462a
84736.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (11)
84737
84738.xword 0x784d005800423721
84739.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (11)
84740
84741.xword 0x804d006000504020
84742.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (11)
84743
84744.xword 0x0000006000408020
84745.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (11)
84746
84747.xword 0
84748.xword 0x0002110d | (3 << 18) !ma_ctl_StParity (11)
84749
84750.xword 0
84751.xword 0x0002007b | (3 << 18) !ma_ctl_Ld (12)
84752
84753.xword 0
84754.xword 0x00020182 | (3 << 18) !ma_ctl_St (12)
84755
84756.xword 0x80604020
84757.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (12)
84758
84759.xword 0x356a
84760.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (12)
84761
84762.xword 0x0000006000408020
84763.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (12)
84764
84765.xword 0x6a35
84766.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (12)
84767
84768.xword 0x78285050
84769.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (12)
84770
84771.xword 0x80604020
84772.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (12)
84773
84774.xword 0x00287850
84775.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (12)
84776
84777.xword 0x00287850
84778.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (12)
84779
84780.xword 0x78285050
84781.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (12)
84782
84783.xword 0x64500000003c0000
84784.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (12)
84785
84786.xword 0x7766000000554422
84787.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (12)
84788
84789.xword 0x706200000054462a
84790.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (12)
84791
84792.xword 0x784d005800423721
84793.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (12)
84794
84795.xword 0x804d006000504020
84796.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (12)
84797
84798.xword 0x0000006000408020
84799.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (12)
84800
84801.xword 0
84802.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (12)
84803
84804.xword 0
84805.xword 0x00020078 | (3 << 18) !ma_ctl_Ld (13)
84806
84807.xword 0
84808.xword 0x00020126 | (3 << 18) !ma_ctl_St (13)
84809
84810.xword 0x80604020
84811.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (13)
84812
84813.xword 0x356a
84814.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (13)
84815
84816.xword 0x0000006000408020
84817.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (13)
84818
84819.xword 0x6a35
84820.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (13)
84821
84822.xword 0x78285050
84823.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (13)
84824
84825.xword 0x80604020
84826.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (13)
84827
84828.xword 0x00287850
84829.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (13)
84830
84831.xword 0x00287850
84832.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (13)
84833
84834.xword 0x78285050
84835.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (13)
84836
84837.xword 0x64500000003c0000
84838.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (13)
84839
84840.xword 0x7766000000554422
84841.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (13)
84842
84843.xword 0x706200000054462a
84844.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (13)
84845
84846.xword 0x784d005800423721
84847.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (13)
84848
84849.xword 0x804d006000504020
84850.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (13)
84851
84852.xword 0x0000006000408020
84853.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (13)
84854
84855.xword 0
84856.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (13)
84857
84858.xword 0
84859.xword 0x00020060 | (3 << 18) !ma_ctl_Ld (14)
84860
84861.xword 0
84862.xword 0x00020160 | (3 << 18) !ma_ctl_St (14)
84863
84864.xword 0x80604020
84865.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (14)
84866
84867.xword 0x356a
84868.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (14)
84869
84870.xword 0x0000006000408020
84871.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (14)
84872
84873.xword 0x6a35
84874.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (14)
84875
84876.xword 0x78285050
84877.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (14)
84878
84879.xword 0x80604020
84880.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (14)
84881
84882.xword 0x00287850
84883.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (14)
84884
84885.xword 0x00287850
84886.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (14)
84887
84888.xword 0x78285050
84889.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (14)
84890
84891.xword 0x64500000003c0000
84892.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (14)
84893
84894.xword 0x7766000000554422
84895.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (14)
84896
84897.xword 0x706200000054462a
84898.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (14)
84899
84900.xword 0x784d005800423721
84901.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (14)
84902
84903.xword 0x804d006000504020
84904.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (14)
84905
84906.xword 0x0000006000408020
84907.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (14)
84908
84909.xword 0
84910.xword 0x00021105 | (3 << 18) !ma_ctl_StParity (14)
84911
84912.xword 0
84913.xword 0x0002004d | (3 << 18) !ma_ctl_Ld (15)
84914
84915.xword 0
84916.xword 0x00020129 | (3 << 18) !ma_ctl_St (15)
84917
84918.xword 0x80604020
84919.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (15)
84920
84921.xword 0x356a
84922.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (15)
84923
84924.xword 0x0000006000408020
84925.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (15)
84926
84927.xword 0x6a35
84928.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (15)
84929
84930.xword 0x78285050
84931.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (15)
84932
84933.xword 0x80604020
84934.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (15)
84935
84936.xword 0x00287850
84937.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (15)
84938
84939.xword 0x00287850
84940.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (15)
84941
84942.xword 0x78285050
84943.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (15)
84944
84945.xword 0x64500000003c0000
84946.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (15)
84947
84948.xword 0x7766000000554422
84949.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (15)
84950
84951.xword 0x706200000054462a
84952.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (15)
84953
84954.xword 0x784d005800423721
84955.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (15)
84956
84957.xword 0x804d006000504020
84958.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (15)
84959
84960.xword 0x0000006000408020
84961.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (15)
84962
84963.xword 0
84964.xword 0x00021118 | (3 << 18) !ma_ctl_StParity (15)
84965
84966.xword 0
84967.xword 0x0002005c | (3 << 18) !ma_ctl_Ld (16)
84968
84969.xword 0
84970.xword 0x0002017b | (3 << 18) !ma_ctl_St (16)
84971
84972.xword 0x80604020
84973.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (16)
84974
84975.xword 0x356a
84976.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (16)
84977
84978.xword 0x0000006000408020
84979.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (16)
84980
84981.xword 0x6a35
84982.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (16)
84983
84984.xword 0x78285050
84985.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (16)
84986
84987.xword 0x80604020
84988.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (16)
84989
84990.xword 0x00287850
84991.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (16)
84992
84993.xword 0x00287850
84994.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (16)
84995
84996.xword 0x78285050
84997.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (16)
84998
84999.xword 0x64500000003c0000
85000.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (16)
85001
85002.xword 0x7766000000554422
85003.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (16)
85004
85005.xword 0x706200000054462a
85006.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (16)
85007
85008.xword 0x784d005800423721
85009.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (16)
85010
85011.xword 0x804d006000504020
85012.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (16)
85013
85014.xword 0x0000006000408020
85015.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (16)
85016
85017.xword 0
85018.xword 0x00021104 | (3 << 18) !ma_ctl_StParity (16)
85019
85020.xword 0
85021.xword 0x00020077 | (3 << 18) !ma_ctl_Ld (17)
85022
85023.xword 0
85024.xword 0x0002015d | (3 << 18) !ma_ctl_St (17)
85025
85026.xword 0x80604020
85027.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (17)
85028
85029.xword 0x356a
85030.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (17)
85031
85032.xword 0x0000006000408020
85033.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (17)
85034
85035.xword 0x6a35
85036.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (17)
85037
85038.xword 0x78285050
85039.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (17)
85040
85041.xword 0x80604020
85042.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (17)
85043
85044.xword 0x00287850
85045.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (17)
85046
85047.xword 0x00287850
85048.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (17)
85049
85050.xword 0x78285050
85051.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (17)
85052
85053.xword 0x64500000003c0000
85054.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (17)
85055
85056.xword 0x7766000000554422
85057.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (17)
85058
85059.xword 0x706200000054462a
85060.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (17)
85061
85062.xword 0x784d005800423721
85063.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (17)
85064
85065.xword 0x804d006000504020
85066.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (17)
85067
85068.xword 0x0000006000408020
85069.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (17)
85070
85071.xword 0
85072.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (17)
85073
85074.xword 0
85075.xword 0x00020099 | (3 << 18) !ma_ctl_Ld (18)
85076
85077.xword 0
85078.xword 0x0002017a | (3 << 18) !ma_ctl_St (18)
85079
85080.xword 0x80604020
85081.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (18)
85082
85083.xword 0x356a
85084.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (18)
85085
85086.xword 0x0000006000408020
85087.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (18)
85088
85089.xword 0x6a35
85090.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (18)
85091
85092.xword 0x78285050
85093.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (18)
85094
85095.xword 0x80604020
85096.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (18)
85097
85098.xword 0x00287850
85099.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (18)
85100
85101.xword 0x00287850
85102.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (18)
85103
85104.xword 0x78285050
85105.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (18)
85106
85107.xword 0x64500000003c0000
85108.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (18)
85109
85110.xword 0x7766000000554422
85111.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (18)
85112
85113.xword 0x706200000054462a
85114.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (18)
85115
85116.xword 0x784d005800423721
85117.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (18)
85118
85119.xword 0x804d006000504020
85120.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (18)
85121
85122.xword 0x0000006000408020
85123.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (18)
85124
85125.xword 0
85126.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (18)
85127
85128.xword 0
85129.xword 0x00020025 | (3 << 18) !ma_ctl_Ld (19)
85130
85131.xword 0
85132.xword 0x00020164 | (3 << 18) !ma_ctl_St (19)
85133
85134.xword 0x80604020
85135.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (19)
85136
85137.xword 0x356a
85138.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (19)
85139
85140.xword 0x0000006000408020
85141.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (19)
85142
85143.xword 0x6a35
85144.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (19)
85145
85146.xword 0x78285050
85147.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (19)
85148
85149.xword 0x80604020
85150.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (19)
85151
85152.xword 0x00287850
85153.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (19)
85154
85155.xword 0x00287850
85156.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (19)
85157
85158.xword 0x78285050
85159.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (19)
85160
85161.xword 0x64500000003c0000
85162.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (19)
85163
85164.xword 0x7766000000554422
85165.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (19)
85166
85167.xword 0x706200000054462a
85168.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (19)
85169
85170.xword 0x784d005800423721
85171.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (19)
85172
85173.xword 0x804d006000504020
85174.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (19)
85175
85176.xword 0x0000006000408020
85177.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (19)
85178
85179.xword 0
85180.xword 0x0002110c | (3 << 18) !ma_ctl_StParity (19)
85181
85182.xword 0
85183.xword 0x00020081 | (3 << 18) !ma_ctl_Ld (20)
85184
85185.xword 0
85186.xword 0x00020174 | (3 << 18) !ma_ctl_St (20)
85187
85188.xword 0x80604020
85189.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (20)
85190
85191.xword 0x356a
85192.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (20)
85193
85194.xword 0x0000006000408020
85195.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (20)
85196
85197.xword 0x6a35
85198.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (20)
85199
85200.xword 0x78285050
85201.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (20)
85202
85203.xword 0x80604020
85204.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (20)
85205
85206.xword 0x00287850
85207.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (20)
85208
85209.xword 0x00287850
85210.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (20)
85211
85212.xword 0x78285050
85213.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (20)
85214
85215.xword 0x64500000003c0000
85216.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (20)
85217
85218.xword 0x7766000000554422
85219.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (20)
85220
85221.xword 0x706200000054462a
85222.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (20)
85223
85224.xword 0x784d005800423721
85225.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (20)
85226
85227.xword 0x804d006000504020
85228.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (20)
85229
85230.xword 0x0000006000408020
85231.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (20)
85232
85233.xword 0
85234.xword 0x0002110f | (3 << 18) !ma_ctl_StParity (20)
85235
85236.xword 0
85237.xword 0x00020072 | (3 << 18) !ma_ctl_Ld (21)
85238
85239.xword 0
85240.xword 0x0002016a | (3 << 18) !ma_ctl_St (21)
85241
85242.xword 0x80604020
85243.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (21)
85244
85245.xword 0x356a
85246.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (21)
85247
85248.xword 0x0000006000408020
85249.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (21)
85250
85251.xword 0x6a35
85252.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (21)
85253
85254.xword 0x78285050
85255.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (21)
85256
85257.xword 0x80604020
85258.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (21)
85259
85260.xword 0x00287850
85261.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (21)
85262
85263.xword 0x00287850
85264.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (21)
85265
85266.xword 0x78285050
85267.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (21)
85268
85269.xword 0x64500000003c0000
85270.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (21)
85271
85272.xword 0x7766000000554422
85273.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (21)
85274
85275.xword 0x706200000054462a
85276.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (21)
85277
85278.xword 0x784d005800423721
85279.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (21)
85280
85281.xword 0x804d006000504020
85282.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (21)
85283
85284.xword 0x0000006000408020
85285.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (21)
85286
85287.xword 0
85288.xword 0x00021101 | (3 << 18) !ma_ctl_StParity (21)
85289
85290.xword 0
85291.xword 0x00020044 | (3 << 18) !ma_ctl_Ld (22)
85292
85293.xword 0
85294.xword 0x00020161 | (3 << 18) !ma_ctl_St (22)
85295
85296.xword 0x80604020
85297.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (22)
85298
85299.xword 0x356a
85300.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (22)
85301
85302.xword 0x0000006000408020
85303.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (22)
85304
85305.xword 0x6a35
85306.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (22)
85307
85308.xword 0x78285050
85309.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (22)
85310
85311.xword 0x80604020
85312.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (22)
85313
85314.xword 0x00287850
85315.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (22)
85316
85317.xword 0x00287850
85318.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (22)
85319
85320.xword 0x78285050
85321.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (22)
85322
85323.xword 0x64500000003c0000
85324.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (22)
85325
85326.xword 0x7766000000554422
85327.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (22)
85328
85329.xword 0x706200000054462a
85330.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (22)
85331
85332.xword 0x784d005800423721
85333.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (22)
85334
85335.xword 0x804d006000504020
85336.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (22)
85337
85338.xword 0x0000006000408020
85339.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (22)
85340
85341.xword 0
85342.xword 0x00021109 | (3 << 18) !ma_ctl_StParity (22)
85343
85344.xword 0
85345.xword 0x0002006d | (3 << 18) !ma_ctl_Ld (23)
85346
85347.xword 0
85348.xword 0x00020154 | (3 << 18) !ma_ctl_St (23)
85349
85350.xword 0x80604020
85351.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (23)
85352
85353.xword 0x356a
85354.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (23)
85355
85356.xword 0x0000006000408020
85357.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (23)
85358
85359.xword 0x6a35
85360.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (23)
85361
85362.xword 0x78285050
85363.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (23)
85364
85365.xword 0x80604020
85366.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (23)
85367
85368.xword 0x00287850
85369.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (23)
85370
85371.xword 0x00287850
85372.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (23)
85373
85374.xword 0x78285050
85375.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (23)
85376
85377.xword 0x64500000003c0000
85378.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (23)
85379
85380.xword 0x7766000000554422
85381.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (23)
85382
85383.xword 0x706200000054462a
85384.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (23)
85385
85386.xword 0x784d005800423721
85387.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (23)
85388
85389.xword 0x804d006000504020
85390.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (23)
85391
85392.xword 0x0000006000408020
85393.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (23)
85394
85395.xword 0
85396.xword 0x00021101 | (3 << 18) !ma_ctl_StParity (23)
85397
85398.xword 0
85399.xword 0x00020085 | (3 << 18) !ma_ctl_Ld (24)
85400
85401.xword 0
85402.xword 0x00020154 | (3 << 18) !ma_ctl_St (24)
85403
85404.xword 0x80604020
85405.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (24)
85406
85407.xword 0x356a
85408.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (24)
85409
85410.xword 0x0000006000408020
85411.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (24)
85412
85413.xword 0x6a35
85414.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (24)
85415
85416.xword 0x78285050
85417.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (24)
85418
85419.xword 0x80604020
85420.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (24)
85421
85422.xword 0x00287850
85423.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (24)
85424
85425.xword 0x00287850
85426.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (24)
85427
85428.xword 0x78285050
85429.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (24)
85430
85431.xword 0x64500000003c0000
85432.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (24)
85433
85434.xword 0x7766000000554422
85435.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (24)
85436
85437.xword 0x706200000054462a
85438.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (24)
85439
85440.xword 0x784d005800423721
85441.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (24)
85442
85443.xword 0x804d006000504020
85444.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (24)
85445
85446.xword 0x0000006000408020
85447.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (24)
85448
85449.xword 0
85450.xword 0x0002111d | (3 << 18) !ma_ctl_StParity (24)
85451
85452.xword 0
85453.xword 0x0002003d | (3 << 18) !ma_ctl_Ld (25)
85454
85455.xword 0
85456.xword 0x0002014a | (3 << 18) !ma_ctl_St (25)
85457
85458.xword 0x80604020
85459.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (25)
85460
85461.xword 0x356a
85462.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (25)
85463
85464.xword 0x0000006000408020
85465.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (25)
85466
85467.xword 0x6a35
85468.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (25)
85469
85470.xword 0x78285050
85471.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (25)
85472
85473.xword 0x80604020
85474.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (25)
85475
85476.xword 0x00287850
85477.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (25)
85478
85479.xword 0x00287850
85480.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (25)
85481
85482.xword 0x78285050
85483.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (25)
85484
85485.xword 0x64500000003c0000
85486.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (25)
85487
85488.xword 0x7766000000554422
85489.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (25)
85490
85491.xword 0x706200000054462a
85492.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (25)
85493
85494.xword 0x784d005800423721
85495.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (25)
85496
85497.xword 0x804d006000504020
85498.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (25)
85499
85500.xword 0x0000006000408020
85501.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (25)
85502
85503.xword 0
85504.xword 0x00021101 | (3 << 18) !ma_ctl_StParity (25)
85505
85506.xword 0
85507.xword 0x00020022 | (3 << 18) !ma_ctl_Ld (26)
85508
85509.xword 0
85510.xword 0x00020135 | (3 << 18) !ma_ctl_St (26)
85511
85512.xword 0x80604020
85513.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (26)
85514
85515.xword 0x356a
85516.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (26)
85517
85518.xword 0x0000006000408020
85519.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (26)
85520
85521.xword 0x6a35
85522.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (26)
85523
85524.xword 0x78285050
85525.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (26)
85526
85527.xword 0x80604020
85528.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (26)
85529
85530.xword 0x00287850
85531.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (26)
85532
85533.xword 0x00287850
85534.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (26)
85535
85536.xword 0x78285050
85537.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (26)
85538
85539.xword 0x64500000003c0000
85540.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (26)
85541
85542.xword 0x7766000000554422
85543.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (26)
85544
85545.xword 0x706200000054462a
85546.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (26)
85547
85548.xword 0x784d005800423721
85549.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (26)
85550
85551.xword 0x804d006000504020
85552.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (26)
85553
85554.xword 0x0000006000408020
85555.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (26)
85556
85557.xword 0
85558.xword 0x00021100 | (3 << 18) !ma_ctl_StParity (26)
85559
85560.xword 0
85561.xword 0x00020065 | (3 << 18) !ma_ctl_Ld (27)
85562
85563.xword 0
85564.xword 0x0002014f | (3 << 18) !ma_ctl_St (27)
85565
85566.xword 0x80604020
85567.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (27)
85568
85569.xword 0x356a
85570.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (27)
85571
85572.xword 0x0000006000408020
85573.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (27)
85574
85575.xword 0x6a35
85576.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (27)
85577
85578.xword 0x78285050
85579.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (27)
85580
85581.xword 0x80604020
85582.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (27)
85583
85584.xword 0x00287850
85585.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (27)
85586
85587.xword 0x00287850
85588.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (27)
85589
85590.xword 0x78285050
85591.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (27)
85592
85593.xword 0x64500000003c0000
85594.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (27)
85595
85596.xword 0x7766000000554422
85597.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (27)
85598
85599.xword 0x706200000054462a
85600.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (27)
85601
85602.xword 0x784d005800423721
85603.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (27)
85604
85605.xword 0x804d006000504020
85606.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (27)
85607
85608.xword 0x0000006000408020
85609.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (27)
85610
85611.xword 0
85612.xword 0x00021111 | (3 << 18) !ma_ctl_StParity (27)
85613
85614.xword 0
85615.xword 0x00020061 | (3 << 18) !ma_ctl_Ld (28)
85616
85617.xword 0
85618.xword 0x0002016c | (3 << 18) !ma_ctl_St (28)
85619
85620.xword 0x80604020
85621.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (28)
85622
85623.xword 0x356a
85624.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (28)
85625
85626.xword 0x0000006000408020
85627.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (28)
85628
85629.xword 0x6a35
85630.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (28)
85631
85632.xword 0x78285050
85633.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (28)
85634
85635.xword 0x80604020
85636.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (28)
85637
85638.xword 0x00287850
85639.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (28)
85640
85641.xword 0x00287850
85642.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (28)
85643
85644.xword 0x78285050
85645.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (28)
85646
85647.xword 0x64500000003c0000
85648.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (28)
85649
85650.xword 0x7766000000554422
85651.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (28)
85652
85653.xword 0x706200000054462a
85654.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (28)
85655
85656.xword 0x784d005800423721
85657.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (28)
85658
85659.xword 0x804d006000504020
85660.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (28)
85661
85662.xword 0x0000006000408020
85663.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (28)
85664
85665.xword 0
85666.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (28)
85667
85668.xword 0
85669.xword 0x00020055 | (3 << 18) !ma_ctl_Ld (29)
85670
85671.xword 0
85672.xword 0x00020169 | (3 << 18) !ma_ctl_St (29)
85673
85674.xword 0x80604020
85675.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (29)
85676
85677.xword 0x356a
85678.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (29)
85679
85680.xword 0x0000006000408020
85681.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (29)
85682
85683.xword 0x6a35
85684.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (29)
85685
85686.xword 0x78285050
85687.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (29)
85688
85689.xword 0x80604020
85690.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (29)
85691
85692.xword 0x00287850
85693.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (29)
85694
85695.xword 0x00287850
85696.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (29)
85697
85698.xword 0x78285050
85699.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (29)
85700
85701.xword 0x64500000003c0000
85702.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (29)
85703
85704.xword 0x7766000000554422
85705.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (29)
85706
85707.xword 0x706200000054462a
85708.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (29)
85709
85710.xword 0x784d005800423721
85711.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (29)
85712
85713.xword 0x804d006000504020
85714.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (29)
85715
85716.xword 0x0000006000408020
85717.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (29)
85718
85719.xword 0
85720.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (29)
85721
85722.xword 0
85723.xword 0x0002009e | (3 << 18) !ma_ctl_Ld (30)
85724
85725.xword 0
85726.xword 0x00020128 | (3 << 18) !ma_ctl_St (30)
85727
85728.xword 0x80604020
85729.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (30)
85730
85731.xword 0x356a
85732.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (30)
85733
85734.xword 0x0000006000408020
85735.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (30)
85736
85737.xword 0x6a35
85738.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (30)
85739
85740.xword 0x78285050
85741.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (30)
85742
85743.xword 0x80604020
85744.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (30)
85745
85746.xword 0x00287850
85747.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (30)
85748
85749.xword 0x00287850
85750.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (30)
85751
85752.xword 0x78285050
85753.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (30)
85754
85755.xword 0x64500000003c0000
85756.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (30)
85757
85758.xword 0x7766000000554422
85759.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (30)
85760
85761.xword 0x706200000054462a
85762.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (30)
85763
85764.xword 0x784d005800423721
85765.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (30)
85766
85767.xword 0x804d006000504020
85768.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (30)
85769
85770.xword 0x0000006000408020
85771.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (30)
85772
85773.xword 0
85774.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (30)
85775
85776.xword 0
85777.xword 0x0002003f | (3 << 18) !ma_ctl_Ld (31)
85778
85779.xword 0
85780.xword 0x00020126 | (3 << 18) !ma_ctl_St (31)
85781
85782.xword 0x80604020
85783.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (31)
85784
85785.xword 0x356a
85786.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (31)
85787
85788.xword 0x0000006000408020
85789.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (31)
85790
85791.xword 0x6a35
85792.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (31)
85793
85794.xword 0x78285050
85795.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (31)
85796
85797.xword 0x80604020
85798.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (31)
85799
85800.xword 0x00287850
85801.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (31)
85802
85803.xword 0x00287850
85804.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (31)
85805
85806.xword 0x78285050
85807.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (31)
85808
85809.xword 0x64500000003c0000
85810.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (31)
85811
85812.xword 0x7766000000554422
85813.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (31)
85814
85815.xword 0x706200000054462a
85816.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (31)
85817
85818.xword 0x784d005800423721
85819.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (31)
85820
85821.xword 0x804d006000504020
85822.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (31)
85823
85824.xword 0x0000006000408020
85825.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (31)
85826
85827.xword 0
85828.xword 0x0002110d | (3 << 18) !ma_ctl_StParity (31)
85829
85830.xword 0
85831.xword 0x00020058 | (3 << 18) !ma_ctl_Ld (32)
85832
85833.xword 0
85834.xword 0x00020136 | (3 << 18) !ma_ctl_St (32)
85835
85836.xword 0x80604020
85837.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (32)
85838
85839.xword 0x356a
85840.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (32)
85841
85842.xword 0x0000006000408020
85843.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (32)
85844
85845.xword 0x6a35
85846.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (32)
85847
85848.xword 0x78285050
85849.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (32)
85850
85851.xword 0x80604020
85852.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (32)
85853
85854.xword 0x00287850
85855.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (32)
85856
85857.xword 0x00287850
85858.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (32)
85859
85860.xword 0x78285050
85861.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (32)
85862
85863.xword 0x64500000003c0000
85864.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (32)
85865
85866.xword 0x7766000000554422
85867.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (32)
85868
85869.xword 0x706200000054462a
85870.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (32)
85871
85872.xword 0x784d005800423721
85873.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (32)
85874
85875.xword 0x804d006000504020
85876.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (32)
85877
85878.xword 0x0000006000408020
85879.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (32)
85880
85881.xword 0
85882.xword 0x00021104 | (3 << 18) !ma_ctl_StParity (32)
85883
85884.xword 0
85885.xword 0x00020061 | (3 << 18) !ma_ctl_Ld (33)
85886
85887.xword 0
85888.xword 0x00020133 | (3 << 18) !ma_ctl_St (33)
85889
85890.xword 0x80604020
85891.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (33)
85892
85893.xword 0x356a
85894.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (33)
85895
85896.xword 0x0000006000408020
85897.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (33)
85898
85899.xword 0x6a35
85900.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (33)
85901
85902.xword 0x78285050
85903.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (33)
85904
85905.xword 0x80604020
85906.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (33)
85907
85908.xword 0x00287850
85909.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (33)
85910
85911.xword 0x00287850
85912.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (33)
85913
85914.xword 0x78285050
85915.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (33)
85916
85917.xword 0x64500000003c0000
85918.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (33)
85919
85920.xword 0x7766000000554422
85921.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (33)
85922
85923.xword 0x706200000054462a
85924.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (33)
85925
85926.xword 0x784d005800423721
85927.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (33)
85928
85929.xword 0x804d006000504020
85930.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (33)
85931
85932.xword 0x0000006000408020
85933.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (33)
85934
85935.xword 0
85936.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (33)
85937
85938.xword 0
85939.xword 0x0002002c | (3 << 18) !ma_ctl_Ld (34)
85940
85941.xword 0
85942.xword 0x0002018a | (3 << 18) !ma_ctl_St (34)
85943
85944.xword 0x80604020
85945.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (34)
85946
85947.xword 0x356a
85948.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (34)
85949
85950.xword 0x0000006000408020
85951.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (34)
85952
85953.xword 0x6a35
85954.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (34)
85955
85956.xword 0x78285050
85957.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (34)
85958
85959.xword 0x80604020
85960.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (34)
85961
85962.xword 0x00287850
85963.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (34)
85964
85965.xword 0x00287850
85966.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (34)
85967
85968.xword 0x78285050
85969.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (34)
85970
85971.xword 0x64500000003c0000
85972.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (34)
85973
85974.xword 0x7766000000554422
85975.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (34)
85976
85977.xword 0x706200000054462a
85978.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (34)
85979
85980.xword 0x784d005800423721
85981.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (34)
85982
85983.xword 0x804d006000504020
85984.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (34)
85985
85986.xword 0x0000006000408020
85987.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (34)
85988
85989.xword 0
85990.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (34)
85991
85992.xword 0
85993.xword 0x00020052 | (3 << 18) !ma_ctl_Ld (35)
85994
85995.xword 0
85996.xword 0x00020174 | (3 << 18) !ma_ctl_St (35)
85997
85998.xword 0x80604020
85999.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (35)
86000
86001.xword 0x356a
86002.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (35)
86003
86004.xword 0x0000006000408020
86005.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (35)
86006
86007.xword 0x6a35
86008.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (35)
86009
86010.xword 0x78285050
86011.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (35)
86012
86013.xword 0x80604020
86014.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (35)
86015
86016.xword 0x00287850
86017.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (35)
86018
86019.xword 0x00287850
86020.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (35)
86021
86022.xword 0x78285050
86023.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (35)
86024
86025.xword 0x64500000003c0000
86026.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (35)
86027
86028.xword 0x7766000000554422
86029.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (35)
86030
86031.xword 0x706200000054462a
86032.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (35)
86033
86034.xword 0x784d005800423721
86035.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (35)
86036
86037.xword 0x804d006000504020
86038.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (35)
86039
86040.xword 0x0000006000408020
86041.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (35)
86042
86043.xword 0
86044.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (35)
86045
86046.xword 0
86047.xword 0x00020075 | (3 << 18) !ma_ctl_Ld (36)
86048
86049.xword 0
86050.xword 0x0002015b | (3 << 18) !ma_ctl_St (36)
86051
86052.xword 0x80604020
86053.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (36)
86054
86055.xword 0x356a
86056.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (36)
86057
86058.xword 0x0000006000408020
86059.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (36)
86060
86061.xword 0x6a35
86062.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (36)
86063
86064.xword 0x78285050
86065.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (36)
86066
86067.xword 0x80604020
86068.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (36)
86069
86070.xword 0x00287850
86071.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (36)
86072
86073.xword 0x00287850
86074.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (36)
86075
86076.xword 0x78285050
86077.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (36)
86078
86079.xword 0x64500000003c0000
86080.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (36)
86081
86082.xword 0x7766000000554422
86083.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (36)
86084
86085.xword 0x706200000054462a
86086.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (36)
86087
86088.xword 0x784d005800423721
86089.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (36)
86090
86091.xword 0x804d006000504020
86092.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (36)
86093
86094.xword 0x0000006000408020
86095.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (36)
86096
86097.xword 0
86098.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (36)
86099
86100.xword 0
86101.xword 0x00020087 | (3 << 18) !ma_ctl_Ld (37)
86102
86103.xword 0
86104.xword 0x0002017b | (3 << 18) !ma_ctl_St (37)
86105
86106.xword 0x80604020
86107.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (37)
86108
86109.xword 0x356a
86110.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (37)
86111
86112.xword 0x0000006000408020
86113.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (37)
86114
86115.xword 0x6a35
86116.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (37)
86117
86118.xword 0x78285050
86119.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (37)
86120
86121.xword 0x80604020
86122.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (37)
86123
86124.xword 0x00287850
86125.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (37)
86126
86127.xword 0x00287850
86128.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (37)
86129
86130.xword 0x78285050
86131.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (37)
86132
86133.xword 0x64500000003c0000
86134.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (37)
86135
86136.xword 0x7766000000554422
86137.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (37)
86138
86139.xword 0x706200000054462a
86140.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (37)
86141
86142.xword 0x784d005800423721
86143.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (37)
86144
86145.xword 0x804d006000504020
86146.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (37)
86147
86148.xword 0x0000006000408020
86149.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (37)
86150
86151.xword 0
86152.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (37)
86153
86154.xword 0
86155.xword 0x00020095 | (3 << 18) !ma_ctl_Ld (38)
86156
86157.xword 0
86158.xword 0x0002014a | (3 << 18) !ma_ctl_St (38)
86159
86160.xword 0x80604020
86161.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (38)
86162
86163.xword 0x356a
86164.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (38)
86165
86166.xword 0x0000006000408020
86167.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (38)
86168
86169.xword 0x6a35
86170.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (38)
86171
86172.xword 0x78285050
86173.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (38)
86174
86175.xword 0x80604020
86176.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (38)
86177
86178.xword 0x00287850
86179.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (38)
86180
86181.xword 0x00287850
86182.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (38)
86183
86184.xword 0x78285050
86185.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (38)
86186
86187.xword 0x64500000003c0000
86188.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (38)
86189
86190.xword 0x7766000000554422
86191.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (38)
86192
86193.xword 0x706200000054462a
86194.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (38)
86195
86196.xword 0x784d005800423721
86197.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (38)
86198
86199.xword 0x804d006000504020
86200.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (38)
86201
86202.xword 0x0000006000408020
86203.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (38)
86204
86205.xword 0
86206.xword 0x00021103 | (3 << 18) !ma_ctl_StParity (38)
86207
86208.xword 0
86209.xword 0x00020042 | (3 << 18) !ma_ctl_Ld (39)
86210
86211.xword 0
86212.xword 0x00020158 | (3 << 18) !ma_ctl_St (39)
86213
86214.xword 0x80604020
86215.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (39)
86216
86217.xword 0x356a
86218.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (39)
86219
86220.xword 0x0000006000408020
86221.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (39)
86222
86223.xword 0x6a35
86224.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (39)
86225
86226.xword 0x78285050
86227.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (39)
86228
86229.xword 0x80604020
86230.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (39)
86231
86232.xword 0x00287850
86233.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (39)
86234
86235.xword 0x00287850
86236.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (39)
86237
86238.xword 0x78285050
86239.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (39)
86240
86241.xword 0x64500000003c0000
86242.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (39)
86243
86244.xword 0x7766000000554422
86245.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (39)
86246
86247.xword 0x706200000054462a
86248.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (39)
86249
86250.xword 0x784d005800423721
86251.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (39)
86252
86253.xword 0x804d006000504020
86254.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (39)
86255
86256.xword 0x0000006000408020
86257.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (39)
86258
86259.xword 0
86260.xword 0x0002111b | (3 << 18) !ma_ctl_StParity (39)
86261
86262.xword 0
86263.xword 0x00020073 | (3 << 18) !ma_ctl_Ld (40)
86264
86265.xword 0
86266.xword 0x00020153 | (3 << 18) !ma_ctl_St (40)
86267
86268.xword 0x80604020
86269.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (40)
86270
86271.xword 0x356a
86272.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (40)
86273
86274.xword 0x0000006000408020
86275.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (40)
86276
86277.xword 0x6a35
86278.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (40)
86279
86280.xword 0x78285050
86281.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (40)
86282
86283.xword 0x80604020
86284.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (40)
86285
86286.xword 0x00287850
86287.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (40)
86288
86289.xword 0x00287850
86290.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (40)
86291
86292.xword 0x78285050
86293.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (40)
86294
86295.xword 0x64500000003c0000
86296.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (40)
86297
86298.xword 0x7766000000554422
86299.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (40)
86300
86301.xword 0x706200000054462a
86302.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (40)
86303
86304.xword 0x784d005800423721
86305.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (40)
86306
86307.xword 0x804d006000504020
86308.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (40)
86309
86310.xword 0x0000006000408020
86311.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (40)
86312
86313.xword 0
86314.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (40)
86315
86316.xword 0
86317.xword 0x0002006d | (3 << 18) !ma_ctl_Ld (41)
86318
86319.xword 0
86320.xword 0x00020126 | (3 << 18) !ma_ctl_St (41)
86321
86322.xword 0x80604020
86323.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (41)
86324
86325.xword 0x356a
86326.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (41)
86327
86328.xword 0x0000006000408020
86329.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (41)
86330
86331.xword 0x6a35
86332.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (41)
86333
86334.xword 0x78285050
86335.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (41)
86336
86337.xword 0x80604020
86338.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (41)
86339
86340.xword 0x00287850
86341.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (41)
86342
86343.xword 0x00287850
86344.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (41)
86345
86346.xword 0x78285050
86347.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (41)
86348
86349.xword 0x64500000003c0000
86350.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (41)
86351
86352.xword 0x7766000000554422
86353.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (41)
86354
86355.xword 0x706200000054462a
86356.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (41)
86357
86358.xword 0x784d005800423721
86359.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (41)
86360
86361.xword 0x804d006000504020
86362.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (41)
86363
86364.xword 0x0000006000408020
86365.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (41)
86366
86367.xword 0
86368.xword 0x00021111 | (3 << 18) !ma_ctl_StParity (41)
86369
86370.xword 0
86371.xword 0x00020039 | (3 << 18) !ma_ctl_Ld (42)
86372
86373.xword 0
86374.xword 0x00020187 | (3 << 18) !ma_ctl_St (42)
86375
86376.xword 0x80604020
86377.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (42)
86378
86379.xword 0x356a
86380.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (42)
86381
86382.xword 0x0000006000408020
86383.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (42)
86384
86385.xword 0x6a35
86386.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (42)
86387
86388.xword 0x78285050
86389.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (42)
86390
86391.xword 0x80604020
86392.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (42)
86393
86394.xword 0x00287850
86395.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (42)
86396
86397.xword 0x00287850
86398.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (42)
86399
86400.xword 0x78285050
86401.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (42)
86402
86403.xword 0x64500000003c0000
86404.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (42)
86405
86406.xword 0x7766000000554422
86407.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (42)
86408
86409.xword 0x706200000054462a
86410.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (42)
86411
86412.xword 0x784d005800423721
86413.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (42)
86414
86415.xword 0x804d006000504020
86416.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (42)
86417
86418.xword 0x0000006000408020
86419.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (42)
86420
86421.xword 0
86422.xword 0x00021109 | (3 << 18) !ma_ctl_StParity (42)
86423
86424.xword 0
86425.xword 0x00020085 | (3 << 18) !ma_ctl_Ld (43)
86426
86427.xword 0
86428.xword 0x00020141 | (3 << 18) !ma_ctl_St (43)
86429
86430.xword 0x80604020
86431.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (43)
86432
86433.xword 0x356a
86434.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (43)
86435
86436.xword 0x0000006000408020
86437.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (43)
86438
86439.xword 0x6a35
86440.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (43)
86441
86442.xword 0x78285050
86443.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (43)
86444
86445.xword 0x80604020
86446.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (43)
86447
86448.xword 0x00287850
86449.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (43)
86450
86451.xword 0x00287850
86452.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (43)
86453
86454.xword 0x78285050
86455.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (43)
86456
86457.xword 0x64500000003c0000
86458.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (43)
86459
86460.xword 0x7766000000554422
86461.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (43)
86462
86463.xword 0x706200000054462a
86464.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (43)
86465
86466.xword 0x784d005800423721
86467.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (43)
86468
86469.xword 0x804d006000504020
86470.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (43)
86471
86472.xword 0x0000006000408020
86473.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (43)
86474
86475.xword 0
86476.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (43)
86477
86478.xword 0
86479.xword 0x00020085 | (3 << 18) !ma_ctl_Ld (44)
86480
86481.xword 0
86482.xword 0x0002018e | (3 << 18) !ma_ctl_St (44)
86483
86484.xword 0x80604020
86485.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (44)
86486
86487.xword 0x356a
86488.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (44)
86489
86490.xword 0x0000006000408020
86491.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (44)
86492
86493.xword 0x6a35
86494.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (44)
86495
86496.xword 0x78285050
86497.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (44)
86498
86499.xword 0x80604020
86500.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (44)
86501
86502.xword 0x00287850
86503.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (44)
86504
86505.xword 0x00287850
86506.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (44)
86507
86508.xword 0x78285050
86509.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (44)
86510
86511.xword 0x64500000003c0000
86512.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (44)
86513
86514.xword 0x7766000000554422
86515.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (44)
86516
86517.xword 0x706200000054462a
86518.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (44)
86519
86520.xword 0x784d005800423721
86521.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (44)
86522
86523.xword 0x804d006000504020
86524.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (44)
86525
86526.xword 0x0000006000408020
86527.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (44)
86528
86529.xword 0
86530.xword 0x00021104 | (3 << 18) !ma_ctl_StParity (44)
86531
86532.xword 0
86533.xword 0x0002008b | (3 << 18) !ma_ctl_Ld (45)
86534
86535.xword 0
86536.xword 0x0002012e | (3 << 18) !ma_ctl_St (45)
86537
86538.xword 0x80604020
86539.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (45)
86540
86541.xword 0x356a
86542.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (45)
86543
86544.xword 0x0000006000408020
86545.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (45)
86546
86547.xword 0x6a35
86548.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (45)
86549
86550.xword 0x78285050
86551.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (45)
86552
86553.xword 0x80604020
86554.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (45)
86555
86556.xword 0x00287850
86557.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (45)
86558
86559.xword 0x00287850
86560.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (45)
86561
86562.xword 0x78285050
86563.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (45)
86564
86565.xword 0x64500000003c0000
86566.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (45)
86567
86568.xword 0x7766000000554422
86569.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (45)
86570
86571.xword 0x706200000054462a
86572.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (45)
86573
86574.xword 0x784d005800423721
86575.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (45)
86576
86577.xword 0x804d006000504020
86578.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (45)
86579
86580.xword 0x0000006000408020
86581.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (45)
86582
86583.xword 0
86584.xword 0x0002110d | (3 << 18) !ma_ctl_StParity (45)
86585
86586.xword 0
86587.xword 0x00020091 | (3 << 18) !ma_ctl_Ld (46)
86588
86589.xword 0
86590.xword 0x0002015d | (3 << 18) !ma_ctl_St (46)
86591
86592.xword 0x80604020
86593.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (46)
86594
86595.xword 0x356a
86596.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (46)
86597
86598.xword 0x0000006000408020
86599.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (46)
86600
86601.xword 0x6a35
86602.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (46)
86603
86604.xword 0x78285050
86605.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (46)
86606
86607.xword 0x80604020
86608.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (46)
86609
86610.xword 0x00287850
86611.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (46)
86612
86613.xword 0x00287850
86614.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (46)
86615
86616.xword 0x78285050
86617.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (46)
86618
86619.xword 0x64500000003c0000
86620.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (46)
86621
86622.xword 0x7766000000554422
86623.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (46)
86624
86625.xword 0x706200000054462a
86626.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (46)
86627
86628.xword 0x784d005800423721
86629.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (46)
86630
86631.xword 0x804d006000504020
86632.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (46)
86633
86634.xword 0x0000006000408020
86635.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (46)
86636
86637.xword 0
86638.xword 0x00021109 | (3 << 18) !ma_ctl_StParity (46)
86639
86640.xword 0
86641.xword 0x0002009c | (3 << 18) !ma_ctl_Ld (47)
86642
86643.xword 0
86644.xword 0x00020136 | (3 << 18) !ma_ctl_St (47)
86645
86646.xword 0x80604020
86647.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (47)
86648
86649.xword 0x356a
86650.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (47)
86651
86652.xword 0x0000006000408020
86653.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (47)
86654
86655.xword 0x6a35
86656.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (47)
86657
86658.xword 0x78285050
86659.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (47)
86660
86661.xword 0x80604020
86662.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (47)
86663
86664.xword 0x00287850
86665.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (47)
86666
86667.xword 0x00287850
86668.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (47)
86669
86670.xword 0x78285050
86671.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (47)
86672
86673.xword 0x64500000003c0000
86674.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (47)
86675
86676.xword 0x7766000000554422
86677.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (47)
86678
86679.xword 0x706200000054462a
86680.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (47)
86681
86682.xword 0x784d005800423721
86683.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (47)
86684
86685.xword 0x804d006000504020
86686.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (47)
86687
86688.xword 0x0000006000408020
86689.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (47)
86690
86691.xword 0
86692.xword 0x00021108 | (3 << 18) !ma_ctl_StParity (47)
86693
86694.xword 0
86695.xword 0x00020080 | (3 << 18) !ma_ctl_Ld (48)
86696
86697.xword 0
86698.xword 0x0002018a | (3 << 18) !ma_ctl_St (48)
86699
86700.xword 0x80604020
86701.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (48)
86702
86703.xword 0x356a
86704.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (48)
86705
86706.xword 0x0000006000408020
86707.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (48)
86708
86709.xword 0x6a35
86710.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (48)
86711
86712.xword 0x78285050
86713.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (48)
86714
86715.xword 0x80604020
86716.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (48)
86717
86718.xword 0x00287850
86719.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (48)
86720
86721.xword 0x00287850
86722.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (48)
86723
86724.xword 0x78285050
86725.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (48)
86726
86727.xword 0x64500000003c0000
86728.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (48)
86729
86730.xword 0x7766000000554422
86731.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (48)
86732
86733.xword 0x706200000054462a
86734.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (48)
86735
86736.xword 0x784d005800423721
86737.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (48)
86738
86739.xword 0x804d006000504020
86740.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (48)
86741
86742.xword 0x0000006000408020
86743.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (48)
86744
86745.xword 0
86746.xword 0x0002111b | (3 << 18) !ma_ctl_StParity (48)
86747
86748.xword 0
86749.xword 0x0002006d | (3 << 18) !ma_ctl_Ld (49)
86750
86751.xword 0
86752.xword 0x00020162 | (3 << 18) !ma_ctl_St (49)
86753
86754.xword 0x80604020
86755.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (49)
86756
86757.xword 0x356a
86758.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (49)
86759
86760.xword 0x0000006000408020
86761.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (49)
86762
86763.xword 0x6a35
86764.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (49)
86765
86766.xword 0x78285050
86767.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (49)
86768
86769.xword 0x80604020
86770.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (49)
86771
86772.xword 0x00287850
86773.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (49)
86774
86775.xword 0x00287850
86776.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (49)
86777
86778.xword 0x78285050
86779.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (49)
86780
86781.xword 0x64500000003c0000
86782.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (49)
86783
86784.xword 0x7766000000554422
86785.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (49)
86786
86787.xword 0x706200000054462a
86788.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (49)
86789
86790.xword 0x784d005800423721
86791.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (49)
86792
86793.xword 0x804d006000504020
86794.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (49)
86795
86796.xword 0x0000006000408020
86797.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (49)
86798
86799.xword 0
86800.xword 0x0002111a | (3 << 18) !ma_ctl_StParity (49)
86801
86802.xword 0
86803.xword 0x00020044 | (3 << 18) !ma_ctl_Ld (50)
86804
86805.xword 0
86806.xword 0x0002015e | (3 << 18) !ma_ctl_St (50)
86807
86808.xword 0x80604020
86809.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (50)
86810
86811.xword 0x356a
86812.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (50)
86813
86814.xword 0x0000006000408020
86815.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (50)
86816
86817.xword 0x6a35
86818.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (50)
86819
86820.xword 0x78285050
86821.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (50)
86822
86823.xword 0x80604020
86824.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (50)
86825
86826.xword 0x00287850
86827.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (50)
86828
86829.xword 0x00287850
86830.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (50)
86831
86832.xword 0x78285050
86833.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (50)
86834
86835.xword 0x64500000003c0000
86836.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (50)
86837
86838.xword 0x7766000000554422
86839.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (50)
86840
86841.xword 0x706200000054462a
86842.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (50)
86843
86844.xword 0x784d005800423721
86845.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (50)
86846
86847.xword 0x804d006000504020
86848.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (50)
86849
86850.xword 0x0000006000408020
86851.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (50)
86852
86853.xword 0
86854.xword 0x0002110f | (3 << 18) !ma_ctl_StParity (50)
86855
86856.xword 0
86857.xword 0x00020081 | (3 << 18) !ma_ctl_Ld (51)
86858
86859.xword 0
86860.xword 0x00020125 | (3 << 18) !ma_ctl_St (51)
86861
86862.xword 0x80604020
86863.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (51)
86864
86865.xword 0x356a
86866.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (51)
86867
86868.xword 0x0000006000408020
86869.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (51)
86870
86871.xword 0x6a35
86872.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (51)
86873
86874.xword 0x78285050
86875.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (51)
86876
86877.xword 0x80604020
86878.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (51)
86879
86880.xword 0x00287850
86881.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (51)
86882
86883.xword 0x00287850
86884.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (51)
86885
86886.xword 0x78285050
86887.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (51)
86888
86889.xword 0x64500000003c0000
86890.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (51)
86891
86892.xword 0x7766000000554422
86893.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (51)
86894
86895.xword 0x706200000054462a
86896.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (51)
86897
86898.xword 0x784d005800423721
86899.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (51)
86900
86901.xword 0x804d006000504020
86902.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (51)
86903
86904.xword 0x0000006000408020
86905.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (51)
86906
86907.xword 0
86908.xword 0x00021113 | (3 << 18) !ma_ctl_StParity (51)
86909
86910.xword 0
86911.xword 0x0002002f | (3 << 18) !ma_ctl_Ld (52)
86912
86913.xword 0
86914.xword 0x0002013a | (3 << 18) !ma_ctl_St (52)
86915
86916.xword 0x80604020
86917.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (52)
86918
86919.xword 0x356a
86920.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (52)
86921
86922.xword 0x0000006000408020
86923.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (52)
86924
86925.xword 0x6a35
86926.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (52)
86927
86928.xword 0x78285050
86929.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (52)
86930
86931.xword 0x80604020
86932.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (52)
86933
86934.xword 0x00287850
86935.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (52)
86936
86937.xword 0x00287850
86938.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (52)
86939
86940.xword 0x78285050
86941.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (52)
86942
86943.xword 0x64500000003c0000
86944.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (52)
86945
86946.xword 0x7766000000554422
86947.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (52)
86948
86949.xword 0x706200000054462a
86950.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (52)
86951
86952.xword 0x784d005800423721
86953.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (52)
86954
86955.xword 0x804d006000504020
86956.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (52)
86957
86958.xword 0x0000006000408020
86959.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (52)
86960
86961.xword 0
86962.xword 0x0002111d | (3 << 18) !ma_ctl_StParity (52)
86963
86964.xword 0
86965.xword 0x0002005f | (3 << 18) !ma_ctl_Ld (53)
86966
86967.xword 0
86968.xword 0x00020162 | (3 << 18) !ma_ctl_St (53)
86969
86970.xword 0x80604020
86971.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (53)
86972
86973.xword 0x356a
86974.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (53)
86975
86976.xword 0x0000006000408020
86977.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (53)
86978
86979.xword 0x6a35
86980.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (53)
86981
86982.xword 0x78285050
86983.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (53)
86984
86985.xword 0x80604020
86986.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (53)
86987
86988.xword 0x00287850
86989.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (53)
86990
86991.xword 0x00287850
86992.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (53)
86993
86994.xword 0x78285050
86995.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (53)
86996
86997.xword 0x64500000003c0000
86998.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (53)
86999
87000.xword 0x7766000000554422
87001.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (53)
87002
87003.xword 0x706200000054462a
87004.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (53)
87005
87006.xword 0x784d005800423721
87007.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (53)
87008
87009.xword 0x804d006000504020
87010.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (53)
87011
87012.xword 0x0000006000408020
87013.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (53)
87014
87015.xword 0
87016.xword 0x00021117 | (3 << 18) !ma_ctl_StParity (53)
87017
87018.xword 0
87019.xword 0x00020092 | (3 << 18) !ma_ctl_Ld (54)
87020
87021.xword 0
87022.xword 0x00020191 | (3 << 18) !ma_ctl_St (54)
87023
87024.xword 0x80604020
87025.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (54)
87026
87027.xword 0x356a
87028.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (54)
87029
87030.xword 0x0000006000408020
87031.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (54)
87032
87033.xword 0x6a35
87034.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (54)
87035
87036.xword 0x78285050
87037.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (54)
87038
87039.xword 0x80604020
87040.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (54)
87041
87042.xword 0x00287850
87043.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (54)
87044
87045.xword 0x00287850
87046.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (54)
87047
87048.xword 0x78285050
87049.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (54)
87050
87051.xword 0x64500000003c0000
87052.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (54)
87053
87054.xword 0x7766000000554422
87055.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (54)
87056
87057.xword 0x706200000054462a
87058.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (54)
87059
87060.xword 0x784d005800423721
87061.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (54)
87062
87063.xword 0x804d006000504020
87064.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (54)
87065
87066.xword 0x0000006000408020
87067.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (54)
87068
87069.xword 0
87070.xword 0x0002110d | (3 << 18) !ma_ctl_StParity (54)
87071
87072.xword 0
87073.xword 0x00020072 | (3 << 18) !ma_ctl_Ld (55)
87074
87075.xword 0
87076.xword 0x00020143 | (3 << 18) !ma_ctl_St (55)
87077
87078.xword 0x80604020
87079.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (55)
87080
87081.xword 0x356a
87082.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (55)
87083
87084.xword 0x0000006000408020
87085.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (55)
87086
87087.xword 0x6a35
87088.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (55)
87089
87090.xword 0x78285050
87091.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (55)
87092
87093.xword 0x80604020
87094.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (55)
87095
87096.xword 0x00287850
87097.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (55)
87098
87099.xword 0x00287850
87100.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (55)
87101
87102.xword 0x78285050
87103.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (55)
87104
87105.xword 0x64500000003c0000
87106.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (55)
87107
87108.xword 0x7766000000554422
87109.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (55)
87110
87111.xword 0x706200000054462a
87112.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (55)
87113
87114.xword 0x784d005800423721
87115.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (55)
87116
87117.xword 0x804d006000504020
87118.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (55)
87119
87120.xword 0x0000006000408020
87121.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (55)
87122
87123.xword 0
87124.xword 0x0002111b | (3 << 18) !ma_ctl_StParity (55)
87125
87126.xword 0
87127.xword 0x00020059 | (3 << 18) !ma_ctl_Ld (56)
87128
87129.xword 0
87130.xword 0x0002018a | (3 << 18) !ma_ctl_St (56)
87131
87132.xword 0x80604020
87133.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (56)
87134
87135.xword 0x356a
87136.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (56)
87137
87138.xword 0x0000006000408020
87139.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (56)
87140
87141.xword 0x6a35
87142.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (56)
87143
87144.xword 0x78285050
87145.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (56)
87146
87147.xword 0x80604020
87148.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (56)
87149
87150.xword 0x00287850
87151.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (56)
87152
87153.xword 0x00287850
87154.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (56)
87155
87156.xword 0x78285050
87157.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (56)
87158
87159.xword 0x64500000003c0000
87160.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (56)
87161
87162.xword 0x7766000000554422
87163.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (56)
87164
87165.xword 0x706200000054462a
87166.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (56)
87167
87168.xword 0x784d005800423721
87169.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (56)
87170
87171.xword 0x804d006000504020
87172.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (56)
87173
87174.xword 0x0000006000408020
87175.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (56)
87176
87177.xword 0
87178.xword 0x00021106 | (3 << 18) !ma_ctl_StParity (56)
87179
87180.xword 0
87181.xword 0x00020021 | (3 << 18) !ma_ctl_Ld (57)
87182
87183.xword 0
87184.xword 0x00020165 | (3 << 18) !ma_ctl_St (57)
87185
87186.xword 0x80604020
87187.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (57)
87188
87189.xword 0x356a
87190.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (57)
87191
87192.xword 0x0000006000408020
87193.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (57)
87194
87195.xword 0x6a35
87196.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (57)
87197
87198.xword 0x78285050
87199.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (57)
87200
87201.xword 0x80604020
87202.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (57)
87203
87204.xword 0x00287850
87205.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (57)
87206
87207.xword 0x00287850
87208.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (57)
87209
87210.xword 0x78285050
87211.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (57)
87212
87213.xword 0x64500000003c0000
87214.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (57)
87215
87216.xword 0x7766000000554422
87217.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (57)
87218
87219.xword 0x706200000054462a
87220.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (57)
87221
87222.xword 0x784d005800423721
87223.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (57)
87224
87225.xword 0x804d006000504020
87226.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (57)
87227
87228.xword 0x0000006000408020
87229.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (57)
87230
87231.xword 0
87232.xword 0x0002110d | (3 << 18) !ma_ctl_StParity (57)
87233
87234.xword 0
87235.xword 0x00020041 | (3 << 18) !ma_ctl_Ld (58)
87236
87237.xword 0
87238.xword 0x00020177 | (3 << 18) !ma_ctl_St (58)
87239
87240.xword 0x80604020
87241.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (58)
87242
87243.xword 0x356a
87244.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (58)
87245
87246.xword 0x0000006000408020
87247.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (58)
87248
87249.xword 0x6a35
87250.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (58)
87251
87252.xword 0x78285050
87253.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (58)
87254
87255.xword 0x80604020
87256.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (58)
87257
87258.xword 0x00287850
87259.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (58)
87260
87261.xword 0x00287850
87262.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (58)
87263
87264.xword 0x78285050
87265.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (58)
87266
87267.xword 0x64500000003c0000
87268.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (58)
87269
87270.xword 0x7766000000554422
87271.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (58)
87272
87273.xword 0x706200000054462a
87274.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (58)
87275
87276.xword 0x784d005800423721
87277.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (58)
87278
87279.xword 0x804d006000504020
87280.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (58)
87281
87282.xword 0x0000006000408020
87283.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (58)
87284
87285.xword 0
87286.xword 0x00021103 | (3 << 18) !ma_ctl_StParity (58)
87287
87288
87289.align 8
87290.global _t2_ma_results3
87291_t2_ma_results3:
87292.xword 0xDEADBEEFDEADBEEF
87293.xword 0xDEADBEEFDEADBEEF
87294.xword 0xDEADBEEFDEADBEEF
87295.xword 0xDEADBEEFDEADBEEF
87296.xword 0xDEADBEEFDEADBEEF
87297.xword 0xDEADBEEFDEADBEEF
87298.xword 0xDEADBEEFDEADBEEF
87299.xword 0xDEADBEEFDEADBEEF
87300.xword 0xDEADBEEFDEADBEEF
87301.xword 0xDEADBEEFDEADBEEF
87302.xword 0xDEADBEEFDEADBEEF
87303.xword 0xDEADBEEFDEADBEEF
87304.xword 0xDEADBEEFDEADBEEF
87305.xword 0xDEADBEEFDEADBEEF
87306.xword 0xDEADBEEFDEADBEEF
87307.xword 0xDEADBEEFDEADBEEF
87308.xword 0xDEADBEEFDEADBEEF
87309.xword 0xDEADBEEFDEADBEEF
87310.xword 0xDEADBEEFDEADBEEF
87311.xword 0xDEADBEEFDEADBEEF
87312.xword 0xDEADBEEFDEADBEEF
87313.xword 0xDEADBEEFDEADBEEF
87314.xword 0xDEADBEEFDEADBEEF
87315.xword 0xDEADBEEFDEADBEEF
87316.xword 0xDEADBEEFDEADBEEF
87317.xword 0xDEADBEEFDEADBEEF
87318.xword 0xDEADBEEFDEADBEEF
87319.xword 0xDEADBEEFDEADBEEF
87320.xword 0xDEADBEEFDEADBEEF
87321.xword 0xDEADBEEFDEADBEEF
87322.xword 0xDEADBEEFDEADBEEF
87323.xword 0xDEADBEEFDEADBEEF
87324.xword 0xDEADBEEFDEADBEEF
87325.xword 0xDEADBEEFDEADBEEF
87326.xword 0xDEADBEEFDEADBEEF
87327.xword 0xDEADBEEFDEADBEEF
87328.xword 0xDEADBEEFDEADBEEF
87329.xword 0xDEADBEEFDEADBEEF
87330.xword 0xDEADBEEFDEADBEEF
87331.xword 0xDEADBEEFDEADBEEF
87332.xword 0xDEADBEEFDEADBEEF
87333.xword 0xDEADBEEFDEADBEEF
87334.xword 0xDEADBEEFDEADBEEF
87335.xword 0xDEADBEEFDEADBEEF
87336.xword 0xDEADBEEFDEADBEEF
87337.xword 0xDEADBEEFDEADBEEF
87338.xword 0xDEADBEEFDEADBEEF
87339.xword 0xDEADBEEFDEADBEEF
87340.xword 0xDEADBEEFDEADBEEF
87341.xword 0xDEADBEEFDEADBEEF
87342.xword 0xDEADBEEFDEADBEEF
87343.xword 0xDEADBEEFDEADBEEF
87344.xword 0xDEADBEEFDEADBEEF
87345.xword 0xDEADBEEFDEADBEEF
87346.xword 0xDEADBEEFDEADBEEF
87347.xword 0xDEADBEEFDEADBEEF
87348.xword 0xDEADBEEFDEADBEEF
87349.xword 0xDEADBEEFDEADBEEF
87350.xword 0xDEADBEEFDEADBEEF
87351.xword 0xDEADBEEFDEADBEEF
87352.xword 0xDEADBEEFDEADBEEF
87353.xword 0xDEADBEEFDEADBEEF
87354.xword 0xDEADBEEFDEADBEEF
87355.xword 0xDEADBEEFDEADBEEF
87356.xword 0xDEADBEEFDEADBEEF
87357.xword 0xDEADBEEFDEADBEEF
87358.xword 0xDEADBEEFDEADBEEF
87359.xword 0xDEADBEEFDEADBEEF
87360.xword 0xDEADBEEFDEADBEEF
87361.xword 0xDEADBEEFDEADBEEF
87362.xword 0xDEADBEEFDEADBEEF
87363.xword 0xDEADBEEFDEADBEEF
87364.xword 0xDEADBEEFDEADBEEF
87365.xword 0xDEADBEEFDEADBEEF
87366.xword 0xDEADBEEFDEADBEEF
87367.xword 0xDEADBEEFDEADBEEF
87368.xword 0xDEADBEEFDEADBEEF
87369.xword 0xDEADBEEFDEADBEEF
87370.xword 0xDEADBEEFDEADBEEF
87371.xword 0xDEADBEEFDEADBEEF
87372.xword 0xDEADBEEFDEADBEEF
87373.xword 0xDEADBEEFDEADBEEF
87374.xword 0xDEADBEEFDEADBEEF
87375.xword 0xDEADBEEFDEADBEEF
87376.xword 0xDEADBEEFDEADBEEF
87377.xword 0xDEADBEEFDEADBEEF
87378.xword 0xDEADBEEFDEADBEEF
87379.xword 0xDEADBEEFDEADBEEF
87380.xword 0xDEADBEEFDEADBEEF
87381.xword 0xDEADBEEFDEADBEEF
87382.xword 0xDEADBEEFDEADBEEF
87383.xword 0xDEADBEEFDEADBEEF
87384.xword 0xDEADBEEFDEADBEEF
87385.xword 0xDEADBEEFDEADBEEF
87386.xword 0xDEADBEEFDEADBEEF
87387.xword 0xDEADBEEFDEADBEEF
87388.xword 0xDEADBEEFDEADBEEF
87389.xword 0xDEADBEEFDEADBEEF
87390.xword 0xDEADBEEFDEADBEEF
87391.xword 0xDEADBEEFDEADBEEF
87392.xword 0xDEADBEEFDEADBEEF
87393.xword 0xDEADBEEFDEADBEEF
87394.xword 0xDEADBEEFDEADBEEF
87395.xword 0xDEADBEEFDEADBEEF
87396.xword 0xDEADBEEFDEADBEEF
87397.xword 0xDEADBEEFDEADBEEF
87398.xword 0xDEADBEEFDEADBEEF
87399.xword 0xDEADBEEFDEADBEEF
87400.xword 0xDEADBEEFDEADBEEF
87401.xword 0xDEADBEEFDEADBEEF
87402.xword 0xDEADBEEFDEADBEEF
87403.xword 0xDEADBEEFDEADBEEF
87404.xword 0xDEADBEEFDEADBEEF
87405.xword 0xDEADBEEFDEADBEEF
87406.xword 0xDEADBEEFDEADBEEF
87407.xword 0xDEADBEEFDEADBEEF
87408.xword 0xDEADBEEFDEADBEEF
87409.xword 0xDEADBEEFDEADBEEF
87410.xword 0xDEADBEEFDEADBEEF
87411.xword 0xDEADBEEFDEADBEEF
87412.xword 0xDEADBEEFDEADBEEF
87413.xword 0xDEADBEEFDEADBEEF
87414.xword 0xDEADBEEFDEADBEEF
87415.xword 0xDEADBEEFDEADBEEF
87416.xword 0xDEADBEEFDEADBEEF
87417.xword 0xDEADBEEFDEADBEEF
87418.xword 0xDEADBEEFDEADBEEF
87419.xword 0xDEADBEEFDEADBEEF
87420.xword 0xDEADBEEFDEADBEEF
87421.xword 0xDEADBEEFDEADBEEF
87422.xword 0xDEADBEEFDEADBEEF
87423.xword 0xDEADBEEFDEADBEEF
87424.xword 0xDEADBEEFDEADBEEF
87425.xword 0xDEADBEEFDEADBEEF
87426.xword 0xDEADBEEFDEADBEEF
87427.xword 0xDEADBEEFDEADBEEF
87428.xword 0xDEADBEEFDEADBEEF
87429.xword 0xDEADBEEFDEADBEEF
87430.xword 0xDEADBEEFDEADBEEF
87431.xword 0xDEADBEEFDEADBEEF
87432.xword 0xDEADBEEFDEADBEEF
87433.xword 0xDEADBEEFDEADBEEF
87434.xword 0xDEADBEEFDEADBEEF
87435.xword 0xDEADBEEFDEADBEEF
87436.xword 0xDEADBEEFDEADBEEF
87437.xword 0xDEADBEEFDEADBEEF
87438.xword 0xDEADBEEFDEADBEEF
87439.xword 0xDEADBEEFDEADBEEF
87440.xword 0xDEADBEEFDEADBEEF
87441.xword 0xDEADBEEFDEADBEEF
87442.xword 0xDEADBEEFDEADBEEF
87443.xword 0xDEADBEEFDEADBEEF
87444.xword 0xDEADBEEFDEADBEEF
87445.xword 0xDEADBEEFDEADBEEF
87446.xword 0xDEADBEEFDEADBEEF
87447.xword 0xDEADBEEFDEADBEEF
87448.xword 0xDEADBEEFDEADBEEF
87449.xword 0xDEADBEEFDEADBEEF
87450.xword 0xDEADBEEFDEADBEEF
87451.xword 0xDEADBEEFDEADBEEF
87452.xword 0xDEADBEEFDEADBEEF
87453.xword 0xDEADBEEFDEADBEEF
87454.xword 0xDEADBEEFDEADBEEF
87455.xword 0xDEADBEEFDEADBEEF
87456SECTION ._t2_T_MAX_DATA4 DATA_VA=272629760
87457attr_data {
87458 Name = ._t2_T_MAX_DATA4
87459 hypervisor
87460}
87461
87462.data
87463_t2_user_data_start:
87464_t2_scratch_area:
87465
87466.align 8
87467.global _t2_ma_operands4
87468_t2_ma_operands4:
87469.xword 0xc6a893c22cf2ceeb
87470.xword 0x240ded46fdb27107
87471.xword 0x2b4c200432a5ba44
87472.xword 0x24ff37e36bc154e1
87473.xword 0xf3350b048f2ebb67
87474.xword 0x5bb5544f93a001e0
87475.xword 0xdee18c42b09624f6
87476.xword 0xb7f176ef05f1c403
87477.xword 0xbae1737dffb19c56
87478.xword 0x3ed371ba42b9d47e
87479.xword 0x29f3e550e659c915
87480.xword 0xdcb8d5e49ba2cf75
87481.xword 0xa65d6d461c7bd9c5
87482.xword 0xebacdaaa48aed452
87483.xword 0xb82582dcb93a3bf3
87484.xword 0xa6f42edcde0eceda
87485.xword 0x5ac474007f8fed94
87486.xword 0x11e45626bb4c96f1
87487.xword 0x607ffde1ecaa58b2
87488.xword 0x3dcacf8acb653ffc
87489.xword 0x357f3ab919a8b0d0
87490.xword 0x5ba56c8acf797024
87491.xword 0x26153734708b7c4e
87492.xword 0xf845244cc50e3383
87493.xword 0x94e1f271f8eff850
87494.xword 0xfb99a73d26a7cca9
87495.xword 0xa1fc156c6ec5435b
87496.xword 0x96b3ae62a2a98914
87497.xword 0xa3c84f633d2688ed
87498.xword 0x33918db95b640cba
87499.xword 0xb0fc2379e5700884
87500.xword 0xfb4850741d84f99e
87501.xword 0xb35b4345bbf7e792
87502.xword 0xc84ccbaa85a89c15
87503.xword 0xd1b0f2ba4aea53db
87504.xword 0x23223006f9059e66
87505.xword 0xb746d34025a14926
87506.xword 0x9cfda314859eed3c
87507.xword 0x38b4c7d6210f7f41
87508.xword 0xd4d2080741ed027e
87509.xword 0x26119ac91c366648
87510.xword 0x3dfde3bc3221eddd
87511.xword 0xabcf85c31cd3b5cd
87512.xword 0x2726ba1cbfb40d8a
87513.xword 0x5ec670ca2d8d0004
87514.xword 0x9a448911253c6458
87515.xword 0x1a85b02d69db8619
87516.xword 0xe5c0555e47ac1f54
87517.xword 0xadd774742c280c8e
87518.xword 0x19e43effecbe0b6b
87519.xword 0x433f2e74744236fb
87520.xword 0x5a5a97794c28c707
87521.xword 0xe1c9c8bc05b4ffc0
87522.xword 0xffb3b7a9f47e2368
87523.xword 0xcfe8c99b15784ef8
87524.xword 0x3413bd71f0324250
87525.xword 0xf69e9300a14b5eb6
87526.xword 0xd10e7ee67e086f4f
87527.xword 0x6f2fc2b6894690b4
87528.xword 0x2803efb24171f615
87529.xword 0xd4688c47dcaf014c
87530.xword 0xdcedc088465644a8
87531.xword 0x836a0b0feb3c4be9
87532.xword 0x7bf528d3060e62ca
87533.xword 0x697c26b021d1caf1
87534.xword 0xa32ab533efac32d1
87535.xword 0xde934a1142351c03
87536.xword 0x060e2fa6b13be7e2
87537.xword 0xf072a0d730d9b0bf
87538.xword 0x8c6957bdfa92d3cf
87539.xword 0x51ddde393734d862
87540.xword 0x72ad15dd49a4c97b
87541.xword 0x6463b7c1025c1484
87542.xword 0x3035daeb30f7c877
87543.xword 0x11774401fcb7b6de
87544.xword 0x57e1e570106e289b
87545.xword 0x8ef1fab195707055
87546.xword 0xd42a87e951de3c7d
87547.xword 0x689db5c62f452110
87548.xword 0xdef2ae9e84d3ffff
87549.xword 0xed499f2a42be18df
87550.xword 0xa55a5b9fc99c2049
87551.xword 0xb4609b3de66d3191
87552.xword 0x98a50c044a2e66ce
87553.xword 0xe49d040107c24b78
87554.xword 0xa738ef7e0d7792ed
87555.xword 0x0298288f866ddf5d
87556.xword 0xa2437a2b04f8bbcf
87557.xword 0xa3ba4c540c1c80af
87558.xword 0xa2d3ee89d54c802c
87559.xword 0xa40d9c74796f94b2
87560.xword 0xb97aaef5f337b832
87561.xword 0xaf61f6257e9f152f
87562.xword 0x203512cab50569cc
87563.xword 0x530314874394b489
87564.xword 0xc4728ef94bd5d338
87565.xword 0x08c29e43013ba001
87566.xword 0xacad3a5ca942821f
87567.xword 0xcc4e5b5d2be53638
87568.xword 0x6ea1909cd98b7eae
87569.xword 0xfb7cc395043204f0
87570.xword 0x5d412c4649fc32c1
87571.xword 0xe0bdde2fe6be96db
87572.xword 0xf602b0b9827b1caa
87573.xword 0xf71f1ee3580c15c3
87574.xword 0x5c182d7774d624a8
87575.xword 0x2dba43799792a3ad
87576.xword 0x4bc9155aa96c1bcb
87577.xword 0x02c98fb1db2b299f
87578.xword 0x8a39b634a0fbd071
87579.xword 0x1ce8225cdc24844d
87580.xword 0x2da1467b7feee7a3
87581.xword 0xe9f2145f96f46ed7
87582.xword 0x9b463d5f3c65a3be
87583.xword 0xf1e1bc72ac2d8d3d
87584.xword 0xc6828f8fe6e312c3
87585.xword 0x38fa5b094e243420
87586.xword 0x50f606503016eab0
87587.xword 0xe87e98054ed2834d
87588.xword 0xfa49a68cda3a29f3
87589.xword 0x404cb3a15eff0919
87590.xword 0x8a99c872182f1c7b
87591.xword 0xcb8bac460ec946a6
87592.xword 0xa7d53a57087cd991
87593.xword 0x319694bc9ee8dd2a
87594.xword 0x490b2ccfb3c18465
87595.xword 0xf7637c4873fed5a5
87596.xword 0x82de1f1efffc22d8
87597.xword 0xa06576b9763f79e5
87598.xword 0xbe2ee1d936d7625e
87599.xword 0x222fcfff5cab6915
87600.xword 0x6d83c14291359ff8
87601.xword 0x02c47a1e94da3d6c
87602.xword 0x3be1bf014c536b20
87603.xword 0x1b82157218c4ba4f
87604.xword 0x403a3a7f6502e858
87605.xword 0x30a3a58278d300a5
87606.xword 0xa92e19f09e0601d0
87607.xword 0x9f7b307a6acdda65
87608.xword 0xbac255c46f24c9c5
87609.xword 0x242089fc92885ded
87610.xword 0x541f8d46909b941c
87611.xword 0x21201ebc9fb43386
87612.xword 0xdedefbb4cbebff08
87613.xword 0x2070fae3207ebfe5
87614.xword 0xda4f11133ab7948a
87615.xword 0x15eae7b049590d49
87616.xword 0x215a56e4bbd4c0a3
87617.xword 0x5dcf88af853ff145
87618.xword 0xd1f1a7ba4f577d0f
87619.xword 0xc9d3044fb46b0a5c
87620.xword 0xe79bc59614481c0f
87621.xword 0x90a031f4ed2a8f91
87622.xword 0x9dc7ac0c02d16886
87623.xword 0xc1b37e30f1d76efc
87624.xword 0x92ecf945a6be6ae8
87625.xword 0xf984d37396c88592
87626.xword 0x4e76b354415ea3fd
87627.xword 0x007cad8b8a034f1a
87628.xword 0x04425aee3a7d4466
87629.xword 0xbade8eda79aa3836
87630.xword 0xbf889bd688516de5
87631.xword 0xce8da81d50beddd4
87632.xword 0x7a45c5b1ce59f3fb
87633
87634.align 8
87635.global _t2_ma_operations4
87636_t2_ma_operations4:
87637.xword 0
87638.xword 0x0002005c | (3 << 18) !ma_ctl_Ld (0)
87639
87640.xword 0
87641.xword 0x0002016f | (3 << 18) !ma_ctl_St (0)
87642
87643.xword 0x80604020
87644.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (0)
87645
87646.xword 0x356a
87647.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (0)
87648
87649.xword 0x0000006000408020
87650.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (0)
87651
87652.xword 0x6a35
87653.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (0)
87654
87655.xword 0x78285050
87656.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (0)
87657
87658.xword 0x80604020
87659.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (0)
87660
87661.xword 0x00287850
87662.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (0)
87663
87664.xword 0x00287850
87665.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (0)
87666
87667.xword 0x78285050
87668.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (0)
87669
87670.xword 0x64500000003c0000
87671.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (0)
87672
87673.xword 0x7766000000554422
87674.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (0)
87675
87676.xword 0x706200000054462a
87677.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (0)
87678
87679.xword 0x784d005800423721
87680.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (0)
87681
87682.xword 0x804d006000504020
87683.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (0)
87684
87685.xword 0x0000006000408020
87686.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (0)
87687
87688.xword 0
87689.xword 0x0002110b | (3 << 18) !ma_ctl_StParity (0)
87690
87691.xword 0
87692.xword 0x0002009b | (3 << 18) !ma_ctl_Ld (1)
87693
87694.xword 0
87695.xword 0x0002012b | (3 << 18) !ma_ctl_St (1)
87696
87697.xword 0x80604020
87698.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (1)
87699
87700.xword 0x356a
87701.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (1)
87702
87703.xword 0x0000006000408020
87704.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (1)
87705
87706.xword 0x6a35
87707.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (1)
87708
87709.xword 0x78285050
87710.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (1)
87711
87712.xword 0x80604020
87713.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (1)
87714
87715.xword 0x00287850
87716.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (1)
87717
87718.xword 0x00287850
87719.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (1)
87720
87721.xword 0x78285050
87722.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (1)
87723
87724.xword 0x64500000003c0000
87725.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (1)
87726
87727.xword 0x7766000000554422
87728.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (1)
87729
87730.xword 0x706200000054462a
87731.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (1)
87732
87733.xword 0x784d005800423721
87734.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (1)
87735
87736.xword 0x804d006000504020
87737.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (1)
87738
87739.xword 0x0000006000408020
87740.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (1)
87741
87742.xword 0
87743.xword 0x0002111a | (3 << 18) !ma_ctl_StParity (1)
87744
87745.xword 0
87746.xword 0x0002007b | (3 << 18) !ma_ctl_Ld (2)
87747
87748.xword 0
87749.xword 0x00020147 | (3 << 18) !ma_ctl_St (2)
87750
87751.xword 0x80604020
87752.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (2)
87753
87754.xword 0x356a
87755.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (2)
87756
87757.xword 0x0000006000408020
87758.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (2)
87759
87760.xword 0x6a35
87761.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (2)
87762
87763.xword 0x78285050
87764.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (2)
87765
87766.xword 0x80604020
87767.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (2)
87768
87769.xword 0x00287850
87770.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (2)
87771
87772.xword 0x00287850
87773.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (2)
87774
87775.xword 0x78285050
87776.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (2)
87777
87778.xword 0x64500000003c0000
87779.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (2)
87780
87781.xword 0x7766000000554422
87782.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (2)
87783
87784.xword 0x706200000054462a
87785.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (2)
87786
87787.xword 0x784d005800423721
87788.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (2)
87789
87790.xword 0x804d006000504020
87791.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (2)
87792
87793.xword 0x0000006000408020
87794.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (2)
87795
87796.xword 0
87797.xword 0x00021118 | (3 << 18) !ma_ctl_StParity (2)
87798
87799.xword 0
87800.xword 0x00020056 | (3 << 18) !ma_ctl_Ld (3)
87801
87802.xword 0
87803.xword 0x00020151 | (3 << 18) !ma_ctl_St (3)
87804
87805.xword 0x80604020
87806.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (3)
87807
87808.xword 0x356a
87809.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (3)
87810
87811.xword 0x0000006000408020
87812.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (3)
87813
87814.xword 0x6a35
87815.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (3)
87816
87817.xword 0x78285050
87818.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (3)
87819
87820.xword 0x80604020
87821.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (3)
87822
87823.xword 0x00287850
87824.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (3)
87825
87826.xword 0x00287850
87827.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (3)
87828
87829.xword 0x78285050
87830.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (3)
87831
87832.xword 0x64500000003c0000
87833.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (3)
87834
87835.xword 0x7766000000554422
87836.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (3)
87837
87838.xword 0x706200000054462a
87839.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (3)
87840
87841.xword 0x784d005800423721
87842.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (3)
87843
87844.xword 0x804d006000504020
87845.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (3)
87846
87847.xword 0x0000006000408020
87848.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (3)
87849
87850.xword 0
87851.xword 0x00021104 | (3 << 18) !ma_ctl_StParity (3)
87852
87853.xword 0
87854.xword 0x0002003c | (3 << 18) !ma_ctl_Ld (4)
87855
87856.xword 0
87857.xword 0x00020142 | (3 << 18) !ma_ctl_St (4)
87858
87859.xword 0x80604020
87860.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (4)
87861
87862.xword 0x356a
87863.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (4)
87864
87865.xword 0x0000006000408020
87866.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (4)
87867
87868.xword 0x6a35
87869.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (4)
87870
87871.xword 0x78285050
87872.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (4)
87873
87874.xword 0x80604020
87875.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (4)
87876
87877.xword 0x00287850
87878.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (4)
87879
87880.xword 0x00287850
87881.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (4)
87882
87883.xword 0x78285050
87884.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (4)
87885
87886.xword 0x64500000003c0000
87887.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (4)
87888
87889.xword 0x7766000000554422
87890.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (4)
87891
87892.xword 0x706200000054462a
87893.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (4)
87894
87895.xword 0x784d005800423721
87896.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (4)
87897
87898.xword 0x804d006000504020
87899.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (4)
87900
87901.xword 0x0000006000408020
87902.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (4)
87903
87904.xword 0
87905.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (4)
87906
87907.xword 0
87908.xword 0x00020063 | (3 << 18) !ma_ctl_Ld (5)
87909
87910.xword 0
87911.xword 0x0002013a | (3 << 18) !ma_ctl_St (5)
87912
87913.xword 0x80604020
87914.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (5)
87915
87916.xword 0x356a
87917.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (5)
87918
87919.xword 0x0000006000408020
87920.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (5)
87921
87922.xword 0x6a35
87923.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (5)
87924
87925.xword 0x78285050
87926.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (5)
87927
87928.xword 0x80604020
87929.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (5)
87930
87931.xword 0x00287850
87932.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (5)
87933
87934.xword 0x00287850
87935.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (5)
87936
87937.xword 0x78285050
87938.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (5)
87939
87940.xword 0x64500000003c0000
87941.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (5)
87942
87943.xword 0x7766000000554422
87944.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (5)
87945
87946.xword 0x706200000054462a
87947.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (5)
87948
87949.xword 0x784d005800423721
87950.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (5)
87951
87952.xword 0x804d006000504020
87953.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (5)
87954
87955.xword 0x0000006000408020
87956.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (5)
87957
87958.xword 0
87959.xword 0x00021101 | (3 << 18) !ma_ctl_StParity (5)
87960
87961.xword 0
87962.xword 0x0002002a | (3 << 18) !ma_ctl_Ld (6)
87963
87964.xword 0
87965.xword 0x0002013b | (3 << 18) !ma_ctl_St (6)
87966
87967.xword 0x80604020
87968.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (6)
87969
87970.xword 0x356a
87971.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (6)
87972
87973.xword 0x0000006000408020
87974.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (6)
87975
87976.xword 0x6a35
87977.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (6)
87978
87979.xword 0x78285050
87980.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (6)
87981
87982.xword 0x80604020
87983.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (6)
87984
87985.xword 0x00287850
87986.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (6)
87987
87988.xword 0x00287850
87989.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (6)
87990
87991.xword 0x78285050
87992.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (6)
87993
87994.xword 0x64500000003c0000
87995.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (6)
87996
87997.xword 0x7766000000554422
87998.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (6)
87999
88000.xword 0x706200000054462a
88001.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (6)
88002
88003.xword 0x784d005800423721
88004.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (6)
88005
88006.xword 0x804d006000504020
88007.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (6)
88008
88009.xword 0x0000006000408020
88010.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (6)
88011
88012.xword 0
88013.xword 0x00021109 | (3 << 18) !ma_ctl_StParity (6)
88014
88015.xword 0
88016.xword 0x0002005e | (3 << 18) !ma_ctl_Ld (7)
88017
88018.xword 0
88019.xword 0x00020156 | (3 << 18) !ma_ctl_St (7)
88020
88021.xword 0x80604020
88022.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (7)
88023
88024.xword 0x356a
88025.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (7)
88026
88027.xword 0x0000006000408020
88028.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (7)
88029
88030.xword 0x6a35
88031.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (7)
88032
88033.xword 0x78285050
88034.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (7)
88035
88036.xword 0x80604020
88037.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (7)
88038
88039.xword 0x00287850
88040.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (7)
88041
88042.xword 0x00287850
88043.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (7)
88044
88045.xword 0x78285050
88046.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (7)
88047
88048.xword 0x64500000003c0000
88049.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (7)
88050
88051.xword 0x7766000000554422
88052.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (7)
88053
88054.xword 0x706200000054462a
88055.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (7)
88056
88057.xword 0x784d005800423721
88058.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (7)
88059
88060.xword 0x804d006000504020
88061.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (7)
88062
88063.xword 0x0000006000408020
88064.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (7)
88065
88066.xword 0
88067.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (7)
88068
88069.xword 0
88070.xword 0x00020092 | (3 << 18) !ma_ctl_Ld (8)
88071
88072.xword 0
88073.xword 0x00020171 | (3 << 18) !ma_ctl_St (8)
88074
88075.xword 0x80604020
88076.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (8)
88077
88078.xword 0x356a
88079.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (8)
88080
88081.xword 0x0000006000408020
88082.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (8)
88083
88084.xword 0x6a35
88085.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (8)
88086
88087.xword 0x78285050
88088.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (8)
88089
88090.xword 0x80604020
88091.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (8)
88092
88093.xword 0x00287850
88094.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (8)
88095
88096.xword 0x00287850
88097.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (8)
88098
88099.xword 0x78285050
88100.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (8)
88101
88102.xword 0x64500000003c0000
88103.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (8)
88104
88105.xword 0x7766000000554422
88106.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (8)
88107
88108.xword 0x706200000054462a
88109.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (8)
88110
88111.xword 0x784d005800423721
88112.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (8)
88113
88114.xword 0x804d006000504020
88115.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (8)
88116
88117.xword 0x0000006000408020
88118.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (8)
88119
88120.xword 0
88121.xword 0x00021105 | (3 << 18) !ma_ctl_StParity (8)
88122
88123.xword 0
88124.xword 0x00020087 | (3 << 18) !ma_ctl_Ld (9)
88125
88126.xword 0
88127.xword 0x0002016b | (3 << 18) !ma_ctl_St (9)
88128
88129.xword 0x80604020
88130.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (9)
88131
88132.xword 0x356a
88133.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (9)
88134
88135.xword 0x0000006000408020
88136.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (9)
88137
88138.xword 0x6a35
88139.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (9)
88140
88141.xword 0x78285050
88142.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (9)
88143
88144.xword 0x80604020
88145.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (9)
88146
88147.xword 0x00287850
88148.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (9)
88149
88150.xword 0x00287850
88151.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (9)
88152
88153.xword 0x78285050
88154.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (9)
88155
88156.xword 0x64500000003c0000
88157.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (9)
88158
88159.xword 0x7766000000554422
88160.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (9)
88161
88162.xword 0x706200000054462a
88163.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (9)
88164
88165.xword 0x784d005800423721
88166.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (9)
88167
88168.xword 0x804d006000504020
88169.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (9)
88170
88171.xword 0x0000006000408020
88172.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (9)
88173
88174.xword 0
88175.xword 0x00021113 | (3 << 18) !ma_ctl_StParity (9)
88176
88177.xword 0
88178.xword 0x00020070 | (3 << 18) !ma_ctl_Ld (10)
88179
88180.xword 0
88181.xword 0x0002015a | (3 << 18) !ma_ctl_St (10)
88182
88183.xword 0x80604020
88184.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (10)
88185
88186.xword 0x356a
88187.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (10)
88188
88189.xword 0x0000006000408020
88190.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (10)
88191
88192.xword 0x6a35
88193.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (10)
88194
88195.xword 0x78285050
88196.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (10)
88197
88198.xword 0x80604020
88199.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (10)
88200
88201.xword 0x00287850
88202.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (10)
88203
88204.xword 0x00287850
88205.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (10)
88206
88207.xword 0x78285050
88208.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (10)
88209
88210.xword 0x64500000003c0000
88211.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (10)
88212
88213.xword 0x7766000000554422
88214.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (10)
88215
88216.xword 0x706200000054462a
88217.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (10)
88218
88219.xword 0x784d005800423721
88220.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (10)
88221
88222.xword 0x804d006000504020
88223.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (10)
88224
88225.xword 0x0000006000408020
88226.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (10)
88227
88228.xword 0
88229.xword 0x00021105 | (3 << 18) !ma_ctl_StParity (10)
88230
88231.xword 0
88232.xword 0x00020051 | (3 << 18) !ma_ctl_Ld (11)
88233
88234.xword 0
88235.xword 0x0002014b | (3 << 18) !ma_ctl_St (11)
88236
88237.xword 0x80604020
88238.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (11)
88239
88240.xword 0x356a
88241.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (11)
88242
88243.xword 0x0000006000408020
88244.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (11)
88245
88246.xword 0x6a35
88247.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (11)
88248
88249.xword 0x78285050
88250.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (11)
88251
88252.xword 0x80604020
88253.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (11)
88254
88255.xword 0x00287850
88256.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (11)
88257
88258.xword 0x00287850
88259.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (11)
88260
88261.xword 0x78285050
88262.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (11)
88263
88264.xword 0x64500000003c0000
88265.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (11)
88266
88267.xword 0x7766000000554422
88268.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (11)
88269
88270.xword 0x706200000054462a
88271.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (11)
88272
88273.xword 0x784d005800423721
88274.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (11)
88275
88276.xword 0x804d006000504020
88277.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (11)
88278
88279.xword 0x0000006000408020
88280.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (11)
88281
88282.xword 0
88283.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (11)
88284
88285.xword 0
88286.xword 0x0002006e | (3 << 18) !ma_ctl_Ld (12)
88287
88288.xword 0
88289.xword 0x00020166 | (3 << 18) !ma_ctl_St (12)
88290
88291.xword 0x80604020
88292.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (12)
88293
88294.xword 0x356a
88295.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (12)
88296
88297.xword 0x0000006000408020
88298.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (12)
88299
88300.xword 0x6a35
88301.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (12)
88302
88303.xword 0x78285050
88304.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (12)
88305
88306.xword 0x80604020
88307.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (12)
88308
88309.xword 0x00287850
88310.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (12)
88311
88312.xword 0x00287850
88313.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (12)
88314
88315.xword 0x78285050
88316.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (12)
88317
88318.xword 0x64500000003c0000
88319.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (12)
88320
88321.xword 0x7766000000554422
88322.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (12)
88323
88324.xword 0x706200000054462a
88325.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (12)
88326
88327.xword 0x784d005800423721
88328.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (12)
88329
88330.xword 0x804d006000504020
88331.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (12)
88332
88333.xword 0x0000006000408020
88334.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (12)
88335
88336.xword 0
88337.xword 0x00021117 | (3 << 18) !ma_ctl_StParity (12)
88338
88339.xword 0
88340.xword 0x00020068 | (3 << 18) !ma_ctl_Ld (13)
88341
88342.xword 0
88343.xword 0x0002012e | (3 << 18) !ma_ctl_St (13)
88344
88345.xword 0x80604020
88346.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (13)
88347
88348.xword 0x356a
88349.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (13)
88350
88351.xword 0x0000006000408020
88352.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (13)
88353
88354.xword 0x6a35
88355.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (13)
88356
88357.xword 0x78285050
88358.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (13)
88359
88360.xword 0x80604020
88361.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (13)
88362
88363.xword 0x00287850
88364.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (13)
88365
88366.xword 0x00287850
88367.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (13)
88368
88369.xword 0x78285050
88370.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (13)
88371
88372.xword 0x64500000003c0000
88373.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (13)
88374
88375.xword 0x7766000000554422
88376.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (13)
88377
88378.xword 0x706200000054462a
88379.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (13)
88380
88381.xword 0x784d005800423721
88382.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (13)
88383
88384.xword 0x804d006000504020
88385.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (13)
88386
88387.xword 0x0000006000408020
88388.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (13)
88389
88390.xword 0
88391.xword 0x00021111 | (3 << 18) !ma_ctl_StParity (13)
88392
88393.xword 0
88394.xword 0x00020084 | (3 << 18) !ma_ctl_Ld (14)
88395
88396.xword 0
88397.xword 0x0002017d | (3 << 18) !ma_ctl_St (14)
88398
88399.xword 0x80604020
88400.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (14)
88401
88402.xword 0x356a
88403.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (14)
88404
88405.xword 0x0000006000408020
88406.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (14)
88407
88408.xword 0x6a35
88409.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (14)
88410
88411.xword 0x78285050
88412.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (14)
88413
88414.xword 0x80604020
88415.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (14)
88416
88417.xword 0x00287850
88418.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (14)
88419
88420.xword 0x00287850
88421.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (14)
88422
88423.xword 0x78285050
88424.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (14)
88425
88426.xword 0x64500000003c0000
88427.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (14)
88428
88429.xword 0x7766000000554422
88430.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (14)
88431
88432.xword 0x706200000054462a
88433.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (14)
88434
88435.xword 0x784d005800423721
88436.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (14)
88437
88438.xword 0x804d006000504020
88439.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (14)
88440
88441.xword 0x0000006000408020
88442.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (14)
88443
88444.xword 0
88445.xword 0x00021118 | (3 << 18) !ma_ctl_StParity (14)
88446
88447.xword 0
88448.xword 0x00020023 | (3 << 18) !ma_ctl_Ld (15)
88449
88450.xword 0
88451.xword 0x0002013b | (3 << 18) !ma_ctl_St (15)
88452
88453.xword 0x80604020
88454.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (15)
88455
88456.xword 0x356a
88457.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (15)
88458
88459.xword 0x0000006000408020
88460.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (15)
88461
88462.xword 0x6a35
88463.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (15)
88464
88465.xword 0x78285050
88466.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (15)
88467
88468.xword 0x80604020
88469.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (15)
88470
88471.xword 0x00287850
88472.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (15)
88473
88474.xword 0x00287850
88475.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (15)
88476
88477.xword 0x78285050
88478.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (15)
88479
88480.xword 0x64500000003c0000
88481.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (15)
88482
88483.xword 0x7766000000554422
88484.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (15)
88485
88486.xword 0x706200000054462a
88487.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (15)
88488
88489.xword 0x784d005800423721
88490.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (15)
88491
88492.xword 0x804d006000504020
88493.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (15)
88494
88495.xword 0x0000006000408020
88496.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (15)
88497
88498.xword 0
88499.xword 0x00021102 | (3 << 18) !ma_ctl_StParity (15)
88500
88501.xword 0
88502.xword 0x00020063 | (3 << 18) !ma_ctl_Ld (16)
88503
88504.xword 0
88505.xword 0x00020126 | (3 << 18) !ma_ctl_St (16)
88506
88507.xword 0x80604020
88508.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (16)
88509
88510.xword 0x356a
88511.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (16)
88512
88513.xword 0x0000006000408020
88514.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (16)
88515
88516.xword 0x6a35
88517.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (16)
88518
88519.xword 0x78285050
88520.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (16)
88521
88522.xword 0x80604020
88523.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (16)
88524
88525.xword 0x00287850
88526.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (16)
88527
88528.xword 0x00287850
88529.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (16)
88530
88531.xword 0x78285050
88532.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (16)
88533
88534.xword 0x64500000003c0000
88535.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (16)
88536
88537.xword 0x7766000000554422
88538.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (16)
88539
88540.xword 0x706200000054462a
88541.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (16)
88542
88543.xword 0x784d005800423721
88544.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (16)
88545
88546.xword 0x804d006000504020
88547.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (16)
88548
88549.xword 0x0000006000408020
88550.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (16)
88551
88552.xword 0
88553.xword 0x0002110d | (3 << 18) !ma_ctl_StParity (16)
88554
88555.xword 0
88556.xword 0x0002006a | (3 << 18) !ma_ctl_Ld (17)
88557
88558.xword 0
88559.xword 0x0002014c | (3 << 18) !ma_ctl_St (17)
88560
88561.xword 0x80604020
88562.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (17)
88563
88564.xword 0x356a
88565.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (17)
88566
88567.xword 0x0000006000408020
88568.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (17)
88569
88570.xword 0x6a35
88571.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (17)
88572
88573.xword 0x78285050
88574.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (17)
88575
88576.xword 0x80604020
88577.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (17)
88578
88579.xword 0x00287850
88580.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (17)
88581
88582.xword 0x00287850
88583.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (17)
88584
88585.xword 0x78285050
88586.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (17)
88587
88588.xword 0x64500000003c0000
88589.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (17)
88590
88591.xword 0x7766000000554422
88592.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (17)
88593
88594.xword 0x706200000054462a
88595.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (17)
88596
88597.xword 0x784d005800423721
88598.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (17)
88599
88600.xword 0x804d006000504020
88601.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (17)
88602
88603.xword 0x0000006000408020
88604.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (17)
88605
88606.xword 0
88607.xword 0x00021114 | (3 << 18) !ma_ctl_StParity (17)
88608
88609.xword 0
88610.xword 0x00020042 | (3 << 18) !ma_ctl_Ld (18)
88611
88612.xword 0
88613.xword 0x0002018a | (3 << 18) !ma_ctl_St (18)
88614
88615.xword 0x80604020
88616.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (18)
88617
88618.xword 0x356a
88619.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (18)
88620
88621.xword 0x0000006000408020
88622.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (18)
88623
88624.xword 0x6a35
88625.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (18)
88626
88627.xword 0x78285050
88628.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (18)
88629
88630.xword 0x80604020
88631.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (18)
88632
88633.xword 0x00287850
88634.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (18)
88635
88636.xword 0x00287850
88637.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (18)
88638
88639.xword 0x78285050
88640.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (18)
88641
88642.xword 0x64500000003c0000
88643.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (18)
88644
88645.xword 0x7766000000554422
88646.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (18)
88647
88648.xword 0x706200000054462a
88649.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (18)
88650
88651.xword 0x784d005800423721
88652.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (18)
88653
88654.xword 0x804d006000504020
88655.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (18)
88656
88657.xword 0x0000006000408020
88658.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (18)
88659
88660.xword 0
88661.xword 0x00021111 | (3 << 18) !ma_ctl_StParity (18)
88662
88663.xword 0
88664.xword 0x00020049 | (3 << 18) !ma_ctl_Ld (19)
88665
88666.xword 0
88667.xword 0x0002014b | (3 << 18) !ma_ctl_St (19)
88668
88669.xword 0x80604020
88670.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (19)
88671
88672.xword 0x356a
88673.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (19)
88674
88675.xword 0x0000006000408020
88676.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (19)
88677
88678.xword 0x6a35
88679.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (19)
88680
88681.xword 0x78285050
88682.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (19)
88683
88684.xword 0x80604020
88685.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (19)
88686
88687.xword 0x00287850
88688.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (19)
88689
88690.xword 0x00287850
88691.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (19)
88692
88693.xword 0x78285050
88694.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (19)
88695
88696.xword 0x64500000003c0000
88697.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (19)
88698
88699.xword 0x7766000000554422
88700.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (19)
88701
88702.xword 0x706200000054462a
88703.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (19)
88704
88705.xword 0x784d005800423721
88706.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (19)
88707
88708.xword 0x804d006000504020
88709.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (19)
88710
88711.xword 0x0000006000408020
88712.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (19)
88713
88714.xword 0
88715.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (19)
88716
88717.xword 0
88718.xword 0x0002008a | (3 << 18) !ma_ctl_Ld (20)
88719
88720.xword 0
88721.xword 0x00020144 | (3 << 18) !ma_ctl_St (20)
88722
88723.xword 0x80604020
88724.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (20)
88725
88726.xword 0x356a
88727.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (20)
88728
88729.xword 0x0000006000408020
88730.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (20)
88731
88732.xword 0x6a35
88733.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (20)
88734
88735.xword 0x78285050
88736.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (20)
88737
88738.xword 0x80604020
88739.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (20)
88740
88741.xword 0x00287850
88742.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (20)
88743
88744.xword 0x00287850
88745.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (20)
88746
88747.xword 0x78285050
88748.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (20)
88749
88750.xword 0x64500000003c0000
88751.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (20)
88752
88753.xword 0x7766000000554422
88754.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (20)
88755
88756.xword 0x706200000054462a
88757.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (20)
88758
88759.xword 0x784d005800423721
88760.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (20)
88761
88762.xword 0x804d006000504020
88763.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (20)
88764
88765.xword 0x0000006000408020
88766.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (20)
88767
88768.xword 0
88769.xword 0x0002110a | (3 << 18) !ma_ctl_StParity (20)
88770
88771.xword 0
88772.xword 0x0002003f | (3 << 18) !ma_ctl_Ld (21)
88773
88774.xword 0
88775.xword 0x00020148 | (3 << 18) !ma_ctl_St (21)
88776
88777.xword 0x80604020
88778.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (21)
88779
88780.xword 0x356a
88781.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (21)
88782
88783.xword 0x0000006000408020
88784.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (21)
88785
88786.xword 0x6a35
88787.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (21)
88788
88789.xword 0x78285050
88790.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (21)
88791
88792.xword 0x80604020
88793.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (21)
88794
88795.xword 0x00287850
88796.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (21)
88797
88798.xword 0x00287850
88799.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (21)
88800
88801.xword 0x78285050
88802.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (21)
88803
88804.xword 0x64500000003c0000
88805.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (21)
88806
88807.xword 0x7766000000554422
88808.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (21)
88809
88810.xword 0x706200000054462a
88811.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (21)
88812
88813.xword 0x784d005800423721
88814.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (21)
88815
88816.xword 0x804d006000504020
88817.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (21)
88818
88819.xword 0x0000006000408020
88820.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (21)
88821
88822.xword 0
88823.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (21)
88824
88825.xword 0
88826.xword 0x0002003b | (3 << 18) !ma_ctl_Ld (22)
88827
88828.xword 0
88829.xword 0x00020158 | (3 << 18) !ma_ctl_St (22)
88830
88831.xword 0x80604020
88832.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (22)
88833
88834.xword 0x356a
88835.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (22)
88836
88837.xword 0x0000006000408020
88838.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (22)
88839
88840.xword 0x6a35
88841.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (22)
88842
88843.xword 0x78285050
88844.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (22)
88845
88846.xword 0x80604020
88847.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (22)
88848
88849.xword 0x00287850
88850.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (22)
88851
88852.xword 0x00287850
88853.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (22)
88854
88855.xword 0x78285050
88856.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (22)
88857
88858.xword 0x64500000003c0000
88859.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (22)
88860
88861.xword 0x7766000000554422
88862.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (22)
88863
88864.xword 0x706200000054462a
88865.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (22)
88866
88867.xword 0x784d005800423721
88868.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (22)
88869
88870.xword 0x804d006000504020
88871.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (22)
88872
88873.xword 0x0000006000408020
88874.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (22)
88875
88876.xword 0
88877.xword 0x00021108 | (3 << 18) !ma_ctl_StParity (22)
88878
88879.xword 0
88880.xword 0x00020025 | (3 << 18) !ma_ctl_Ld (23)
88881
88882.xword 0
88883.xword 0x0002013a | (3 << 18) !ma_ctl_St (23)
88884
88885.xword 0x80604020
88886.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (23)
88887
88888.xword 0x356a
88889.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (23)
88890
88891.xword 0x0000006000408020
88892.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (23)
88893
88894.xword 0x6a35
88895.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (23)
88896
88897.xword 0x78285050
88898.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (23)
88899
88900.xword 0x80604020
88901.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (23)
88902
88903.xword 0x00287850
88904.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (23)
88905
88906.xword 0x00287850
88907.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (23)
88908
88909.xword 0x78285050
88910.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (23)
88911
88912.xword 0x64500000003c0000
88913.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (23)
88914
88915.xword 0x7766000000554422
88916.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (23)
88917
88918.xword 0x706200000054462a
88919.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (23)
88920
88921.xword 0x784d005800423721
88922.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (23)
88923
88924.xword 0x804d006000504020
88925.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (23)
88926
88927.xword 0x0000006000408020
88928.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (23)
88929
88930.xword 0
88931.xword 0x0002110b | (3 << 18) !ma_ctl_StParity (23)
88932
88933.xword 0
88934.xword 0x00020096 | (3 << 18) !ma_ctl_Ld (24)
88935
88936.xword 0
88937.xword 0x00020173 | (3 << 18) !ma_ctl_St (24)
88938
88939.xword 0x80604020
88940.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (24)
88941
88942.xword 0x356a
88943.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (24)
88944
88945.xword 0x0000006000408020
88946.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (24)
88947
88948.xword 0x6a35
88949.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (24)
88950
88951.xword 0x78285050
88952.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (24)
88953
88954.xword 0x80604020
88955.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (24)
88956
88957.xword 0x00287850
88958.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (24)
88959
88960.xword 0x00287850
88961.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (24)
88962
88963.xword 0x78285050
88964.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (24)
88965
88966.xword 0x64500000003c0000
88967.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (24)
88968
88969.xword 0x7766000000554422
88970.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (24)
88971
88972.xword 0x706200000054462a
88973.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (24)
88974
88975.xword 0x784d005800423721
88976.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (24)
88977
88978.xword 0x804d006000504020
88979.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (24)
88980
88981.xword 0x0000006000408020
88982.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (24)
88983
88984.xword 0
88985.xword 0x00021113 | (3 << 18) !ma_ctl_StParity (24)
88986
88987.xword 0
88988.xword 0x0002006f | (3 << 18) !ma_ctl_Ld (25)
88989
88990.xword 0
88991.xword 0x00020137 | (3 << 18) !ma_ctl_St (25)
88992
88993.xword 0x80604020
88994.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (25)
88995
88996.xword 0x356a
88997.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (25)
88998
88999.xword 0x0000006000408020
89000.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (25)
89001
89002.xword 0x6a35
89003.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (25)
89004
89005.xword 0x78285050
89006.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (25)
89007
89008.xword 0x80604020
89009.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (25)
89010
89011.xword 0x00287850
89012.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (25)
89013
89014.xword 0x00287850
89015.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (25)
89016
89017.xword 0x78285050
89018.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (25)
89019
89020.xword 0x64500000003c0000
89021.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (25)
89022
89023.xword 0x7766000000554422
89024.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (25)
89025
89026.xword 0x706200000054462a
89027.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (25)
89028
89029.xword 0x784d005800423721
89030.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (25)
89031
89032.xword 0x804d006000504020
89033.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (25)
89034
89035.xword 0x0000006000408020
89036.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (25)
89037
89038.xword 0
89039.xword 0x0002111b | (3 << 18) !ma_ctl_StParity (25)
89040
89041.xword 0
89042.xword 0x00020039 | (3 << 18) !ma_ctl_Ld (26)
89043
89044.xword 0
89045.xword 0x0002014b | (3 << 18) !ma_ctl_St (26)
89046
89047.xword 0x80604020
89048.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (26)
89049
89050.xword 0x356a
89051.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (26)
89052
89053.xword 0x0000006000408020
89054.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (26)
89055
89056.xword 0x6a35
89057.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (26)
89058
89059.xword 0x78285050
89060.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (26)
89061
89062.xword 0x80604020
89063.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (26)
89064
89065.xword 0x00287850
89066.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (26)
89067
89068.xword 0x00287850
89069.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (26)
89070
89071.xword 0x78285050
89072.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (26)
89073
89074.xword 0x64500000003c0000
89075.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (26)
89076
89077.xword 0x7766000000554422
89078.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (26)
89079
89080.xword 0x706200000054462a
89081.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (26)
89082
89083.xword 0x784d005800423721
89084.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (26)
89085
89086.xword 0x804d006000504020
89087.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (26)
89088
89089.xword 0x0000006000408020
89090.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (26)
89091
89092.xword 0
89093.xword 0x0002110c | (3 << 18) !ma_ctl_StParity (26)
89094
89095.xword 0
89096.xword 0x00020071 | (3 << 18) !ma_ctl_Ld (27)
89097
89098.xword 0
89099.xword 0x00020145 | (3 << 18) !ma_ctl_St (27)
89100
89101.xword 0x80604020
89102.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (27)
89103
89104.xword 0x356a
89105.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (27)
89106
89107.xword 0x0000006000408020
89108.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (27)
89109
89110.xword 0x6a35
89111.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (27)
89112
89113.xword 0x78285050
89114.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (27)
89115
89116.xword 0x80604020
89117.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (27)
89118
89119.xword 0x00287850
89120.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (27)
89121
89122.xword 0x00287850
89123.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (27)
89124
89125.xword 0x78285050
89126.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (27)
89127
89128.xword 0x64500000003c0000
89129.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (27)
89130
89131.xword 0x7766000000554422
89132.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (27)
89133
89134.xword 0x706200000054462a
89135.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (27)
89136
89137.xword 0x784d005800423721
89138.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (27)
89139
89140.xword 0x804d006000504020
89141.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (27)
89142
89143.xword 0x0000006000408020
89144.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (27)
89145
89146.xword 0
89147.xword 0x0002111a | (3 << 18) !ma_ctl_StParity (27)
89148
89149.xword 0
89150.xword 0x0002007d | (3 << 18) !ma_ctl_Ld (28)
89151
89152.xword 0
89153.xword 0x00020142 | (3 << 18) !ma_ctl_St (28)
89154
89155.xword 0x80604020
89156.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (28)
89157
89158.xword 0x356a
89159.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (28)
89160
89161.xword 0x0000006000408020
89162.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (28)
89163
89164.xword 0x6a35
89165.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (28)
89166
89167.xword 0x78285050
89168.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (28)
89169
89170.xword 0x80604020
89171.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (28)
89172
89173.xword 0x00287850
89174.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (28)
89175
89176.xword 0x00287850
89177.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (28)
89178
89179.xword 0x78285050
89180.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (28)
89181
89182.xword 0x64500000003c0000
89183.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (28)
89184
89185.xword 0x7766000000554422
89186.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (28)
89187
89188.xword 0x706200000054462a
89189.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (28)
89190
89191.xword 0x784d005800423721
89192.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (28)
89193
89194.xword 0x804d006000504020
89195.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (28)
89196
89197.xword 0x0000006000408020
89198.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (28)
89199
89200.xword 0
89201.xword 0x00021115 | (3 << 18) !ma_ctl_StParity (28)
89202
89203.xword 0
89204.xword 0x00020045 | (3 << 18) !ma_ctl_Ld (29)
89205
89206.xword 0
89207.xword 0x00020165 | (3 << 18) !ma_ctl_St (29)
89208
89209.xword 0x80604020
89210.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (29)
89211
89212.xword 0x356a
89213.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (29)
89214
89215.xword 0x0000006000408020
89216.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (29)
89217
89218.xword 0x6a35
89219.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (29)
89220
89221.xword 0x78285050
89222.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (29)
89223
89224.xword 0x80604020
89225.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (29)
89226
89227.xword 0x00287850
89228.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (29)
89229
89230.xword 0x00287850
89231.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (29)
89232
89233.xword 0x78285050
89234.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (29)
89235
89236.xword 0x64500000003c0000
89237.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (29)
89238
89239.xword 0x7766000000554422
89240.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (29)
89241
89242.xword 0x706200000054462a
89243.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (29)
89244
89245.xword 0x784d005800423721
89246.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (29)
89247
89248.xword 0x804d006000504020
89249.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (29)
89250
89251.xword 0x0000006000408020
89252.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (29)
89253
89254.xword 0
89255.xword 0x0002110f | (3 << 18) !ma_ctl_StParity (29)
89256
89257.xword 0
89258.xword 0x00020071 | (3 << 18) !ma_ctl_Ld (30)
89259
89260.xword 0
89261.xword 0x0002017f | (3 << 18) !ma_ctl_St (30)
89262
89263.xword 0x80604020
89264.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (30)
89265
89266.xword 0x356a
89267.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (30)
89268
89269.xword 0x0000006000408020
89270.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (30)
89271
89272.xword 0x6a35
89273.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (30)
89274
89275.xword 0x78285050
89276.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (30)
89277
89278.xword 0x80604020
89279.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (30)
89280
89281.xword 0x00287850
89282.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (30)
89283
89284.xword 0x00287850
89285.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (30)
89286
89287.xword 0x78285050
89288.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (30)
89289
89290.xword 0x64500000003c0000
89291.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (30)
89292
89293.xword 0x7766000000554422
89294.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (30)
89295
89296.xword 0x706200000054462a
89297.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (30)
89298
89299.xword 0x784d005800423721
89300.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (30)
89301
89302.xword 0x804d006000504020
89303.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (30)
89304
89305.xword 0x0000006000408020
89306.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (30)
89307
89308.xword 0
89309.xword 0x00021102 | (3 << 18) !ma_ctl_StParity (30)
89310
89311.xword 0
89312.xword 0x0002005a | (3 << 18) !ma_ctl_Ld (31)
89313
89314.xword 0
89315.xword 0x0002015d | (3 << 18) !ma_ctl_St (31)
89316
89317.xword 0x80604020
89318.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (31)
89319
89320.xword 0x356a
89321.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (31)
89322
89323.xword 0x0000006000408020
89324.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (31)
89325
89326.xword 0x6a35
89327.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (31)
89328
89329.xword 0x78285050
89330.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (31)
89331
89332.xword 0x80604020
89333.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (31)
89334
89335.xword 0x00287850
89336.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (31)
89337
89338.xword 0x00287850
89339.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (31)
89340
89341.xword 0x78285050
89342.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (31)
89343
89344.xword 0x64500000003c0000
89345.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (31)
89346
89347.xword 0x7766000000554422
89348.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (31)
89349
89350.xword 0x706200000054462a
89351.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (31)
89352
89353.xword 0x784d005800423721
89354.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (31)
89355
89356.xword 0x804d006000504020
89357.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (31)
89358
89359.xword 0x0000006000408020
89360.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (31)
89361
89362.xword 0
89363.xword 0x00021111 | (3 << 18) !ma_ctl_StParity (31)
89364
89365.xword 0
89366.xword 0x0002005c | (3 << 18) !ma_ctl_Ld (32)
89367
89368.xword 0
89369.xword 0x0002016d | (3 << 18) !ma_ctl_St (32)
89370
89371.xword 0x80604020
89372.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (32)
89373
89374.xword 0x356a
89375.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (32)
89376
89377.xword 0x0000006000408020
89378.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (32)
89379
89380.xword 0x6a35
89381.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (32)
89382
89383.xword 0x78285050
89384.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (32)
89385
89386.xword 0x80604020
89387.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (32)
89388
89389.xword 0x00287850
89390.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (32)
89391
89392.xword 0x00287850
89393.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (32)
89394
89395.xword 0x78285050
89396.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (32)
89397
89398.xword 0x64500000003c0000
89399.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (32)
89400
89401.xword 0x7766000000554422
89402.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (32)
89403
89404.xword 0x706200000054462a
89405.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (32)
89406
89407.xword 0x784d005800423721
89408.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (32)
89409
89410.xword 0x804d006000504020
89411.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (32)
89412
89413.xword 0x0000006000408020
89414.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (32)
89415
89416.xword 0
89417.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (32)
89418
89419.xword 0
89420.xword 0x00020075 | (3 << 18) !ma_ctl_Ld (33)
89421
89422.xword 0
89423.xword 0x00020145 | (3 << 18) !ma_ctl_St (33)
89424
89425.xword 0x80604020
89426.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (33)
89427
89428.xword 0x356a
89429.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (33)
89430
89431.xword 0x0000006000408020
89432.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (33)
89433
89434.xword 0x6a35
89435.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (33)
89436
89437.xword 0x78285050
89438.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (33)
89439
89440.xword 0x80604020
89441.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (33)
89442
89443.xword 0x00287850
89444.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (33)
89445
89446.xword 0x00287850
89447.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (33)
89448
89449.xword 0x78285050
89450.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (33)
89451
89452.xword 0x64500000003c0000
89453.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (33)
89454
89455.xword 0x7766000000554422
89456.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (33)
89457
89458.xword 0x706200000054462a
89459.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (33)
89460
89461.xword 0x784d005800423721
89462.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (33)
89463
89464.xword 0x804d006000504020
89465.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (33)
89466
89467.xword 0x0000006000408020
89468.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (33)
89469
89470.xword 0
89471.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (33)
89472
89473.xword 0
89474.xword 0x00020094 | (3 << 18) !ma_ctl_Ld (34)
89475
89476.xword 0
89477.xword 0x00020177 | (3 << 18) !ma_ctl_St (34)
89478
89479.xword 0x80604020
89480.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (34)
89481
89482.xword 0x356a
89483.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (34)
89484
89485.xword 0x0000006000408020
89486.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (34)
89487
89488.xword 0x6a35
89489.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (34)
89490
89491.xword 0x78285050
89492.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (34)
89493
89494.xword 0x80604020
89495.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (34)
89496
89497.xword 0x00287850
89498.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (34)
89499
89500.xword 0x00287850
89501.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (34)
89502
89503.xword 0x78285050
89504.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (34)
89505
89506.xword 0x64500000003c0000
89507.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (34)
89508
89509.xword 0x7766000000554422
89510.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (34)
89511
89512.xword 0x706200000054462a
89513.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (34)
89514
89515.xword 0x784d005800423721
89516.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (34)
89517
89518.xword 0x804d006000504020
89519.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (34)
89520
89521.xword 0x0000006000408020
89522.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (34)
89523
89524.xword 0
89525.xword 0x0002111b | (3 << 18) !ma_ctl_StParity (34)
89526
89527.xword 0
89528.xword 0x00020082 | (3 << 18) !ma_ctl_Ld (35)
89529
89530.xword 0
89531.xword 0x0002011e | (3 << 18) !ma_ctl_St (35)
89532
89533.xword 0x80604020
89534.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (35)
89535
89536.xword 0x356a
89537.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (35)
89538
89539.xword 0x0000006000408020
89540.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (35)
89541
89542.xword 0x6a35
89543.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (35)
89544
89545.xword 0x78285050
89546.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (35)
89547
89548.xword 0x80604020
89549.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (35)
89550
89551.xword 0x00287850
89552.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (35)
89553
89554.xword 0x00287850
89555.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (35)
89556
89557.xword 0x78285050
89558.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (35)
89559
89560.xword 0x64500000003c0000
89561.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (35)
89562
89563.xword 0x7766000000554422
89564.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (35)
89565
89566.xword 0x706200000054462a
89567.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (35)
89568
89569.xword 0x784d005800423721
89570.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (35)
89571
89572.xword 0x804d006000504020
89573.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (35)
89574
89575.xword 0x0000006000408020
89576.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (35)
89577
89578.xword 0
89579.xword 0x00021116 | (3 << 18) !ma_ctl_StParity (35)
89580
89581.xword 0
89582.xword 0x00020062 | (3 << 18) !ma_ctl_Ld (36)
89583
89584.xword 0
89585.xword 0x00020177 | (3 << 18) !ma_ctl_St (36)
89586
89587.xword 0x80604020
89588.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (36)
89589
89590.xword 0x356a
89591.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (36)
89592
89593.xword 0x0000006000408020
89594.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (36)
89595
89596.xword 0x6a35
89597.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (36)
89598
89599.xword 0x78285050
89600.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (36)
89601
89602.xword 0x80604020
89603.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (36)
89604
89605.xword 0x00287850
89606.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (36)
89607
89608.xword 0x00287850
89609.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (36)
89610
89611.xword 0x78285050
89612.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (36)
89613
89614.xword 0x64500000003c0000
89615.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (36)
89616
89617.xword 0x7766000000554422
89618.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (36)
89619
89620.xword 0x706200000054462a
89621.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (36)
89622
89623.xword 0x784d005800423721
89624.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (36)
89625
89626.xword 0x804d006000504020
89627.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (36)
89628
89629.xword 0x0000006000408020
89630.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (36)
89631
89632.xword 0
89633.xword 0x00021104 | (3 << 18) !ma_ctl_StParity (36)
89634
89635.xword 0
89636.xword 0x00020070 | (3 << 18) !ma_ctl_Ld (37)
89637
89638.xword 0
89639.xword 0x0002017f | (3 << 18) !ma_ctl_St (37)
89640
89641.xword 0x80604020
89642.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (37)
89643
89644.xword 0x356a
89645.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (37)
89646
89647.xword 0x0000006000408020
89648.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (37)
89649
89650.xword 0x6a35
89651.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (37)
89652
89653.xword 0x78285050
89654.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (37)
89655
89656.xword 0x80604020
89657.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (37)
89658
89659.xword 0x00287850
89660.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (37)
89661
89662.xword 0x00287850
89663.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (37)
89664
89665.xword 0x78285050
89666.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (37)
89667
89668.xword 0x64500000003c0000
89669.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (37)
89670
89671.xword 0x7766000000554422
89672.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (37)
89673
89674.xword 0x706200000054462a
89675.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (37)
89676
89677.xword 0x784d005800423721
89678.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (37)
89679
89680.xword 0x804d006000504020
89681.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (37)
89682
89683.xword 0x0000006000408020
89684.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (37)
89685
89686.xword 0
89687.xword 0x0002111a | (3 << 18) !ma_ctl_StParity (37)
89688
89689.xword 0
89690.xword 0x00020022 | (3 << 18) !ma_ctl_Ld (38)
89691
89692.xword 0
89693.xword 0x00020130 | (3 << 18) !ma_ctl_St (38)
89694
89695.xword 0x80604020
89696.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (38)
89697
89698.xword 0x356a
89699.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (38)
89700
89701.xword 0x0000006000408020
89702.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (38)
89703
89704.xword 0x6a35
89705.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (38)
89706
89707.xword 0x78285050
89708.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (38)
89709
89710.xword 0x80604020
89711.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (38)
89712
89713.xword 0x00287850
89714.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (38)
89715
89716.xword 0x00287850
89717.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (38)
89718
89719.xword 0x78285050
89720.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (38)
89721
89722.xword 0x64500000003c0000
89723.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (38)
89724
89725.xword 0x7766000000554422
89726.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (38)
89727
89728.xword 0x706200000054462a
89729.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (38)
89730
89731.xword 0x784d005800423721
89732.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (38)
89733
89734.xword 0x804d006000504020
89735.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (38)
89736
89737.xword 0x0000006000408020
89738.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (38)
89739
89740.xword 0
89741.xword 0x00021111 | (3 << 18) !ma_ctl_StParity (38)
89742
89743.xword 0
89744.xword 0x00020050 | (3 << 18) !ma_ctl_Ld (39)
89745
89746.xword 0
89747.xword 0x00020156 | (3 << 18) !ma_ctl_St (39)
89748
89749.xword 0x80604020
89750.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (39)
89751
89752.xword 0x356a
89753.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (39)
89754
89755.xword 0x0000006000408020
89756.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (39)
89757
89758.xword 0x6a35
89759.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (39)
89760
89761.xword 0x78285050
89762.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (39)
89763
89764.xword 0x80604020
89765.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (39)
89766
89767.xword 0x00287850
89768.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (39)
89769
89770.xword 0x00287850
89771.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (39)
89772
89773.xword 0x78285050
89774.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (39)
89775
89776.xword 0x64500000003c0000
89777.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (39)
89778
89779.xword 0x7766000000554422
89780.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (39)
89781
89782.xword 0x706200000054462a
89783.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (39)
89784
89785.xword 0x784d005800423721
89786.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (39)
89787
89788.xword 0x804d006000504020
89789.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (39)
89790
89791.xword 0x0000006000408020
89792.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (39)
89793
89794.xword 0
89795.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (39)
89796
89797.xword 0
89798.xword 0x00020066 | (3 << 18) !ma_ctl_Ld (40)
89799
89800.xword 0
89801.xword 0x00020175 | (3 << 18) !ma_ctl_St (40)
89802
89803.xword 0x80604020
89804.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (40)
89805
89806.xword 0x356a
89807.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (40)
89808
89809.xword 0x0000006000408020
89810.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (40)
89811
89812.xword 0x6a35
89813.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (40)
89814
89815.xword 0x78285050
89816.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (40)
89817
89818.xword 0x80604020
89819.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (40)
89820
89821.xword 0x00287850
89822.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (40)
89823
89824.xword 0x00287850
89825.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (40)
89826
89827.xword 0x78285050
89828.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (40)
89829
89830.xword 0x64500000003c0000
89831.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (40)
89832
89833.xword 0x7766000000554422
89834.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (40)
89835
89836.xword 0x706200000054462a
89837.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (40)
89838
89839.xword 0x784d005800423721
89840.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (40)
89841
89842.xword 0x804d006000504020
89843.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (40)
89844
89845.xword 0x0000006000408020
89846.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (40)
89847
89848.xword 0
89849.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (40)
89850
89851.xword 0
89852.xword 0x00020071 | (3 << 18) !ma_ctl_Ld (41)
89853
89854.xword 0
89855.xword 0x0002015c | (3 << 18) !ma_ctl_St (41)
89856
89857.xword 0x80604020
89858.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (41)
89859
89860.xword 0x356a
89861.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (41)
89862
89863.xword 0x0000006000408020
89864.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (41)
89865
89866.xword 0x6a35
89867.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (41)
89868
89869.xword 0x78285050
89870.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (41)
89871
89872.xword 0x80604020
89873.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (41)
89874
89875.xword 0x00287850
89876.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (41)
89877
89878.xword 0x00287850
89879.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (41)
89880
89881.xword 0x78285050
89882.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (41)
89883
89884.xword 0x64500000003c0000
89885.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (41)
89886
89887.xword 0x7766000000554422
89888.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (41)
89889
89890.xword 0x706200000054462a
89891.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (41)
89892
89893.xword 0x784d005800423721
89894.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (41)
89895
89896.xword 0x804d006000504020
89897.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (41)
89898
89899.xword 0x0000006000408020
89900.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (41)
89901
89902.xword 0
89903.xword 0x0002111e | (3 << 18) !ma_ctl_StParity (41)
89904
89905.xword 0
89906.xword 0x00020083 | (3 << 18) !ma_ctl_Ld (42)
89907
89908.xword 0
89909.xword 0x00020132 | (3 << 18) !ma_ctl_St (42)
89910
89911.xword 0x80604020
89912.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (42)
89913
89914.xword 0x356a
89915.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (42)
89916
89917.xword 0x0000006000408020
89918.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (42)
89919
89920.xword 0x6a35
89921.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (42)
89922
89923.xword 0x78285050
89924.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (42)
89925
89926.xword 0x80604020
89927.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (42)
89928
89929.xword 0x00287850
89930.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (42)
89931
89932.xword 0x00287850
89933.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (42)
89934
89935.xword 0x78285050
89936.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (42)
89937
89938.xword 0x64500000003c0000
89939.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (42)
89940
89941.xword 0x7766000000554422
89942.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (42)
89943
89944.xword 0x706200000054462a
89945.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (42)
89946
89947.xword 0x784d005800423721
89948.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (42)
89949
89950.xword 0x804d006000504020
89951.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (42)
89952
89953.xword 0x0000006000408020
89954.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (42)
89955
89956.xword 0
89957.xword 0x00021102 | (3 << 18) !ma_ctl_StParity (42)
89958
89959.xword 0
89960.xword 0x0002008b | (3 << 18) !ma_ctl_Ld (43)
89961
89962.xword 0
89963.xword 0x0002013b | (3 << 18) !ma_ctl_St (43)
89964
89965.xword 0x80604020
89966.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (43)
89967
89968.xword 0x356a
89969.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (43)
89970
89971.xword 0x0000006000408020
89972.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (43)
89973
89974.xword 0x6a35
89975.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (43)
89976
89977.xword 0x78285050
89978.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (43)
89979
89980.xword 0x80604020
89981.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (43)
89982
89983.xword 0x00287850
89984.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (43)
89985
89986.xword 0x00287850
89987.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (43)
89988
89989.xword 0x78285050
89990.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (43)
89991
89992.xword 0x64500000003c0000
89993.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (43)
89994
89995.xword 0x7766000000554422
89996.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (43)
89997
89998.xword 0x706200000054462a
89999.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (43)
90000
90001.xword 0x784d005800423721
90002.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (43)
90003
90004.xword 0x804d006000504020
90005.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (43)
90006
90007.xword 0x0000006000408020
90008.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (43)
90009
90010.xword 0
90011.xword 0x0002110d | (3 << 18) !ma_ctl_StParity (43)
90012
90013.xword 0
90014.xword 0x0002004b | (3 << 18) !ma_ctl_Ld (44)
90015
90016.xword 0
90017.xword 0x00020163 | (3 << 18) !ma_ctl_St (44)
90018
90019.xword 0x80604020
90020.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (44)
90021
90022.xword 0x356a
90023.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (44)
90024
90025.xword 0x0000006000408020
90026.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (44)
90027
90028.xword 0x6a35
90029.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (44)
90030
90031.xword 0x78285050
90032.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (44)
90033
90034.xword 0x80604020
90035.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (44)
90036
90037.xword 0x00287850
90038.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (44)
90039
90040.xword 0x00287850
90041.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (44)
90042
90043.xword 0x78285050
90044.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (44)
90045
90046.xword 0x64500000003c0000
90047.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (44)
90048
90049.xword 0x7766000000554422
90050.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (44)
90051
90052.xword 0x706200000054462a
90053.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (44)
90054
90055.xword 0x784d005800423721
90056.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (44)
90057
90058.xword 0x804d006000504020
90059.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (44)
90060
90061.xword 0x0000006000408020
90062.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (44)
90063
90064.xword 0
90065.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (44)
90066
90067.xword 0
90068.xword 0x0002008c | (3 << 18) !ma_ctl_Ld (45)
90069
90070.xword 0
90071.xword 0x00020156 | (3 << 18) !ma_ctl_St (45)
90072
90073.xword 0x80604020
90074.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (45)
90075
90076.xword 0x356a
90077.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (45)
90078
90079.xword 0x0000006000408020
90080.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (45)
90081
90082.xword 0x6a35
90083.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (45)
90084
90085.xword 0x78285050
90086.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (45)
90087
90088.xword 0x80604020
90089.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (45)
90090
90091.xword 0x00287850
90092.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (45)
90093
90094.xword 0x00287850
90095.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (45)
90096
90097.xword 0x78285050
90098.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (45)
90099
90100.xword 0x64500000003c0000
90101.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (45)
90102
90103.xword 0x7766000000554422
90104.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (45)
90105
90106.xword 0x706200000054462a
90107.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (45)
90108
90109.xword 0x784d005800423721
90110.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (45)
90111
90112.xword 0x804d006000504020
90113.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (45)
90114
90115.xword 0x0000006000408020
90116.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (45)
90117
90118.xword 0
90119.xword 0x00021108 | (3 << 18) !ma_ctl_StParity (45)
90120
90121.xword 0
90122.xword 0x00020053 | (3 << 18) !ma_ctl_Ld (46)
90123
90124.xword 0
90125.xword 0x00020144 | (3 << 18) !ma_ctl_St (46)
90126
90127.xword 0x80604020
90128.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (46)
90129
90130.xword 0x356a
90131.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (46)
90132
90133.xword 0x0000006000408020
90134.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (46)
90135
90136.xword 0x6a35
90137.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (46)
90138
90139.xword 0x78285050
90140.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (46)
90141
90142.xword 0x80604020
90143.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (46)
90144
90145.xword 0x00287850
90146.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (46)
90147
90148.xword 0x00287850
90149.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (46)
90150
90151.xword 0x78285050
90152.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (46)
90153
90154.xword 0x64500000003c0000
90155.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (46)
90156
90157.xword 0x7766000000554422
90158.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (46)
90159
90160.xword 0x706200000054462a
90161.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (46)
90162
90163.xword 0x784d005800423721
90164.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (46)
90165
90166.xword 0x804d006000504020
90167.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (46)
90168
90169.xword 0x0000006000408020
90170.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (46)
90171
90172.xword 0
90173.xword 0x00021100 | (3 << 18) !ma_ctl_StParity (46)
90174
90175.xword 0
90176.xword 0x0002004f | (3 << 18) !ma_ctl_Ld (47)
90177
90178.xword 0
90179.xword 0x0002014f | (3 << 18) !ma_ctl_St (47)
90180
90181.xword 0x80604020
90182.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (47)
90183
90184.xword 0x356a
90185.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (47)
90186
90187.xword 0x0000006000408020
90188.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (47)
90189
90190.xword 0x6a35
90191.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (47)
90192
90193.xword 0x78285050
90194.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (47)
90195
90196.xword 0x80604020
90197.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (47)
90198
90199.xword 0x00287850
90200.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (47)
90201
90202.xword 0x00287850
90203.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (47)
90204
90205.xword 0x78285050
90206.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (47)
90207
90208.xword 0x64500000003c0000
90209.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (47)
90210
90211.xword 0x7766000000554422
90212.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (47)
90213
90214.xword 0x706200000054462a
90215.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (47)
90216
90217.xword 0x784d005800423721
90218.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (47)
90219
90220.xword 0x804d006000504020
90221.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (47)
90222
90223.xword 0x0000006000408020
90224.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (47)
90225
90226.xword 0
90227.xword 0x0002110b | (3 << 18) !ma_ctl_StParity (47)
90228
90229.xword 0
90230.xword 0x00020073 | (3 << 18) !ma_ctl_Ld (48)
90231
90232.xword 0
90233.xword 0x00020171 | (3 << 18) !ma_ctl_St (48)
90234
90235.xword 0x80604020
90236.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (48)
90237
90238.xword 0x356a
90239.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (48)
90240
90241.xword 0x0000006000408020
90242.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (48)
90243
90244.xword 0x6a35
90245.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (48)
90246
90247.xword 0x78285050
90248.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (48)
90249
90250.xword 0x80604020
90251.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (48)
90252
90253.xword 0x00287850
90254.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (48)
90255
90256.xword 0x00287850
90257.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (48)
90258
90259.xword 0x78285050
90260.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (48)
90261
90262.xword 0x64500000003c0000
90263.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (48)
90264
90265.xword 0x7766000000554422
90266.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (48)
90267
90268.xword 0x706200000054462a
90269.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (48)
90270
90271.xword 0x784d005800423721
90272.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (48)
90273
90274.xword 0x804d006000504020
90275.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (48)
90276
90277.xword 0x0000006000408020
90278.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (48)
90279
90280.xword 0
90281.xword 0x00021101 | (3 << 18) !ma_ctl_StParity (48)
90282
90283.xword 0
90284.xword 0x00020099 | (3 << 18) !ma_ctl_Ld (49)
90285
90286.xword 0
90287.xword 0x0002011f | (3 << 18) !ma_ctl_St (49)
90288
90289.xword 0x80604020
90290.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (49)
90291
90292.xword 0x356a
90293.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (49)
90294
90295.xword 0x0000006000408020
90296.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (49)
90297
90298.xword 0x6a35
90299.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (49)
90300
90301.xword 0x78285050
90302.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (49)
90303
90304.xword 0x80604020
90305.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (49)
90306
90307.xword 0x00287850
90308.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (49)
90309
90310.xword 0x00287850
90311.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (49)
90312
90313.xword 0x78285050
90314.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (49)
90315
90316.xword 0x64500000003c0000
90317.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (49)
90318
90319.xword 0x7766000000554422
90320.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (49)
90321
90322.xword 0x706200000054462a
90323.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (49)
90324
90325.xword 0x784d005800423721
90326.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (49)
90327
90328.xword 0x804d006000504020
90329.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (49)
90330
90331.xword 0x0000006000408020
90332.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (49)
90333
90334.xword 0
90335.xword 0x00021100 | (3 << 18) !ma_ctl_StParity (49)
90336
90337.xword 0
90338.xword 0x0002008b | (3 << 18) !ma_ctl_Ld (50)
90339
90340.xword 0
90341.xword 0x00020173 | (3 << 18) !ma_ctl_St (50)
90342
90343.xword 0x80604020
90344.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (50)
90345
90346.xword 0x356a
90347.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (50)
90348
90349.xword 0x0000006000408020
90350.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (50)
90351
90352.xword 0x6a35
90353.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (50)
90354
90355.xword 0x78285050
90356.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (50)
90357
90358.xword 0x80604020
90359.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (50)
90360
90361.xword 0x00287850
90362.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (50)
90363
90364.xword 0x00287850
90365.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (50)
90366
90367.xword 0x78285050
90368.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (50)
90369
90370.xword 0x64500000003c0000
90371.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (50)
90372
90373.xword 0x7766000000554422
90374.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (50)
90375
90376.xword 0x706200000054462a
90377.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (50)
90378
90379.xword 0x784d005800423721
90380.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (50)
90381
90382.xword 0x804d006000504020
90383.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (50)
90384
90385.xword 0x0000006000408020
90386.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (50)
90387
90388.xword 0
90389.xword 0x00021118 | (3 << 18) !ma_ctl_StParity (50)
90390
90391.xword 0
90392.xword 0x00020036 | (3 << 18) !ma_ctl_Ld (51)
90393
90394.xword 0
90395.xword 0x00020177 | (3 << 18) !ma_ctl_St (51)
90396
90397.xword 0x80604020
90398.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (51)
90399
90400.xword 0x356a
90401.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (51)
90402
90403.xword 0x0000006000408020
90404.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (51)
90405
90406.xword 0x6a35
90407.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (51)
90408
90409.xword 0x78285050
90410.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (51)
90411
90412.xword 0x80604020
90413.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (51)
90414
90415.xword 0x00287850
90416.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (51)
90417
90418.xword 0x00287850
90419.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (51)
90420
90421.xword 0x78285050
90422.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (51)
90423
90424.xword 0x64500000003c0000
90425.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (51)
90426
90427.xword 0x7766000000554422
90428.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (51)
90429
90430.xword 0x706200000054462a
90431.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (51)
90432
90433.xword 0x784d005800423721
90434.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (51)
90435
90436.xword 0x804d006000504020
90437.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (51)
90438
90439.xword 0x0000006000408020
90440.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (51)
90441
90442.xword 0
90443.xword 0x00021104 | (3 << 18) !ma_ctl_StParity (51)
90444
90445.xword 0
90446.xword 0x00020069 | (3 << 18) !ma_ctl_Ld (52)
90447
90448.xword 0
90449.xword 0x00020153 | (3 << 18) !ma_ctl_St (52)
90450
90451.xword 0x80604020
90452.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (52)
90453
90454.xword 0x356a
90455.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (52)
90456
90457.xword 0x0000006000408020
90458.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (52)
90459
90460.xword 0x6a35
90461.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (52)
90462
90463.xword 0x78285050
90464.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (52)
90465
90466.xword 0x80604020
90467.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (52)
90468
90469.xword 0x00287850
90470.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (52)
90471
90472.xword 0x00287850
90473.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (52)
90474
90475.xword 0x78285050
90476.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (52)
90477
90478.xword 0x64500000003c0000
90479.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (52)
90480
90481.xword 0x7766000000554422
90482.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (52)
90483
90484.xword 0x706200000054462a
90485.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (52)
90486
90487.xword 0x784d005800423721
90488.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (52)
90489
90490.xword 0x804d006000504020
90491.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (52)
90492
90493.xword 0x0000006000408020
90494.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (52)
90495
90496.xword 0
90497.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (52)
90498
90499.xword 0
90500.xword 0x0002008b | (3 << 18) !ma_ctl_Ld (53)
90501
90502.xword 0
90503.xword 0x00020179 | (3 << 18) !ma_ctl_St (53)
90504
90505.xword 0x80604020
90506.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (53)
90507
90508.xword 0x356a
90509.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (53)
90510
90511.xword 0x0000006000408020
90512.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (53)
90513
90514.xword 0x6a35
90515.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (53)
90516
90517.xword 0x78285050
90518.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (53)
90519
90520.xword 0x80604020
90521.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (53)
90522
90523.xword 0x00287850
90524.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (53)
90525
90526.xword 0x00287850
90527.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (53)
90528
90529.xword 0x78285050
90530.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (53)
90531
90532.xword 0x64500000003c0000
90533.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (53)
90534
90535.xword 0x7766000000554422
90536.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (53)
90537
90538.xword 0x706200000054462a
90539.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (53)
90540
90541.xword 0x784d005800423721
90542.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (53)
90543
90544.xword 0x804d006000504020
90545.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (53)
90546
90547.xword 0x0000006000408020
90548.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (53)
90549
90550.xword 0
90551.xword 0x0002110f | (3 << 18) !ma_ctl_StParity (53)
90552
90553.xword 0
90554.xword 0x0002007b | (3 << 18) !ma_ctl_Ld (54)
90555
90556.xword 0
90557.xword 0x00020122 | (3 << 18) !ma_ctl_St (54)
90558
90559.xword 0x80604020
90560.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (54)
90561
90562.xword 0x356a
90563.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (54)
90564
90565.xword 0x0000006000408020
90566.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (54)
90567
90568.xword 0x6a35
90569.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (54)
90570
90571.xword 0x78285050
90572.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (54)
90573
90574.xword 0x80604020
90575.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (54)
90576
90577.xword 0x00287850
90578.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (54)
90579
90580.xword 0x00287850
90581.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (54)
90582
90583.xword 0x78285050
90584.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (54)
90585
90586.xword 0x64500000003c0000
90587.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (54)
90588
90589.xword 0x7766000000554422
90590.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (54)
90591
90592.xword 0x706200000054462a
90593.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (54)
90594
90595.xword 0x784d005800423721
90596.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (54)
90597
90598.xword 0x804d006000504020
90599.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (54)
90600
90601.xword 0x0000006000408020
90602.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (54)
90603
90604.xword 0
90605.xword 0x00021109 | (3 << 18) !ma_ctl_StParity (54)
90606
90607.xword 0
90608.xword 0x00020070 | (3 << 18) !ma_ctl_Ld (55)
90609
90610.xword 0
90611.xword 0x0002011f | (3 << 18) !ma_ctl_St (55)
90612
90613.xword 0x80604020
90614.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (55)
90615
90616.xword 0x356a
90617.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (55)
90618
90619.xword 0x0000006000408020
90620.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (55)
90621
90622.xword 0x6a35
90623.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (55)
90624
90625.xword 0x78285050
90626.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (55)
90627
90628.xword 0x80604020
90629.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (55)
90630
90631.xword 0x00287850
90632.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (55)
90633
90634.xword 0x00287850
90635.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (55)
90636
90637.xword 0x78285050
90638.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (55)
90639
90640.xword 0x64500000003c0000
90641.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (55)
90642
90643.xword 0x7766000000554422
90644.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (55)
90645
90646.xword 0x706200000054462a
90647.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (55)
90648
90649.xword 0x784d005800423721
90650.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (55)
90651
90652.xword 0x804d006000504020
90653.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (55)
90654
90655.xword 0x0000006000408020
90656.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (55)
90657
90658.xword 0
90659.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (55)
90660
90661.xword 0
90662.xword 0x00020030 | (3 << 18) !ma_ctl_Ld (56)
90663
90664.xword 0
90665.xword 0x00020163 | (3 << 18) !ma_ctl_St (56)
90666
90667.xword 0x80604020
90668.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (56)
90669
90670.xword 0x356a
90671.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (56)
90672
90673.xword 0x0000006000408020
90674.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (56)
90675
90676.xword 0x6a35
90677.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (56)
90678
90679.xword 0x78285050
90680.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (56)
90681
90682.xword 0x80604020
90683.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (56)
90684
90685.xword 0x00287850
90686.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (56)
90687
90688.xword 0x00287850
90689.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (56)
90690
90691.xword 0x78285050
90692.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (56)
90693
90694.xword 0x64500000003c0000
90695.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (56)
90696
90697.xword 0x7766000000554422
90698.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (56)
90699
90700.xword 0x706200000054462a
90701.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (56)
90702
90703.xword 0x784d005800423721
90704.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (56)
90705
90706.xword 0x804d006000504020
90707.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (56)
90708
90709.xword 0x0000006000408020
90710.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (56)
90711
90712.xword 0
90713.xword 0x00021104 | (3 << 18) !ma_ctl_StParity (56)
90714
90715.xword 0
90716.xword 0x0002009e | (3 << 18) !ma_ctl_Ld (57)
90717
90718.xword 0
90719.xword 0x0002016b | (3 << 18) !ma_ctl_St (57)
90720
90721.xword 0x80604020
90722.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (57)
90723
90724.xword 0x356a
90725.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (57)
90726
90727.xword 0x0000006000408020
90728.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (57)
90729
90730.xword 0x6a35
90731.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (57)
90732
90733.xword 0x78285050
90734.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (57)
90735
90736.xword 0x80604020
90737.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (57)
90738
90739.xword 0x00287850
90740.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (57)
90741
90742.xword 0x00287850
90743.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (57)
90744
90745.xword 0x78285050
90746.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (57)
90747
90748.xword 0x64500000003c0000
90749.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (57)
90750
90751.xword 0x7766000000554422
90752.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (57)
90753
90754.xword 0x706200000054462a
90755.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (57)
90756
90757.xword 0x784d005800423721
90758.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (57)
90759
90760.xword 0x804d006000504020
90761.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (57)
90762
90763.xword 0x0000006000408020
90764.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (57)
90765
90766.xword 0
90767.xword 0x00021106 | (3 << 18) !ma_ctl_StParity (57)
90768
90769.xword 0
90770.xword 0x0002004a | (3 << 18) !ma_ctl_Ld (58)
90771
90772.xword 0
90773.xword 0x00020130 | (3 << 18) !ma_ctl_St (58)
90774
90775.xword 0x80604020
90776.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (58)
90777
90778.xword 0x356a
90779.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (58)
90780
90781.xword 0x0000006000408020
90782.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (58)
90783
90784.xword 0x6a35
90785.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (58)
90786
90787.xword 0x78285050
90788.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (58)
90789
90790.xword 0x80604020
90791.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (58)
90792
90793.xword 0x00287850
90794.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (58)
90795
90796.xword 0x00287850
90797.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (58)
90798
90799.xword 0x78285050
90800.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (58)
90801
90802.xword 0x64500000003c0000
90803.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (58)
90804
90805.xword 0x7766000000554422
90806.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (58)
90807
90808.xword 0x706200000054462a
90809.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (58)
90810
90811.xword 0x784d005800423721
90812.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (58)
90813
90814.xword 0x804d006000504020
90815.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (58)
90816
90817.xword 0x0000006000408020
90818.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (58)
90819
90820.xword 0
90821.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (58)
90822
90823
90824.align 8
90825.global _t2_ma_results4
90826_t2_ma_results4:
90827.xword 0xDEADBEEFDEADBEEF
90828.xword 0xDEADBEEFDEADBEEF
90829.xword 0xDEADBEEFDEADBEEF
90830.xword 0xDEADBEEFDEADBEEF
90831.xword 0xDEADBEEFDEADBEEF
90832.xword 0xDEADBEEFDEADBEEF
90833.xword 0xDEADBEEFDEADBEEF
90834.xword 0xDEADBEEFDEADBEEF
90835.xword 0xDEADBEEFDEADBEEF
90836.xword 0xDEADBEEFDEADBEEF
90837.xword 0xDEADBEEFDEADBEEF
90838.xword 0xDEADBEEFDEADBEEF
90839.xword 0xDEADBEEFDEADBEEF
90840.xword 0xDEADBEEFDEADBEEF
90841.xword 0xDEADBEEFDEADBEEF
90842.xword 0xDEADBEEFDEADBEEF
90843.xword 0xDEADBEEFDEADBEEF
90844.xword 0xDEADBEEFDEADBEEF
90845.xword 0xDEADBEEFDEADBEEF
90846.xword 0xDEADBEEFDEADBEEF
90847.xword 0xDEADBEEFDEADBEEF
90848.xword 0xDEADBEEFDEADBEEF
90849.xword 0xDEADBEEFDEADBEEF
90850.xword 0xDEADBEEFDEADBEEF
90851.xword 0xDEADBEEFDEADBEEF
90852.xword 0xDEADBEEFDEADBEEF
90853.xword 0xDEADBEEFDEADBEEF
90854.xword 0xDEADBEEFDEADBEEF
90855.xword 0xDEADBEEFDEADBEEF
90856.xword 0xDEADBEEFDEADBEEF
90857.xword 0xDEADBEEFDEADBEEF
90858.xword 0xDEADBEEFDEADBEEF
90859.xword 0xDEADBEEFDEADBEEF
90860.xword 0xDEADBEEFDEADBEEF
90861.xword 0xDEADBEEFDEADBEEF
90862.xword 0xDEADBEEFDEADBEEF
90863.xword 0xDEADBEEFDEADBEEF
90864.xword 0xDEADBEEFDEADBEEF
90865.xword 0xDEADBEEFDEADBEEF
90866.xword 0xDEADBEEFDEADBEEF
90867.xword 0xDEADBEEFDEADBEEF
90868.xword 0xDEADBEEFDEADBEEF
90869.xword 0xDEADBEEFDEADBEEF
90870.xword 0xDEADBEEFDEADBEEF
90871.xword 0xDEADBEEFDEADBEEF
90872.xword 0xDEADBEEFDEADBEEF
90873.xword 0xDEADBEEFDEADBEEF
90874.xword 0xDEADBEEFDEADBEEF
90875.xword 0xDEADBEEFDEADBEEF
90876.xword 0xDEADBEEFDEADBEEF
90877.xword 0xDEADBEEFDEADBEEF
90878.xword 0xDEADBEEFDEADBEEF
90879.xword 0xDEADBEEFDEADBEEF
90880.xword 0xDEADBEEFDEADBEEF
90881.xword 0xDEADBEEFDEADBEEF
90882.xword 0xDEADBEEFDEADBEEF
90883.xword 0xDEADBEEFDEADBEEF
90884.xword 0xDEADBEEFDEADBEEF
90885.xword 0xDEADBEEFDEADBEEF
90886.xword 0xDEADBEEFDEADBEEF
90887.xword 0xDEADBEEFDEADBEEF
90888.xword 0xDEADBEEFDEADBEEF
90889.xword 0xDEADBEEFDEADBEEF
90890.xword 0xDEADBEEFDEADBEEF
90891.xword 0xDEADBEEFDEADBEEF
90892.xword 0xDEADBEEFDEADBEEF
90893.xword 0xDEADBEEFDEADBEEF
90894.xword 0xDEADBEEFDEADBEEF
90895.xword 0xDEADBEEFDEADBEEF
90896.xword 0xDEADBEEFDEADBEEF
90897.xword 0xDEADBEEFDEADBEEF
90898.xword 0xDEADBEEFDEADBEEF
90899.xword 0xDEADBEEFDEADBEEF
90900.xword 0xDEADBEEFDEADBEEF
90901.xword 0xDEADBEEFDEADBEEF
90902.xword 0xDEADBEEFDEADBEEF
90903.xword 0xDEADBEEFDEADBEEF
90904.xword 0xDEADBEEFDEADBEEF
90905.xword 0xDEADBEEFDEADBEEF
90906.xword 0xDEADBEEFDEADBEEF
90907.xword 0xDEADBEEFDEADBEEF
90908.xword 0xDEADBEEFDEADBEEF
90909.xword 0xDEADBEEFDEADBEEF
90910.xword 0xDEADBEEFDEADBEEF
90911.xword 0xDEADBEEFDEADBEEF
90912.xword 0xDEADBEEFDEADBEEF
90913.xword 0xDEADBEEFDEADBEEF
90914.xword 0xDEADBEEFDEADBEEF
90915.xword 0xDEADBEEFDEADBEEF
90916.xword 0xDEADBEEFDEADBEEF
90917.xword 0xDEADBEEFDEADBEEF
90918.xword 0xDEADBEEFDEADBEEF
90919.xword 0xDEADBEEFDEADBEEF
90920.xword 0xDEADBEEFDEADBEEF
90921.xword 0xDEADBEEFDEADBEEF
90922.xword 0xDEADBEEFDEADBEEF
90923.xword 0xDEADBEEFDEADBEEF
90924.xword 0xDEADBEEFDEADBEEF
90925.xword 0xDEADBEEFDEADBEEF
90926.xword 0xDEADBEEFDEADBEEF
90927.xword 0xDEADBEEFDEADBEEF
90928.xword 0xDEADBEEFDEADBEEF
90929.xword 0xDEADBEEFDEADBEEF
90930.xword 0xDEADBEEFDEADBEEF
90931.xword 0xDEADBEEFDEADBEEF
90932.xword 0xDEADBEEFDEADBEEF
90933.xword 0xDEADBEEFDEADBEEF
90934.xword 0xDEADBEEFDEADBEEF
90935.xword 0xDEADBEEFDEADBEEF
90936.xword 0xDEADBEEFDEADBEEF
90937.xword 0xDEADBEEFDEADBEEF
90938.xword 0xDEADBEEFDEADBEEF
90939.xword 0xDEADBEEFDEADBEEF
90940.xword 0xDEADBEEFDEADBEEF
90941.xword 0xDEADBEEFDEADBEEF
90942.xword 0xDEADBEEFDEADBEEF
90943.xword 0xDEADBEEFDEADBEEF
90944.xword 0xDEADBEEFDEADBEEF
90945.xword 0xDEADBEEFDEADBEEF
90946.xword 0xDEADBEEFDEADBEEF
90947.xword 0xDEADBEEFDEADBEEF
90948.xword 0xDEADBEEFDEADBEEF
90949.xword 0xDEADBEEFDEADBEEF
90950.xword 0xDEADBEEFDEADBEEF
90951.xword 0xDEADBEEFDEADBEEF
90952.xword 0xDEADBEEFDEADBEEF
90953.xword 0xDEADBEEFDEADBEEF
90954.xword 0xDEADBEEFDEADBEEF
90955.xword 0xDEADBEEFDEADBEEF
90956.xword 0xDEADBEEFDEADBEEF
90957.xword 0xDEADBEEFDEADBEEF
90958.xword 0xDEADBEEFDEADBEEF
90959.xword 0xDEADBEEFDEADBEEF
90960.xword 0xDEADBEEFDEADBEEF
90961.xword 0xDEADBEEFDEADBEEF
90962.xword 0xDEADBEEFDEADBEEF
90963.xword 0xDEADBEEFDEADBEEF
90964.xword 0xDEADBEEFDEADBEEF
90965.xword 0xDEADBEEFDEADBEEF
90966.xword 0xDEADBEEFDEADBEEF
90967.xword 0xDEADBEEFDEADBEEF
90968.xword 0xDEADBEEFDEADBEEF
90969.xword 0xDEADBEEFDEADBEEF
90970.xword 0xDEADBEEFDEADBEEF
90971.xword 0xDEADBEEFDEADBEEF
90972.xword 0xDEADBEEFDEADBEEF
90973.xword 0xDEADBEEFDEADBEEF
90974.xword 0xDEADBEEFDEADBEEF
90975.xword 0xDEADBEEFDEADBEEF
90976.xword 0xDEADBEEFDEADBEEF
90977.xword 0xDEADBEEFDEADBEEF
90978.xword 0xDEADBEEFDEADBEEF
90979.xword 0xDEADBEEFDEADBEEF
90980.xword 0xDEADBEEFDEADBEEF
90981.xword 0xDEADBEEFDEADBEEF
90982.xword 0xDEADBEEFDEADBEEF
90983.xword 0xDEADBEEFDEADBEEF
90984.xword 0xDEADBEEFDEADBEEF
90985.xword 0xDEADBEEFDEADBEEF
90986.xword 0xDEADBEEFDEADBEEF
90987.xword 0xDEADBEEFDEADBEEF
90988.xword 0xDEADBEEFDEADBEEF
90989.xword 0xDEADBEEFDEADBEEF
90990.xword 0xDEADBEEFDEADBEEF
90991SECTION ._t2_T_MAX_DATA5 DATA_VA=273678336
90992attr_data {
90993 Name = ._t2_T_MAX_DATA5
90994 hypervisor
90995}
90996
90997.data
90998_t2_user_data_start:
90999_t2_scratch_area:
91000
91001.align 8
91002.global _t2_ma_operands5
91003_t2_ma_operands5:
91004.xword 0xafe5abfdc3c0a47e
91005.xword 0x613cf41768a88e14
91006.xword 0x93bda3dfb590c013
91007.xword 0xf9678ce219770d2c
91008.xword 0x6e2af4ca3acf6a4f
91009.xword 0x8d6dde724b56a85e
91010.xword 0x3f589370a12728ef
91011.xword 0xa90a893c82163d3f
91012.xword 0x9d5c7f1b1d38a4a3
91013.xword 0x8a7a14392d492821
91014.xword 0xa5511258ed7572a7
91015.xword 0x67d35a92b0c53e3b
91016.xword 0xf1ab6407aeeb67de
91017.xword 0x32ec81bcbc82ec5b
91018.xword 0xc8e21ccca905c6ee
91019.xword 0xba3749c8da980112
91020.xword 0xc3f488d80dad7069
91021.xword 0x61e337006aec174d
91022.xword 0x6bee6e9f17c63efd
91023.xword 0xf4bbd1513623de95
91024.xword 0xe65769b40b1e3bf1
91025.xword 0x3dd3dd07d4d15b89
91026.xword 0x7a04c57c39d20c70
91027.xword 0x323ba7636fef24c6
91028.xword 0xcdb3b03f7f534656
91029.xword 0x8a8754e3806b4074
91030.xword 0x08133853cd163a29
91031.xword 0xcede8190f47de3f5
91032.xword 0x2ce3c801980b259b
91033.xword 0x6bfa9beecd062d10
91034.xword 0x8af96aa79718b46a
91035.xword 0x7e3246f7293c797b
91036.xword 0x41415941261f445b
91037.xword 0x89b4cf7ee7a24e37
91038.xword 0x9c2dde96dbc3c274
91039.xword 0x8e6d1fa9add0f2c6
91040.xword 0x761acab23c23c859
91041.xword 0x1def8ff2533dca0c
91042.xword 0xb379ba31c8732abf
91043.xword 0xc81b00f0a23c4029
91044.xword 0x79a770f1c500604f
91045.xword 0xa8c89af4635b22ec
91046.xword 0x9a42cca37f439829
91047.xword 0x4c0bb17e0a1f666a
91048.xword 0xd078942302c3b44f
91049.xword 0x876e680ed7e58049
91050.xword 0x4fabd9f739ec31e3
91051.xword 0x71d6504ce995f43b
91052.xword 0x15bda0eb375d5f80
91053.xword 0xd258c555107d57ad
91054.xword 0x0542684535d5eab6
91055.xword 0x197b70de1f0d4ceb
91056.xword 0x69985462a6334803
91057.xword 0xa31e2e40188df67b
91058.xword 0xd5cab4486d06421e
91059.xword 0x4e294d4ba2adc3c6
91060.xword 0x76416439e6661d61
91061.xword 0xbdd87163e9720815
91062.xword 0xa22a57e62579c3a4
91063.xword 0xbcc74b621cd7b94e
91064.xword 0x77899c549103da70
91065.xword 0x4b6df14959eafbe3
91066.xword 0xdd8fb7cc48b9663f
91067.xword 0xd4e837cec5c2e2cd
91068.xword 0x401bed94585529a1
91069.xword 0x3ac41b90e130e4a0
91070.xword 0xd7a687520fc6e70b
91071.xword 0x44469792a48cc457
91072.xword 0x0d06bf5803ae8223
91073.xword 0xee421975f7022914
91074.xword 0x3fe2dc6f3bd9bcf0
91075.xword 0xe4a3cabfa938f428
91076.xword 0xe6b9682a3247ca62
91077.xword 0xe91b537158935a7c
91078.xword 0xb6525bf5fd9e7dce
91079.xword 0x568a8a095df86b23
91080.xword 0xb90384bf0af7e96b
91081.xword 0xe103f374819a4911
91082.xword 0xde081a13b2eadc01
91083.xword 0x038bb8ce999f01f1
91084.xword 0x3fac63a83bfd994e
91085.xword 0x6c321d398f192092
91086.xword 0xabf407d372b1aa0d
91087.xword 0x72b2c10543474ccb
91088.xword 0xce66725d85fa8cd5
91089.xword 0xe1ee8fec1d38ec6e
91090.xword 0x940dbfe72edc4d57
91091.xword 0xbd2dac8ef31c799f
91092.xword 0x2fbc47de1a68d755
91093.xword 0x12cb6360dd8842c2
91094.xword 0xfd3cdce240745685
91095.xword 0x6286e45ccbf644ba
91096.xword 0x3818e06413309567
91097.xword 0x7512f016ea535f3a
91098.xword 0x0723057ab947fe6b
91099.xword 0xe55b3088e362ad52
91100.xword 0x6be6985460c00688
91101.xword 0xea99e79a09e89241
91102.xword 0xaf22fc4ff6c355e8
91103.xword 0x54b7674e2b4301e6
91104.xword 0x9a27887231d2cadd
91105.xword 0x6df5679c4f811cac
91106.xword 0x4971867aa06e2108
91107.xword 0x6db6bdb7dbddcef1
91108.xword 0xd9204ae655c187ee
91109.xword 0x10f97528d9afef0d
91110.xword 0x9b60e7eed05cacad
91111.xword 0xf33db7103b07e761
91112.xword 0xf1b5e307c6862b0f
91113.xword 0x7f3795523b0bd87a
91114.xword 0x210a798cc3413612
91115.xword 0x6c7b23a6bee67d45
91116.xword 0xac09d4414839e9f0
91117.xword 0x51cbc031adc9971f
91118.xword 0xc190d52e9746d589
91119.xword 0xf8174bd35b2b4360
91120.xword 0xe922a514dac93c7b
91121.xword 0x05259e45ec551301
91122.xword 0x1590cfafd793f0d7
91123.xword 0x35620d3ba34438d6
91124.xword 0x9cee9a02068a7a75
91125.xword 0xc0965dddf317de4c
91126.xword 0x56078c35aa52b588
91127.xword 0xcd0b54de0ab01777
91128.xword 0x839868c2687c8e63
91129.xword 0x77b13b0f33680a76
91130.xword 0xa62045ea342f2c57
91131.xword 0x59aa1a17c07e23ea
91132.xword 0xb799c47735ec3b13
91133.xword 0x0ed891aaa503d903
91134.xword 0xb5a9d640f1d787e8
91135.xword 0x16aa7ef078882f3a
91136.xword 0x9046389500cfe213
91137.xword 0xd88fe884cd1f9a48
91138.xword 0x36489519683a151c
91139.xword 0x320aa973b69b9f57
91140.xword 0xe988cef3e57e3a68
91141.xword 0x09a513bcd5ea571a
91142.xword 0xf2d039dc6c2793f2
91143.xword 0x7d266b427886d317
91144.xword 0x328eee5065d29cbe
91145.xword 0xbd246f3d20421363
91146.xword 0x70c0d2145ed38100
91147.xword 0x68b11914e30719de
91148.xword 0x40dddec6f39dd65a
91149.xword 0xe4e846623bd38293
91150.xword 0x5327ee185c346101
91151.xword 0xfeea6edf5ee29838
91152.xword 0x0edb97636028aacc
91153.xword 0x4d74f6ad0c46af0b
91154.xword 0xb40d75cf75dd7b2e
91155.xword 0xaf9e97aecc1ebb45
91156.xword 0x3154ab2bcee6bd9a
91157.xword 0xc77dc817f8d0620a
91158.xword 0x8c7b0fa0b49eb91d
91159.xword 0x24fdf5c7a0e6d9d3
91160.xword 0x763b0e39d925f446
91161.xword 0x517adebfb251fb3c
91162.xword 0xc2849ffc6e08cd39
91163.xword 0x84933f7fc86f2685
91164.xword 0xcacf5c1eb4afb468
91165.xword 0x2fad1d8e60ffed3a
91166.xword 0x2807c97e89ebdb83
91167.xword 0x7ce22739fc3cfdd6
91168
91169.align 8
91170.global _t2_ma_operations5
91171_t2_ma_operations5:
91172.xword 0
91173.xword 0x00020077 | (3 << 18) !ma_ctl_Ld (0)
91174
91175.xword 0
91176.xword 0x00020134 | (3 << 18) !ma_ctl_St (0)
91177
91178.xword 0x80604020
91179.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (0)
91180
91181.xword 0x356a
91182.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (0)
91183
91184.xword 0x0000006000408020
91185.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (0)
91186
91187.xword 0x6a35
91188.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (0)
91189
91190.xword 0x78285050
91191.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (0)
91192
91193.xword 0x80604020
91194.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (0)
91195
91196.xword 0x00287850
91197.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (0)
91198
91199.xword 0x00287850
91200.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (0)
91201
91202.xword 0x78285050
91203.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (0)
91204
91205.xword 0x64500000003c0000
91206.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (0)
91207
91208.xword 0x7766000000554422
91209.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (0)
91210
91211.xword 0x706200000054462a
91212.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (0)
91213
91214.xword 0x784d005800423721
91215.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (0)
91216
91217.xword 0x804d006000504020
91218.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (0)
91219
91220.xword 0x0000006000408020
91221.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (0)
91222
91223.xword 0
91224.xword 0x0002111e | (3 << 18) !ma_ctl_StParity (0)
91225
91226.xword 0
91227.xword 0x00020023 | (3 << 18) !ma_ctl_Ld (1)
91228
91229.xword 0
91230.xword 0x00020176 | (3 << 18) !ma_ctl_St (1)
91231
91232.xword 0x80604020
91233.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (1)
91234
91235.xword 0x356a
91236.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (1)
91237
91238.xword 0x0000006000408020
91239.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (1)
91240
91241.xword 0x6a35
91242.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (1)
91243
91244.xword 0x78285050
91245.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (1)
91246
91247.xword 0x80604020
91248.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (1)
91249
91250.xword 0x00287850
91251.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (1)
91252
91253.xword 0x00287850
91254.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (1)
91255
91256.xword 0x78285050
91257.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (1)
91258
91259.xword 0x64500000003c0000
91260.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (1)
91261
91262.xword 0x7766000000554422
91263.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (1)
91264
91265.xword 0x706200000054462a
91266.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (1)
91267
91268.xword 0x784d005800423721
91269.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (1)
91270
91271.xword 0x804d006000504020
91272.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (1)
91273
91274.xword 0x0000006000408020
91275.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (1)
91276
91277.xword 0
91278.xword 0x0002110f | (3 << 18) !ma_ctl_StParity (1)
91279
91280.xword 0
91281.xword 0x00020041 | (3 << 18) !ma_ctl_Ld (2)
91282
91283.xword 0
91284.xword 0x00020136 | (3 << 18) !ma_ctl_St (2)
91285
91286.xword 0x80604020
91287.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (2)
91288
91289.xword 0x356a
91290.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (2)
91291
91292.xword 0x0000006000408020
91293.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (2)
91294
91295.xword 0x6a35
91296.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (2)
91297
91298.xword 0x78285050
91299.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (2)
91300
91301.xword 0x80604020
91302.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (2)
91303
91304.xword 0x00287850
91305.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (2)
91306
91307.xword 0x00287850
91308.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (2)
91309
91310.xword 0x78285050
91311.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (2)
91312
91313.xword 0x64500000003c0000
91314.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (2)
91315
91316.xword 0x7766000000554422
91317.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (2)
91318
91319.xword 0x706200000054462a
91320.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (2)
91321
91322.xword 0x784d005800423721
91323.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (2)
91324
91325.xword 0x804d006000504020
91326.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (2)
91327
91328.xword 0x0000006000408020
91329.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (2)
91330
91331.xword 0
91332.xword 0x00021116 | (3 << 18) !ma_ctl_StParity (2)
91333
91334.xword 0
91335.xword 0x00020083 | (3 << 18) !ma_ctl_Ld (3)
91336
91337.xword 0
91338.xword 0x00020176 | (3 << 18) !ma_ctl_St (3)
91339
91340.xword 0x80604020
91341.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (3)
91342
91343.xword 0x356a
91344.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (3)
91345
91346.xword 0x0000006000408020
91347.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (3)
91348
91349.xword 0x6a35
91350.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (3)
91351
91352.xword 0x78285050
91353.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (3)
91354
91355.xword 0x80604020
91356.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (3)
91357
91358.xword 0x00287850
91359.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (3)
91360
91361.xword 0x00287850
91362.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (3)
91363
91364.xword 0x78285050
91365.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (3)
91366
91367.xword 0x64500000003c0000
91368.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (3)
91369
91370.xword 0x7766000000554422
91371.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (3)
91372
91373.xword 0x706200000054462a
91374.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (3)
91375
91376.xword 0x784d005800423721
91377.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (3)
91378
91379.xword 0x804d006000504020
91380.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (3)
91381
91382.xword 0x0000006000408020
91383.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (3)
91384
91385.xword 0
91386.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (3)
91387
91388.xword 0
91389.xword 0x00020036 | (3 << 18) !ma_ctl_Ld (4)
91390
91391.xword 0
91392.xword 0x0002014c | (3 << 18) !ma_ctl_St (4)
91393
91394.xword 0x80604020
91395.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (4)
91396
91397.xword 0x356a
91398.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (4)
91399
91400.xword 0x0000006000408020
91401.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (4)
91402
91403.xword 0x6a35
91404.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (4)
91405
91406.xword 0x78285050
91407.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (4)
91408
91409.xword 0x80604020
91410.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (4)
91411
91412.xword 0x00287850
91413.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (4)
91414
91415.xword 0x00287850
91416.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (4)
91417
91418.xword 0x78285050
91419.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (4)
91420
91421.xword 0x64500000003c0000
91422.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (4)
91423
91424.xword 0x7766000000554422
91425.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (4)
91426
91427.xword 0x706200000054462a
91428.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (4)
91429
91430.xword 0x784d005800423721
91431.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (4)
91432
91433.xword 0x804d006000504020
91434.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (4)
91435
91436.xword 0x0000006000408020
91437.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (4)
91438
91439.xword 0
91440.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (4)
91441
91442.xword 0
91443.xword 0x00020079 | (3 << 18) !ma_ctl_Ld (5)
91444
91445.xword 0
91446.xword 0x00020158 | (3 << 18) !ma_ctl_St (5)
91447
91448.xword 0x80604020
91449.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (5)
91450
91451.xword 0x356a
91452.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (5)
91453
91454.xword 0x0000006000408020
91455.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (5)
91456
91457.xword 0x6a35
91458.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (5)
91459
91460.xword 0x78285050
91461.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (5)
91462
91463.xword 0x80604020
91464.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (5)
91465
91466.xword 0x00287850
91467.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (5)
91468
91469.xword 0x00287850
91470.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (5)
91471
91472.xword 0x78285050
91473.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (5)
91474
91475.xword 0x64500000003c0000
91476.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (5)
91477
91478.xword 0x7766000000554422
91479.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (5)
91480
91481.xword 0x706200000054462a
91482.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (5)
91483
91484.xword 0x784d005800423721
91485.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (5)
91486
91487.xword 0x804d006000504020
91488.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (5)
91489
91490.xword 0x0000006000408020
91491.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (5)
91492
91493.xword 0
91494.xword 0x00021115 | (3 << 18) !ma_ctl_StParity (5)
91495
91496.xword 0
91497.xword 0x00020062 | (3 << 18) !ma_ctl_Ld (6)
91498
91499.xword 0
91500.xword 0x0002014c | (3 << 18) !ma_ctl_St (6)
91501
91502.xword 0x80604020
91503.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (6)
91504
91505.xword 0x356a
91506.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (6)
91507
91508.xword 0x0000006000408020
91509.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (6)
91510
91511.xword 0x6a35
91512.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (6)
91513
91514.xword 0x78285050
91515.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (6)
91516
91517.xword 0x80604020
91518.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (6)
91519
91520.xword 0x00287850
91521.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (6)
91522
91523.xword 0x00287850
91524.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (6)
91525
91526.xword 0x78285050
91527.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (6)
91528
91529.xword 0x64500000003c0000
91530.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (6)
91531
91532.xword 0x7766000000554422
91533.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (6)
91534
91535.xword 0x706200000054462a
91536.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (6)
91537
91538.xword 0x784d005800423721
91539.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (6)
91540
91541.xword 0x804d006000504020
91542.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (6)
91543
91544.xword 0x0000006000408020
91545.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (6)
91546
91547.xword 0
91548.xword 0x0002110d | (3 << 18) !ma_ctl_StParity (6)
91549
91550.xword 0
91551.xword 0x0002006f | (3 << 18) !ma_ctl_Ld (7)
91552
91553.xword 0
91554.xword 0x00020131 | (3 << 18) !ma_ctl_St (7)
91555
91556.xword 0x80604020
91557.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (7)
91558
91559.xword 0x356a
91560.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (7)
91561
91562.xword 0x0000006000408020
91563.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (7)
91564
91565.xword 0x6a35
91566.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (7)
91567
91568.xword 0x78285050
91569.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (7)
91570
91571.xword 0x80604020
91572.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (7)
91573
91574.xword 0x00287850
91575.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (7)
91576
91577.xword 0x00287850
91578.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (7)
91579
91580.xword 0x78285050
91581.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (7)
91582
91583.xword 0x64500000003c0000
91584.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (7)
91585
91586.xword 0x7766000000554422
91587.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (7)
91588
91589.xword 0x706200000054462a
91590.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (7)
91591
91592.xword 0x784d005800423721
91593.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (7)
91594
91595.xword 0x804d006000504020
91596.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (7)
91597
91598.xword 0x0000006000408020
91599.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (7)
91600
91601.xword 0
91602.xword 0x0002110a | (3 << 18) !ma_ctl_StParity (7)
91603
91604.xword 0
91605.xword 0x00020092 | (3 << 18) !ma_ctl_Ld (8)
91606
91607.xword 0
91608.xword 0x00020165 | (3 << 18) !ma_ctl_St (8)
91609
91610.xword 0x80604020
91611.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (8)
91612
91613.xword 0x356a
91614.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (8)
91615
91616.xword 0x0000006000408020
91617.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (8)
91618
91619.xword 0x6a35
91620.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (8)
91621
91622.xword 0x78285050
91623.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (8)
91624
91625.xword 0x80604020
91626.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (8)
91627
91628.xword 0x00287850
91629.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (8)
91630
91631.xword 0x00287850
91632.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (8)
91633
91634.xword 0x78285050
91635.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (8)
91636
91637.xword 0x64500000003c0000
91638.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (8)
91639
91640.xword 0x7766000000554422
91641.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (8)
91642
91643.xword 0x706200000054462a
91644.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (8)
91645
91646.xword 0x784d005800423721
91647.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (8)
91648
91649.xword 0x804d006000504020
91650.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (8)
91651
91652.xword 0x0000006000408020
91653.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (8)
91654
91655.xword 0
91656.xword 0x0002110a | (3 << 18) !ma_ctl_StParity (8)
91657
91658.xword 0
91659.xword 0x0002002b | (3 << 18) !ma_ctl_Ld (9)
91660
91661.xword 0
91662.xword 0x0002018f | (3 << 18) !ma_ctl_St (9)
91663
91664.xword 0x80604020
91665.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (9)
91666
91667.xword 0x356a
91668.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (9)
91669
91670.xword 0x0000006000408020
91671.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (9)
91672
91673.xword 0x6a35
91674.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (9)
91675
91676.xword 0x78285050
91677.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (9)
91678
91679.xword 0x80604020
91680.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (9)
91681
91682.xword 0x00287850
91683.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (9)
91684
91685.xword 0x00287850
91686.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (9)
91687
91688.xword 0x78285050
91689.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (9)
91690
91691.xword 0x64500000003c0000
91692.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (9)
91693
91694.xword 0x7766000000554422
91695.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (9)
91696
91697.xword 0x706200000054462a
91698.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (9)
91699
91700.xword 0x784d005800423721
91701.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (9)
91702
91703.xword 0x804d006000504020
91704.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (9)
91705
91706.xword 0x0000006000408020
91707.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (9)
91708
91709.xword 0
91710.xword 0x0002110c | (3 << 18) !ma_ctl_StParity (9)
91711
91712.xword 0
91713.xword 0x00020072 | (3 << 18) !ma_ctl_Ld (10)
91714
91715.xword 0
91716.xword 0x00020166 | (3 << 18) !ma_ctl_St (10)
91717
91718.xword 0x80604020
91719.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (10)
91720
91721.xword 0x356a
91722.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (10)
91723
91724.xword 0x0000006000408020
91725.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (10)
91726
91727.xword 0x6a35
91728.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (10)
91729
91730.xword 0x78285050
91731.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (10)
91732
91733.xword 0x80604020
91734.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (10)
91735
91736.xword 0x00287850
91737.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (10)
91738
91739.xword 0x00287850
91740.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (10)
91741
91742.xword 0x78285050
91743.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (10)
91744
91745.xword 0x64500000003c0000
91746.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (10)
91747
91748.xword 0x7766000000554422
91749.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (10)
91750
91751.xword 0x706200000054462a
91752.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (10)
91753
91754.xword 0x784d005800423721
91755.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (10)
91756
91757.xword 0x804d006000504020
91758.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (10)
91759
91760.xword 0x0000006000408020
91761.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (10)
91762
91763.xword 0
91764.xword 0x0002111d | (3 << 18) !ma_ctl_StParity (10)
91765
91766.xword 0
91767.xword 0x0002007d | (3 << 18) !ma_ctl_Ld (11)
91768
91769.xword 0
91770.xword 0x0002017d | (3 << 18) !ma_ctl_St (11)
91771
91772.xword 0x80604020
91773.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (11)
91774
91775.xword 0x356a
91776.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (11)
91777
91778.xword 0x0000006000408020
91779.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (11)
91780
91781.xword 0x6a35
91782.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (11)
91783
91784.xword 0x78285050
91785.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (11)
91786
91787.xword 0x80604020
91788.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (11)
91789
91790.xword 0x00287850
91791.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (11)
91792
91793.xword 0x00287850
91794.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (11)
91795
91796.xword 0x78285050
91797.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (11)
91798
91799.xword 0x64500000003c0000
91800.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (11)
91801
91802.xword 0x7766000000554422
91803.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (11)
91804
91805.xword 0x706200000054462a
91806.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (11)
91807
91808.xword 0x784d005800423721
91809.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (11)
91810
91811.xword 0x804d006000504020
91812.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (11)
91813
91814.xword 0x0000006000408020
91815.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (11)
91816
91817.xword 0
91818.xword 0x0002110f | (3 << 18) !ma_ctl_StParity (11)
91819
91820.xword 0
91821.xword 0x0002002d | (3 << 18) !ma_ctl_Ld (12)
91822
91823.xword 0
91824.xword 0x0002016e | (3 << 18) !ma_ctl_St (12)
91825
91826.xword 0x80604020
91827.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (12)
91828
91829.xword 0x356a
91830.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (12)
91831
91832.xword 0x0000006000408020
91833.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (12)
91834
91835.xword 0x6a35
91836.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (12)
91837
91838.xword 0x78285050
91839.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (12)
91840
91841.xword 0x80604020
91842.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (12)
91843
91844.xword 0x00287850
91845.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (12)
91846
91847.xword 0x00287850
91848.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (12)
91849
91850.xword 0x78285050
91851.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (12)
91852
91853.xword 0x64500000003c0000
91854.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (12)
91855
91856.xword 0x7766000000554422
91857.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (12)
91858
91859.xword 0x706200000054462a
91860.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (12)
91861
91862.xword 0x784d005800423721
91863.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (12)
91864
91865.xword 0x804d006000504020
91866.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (12)
91867
91868.xword 0x0000006000408020
91869.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (12)
91870
91871.xword 0
91872.xword 0x00021105 | (3 << 18) !ma_ctl_StParity (12)
91873
91874.xword 0
91875.xword 0x00020055 | (3 << 18) !ma_ctl_Ld (13)
91876
91877.xword 0
91878.xword 0x0002017d | (3 << 18) !ma_ctl_St (13)
91879
91880.xword 0x80604020
91881.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (13)
91882
91883.xword 0x356a
91884.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (13)
91885
91886.xword 0x0000006000408020
91887.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (13)
91888
91889.xword 0x6a35
91890.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (13)
91891
91892.xword 0x78285050
91893.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (13)
91894
91895.xword 0x80604020
91896.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (13)
91897
91898.xword 0x00287850
91899.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (13)
91900
91901.xword 0x00287850
91902.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (13)
91903
91904.xword 0x78285050
91905.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (13)
91906
91907.xword 0x64500000003c0000
91908.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (13)
91909
91910.xword 0x7766000000554422
91911.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (13)
91912
91913.xword 0x706200000054462a
91914.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (13)
91915
91916.xword 0x784d005800423721
91917.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (13)
91918
91919.xword 0x804d006000504020
91920.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (13)
91921
91922.xword 0x0000006000408020
91923.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (13)
91924
91925.xword 0
91926.xword 0x0002110b | (3 << 18) !ma_ctl_StParity (13)
91927
91928.xword 0
91929.xword 0x0002005e | (3 << 18) !ma_ctl_Ld (14)
91930
91931.xword 0
91932.xword 0x00020173 | (3 << 18) !ma_ctl_St (14)
91933
91934.xword 0x80604020
91935.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (14)
91936
91937.xword 0x356a
91938.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (14)
91939
91940.xword 0x0000006000408020
91941.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (14)
91942
91943.xword 0x6a35
91944.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (14)
91945
91946.xword 0x78285050
91947.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (14)
91948
91949.xword 0x80604020
91950.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (14)
91951
91952.xword 0x00287850
91953.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (14)
91954
91955.xword 0x00287850
91956.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (14)
91957
91958.xword 0x78285050
91959.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (14)
91960
91961.xword 0x64500000003c0000
91962.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (14)
91963
91964.xword 0x7766000000554422
91965.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (14)
91966
91967.xword 0x706200000054462a
91968.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (14)
91969
91970.xword 0x784d005800423721
91971.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (14)
91972
91973.xword 0x804d006000504020
91974.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (14)
91975
91976.xword 0x0000006000408020
91977.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (14)
91978
91979.xword 0
91980.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (14)
91981
91982.xword 0
91983.xword 0x0002003b | (3 << 18) !ma_ctl_Ld (15)
91984
91985.xword 0
91986.xword 0x0002013c | (3 << 18) !ma_ctl_St (15)
91987
91988.xword 0x80604020
91989.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (15)
91990
91991.xword 0x356a
91992.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (15)
91993
91994.xword 0x0000006000408020
91995.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (15)
91996
91997.xword 0x6a35
91998.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (15)
91999
92000.xword 0x78285050
92001.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (15)
92002
92003.xword 0x80604020
92004.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (15)
92005
92006.xword 0x00287850
92007.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (15)
92008
92009.xword 0x00287850
92010.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (15)
92011
92012.xword 0x78285050
92013.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (15)
92014
92015.xword 0x64500000003c0000
92016.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (15)
92017
92018.xword 0x7766000000554422
92019.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (15)
92020
92021.xword 0x706200000054462a
92022.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (15)
92023
92024.xword 0x784d005800423721
92025.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (15)
92026
92027.xword 0x804d006000504020
92028.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (15)
92029
92030.xword 0x0000006000408020
92031.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (15)
92032
92033.xword 0
92034.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (15)
92035
92036.xword 0
92037.xword 0x00020080 | (3 << 18) !ma_ctl_Ld (16)
92038
92039.xword 0
92040.xword 0x00020148 | (3 << 18) !ma_ctl_St (16)
92041
92042.xword 0x80604020
92043.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (16)
92044
92045.xword 0x356a
92046.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (16)
92047
92048.xword 0x0000006000408020
92049.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (16)
92050
92051.xword 0x6a35
92052.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (16)
92053
92054.xword 0x78285050
92055.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (16)
92056
92057.xword 0x80604020
92058.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (16)
92059
92060.xword 0x00287850
92061.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (16)
92062
92063.xword 0x00287850
92064.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (16)
92065
92066.xword 0x78285050
92067.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (16)
92068
92069.xword 0x64500000003c0000
92070.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (16)
92071
92072.xword 0x7766000000554422
92073.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (16)
92074
92075.xword 0x706200000054462a
92076.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (16)
92077
92078.xword 0x784d005800423721
92079.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (16)
92080
92081.xword 0x804d006000504020
92082.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (16)
92083
92084.xword 0x0000006000408020
92085.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (16)
92086
92087.xword 0
92088.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (16)
92089
92090.xword 0
92091.xword 0x0002002e | (3 << 18) !ma_ctl_Ld (17)
92092
92093.xword 0
92094.xword 0x00020126 | (3 << 18) !ma_ctl_St (17)
92095
92096.xword 0x80604020
92097.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (17)
92098
92099.xword 0x356a
92100.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (17)
92101
92102.xword 0x0000006000408020
92103.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (17)
92104
92105.xword 0x6a35
92106.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (17)
92107
92108.xword 0x78285050
92109.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (17)
92110
92111.xword 0x80604020
92112.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (17)
92113
92114.xword 0x00287850
92115.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (17)
92116
92117.xword 0x00287850
92118.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (17)
92119
92120.xword 0x78285050
92121.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (17)
92122
92123.xword 0x64500000003c0000
92124.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (17)
92125
92126.xword 0x7766000000554422
92127.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (17)
92128
92129.xword 0x706200000054462a
92130.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (17)
92131
92132.xword 0x784d005800423721
92133.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (17)
92134
92135.xword 0x804d006000504020
92136.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (17)
92137
92138.xword 0x0000006000408020
92139.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (17)
92140
92141.xword 0
92142.xword 0x00021117 | (3 << 18) !ma_ctl_StParity (17)
92143
92144.xword 0
92145.xword 0x0002008a | (3 << 18) !ma_ctl_Ld (18)
92146
92147.xword 0
92148.xword 0x00020153 | (3 << 18) !ma_ctl_St (18)
92149
92150.xword 0x80604020
92151.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (18)
92152
92153.xword 0x356a
92154.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (18)
92155
92156.xword 0x0000006000408020
92157.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (18)
92158
92159.xword 0x6a35
92160.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (18)
92161
92162.xword 0x78285050
92163.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (18)
92164
92165.xword 0x80604020
92166.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (18)
92167
92168.xword 0x00287850
92169.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (18)
92170
92171.xword 0x00287850
92172.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (18)
92173
92174.xword 0x78285050
92175.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (18)
92176
92177.xword 0x64500000003c0000
92178.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (18)
92179
92180.xword 0x7766000000554422
92181.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (18)
92182
92183.xword 0x706200000054462a
92184.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (18)
92185
92186.xword 0x784d005800423721
92187.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (18)
92188
92189.xword 0x804d006000504020
92190.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (18)
92191
92192.xword 0x0000006000408020
92193.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (18)
92194
92195.xword 0
92196.xword 0x0002110e | (3 << 18) !ma_ctl_StParity (18)
92197
92198.xword 0
92199.xword 0x00020068 | (3 << 18) !ma_ctl_Ld (19)
92200
92201.xword 0
92202.xword 0x00020182 | (3 << 18) !ma_ctl_St (19)
92203
92204.xword 0x80604020
92205.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (19)
92206
92207.xword 0x356a
92208.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (19)
92209
92210.xword 0x0000006000408020
92211.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (19)
92212
92213.xword 0x6a35
92214.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (19)
92215
92216.xword 0x78285050
92217.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (19)
92218
92219.xword 0x80604020
92220.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (19)
92221
92222.xword 0x00287850
92223.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (19)
92224
92225.xword 0x00287850
92226.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (19)
92227
92228.xword 0x78285050
92229.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (19)
92230
92231.xword 0x64500000003c0000
92232.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (19)
92233
92234.xword 0x7766000000554422
92235.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (19)
92236
92237.xword 0x706200000054462a
92238.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (19)
92239
92240.xword 0x784d005800423721
92241.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (19)
92242
92243.xword 0x804d006000504020
92244.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (19)
92245
92246.xword 0x0000006000408020
92247.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (19)
92248
92249.xword 0
92250.xword 0x00021104 | (3 << 18) !ma_ctl_StParity (19)
92251
92252.xword 0
92253.xword 0x00020055 | (3 << 18) !ma_ctl_Ld (20)
92254
92255.xword 0
92256.xword 0x00020121 | (3 << 18) !ma_ctl_St (20)
92257
92258.xword 0x80604020
92259.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (20)
92260
92261.xword 0x356a
92262.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (20)
92263
92264.xword 0x0000006000408020
92265.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (20)
92266
92267.xword 0x6a35
92268.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (20)
92269
92270.xword 0x78285050
92271.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (20)
92272
92273.xword 0x80604020
92274.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (20)
92275
92276.xword 0x00287850
92277.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (20)
92278
92279.xword 0x00287850
92280.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (20)
92281
92282.xword 0x78285050
92283.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (20)
92284
92285.xword 0x64500000003c0000
92286.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (20)
92287
92288.xword 0x7766000000554422
92289.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (20)
92290
92291.xword 0x706200000054462a
92292.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (20)
92293
92294.xword 0x784d005800423721
92295.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (20)
92296
92297.xword 0x804d006000504020
92298.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (20)
92299
92300.xword 0x0000006000408020
92301.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (20)
92302
92303.xword 0
92304.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (20)
92305
92306.xword 0
92307.xword 0x00020055 | (3 << 18) !ma_ctl_Ld (21)
92308
92309.xword 0
92310.xword 0x00020162 | (3 << 18) !ma_ctl_St (21)
92311
92312.xword 0x80604020
92313.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (21)
92314
92315.xword 0x356a
92316.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (21)
92317
92318.xword 0x0000006000408020
92319.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (21)
92320
92321.xword 0x6a35
92322.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (21)
92323
92324.xword 0x78285050
92325.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (21)
92326
92327.xword 0x80604020
92328.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (21)
92329
92330.xword 0x00287850
92331.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (21)
92332
92333.xword 0x00287850
92334.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (21)
92335
92336.xword 0x78285050
92337.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (21)
92338
92339.xword 0x64500000003c0000
92340.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (21)
92341
92342.xword 0x7766000000554422
92343.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (21)
92344
92345.xword 0x706200000054462a
92346.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (21)
92347
92348.xword 0x784d005800423721
92349.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (21)
92350
92351.xword 0x804d006000504020
92352.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (21)
92353
92354.xword 0x0000006000408020
92355.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (21)
92356
92357.xword 0
92358.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (21)
92359
92360.xword 0
92361.xword 0x00020040 | (3 << 18) !ma_ctl_Ld (22)
92362
92363.xword 0
92364.xword 0x0002015a | (3 << 18) !ma_ctl_St (22)
92365
92366.xword 0x80604020
92367.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (22)
92368
92369.xword 0x356a
92370.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (22)
92371
92372.xword 0x0000006000408020
92373.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (22)
92374
92375.xword 0x6a35
92376.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (22)
92377
92378.xword 0x78285050
92379.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (22)
92380
92381.xword 0x80604020
92382.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (22)
92383
92384.xword 0x00287850
92385.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (22)
92386
92387.xword 0x00287850
92388.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (22)
92389
92390.xword 0x78285050
92391.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (22)
92392
92393.xword 0x64500000003c0000
92394.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (22)
92395
92396.xword 0x7766000000554422
92397.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (22)
92398
92399.xword 0x706200000054462a
92400.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (22)
92401
92402.xword 0x784d005800423721
92403.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (22)
92404
92405.xword 0x804d006000504020
92406.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (22)
92407
92408.xword 0x0000006000408020
92409.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (22)
92410
92411.xword 0
92412.xword 0x0002111d | (3 << 18) !ma_ctl_StParity (22)
92413
92414.xword 0
92415.xword 0x00020060 | (3 << 18) !ma_ctl_Ld (23)
92416
92417.xword 0
92418.xword 0x00020169 | (3 << 18) !ma_ctl_St (23)
92419
92420.xword 0x80604020
92421.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (23)
92422
92423.xword 0x356a
92424.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (23)
92425
92426.xword 0x0000006000408020
92427.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (23)
92428
92429.xword 0x6a35
92430.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (23)
92431
92432.xword 0x78285050
92433.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (23)
92434
92435.xword 0x80604020
92436.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (23)
92437
92438.xword 0x00287850
92439.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (23)
92440
92441.xword 0x00287850
92442.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (23)
92443
92444.xword 0x78285050
92445.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (23)
92446
92447.xword 0x64500000003c0000
92448.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (23)
92449
92450.xword 0x7766000000554422
92451.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (23)
92452
92453.xword 0x706200000054462a
92454.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (23)
92455
92456.xword 0x784d005800423721
92457.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (23)
92458
92459.xword 0x804d006000504020
92460.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (23)
92461
92462.xword 0x0000006000408020
92463.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (23)
92464
92465.xword 0
92466.xword 0x0002111d | (3 << 18) !ma_ctl_StParity (23)
92467
92468.xword 0
92469.xword 0x00020034 | (3 << 18) !ma_ctl_Ld (24)
92470
92471.xword 0
92472.xword 0x00020168 | (3 << 18) !ma_ctl_St (24)
92473
92474.xword 0x80604020
92475.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (24)
92476
92477.xword 0x356a
92478.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (24)
92479
92480.xword 0x0000006000408020
92481.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (24)
92482
92483.xword 0x6a35
92484.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (24)
92485
92486.xword 0x78285050
92487.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (24)
92488
92489.xword 0x80604020
92490.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (24)
92491
92492.xword 0x00287850
92493.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (24)
92494
92495.xword 0x00287850
92496.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (24)
92497
92498.xword 0x78285050
92499.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (24)
92500
92501.xword 0x64500000003c0000
92502.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (24)
92503
92504.xword 0x7766000000554422
92505.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (24)
92506
92507.xword 0x706200000054462a
92508.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (24)
92509
92510.xword 0x784d005800423721
92511.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (24)
92512
92513.xword 0x804d006000504020
92514.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (24)
92515
92516.xword 0x0000006000408020
92517.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (24)
92518
92519.xword 0
92520.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (24)
92521
92522.xword 0
92523.xword 0x0002007f | (3 << 18) !ma_ctl_Ld (25)
92524
92525.xword 0
92526.xword 0x00020132 | (3 << 18) !ma_ctl_St (25)
92527
92528.xword 0x80604020
92529.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (25)
92530
92531.xword 0x356a
92532.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (25)
92533
92534.xword 0x0000006000408020
92535.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (25)
92536
92537.xword 0x6a35
92538.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (25)
92539
92540.xword 0x78285050
92541.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (25)
92542
92543.xword 0x80604020
92544.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (25)
92545
92546.xword 0x00287850
92547.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (25)
92548
92549.xword 0x00287850
92550.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (25)
92551
92552.xword 0x78285050
92553.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (25)
92554
92555.xword 0x64500000003c0000
92556.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (25)
92557
92558.xword 0x7766000000554422
92559.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (25)
92560
92561.xword 0x706200000054462a
92562.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (25)
92563
92564.xword 0x784d005800423721
92565.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (25)
92566
92567.xword 0x804d006000504020
92568.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (25)
92569
92570.xword 0x0000006000408020
92571.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (25)
92572
92573.xword 0
92574.xword 0x0002111d | (3 << 18) !ma_ctl_StParity (25)
92575
92576.xword 0
92577.xword 0x00020067 | (3 << 18) !ma_ctl_Ld (26)
92578
92579.xword 0
92580.xword 0x00020141 | (3 << 18) !ma_ctl_St (26)
92581
92582.xword 0x80604020
92583.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (26)
92584
92585.xword 0x356a
92586.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (26)
92587
92588.xword 0x0000006000408020
92589.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (26)
92590
92591.xword 0x6a35
92592.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (26)
92593
92594.xword 0x78285050
92595.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (26)
92596
92597.xword 0x80604020
92598.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (26)
92599
92600.xword 0x00287850
92601.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (26)
92602
92603.xword 0x00287850
92604.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (26)
92605
92606.xword 0x78285050
92607.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (26)
92608
92609.xword 0x64500000003c0000
92610.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (26)
92611
92612.xword 0x7766000000554422
92613.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (26)
92614
92615.xword 0x706200000054462a
92616.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (26)
92617
92618.xword 0x784d005800423721
92619.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (26)
92620
92621.xword 0x804d006000504020
92622.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (26)
92623
92624.xword 0x0000006000408020
92625.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (26)
92626
92627.xword 0
92628.xword 0x00021109 | (3 << 18) !ma_ctl_StParity (26)
92629
92630.xword 0
92631.xword 0x00020098 | (3 << 18) !ma_ctl_Ld (27)
92632
92633.xword 0
92634.xword 0x00020161 | (3 << 18) !ma_ctl_St (27)
92635
92636.xword 0x80604020
92637.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (27)
92638
92639.xword 0x356a
92640.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (27)
92641
92642.xword 0x0000006000408020
92643.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (27)
92644
92645.xword 0x6a35
92646.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (27)
92647
92648.xword 0x78285050
92649.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (27)
92650
92651.xword 0x80604020
92652.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (27)
92653
92654.xword 0x00287850
92655.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (27)
92656
92657.xword 0x00287850
92658.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (27)
92659
92660.xword 0x78285050
92661.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (27)
92662
92663.xword 0x64500000003c0000
92664.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (27)
92665
92666.xword 0x7766000000554422
92667.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (27)
92668
92669.xword 0x706200000054462a
92670.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (27)
92671
92672.xword 0x784d005800423721
92673.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (27)
92674
92675.xword 0x804d006000504020
92676.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (27)
92677
92678.xword 0x0000006000408020
92679.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (27)
92680
92681.xword 0
92682.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (27)
92683
92684.xword 0
92685.xword 0x00020074 | (3 << 18) !ma_ctl_Ld (28)
92686
92687.xword 0
92688.xword 0x0002016e | (3 << 18) !ma_ctl_St (28)
92689
92690.xword 0x80604020
92691.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (28)
92692
92693.xword 0x356a
92694.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (28)
92695
92696.xword 0x0000006000408020
92697.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (28)
92698
92699.xword 0x6a35
92700.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (28)
92701
92702.xword 0x78285050
92703.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (28)
92704
92705.xword 0x80604020
92706.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (28)
92707
92708.xword 0x00287850
92709.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (28)
92710
92711.xword 0x00287850
92712.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (28)
92713
92714.xword 0x78285050
92715.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (28)
92716
92717.xword 0x64500000003c0000
92718.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (28)
92719
92720.xword 0x7766000000554422
92721.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (28)
92722
92723.xword 0x706200000054462a
92724.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (28)
92725
92726.xword 0x784d005800423721
92727.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (28)
92728
92729.xword 0x804d006000504020
92730.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (28)
92731
92732.xword 0x0000006000408020
92733.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (28)
92734
92735.xword 0
92736.xword 0x0002110c | (3 << 18) !ma_ctl_StParity (28)
92737
92738.xword 0
92739.xword 0x0002008f | (3 << 18) !ma_ctl_Ld (29)
92740
92741.xword 0
92742.xword 0x00020170 | (3 << 18) !ma_ctl_St (29)
92743
92744.xword 0x80604020
92745.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (29)
92746
92747.xword 0x356a
92748.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (29)
92749
92750.xword 0x0000006000408020
92751.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (29)
92752
92753.xword 0x6a35
92754.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (29)
92755
92756.xword 0x78285050
92757.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (29)
92758
92759.xword 0x80604020
92760.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (29)
92761
92762.xword 0x00287850
92763.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (29)
92764
92765.xword 0x00287850
92766.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (29)
92767
92768.xword 0x78285050
92769.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (29)
92770
92771.xword 0x64500000003c0000
92772.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (29)
92773
92774.xword 0x7766000000554422
92775.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (29)
92776
92777.xword 0x706200000054462a
92778.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (29)
92779
92780.xword 0x784d005800423721
92781.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (29)
92782
92783.xword 0x804d006000504020
92784.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (29)
92785
92786.xword 0x0000006000408020
92787.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (29)
92788
92789.xword 0
92790.xword 0x00021106 | (3 << 18) !ma_ctl_StParity (29)
92791
92792.xword 0
92793.xword 0x0002008b | (3 << 18) !ma_ctl_Ld (30)
92794
92795.xword 0
92796.xword 0x00020170 | (3 << 18) !ma_ctl_St (30)
92797
92798.xword 0x80604020
92799.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (30)
92800
92801.xword 0x356a
92802.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (30)
92803
92804.xword 0x0000006000408020
92805.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (30)
92806
92807.xword 0x6a35
92808.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (30)
92809
92810.xword 0x78285050
92811.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (30)
92812
92813.xword 0x80604020
92814.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (30)
92815
92816.xword 0x00287850
92817.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (30)
92818
92819.xword 0x00287850
92820.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (30)
92821
92822.xword 0x78285050
92823.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (30)
92824
92825.xword 0x64500000003c0000
92826.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (30)
92827
92828.xword 0x7766000000554422
92829.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (30)
92830
92831.xword 0x706200000054462a
92832.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (30)
92833
92834.xword 0x784d005800423721
92835.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (30)
92836
92837.xword 0x804d006000504020
92838.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (30)
92839
92840.xword 0x0000006000408020
92841.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (30)
92842
92843.xword 0
92844.xword 0x00021115 | (3 << 18) !ma_ctl_StParity (30)
92845
92846.xword 0
92847.xword 0x0002003a | (3 << 18) !ma_ctl_Ld (31)
92848
92849.xword 0
92850.xword 0x00020150 | (3 << 18) !ma_ctl_St (31)
92851
92852.xword 0x80604020
92853.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (31)
92854
92855.xword 0x356a
92856.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (31)
92857
92858.xword 0x0000006000408020
92859.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (31)
92860
92861.xword 0x6a35
92862.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (31)
92863
92864.xword 0x78285050
92865.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (31)
92866
92867.xword 0x80604020
92868.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (31)
92869
92870.xword 0x00287850
92871.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (31)
92872
92873.xword 0x00287850
92874.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (31)
92875
92876.xword 0x78285050
92877.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (31)
92878
92879.xword 0x64500000003c0000
92880.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (31)
92881
92882.xword 0x7766000000554422
92883.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (31)
92884
92885.xword 0x706200000054462a
92886.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (31)
92887
92888.xword 0x784d005800423721
92889.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (31)
92890
92891.xword 0x804d006000504020
92892.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (31)
92893
92894.xword 0x0000006000408020
92895.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (31)
92896
92897.xword 0
92898.xword 0x00021105 | (3 << 18) !ma_ctl_StParity (31)
92899
92900.xword 0
92901.xword 0x0002001e | (3 << 18) !ma_ctl_Ld (32)
92902
92903.xword 0
92904.xword 0x0002016a | (3 << 18) !ma_ctl_St (32)
92905
92906.xword 0x80604020
92907.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (32)
92908
92909.xword 0x356a
92910.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (32)
92911
92912.xword 0x0000006000408020
92913.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (32)
92914
92915.xword 0x6a35
92916.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (32)
92917
92918.xword 0x78285050
92919.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (32)
92920
92921.xword 0x80604020
92922.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (32)
92923
92924.xword 0x00287850
92925.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (32)
92926
92927.xword 0x00287850
92928.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (32)
92929
92930.xword 0x78285050
92931.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (32)
92932
92933.xword 0x64500000003c0000
92934.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (32)
92935
92936.xword 0x7766000000554422
92937.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (32)
92938
92939.xword 0x706200000054462a
92940.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (32)
92941
92942.xword 0x784d005800423721
92943.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (32)
92944
92945.xword 0x804d006000504020
92946.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (32)
92947
92948.xword 0x0000006000408020
92949.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (32)
92950
92951.xword 0
92952.xword 0x0002111a | (3 << 18) !ma_ctl_StParity (32)
92953
92954.xword 0
92955.xword 0x00020077 | (3 << 18) !ma_ctl_Ld (33)
92956
92957.xword 0
92958.xword 0x0002017f | (3 << 18) !ma_ctl_St (33)
92959
92960.xword 0x80604020
92961.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (33)
92962
92963.xword 0x356a
92964.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (33)
92965
92966.xword 0x0000006000408020
92967.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (33)
92968
92969.xword 0x6a35
92970.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (33)
92971
92972.xword 0x78285050
92973.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (33)
92974
92975.xword 0x80604020
92976.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (33)
92977
92978.xword 0x00287850
92979.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (33)
92980
92981.xword 0x00287850
92982.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (33)
92983
92984.xword 0x78285050
92985.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (33)
92986
92987.xword 0x64500000003c0000
92988.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (33)
92989
92990.xword 0x7766000000554422
92991.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (33)
92992
92993.xword 0x706200000054462a
92994.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (33)
92995
92996.xword 0x784d005800423721
92997.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (33)
92998
92999.xword 0x804d006000504020
93000.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (33)
93001
93002.xword 0x0000006000408020
93003.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (33)
93004
93005.xword 0
93006.xword 0x0002110a | (3 << 18) !ma_ctl_StParity (33)
93007
93008.xword 0
93009.xword 0x0002006a | (3 << 18) !ma_ctl_Ld (34)
93010
93011.xword 0
93012.xword 0x00020163 | (3 << 18) !ma_ctl_St (34)
93013
93014.xword 0x80604020
93015.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (34)
93016
93017.xword 0x356a
93018.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (34)
93019
93020.xword 0x0000006000408020
93021.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (34)
93022
93023.xword 0x6a35
93024.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (34)
93025
93026.xword 0x78285050
93027.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (34)
93028
93029.xword 0x80604020
93030.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (34)
93031
93032.xword 0x00287850
93033.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (34)
93034
93035.xword 0x00287850
93036.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (34)
93037
93038.xword 0x78285050
93039.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (34)
93040
93041.xword 0x64500000003c0000
93042.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (34)
93043
93044.xword 0x7766000000554422
93045.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (34)
93046
93047.xword 0x706200000054462a
93048.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (34)
93049
93050.xword 0x784d005800423721
93051.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (34)
93052
93053.xword 0x804d006000504020
93054.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (34)
93055
93056.xword 0x0000006000408020
93057.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (34)
93058
93059.xword 0
93060.xword 0x0002111a | (3 << 18) !ma_ctl_StParity (34)
93061
93062.xword 0
93063.xword 0x0002007e | (3 << 18) !ma_ctl_Ld (35)
93064
93065.xword 0
93066.xword 0x00020129 | (3 << 18) !ma_ctl_St (35)
93067
93068.xword 0x80604020
93069.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (35)
93070
93071.xword 0x356a
93072.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (35)
93073
93074.xword 0x0000006000408020
93075.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (35)
93076
93077.xword 0x6a35
93078.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (35)
93079
93080.xword 0x78285050
93081.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (35)
93082
93083.xword 0x80604020
93084.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (35)
93085
93086.xword 0x00287850
93087.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (35)
93088
93089.xword 0x00287850
93090.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (35)
93091
93092.xword 0x78285050
93093.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (35)
93094
93095.xword 0x64500000003c0000
93096.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (35)
93097
93098.xword 0x7766000000554422
93099.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (35)
93100
93101.xword 0x706200000054462a
93102.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (35)
93103
93104.xword 0x784d005800423721
93105.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (35)
93106
93107.xword 0x804d006000504020
93108.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (35)
93109
93110.xword 0x0000006000408020
93111.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (35)
93112
93113.xword 0
93114.xword 0x00021100 | (3 << 18) !ma_ctl_StParity (35)
93115
93116.xword 0
93117.xword 0x00020081 | (3 << 18) !ma_ctl_Ld (36)
93118
93119.xword 0
93120.xword 0x00020163 | (3 << 18) !ma_ctl_St (36)
93121
93122.xword 0x80604020
93123.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (36)
93124
93125.xword 0x356a
93126.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (36)
93127
93128.xword 0x0000006000408020
93129.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (36)
93130
93131.xword 0x6a35
93132.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (36)
93133
93134.xword 0x78285050
93135.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (36)
93136
93137.xword 0x80604020
93138.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (36)
93139
93140.xword 0x00287850
93141.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (36)
93142
93143.xword 0x00287850
93144.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (36)
93145
93146.xword 0x78285050
93147.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (36)
93148
93149.xword 0x64500000003c0000
93150.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (36)
93151
93152.xword 0x7766000000554422
93153.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (36)
93154
93155.xword 0x706200000054462a
93156.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (36)
93157
93158.xword 0x784d005800423721
93159.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (36)
93160
93161.xword 0x804d006000504020
93162.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (36)
93163
93164.xword 0x0000006000408020
93165.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (36)
93166
93167.xword 0
93168.xword 0x0002110b | (3 << 18) !ma_ctl_StParity (36)
93169
93170.xword 0
93171.xword 0x0002006d | (3 << 18) !ma_ctl_Ld (37)
93172
93173.xword 0
93174.xword 0x0002014b | (3 << 18) !ma_ctl_St (37)
93175
93176.xword 0x80604020
93177.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (37)
93178
93179.xword 0x356a
93180.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (37)
93181
93182.xword 0x0000006000408020
93183.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (37)
93184
93185.xword 0x6a35
93186.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (37)
93187
93188.xword 0x78285050
93189.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (37)
93190
93191.xword 0x80604020
93192.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (37)
93193
93194.xword 0x00287850
93195.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (37)
93196
93197.xword 0x00287850
93198.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (37)
93199
93200.xword 0x78285050
93201.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (37)
93202
93203.xword 0x64500000003c0000
93204.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (37)
93205
93206.xword 0x7766000000554422
93207.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (37)
93208
93209.xword 0x706200000054462a
93210.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (37)
93211
93212.xword 0x784d005800423721
93213.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (37)
93214
93215.xword 0x804d006000504020
93216.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (37)
93217
93218.xword 0x0000006000408020
93219.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (37)
93220
93221.xword 0
93222.xword 0x00021103 | (3 << 18) !ma_ctl_StParity (37)
93223
93224.xword 0
93225.xword 0x00020073 | (3 << 18) !ma_ctl_Ld (38)
93226
93227.xword 0
93228.xword 0x0002012f | (3 << 18) !ma_ctl_St (38)
93229
93230.xword 0x80604020
93231.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (38)
93232
93233.xword 0x356a
93234.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (38)
93235
93236.xword 0x0000006000408020
93237.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (38)
93238
93239.xword 0x6a35
93240.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (38)
93241
93242.xword 0x78285050
93243.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (38)
93244
93245.xword 0x80604020
93246.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (38)
93247
93248.xword 0x00287850
93249.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (38)
93250
93251.xword 0x00287850
93252.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (38)
93253
93254.xword 0x78285050
93255.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (38)
93256
93257.xword 0x64500000003c0000
93258.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (38)
93259
93260.xword 0x7766000000554422
93261.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (38)
93262
93263.xword 0x706200000054462a
93264.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (38)
93265
93266.xword 0x784d005800423721
93267.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (38)
93268
93269.xword 0x804d006000504020
93270.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (38)
93271
93272.xword 0x0000006000408020
93273.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (38)
93274
93275.xword 0
93276.xword 0x00021115 | (3 << 18) !ma_ctl_StParity (38)
93277
93278.xword 0
93279.xword 0x00020096 | (3 << 18) !ma_ctl_Ld (39)
93280
93281.xword 0
93282.xword 0x00020159 | (3 << 18) !ma_ctl_St (39)
93283
93284.xword 0x80604020
93285.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (39)
93286
93287.xword 0x356a
93288.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (39)
93289
93290.xword 0x0000006000408020
93291.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (39)
93292
93293.xword 0x6a35
93294.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (39)
93295
93296.xword 0x78285050
93297.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (39)
93298
93299.xword 0x80604020
93300.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (39)
93301
93302.xword 0x00287850
93303.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (39)
93304
93305.xword 0x00287850
93306.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (39)
93307
93308.xword 0x78285050
93309.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (39)
93310
93311.xword 0x64500000003c0000
93312.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (39)
93313
93314.xword 0x7766000000554422
93315.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (39)
93316
93317.xword 0x706200000054462a
93318.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (39)
93319
93320.xword 0x784d005800423721
93321.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (39)
93322
93323.xword 0x804d006000504020
93324.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (39)
93325
93326.xword 0x0000006000408020
93327.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (39)
93328
93329.xword 0
93330.xword 0x00021104 | (3 << 18) !ma_ctl_StParity (39)
93331
93332.xword 0
93333.xword 0x00020022 | (3 << 18) !ma_ctl_Ld (40)
93334
93335.xword 0
93336.xword 0x00020142 | (3 << 18) !ma_ctl_St (40)
93337
93338.xword 0x80604020
93339.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (40)
93340
93341.xword 0x356a
93342.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (40)
93343
93344.xword 0x0000006000408020
93345.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (40)
93346
93347.xword 0x6a35
93348.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (40)
93349
93350.xword 0x78285050
93351.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (40)
93352
93353.xword 0x80604020
93354.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (40)
93355
93356.xword 0x00287850
93357.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (40)
93358
93359.xword 0x00287850
93360.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (40)
93361
93362.xword 0x78285050
93363.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (40)
93364
93365.xword 0x64500000003c0000
93366.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (40)
93367
93368.xword 0x7766000000554422
93369.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (40)
93370
93371.xword 0x706200000054462a
93372.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (40)
93373
93374.xword 0x784d005800423721
93375.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (40)
93376
93377.xword 0x804d006000504020
93378.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (40)
93379
93380.xword 0x0000006000408020
93381.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (40)
93382
93383.xword 0
93384.xword 0x00021116 | (3 << 18) !ma_ctl_StParity (40)
93385
93386.xword 0
93387.xword 0x00020061 | (3 << 18) !ma_ctl_Ld (41)
93388
93389.xword 0
93390.xword 0x00020138 | (3 << 18) !ma_ctl_St (41)
93391
93392.xword 0x80604020
93393.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (41)
93394
93395.xword 0x356a
93396.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (41)
93397
93398.xword 0x0000006000408020
93399.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (41)
93400
93401.xword 0x6a35
93402.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (41)
93403
93404.xword 0x78285050
93405.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (41)
93406
93407.xword 0x80604020
93408.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (41)
93409
93410.xword 0x00287850
93411.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (41)
93412
93413.xword 0x00287850
93414.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (41)
93415
93416.xword 0x78285050
93417.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (41)
93418
93419.xword 0x64500000003c0000
93420.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (41)
93421
93422.xword 0x7766000000554422
93423.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (41)
93424
93425.xword 0x706200000054462a
93426.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (41)
93427
93428.xword 0x784d005800423721
93429.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (41)
93430
93431.xword 0x804d006000504020
93432.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (41)
93433
93434.xword 0x0000006000408020
93435.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (41)
93436
93437.xword 0
93438.xword 0x00021103 | (3 << 18) !ma_ctl_StParity (41)
93439
93440.xword 0
93441.xword 0x00020075 | (3 << 18) !ma_ctl_Ld (42)
93442
93443.xword 0
93444.xword 0x0002012f | (3 << 18) !ma_ctl_St (42)
93445
93446.xword 0x80604020
93447.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (42)
93448
93449.xword 0x356a
93450.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (42)
93451
93452.xword 0x0000006000408020
93453.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (42)
93454
93455.xword 0x6a35
93456.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (42)
93457
93458.xword 0x78285050
93459.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (42)
93460
93461.xword 0x80604020
93462.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (42)
93463
93464.xword 0x00287850
93465.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (42)
93466
93467.xword 0x00287850
93468.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (42)
93469
93470.xword 0x78285050
93471.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (42)
93472
93473.xword 0x64500000003c0000
93474.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (42)
93475
93476.xword 0x7766000000554422
93477.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (42)
93478
93479.xword 0x706200000054462a
93480.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (42)
93481
93482.xword 0x784d005800423721
93483.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (42)
93484
93485.xword 0x804d006000504020
93486.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (42)
93487
93488.xword 0x0000006000408020
93489.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (42)
93490
93491.xword 0
93492.xword 0x00021108 | (3 << 18) !ma_ctl_StParity (42)
93493
93494.xword 0
93495.xword 0x00020050 | (3 << 18) !ma_ctl_Ld (43)
93496
93497.xword 0
93498.xword 0x0002014d | (3 << 18) !ma_ctl_St (43)
93499
93500.xword 0x80604020
93501.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (43)
93502
93503.xword 0x356a
93504.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (43)
93505
93506.xword 0x0000006000408020
93507.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (43)
93508
93509.xword 0x6a35
93510.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (43)
93511
93512.xword 0x78285050
93513.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (43)
93514
93515.xword 0x80604020
93516.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (43)
93517
93518.xword 0x00287850
93519.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (43)
93520
93521.xword 0x00287850
93522.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (43)
93523
93524.xword 0x78285050
93525.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (43)
93526
93527.xword 0x64500000003c0000
93528.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (43)
93529
93530.xword 0x7766000000554422
93531.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (43)
93532
93533.xword 0x706200000054462a
93534.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (43)
93535
93536.xword 0x784d005800423721
93537.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (43)
93538
93539.xword 0x804d006000504020
93540.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (43)
93541
93542.xword 0x0000006000408020
93543.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (43)
93544
93545.xword 0
93546.xword 0x00021113 | (3 << 18) !ma_ctl_StParity (43)
93547
93548.xword 0
93549.xword 0x00020046 | (3 << 18) !ma_ctl_Ld (44)
93550
93551.xword 0
93552.xword 0x00020120 | (3 << 18) !ma_ctl_St (44)
93553
93554.xword 0x80604020
93555.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (44)
93556
93557.xword 0x356a
93558.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (44)
93559
93560.xword 0x0000006000408020
93561.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (44)
93562
93563.xword 0x6a35
93564.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (44)
93565
93566.xword 0x78285050
93567.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (44)
93568
93569.xword 0x80604020
93570.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (44)
93571
93572.xword 0x00287850
93573.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (44)
93574
93575.xword 0x00287850
93576.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (44)
93577
93578.xword 0x78285050
93579.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (44)
93580
93581.xword 0x64500000003c0000
93582.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (44)
93583
93584.xword 0x7766000000554422
93585.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (44)
93586
93587.xword 0x706200000054462a
93588.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (44)
93589
93590.xword 0x784d005800423721
93591.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (44)
93592
93593.xword 0x804d006000504020
93594.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (44)
93595
93596.xword 0x0000006000408020
93597.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (44)
93598
93599.xword 0
93600.xword 0x00021115 | (3 << 18) !ma_ctl_StParity (44)
93601
93602.xword 0
93603.xword 0x00020047 | (3 << 18) !ma_ctl_Ld (45)
93604
93605.xword 0
93606.xword 0x0002014d | (3 << 18) !ma_ctl_St (45)
93607
93608.xword 0x80604020
93609.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (45)
93610
93611.xword 0x356a
93612.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (45)
93613
93614.xword 0x0000006000408020
93615.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (45)
93616
93617.xword 0x6a35
93618.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (45)
93619
93620.xword 0x78285050
93621.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (45)
93622
93623.xword 0x80604020
93624.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (45)
93625
93626.xword 0x00287850
93627.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (45)
93628
93629.xword 0x00287850
93630.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (45)
93631
93632.xword 0x78285050
93633.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (45)
93634
93635.xword 0x64500000003c0000
93636.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (45)
93637
93638.xword 0x7766000000554422
93639.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (45)
93640
93641.xword 0x706200000054462a
93642.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (45)
93643
93644.xword 0x784d005800423721
93645.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (45)
93646
93647.xword 0x804d006000504020
93648.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (45)
93649
93650.xword 0x0000006000408020
93651.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (45)
93652
93653.xword 0
93654.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (45)
93655
93656.xword 0
93657.xword 0x00020067 | (3 << 18) !ma_ctl_Ld (46)
93658
93659.xword 0
93660.xword 0x00020141 | (3 << 18) !ma_ctl_St (46)
93661
93662.xword 0x80604020
93663.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (46)
93664
93665.xword 0x356a
93666.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (46)
93667
93668.xword 0x0000006000408020
93669.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (46)
93670
93671.xword 0x6a35
93672.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (46)
93673
93674.xword 0x78285050
93675.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (46)
93676
93677.xword 0x80604020
93678.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (46)
93679
93680.xword 0x00287850
93681.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (46)
93682
93683.xword 0x00287850
93684.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (46)
93685
93686.xword 0x78285050
93687.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (46)
93688
93689.xword 0x64500000003c0000
93690.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (46)
93691
93692.xword 0x7766000000554422
93693.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (46)
93694
93695.xword 0x706200000054462a
93696.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (46)
93697
93698.xword 0x784d005800423721
93699.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (46)
93700
93701.xword 0x804d006000504020
93702.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (46)
93703
93704.xword 0x0000006000408020
93705.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (46)
93706
93707.xword 0
93708.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (46)
93709
93710.xword 0
93711.xword 0x00020043 | (3 << 18) !ma_ctl_Ld (47)
93712
93713.xword 0
93714.xword 0x00020140 | (3 << 18) !ma_ctl_St (47)
93715
93716.xword 0x80604020
93717.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (47)
93718
93719.xword 0x356a
93720.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (47)
93721
93722.xword 0x0000006000408020
93723.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (47)
93724
93725.xword 0x6a35
93726.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (47)
93727
93728.xword 0x78285050
93729.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (47)
93730
93731.xword 0x80604020
93732.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (47)
93733
93734.xword 0x00287850
93735.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (47)
93736
93737.xword 0x00287850
93738.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (47)
93739
93740.xword 0x78285050
93741.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (47)
93742
93743.xword 0x64500000003c0000
93744.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (47)
93745
93746.xword 0x7766000000554422
93747.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (47)
93748
93749.xword 0x706200000054462a
93750.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (47)
93751
93752.xword 0x784d005800423721
93753.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (47)
93754
93755.xword 0x804d006000504020
93756.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (47)
93757
93758.xword 0x0000006000408020
93759.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (47)
93760
93761.xword 0
93762.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (47)
93763
93764.xword 0
93765.xword 0x0002003b | (3 << 18) !ma_ctl_Ld (48)
93766
93767.xword 0
93768.xword 0x0002017f | (3 << 18) !ma_ctl_St (48)
93769
93770.xword 0x80604020
93771.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (48)
93772
93773.xword 0x356a
93774.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (48)
93775
93776.xword 0x0000006000408020
93777.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (48)
93778
93779.xword 0x6a35
93780.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (48)
93781
93782.xword 0x78285050
93783.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (48)
93784
93785.xword 0x80604020
93786.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (48)
93787
93788.xword 0x00287850
93789.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (48)
93790
93791.xword 0x00287850
93792.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (48)
93793
93794.xword 0x78285050
93795.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (48)
93796
93797.xword 0x64500000003c0000
93798.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (48)
93799
93800.xword 0x7766000000554422
93801.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (48)
93802
93803.xword 0x706200000054462a
93804.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (48)
93805
93806.xword 0x784d005800423721
93807.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (48)
93808
93809.xword 0x804d006000504020
93810.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (48)
93811
93812.xword 0x0000006000408020
93813.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (48)
93814
93815.xword 0
93816.xword 0x0002110b | (3 << 18) !ma_ctl_StParity (48)
93817
93818.xword 0
93819.xword 0x0002003e | (3 << 18) !ma_ctl_Ld (49)
93820
93821.xword 0
93822.xword 0x0002014a | (3 << 18) !ma_ctl_St (49)
93823
93824.xword 0x80604020
93825.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (49)
93826
93827.xword 0x356a
93828.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (49)
93829
93830.xword 0x0000006000408020
93831.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (49)
93832
93833.xword 0x6a35
93834.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (49)
93835
93836.xword 0x78285050
93837.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (49)
93838
93839.xword 0x80604020
93840.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (49)
93841
93842.xword 0x00287850
93843.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (49)
93844
93845.xword 0x00287850
93846.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (49)
93847
93848.xword 0x78285050
93849.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (49)
93850
93851.xword 0x64500000003c0000
93852.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (49)
93853
93854.xword 0x7766000000554422
93855.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (49)
93856
93857.xword 0x706200000054462a
93858.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (49)
93859
93860.xword 0x784d005800423721
93861.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (49)
93862
93863.xword 0x804d006000504020
93864.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (49)
93865
93866.xword 0x0000006000408020
93867.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (49)
93868
93869.xword 0
93870.xword 0x00021102 | (3 << 18) !ma_ctl_StParity (49)
93871
93872.xword 0
93873.xword 0x00020098 | (3 << 18) !ma_ctl_Ld (50)
93874
93875.xword 0
93876.xword 0x0002017b | (3 << 18) !ma_ctl_St (50)
93877
93878.xword 0x80604020
93879.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (50)
93880
93881.xword 0x356a
93882.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (50)
93883
93884.xword 0x0000006000408020
93885.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (50)
93886
93887.xword 0x6a35
93888.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (50)
93889
93890.xword 0x78285050
93891.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (50)
93892
93893.xword 0x80604020
93894.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (50)
93895
93896.xword 0x00287850
93897.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (50)
93898
93899.xword 0x00287850
93900.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (50)
93901
93902.xword 0x78285050
93903.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (50)
93904
93905.xword 0x64500000003c0000
93906.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (50)
93907
93908.xword 0x7766000000554422
93909.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (50)
93910
93911.xword 0x706200000054462a
93912.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (50)
93913
93914.xword 0x784d005800423721
93915.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (50)
93916
93917.xword 0x804d006000504020
93918.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (50)
93919
93920.xword 0x0000006000408020
93921.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (50)
93922
93923.xword 0
93924.xword 0x0002111a | (3 << 18) !ma_ctl_StParity (50)
93925
93926.xword 0
93927.xword 0x0002004c | (3 << 18) !ma_ctl_Ld (51)
93928
93929.xword 0
93930.xword 0x00020153 | (3 << 18) !ma_ctl_St (51)
93931
93932.xword 0x80604020
93933.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (51)
93934
93935.xword 0x356a
93936.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (51)
93937
93938.xword 0x0000006000408020
93939.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (51)
93940
93941.xword 0x6a35
93942.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (51)
93943
93944.xword 0x78285050
93945.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (51)
93946
93947.xword 0x80604020
93948.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (51)
93949
93950.xword 0x00287850
93951.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (51)
93952
93953.xword 0x00287850
93954.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (51)
93955
93956.xword 0x78285050
93957.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (51)
93958
93959.xword 0x64500000003c0000
93960.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (51)
93961
93962.xword 0x7766000000554422
93963.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (51)
93964
93965.xword 0x706200000054462a
93966.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (51)
93967
93968.xword 0x784d005800423721
93969.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (51)
93970
93971.xword 0x804d006000504020
93972.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (51)
93973
93974.xword 0x0000006000408020
93975.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (51)
93976
93977.xword 0
93978.xword 0x00021102 | (3 << 18) !ma_ctl_StParity (51)
93979
93980.xword 0
93981.xword 0x00020023 | (3 << 18) !ma_ctl_Ld (52)
93982
93983.xword 0
93984.xword 0x00020140 | (3 << 18) !ma_ctl_St (52)
93985
93986.xword 0x80604020
93987.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (52)
93988
93989.xword 0x356a
93990.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (52)
93991
93992.xword 0x0000006000408020
93993.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (52)
93994
93995.xword 0x6a35
93996.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (52)
93997
93998.xword 0x78285050
93999.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (52)
94000
94001.xword 0x80604020
94002.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (52)
94003
94004.xword 0x00287850
94005.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (52)
94006
94007.xword 0x00287850
94008.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (52)
94009
94010.xword 0x78285050
94011.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (52)
94012
94013.xword 0x64500000003c0000
94014.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (52)
94015
94016.xword 0x7766000000554422
94017.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (52)
94018
94019.xword 0x706200000054462a
94020.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (52)
94021
94022.xword 0x784d005800423721
94023.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (52)
94024
94025.xword 0x804d006000504020
94026.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (52)
94027
94028.xword 0x0000006000408020
94029.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (52)
94030
94031.xword 0
94032.xword 0x0002110d | (3 << 18) !ma_ctl_StParity (52)
94033
94034.xword 0
94035.xword 0x0002002b | (3 << 18) !ma_ctl_Ld (53)
94036
94037.xword 0
94038.xword 0x00020176 | (3 << 18) !ma_ctl_St (53)
94039
94040.xword 0x80604020
94041.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (53)
94042
94043.xword 0x356a
94044.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (53)
94045
94046.xword 0x0000006000408020
94047.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (53)
94048
94049.xword 0x6a35
94050.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (53)
94051
94052.xword 0x78285050
94053.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (53)
94054
94055.xword 0x80604020
94056.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (53)
94057
94058.xword 0x00287850
94059.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (53)
94060
94061.xword 0x00287850
94062.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (53)
94063
94064.xword 0x78285050
94065.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (53)
94066
94067.xword 0x64500000003c0000
94068.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (53)
94069
94070.xword 0x7766000000554422
94071.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (53)
94072
94073.xword 0x706200000054462a
94074.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (53)
94075
94076.xword 0x784d005800423721
94077.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (53)
94078
94079.xword 0x804d006000504020
94080.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (53)
94081
94082.xword 0x0000006000408020
94083.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (53)
94084
94085.xword 0
94086.xword 0x00021108 | (3 << 18) !ma_ctl_StParity (53)
94087
94088.xword 0
94089.xword 0x00020047 | (3 << 18) !ma_ctl_Ld (54)
94090
94091.xword 0
94092.xword 0x00020151 | (3 << 18) !ma_ctl_St (54)
94093
94094.xword 0x80604020
94095.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (54)
94096
94097.xword 0x356a
94098.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (54)
94099
94100.xword 0x0000006000408020
94101.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (54)
94102
94103.xword 0x6a35
94104.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (54)
94105
94106.xword 0x78285050
94107.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (54)
94108
94109.xword 0x80604020
94110.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (54)
94111
94112.xword 0x00287850
94113.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (54)
94114
94115.xword 0x00287850
94116.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (54)
94117
94118.xword 0x78285050
94119.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (54)
94120
94121.xword 0x64500000003c0000
94122.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (54)
94123
94124.xword 0x7766000000554422
94125.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (54)
94126
94127.xword 0x706200000054462a
94128.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (54)
94129
94130.xword 0x784d005800423721
94131.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (54)
94132
94133.xword 0x804d006000504020
94134.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (54)
94135
94136.xword 0x0000006000408020
94137.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (54)
94138
94139.xword 0
94140.xword 0x0002111e | (3 << 18) !ma_ctl_StParity (54)
94141
94142.xword 0
94143.xword 0x0002002d | (3 << 18) !ma_ctl_Ld (55)
94144
94145.xword 0
94146.xword 0x00020134 | (3 << 18) !ma_ctl_St (55)
94147
94148.xword 0x80604020
94149.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (55)
94150
94151.xword 0x356a
94152.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (55)
94153
94154.xword 0x0000006000408020
94155.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (55)
94156
94157.xword 0x6a35
94158.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (55)
94159
94160.xword 0x78285050
94161.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (55)
94162
94163.xword 0x80604020
94164.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (55)
94165
94166.xword 0x00287850
94167.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (55)
94168
94169.xword 0x00287850
94170.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (55)
94171
94172.xword 0x78285050
94173.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (55)
94174
94175.xword 0x64500000003c0000
94176.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (55)
94177
94178.xword 0x7766000000554422
94179.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (55)
94180
94181.xword 0x706200000054462a
94182.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (55)
94183
94184.xword 0x784d005800423721
94185.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (55)
94186
94187.xword 0x804d006000504020
94188.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (55)
94189
94190.xword 0x0000006000408020
94191.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (55)
94192
94193.xword 0
94194.xword 0x00021103 | (3 << 18) !ma_ctl_StParity (55)
94195
94196.xword 0
94197.xword 0x0002002b | (3 << 18) !ma_ctl_Ld (56)
94198
94199.xword 0
94200.xword 0x0002017a | (3 << 18) !ma_ctl_St (56)
94201
94202.xword 0x80604020
94203.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (56)
94204
94205.xword 0x356a
94206.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (56)
94207
94208.xword 0x0000006000408020
94209.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (56)
94210
94211.xword 0x6a35
94212.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (56)
94213
94214.xword 0x78285050
94215.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (56)
94216
94217.xword 0x80604020
94218.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (56)
94219
94220.xword 0x00287850
94221.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (56)
94222
94223.xword 0x00287850
94224.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (56)
94225
94226.xword 0x78285050
94227.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (56)
94228
94229.xword 0x64500000003c0000
94230.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (56)
94231
94232.xword 0x7766000000554422
94233.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (56)
94234
94235.xword 0x706200000054462a
94236.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (56)
94237
94238.xword 0x784d005800423721
94239.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (56)
94240
94241.xword 0x804d006000504020
94242.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (56)
94243
94244.xword 0x0000006000408020
94245.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (56)
94246
94247.xword 0
94248.xword 0x0002110a | (3 << 18) !ma_ctl_StParity (56)
94249
94250.xword 0
94251.xword 0x0002007a | (3 << 18) !ma_ctl_Ld (57)
94252
94253.xword 0
94254.xword 0x00020150 | (3 << 18) !ma_ctl_St (57)
94255
94256.xword 0x80604020
94257.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (57)
94258
94259.xword 0x356a
94260.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (57)
94261
94262.xword 0x0000006000408020
94263.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (57)
94264
94265.xword 0x6a35
94266.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (57)
94267
94268.xword 0x78285050
94269.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (57)
94270
94271.xword 0x80604020
94272.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (57)
94273
94274.xword 0x00287850
94275.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (57)
94276
94277.xword 0x00287850
94278.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (57)
94279
94280.xword 0x78285050
94281.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (57)
94282
94283.xword 0x64500000003c0000
94284.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (57)
94285
94286.xword 0x7766000000554422
94287.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (57)
94288
94289.xword 0x706200000054462a
94290.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (57)
94291
94292.xword 0x784d005800423721
94293.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (57)
94294
94295.xword 0x804d006000504020
94296.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (57)
94297
94298.xword 0x0000006000408020
94299.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (57)
94300
94301.xword 0
94302.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (57)
94303
94304.xword 0
94305.xword 0x00020059 | (3 << 18) !ma_ctl_Ld (58)
94306
94307.xword 0
94308.xword 0x0002013c | (3 << 18) !ma_ctl_St (58)
94309
94310.xword 0x80604020
94311.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (58)
94312
94313.xword 0x356a
94314.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (58)
94315
94316.xword 0x0000006000408020
94317.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (58)
94318
94319.xword 0x6a35
94320.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (58)
94321
94322.xword 0x78285050
94323.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (58)
94324
94325.xword 0x80604020
94326.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (58)
94327
94328.xword 0x00287850
94329.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (58)
94330
94331.xword 0x00287850
94332.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (58)
94333
94334.xword 0x78285050
94335.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (58)
94336
94337.xword 0x64500000003c0000
94338.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (58)
94339
94340.xword 0x7766000000554422
94341.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (58)
94342
94343.xword 0x706200000054462a
94344.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (58)
94345
94346.xword 0x784d005800423721
94347.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (58)
94348
94349.xword 0x804d006000504020
94350.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (58)
94351
94352.xword 0x0000006000408020
94353.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (58)
94354
94355.xword 0
94356.xword 0x0002110b | (3 << 18) !ma_ctl_StParity (58)
94357
94358
94359.align 8
94360.global _t2_ma_results5
94361_t2_ma_results5:
94362.xword 0xDEADBEEFDEADBEEF
94363.xword 0xDEADBEEFDEADBEEF
94364.xword 0xDEADBEEFDEADBEEF
94365.xword 0xDEADBEEFDEADBEEF
94366.xword 0xDEADBEEFDEADBEEF
94367.xword 0xDEADBEEFDEADBEEF
94368.xword 0xDEADBEEFDEADBEEF
94369.xword 0xDEADBEEFDEADBEEF
94370.xword 0xDEADBEEFDEADBEEF
94371.xword 0xDEADBEEFDEADBEEF
94372.xword 0xDEADBEEFDEADBEEF
94373.xword 0xDEADBEEFDEADBEEF
94374.xword 0xDEADBEEFDEADBEEF
94375.xword 0xDEADBEEFDEADBEEF
94376.xword 0xDEADBEEFDEADBEEF
94377.xword 0xDEADBEEFDEADBEEF
94378.xword 0xDEADBEEFDEADBEEF
94379.xword 0xDEADBEEFDEADBEEF
94380.xword 0xDEADBEEFDEADBEEF
94381.xword 0xDEADBEEFDEADBEEF
94382.xword 0xDEADBEEFDEADBEEF
94383.xword 0xDEADBEEFDEADBEEF
94384.xword 0xDEADBEEFDEADBEEF
94385.xword 0xDEADBEEFDEADBEEF
94386.xword 0xDEADBEEFDEADBEEF
94387.xword 0xDEADBEEFDEADBEEF
94388.xword 0xDEADBEEFDEADBEEF
94389.xword 0xDEADBEEFDEADBEEF
94390.xword 0xDEADBEEFDEADBEEF
94391.xword 0xDEADBEEFDEADBEEF
94392.xword 0xDEADBEEFDEADBEEF
94393.xword 0xDEADBEEFDEADBEEF
94394.xword 0xDEADBEEFDEADBEEF
94395.xword 0xDEADBEEFDEADBEEF
94396.xword 0xDEADBEEFDEADBEEF
94397.xword 0xDEADBEEFDEADBEEF
94398.xword 0xDEADBEEFDEADBEEF
94399.xword 0xDEADBEEFDEADBEEF
94400.xword 0xDEADBEEFDEADBEEF
94401.xword 0xDEADBEEFDEADBEEF
94402.xword 0xDEADBEEFDEADBEEF
94403.xword 0xDEADBEEFDEADBEEF
94404.xword 0xDEADBEEFDEADBEEF
94405.xword 0xDEADBEEFDEADBEEF
94406.xword 0xDEADBEEFDEADBEEF
94407.xword 0xDEADBEEFDEADBEEF
94408.xword 0xDEADBEEFDEADBEEF
94409.xword 0xDEADBEEFDEADBEEF
94410.xword 0xDEADBEEFDEADBEEF
94411.xword 0xDEADBEEFDEADBEEF
94412.xword 0xDEADBEEFDEADBEEF
94413.xword 0xDEADBEEFDEADBEEF
94414.xword 0xDEADBEEFDEADBEEF
94415.xword 0xDEADBEEFDEADBEEF
94416.xword 0xDEADBEEFDEADBEEF
94417.xword 0xDEADBEEFDEADBEEF
94418.xword 0xDEADBEEFDEADBEEF
94419.xword 0xDEADBEEFDEADBEEF
94420.xword 0xDEADBEEFDEADBEEF
94421.xword 0xDEADBEEFDEADBEEF
94422.xword 0xDEADBEEFDEADBEEF
94423.xword 0xDEADBEEFDEADBEEF
94424.xword 0xDEADBEEFDEADBEEF
94425.xword 0xDEADBEEFDEADBEEF
94426.xword 0xDEADBEEFDEADBEEF
94427.xword 0xDEADBEEFDEADBEEF
94428.xword 0xDEADBEEFDEADBEEF
94429.xword 0xDEADBEEFDEADBEEF
94430.xword 0xDEADBEEFDEADBEEF
94431.xword 0xDEADBEEFDEADBEEF
94432.xword 0xDEADBEEFDEADBEEF
94433.xword 0xDEADBEEFDEADBEEF
94434.xword 0xDEADBEEFDEADBEEF
94435.xword 0xDEADBEEFDEADBEEF
94436.xword 0xDEADBEEFDEADBEEF
94437.xword 0xDEADBEEFDEADBEEF
94438.xword 0xDEADBEEFDEADBEEF
94439.xword 0xDEADBEEFDEADBEEF
94440.xword 0xDEADBEEFDEADBEEF
94441.xword 0xDEADBEEFDEADBEEF
94442.xword 0xDEADBEEFDEADBEEF
94443.xword 0xDEADBEEFDEADBEEF
94444.xword 0xDEADBEEFDEADBEEF
94445.xword 0xDEADBEEFDEADBEEF
94446.xword 0xDEADBEEFDEADBEEF
94447.xword 0xDEADBEEFDEADBEEF
94448.xword 0xDEADBEEFDEADBEEF
94449.xword 0xDEADBEEFDEADBEEF
94450.xword 0xDEADBEEFDEADBEEF
94451.xword 0xDEADBEEFDEADBEEF
94452.xword 0xDEADBEEFDEADBEEF
94453.xword 0xDEADBEEFDEADBEEF
94454.xword 0xDEADBEEFDEADBEEF
94455.xword 0xDEADBEEFDEADBEEF
94456.xword 0xDEADBEEFDEADBEEF
94457.xword 0xDEADBEEFDEADBEEF
94458.xword 0xDEADBEEFDEADBEEF
94459.xword 0xDEADBEEFDEADBEEF
94460.xword 0xDEADBEEFDEADBEEF
94461.xword 0xDEADBEEFDEADBEEF
94462.xword 0xDEADBEEFDEADBEEF
94463.xword 0xDEADBEEFDEADBEEF
94464.xword 0xDEADBEEFDEADBEEF
94465.xword 0xDEADBEEFDEADBEEF
94466.xword 0xDEADBEEFDEADBEEF
94467.xword 0xDEADBEEFDEADBEEF
94468.xword 0xDEADBEEFDEADBEEF
94469.xword 0xDEADBEEFDEADBEEF
94470.xword 0xDEADBEEFDEADBEEF
94471.xword 0xDEADBEEFDEADBEEF
94472.xword 0xDEADBEEFDEADBEEF
94473.xword 0xDEADBEEFDEADBEEF
94474.xword 0xDEADBEEFDEADBEEF
94475.xword 0xDEADBEEFDEADBEEF
94476.xword 0xDEADBEEFDEADBEEF
94477.xword 0xDEADBEEFDEADBEEF
94478.xword 0xDEADBEEFDEADBEEF
94479.xword 0xDEADBEEFDEADBEEF
94480.xword 0xDEADBEEFDEADBEEF
94481.xword 0xDEADBEEFDEADBEEF
94482.xword 0xDEADBEEFDEADBEEF
94483.xword 0xDEADBEEFDEADBEEF
94484.xword 0xDEADBEEFDEADBEEF
94485.xword 0xDEADBEEFDEADBEEF
94486.xword 0xDEADBEEFDEADBEEF
94487.xword 0xDEADBEEFDEADBEEF
94488.xword 0xDEADBEEFDEADBEEF
94489.xword 0xDEADBEEFDEADBEEF
94490.xword 0xDEADBEEFDEADBEEF
94491.xword 0xDEADBEEFDEADBEEF
94492.xword 0xDEADBEEFDEADBEEF
94493.xword 0xDEADBEEFDEADBEEF
94494.xword 0xDEADBEEFDEADBEEF
94495.xword 0xDEADBEEFDEADBEEF
94496.xword 0xDEADBEEFDEADBEEF
94497.xword 0xDEADBEEFDEADBEEF
94498.xword 0xDEADBEEFDEADBEEF
94499.xword 0xDEADBEEFDEADBEEF
94500.xword 0xDEADBEEFDEADBEEF
94501.xword 0xDEADBEEFDEADBEEF
94502.xword 0xDEADBEEFDEADBEEF
94503.xword 0xDEADBEEFDEADBEEF
94504.xword 0xDEADBEEFDEADBEEF
94505.xword 0xDEADBEEFDEADBEEF
94506.xword 0xDEADBEEFDEADBEEF
94507.xword 0xDEADBEEFDEADBEEF
94508.xword 0xDEADBEEFDEADBEEF
94509.xword 0xDEADBEEFDEADBEEF
94510.xword 0xDEADBEEFDEADBEEF
94511.xword 0xDEADBEEFDEADBEEF
94512.xword 0xDEADBEEFDEADBEEF
94513.xword 0xDEADBEEFDEADBEEF
94514.xword 0xDEADBEEFDEADBEEF
94515.xword 0xDEADBEEFDEADBEEF
94516.xword 0xDEADBEEFDEADBEEF
94517.xword 0xDEADBEEFDEADBEEF
94518.xword 0xDEADBEEFDEADBEEF
94519.xword 0xDEADBEEFDEADBEEF
94520.xword 0xDEADBEEFDEADBEEF
94521.xword 0xDEADBEEFDEADBEEF
94522.xword 0xDEADBEEFDEADBEEF
94523.xword 0xDEADBEEFDEADBEEF
94524.xword 0xDEADBEEFDEADBEEF
94525.xword 0xDEADBEEFDEADBEEF
94526SECTION ._t2_T_MAX_DATA6 DATA_VA=274726912
94527attr_data {
94528 Name = ._t2_T_MAX_DATA6
94529 hypervisor
94530}
94531
94532.data
94533_t2_user_data_start:
94534_t2_scratch_area:
94535
94536.align 8
94537.global _t2_ma_operands6
94538_t2_ma_operands6:
94539.xword 0x6fc0a4889cb19533
94540.xword 0x39cf3f3d1c415446
94541.xword 0xe806dc2f8575e439
94542.xword 0x798f823a10c8a3db
94543.xword 0xaa6a45f901384d28
94544.xword 0x2580f076891b37f0
94545.xword 0x6f0ca1694fb5150e
94546.xword 0x4dce598fd7965bc8
94547.xword 0x6996e712b7384fcc
94548.xword 0x517b0ca5a9020e23
94549.xword 0x1bdef6d8bf452137
94550.xword 0xe7f4bf4077651c05
94551.xword 0xba8ee3c9d0aadb13
94552.xword 0x93969e7287045e92
94553.xword 0x3da2a439a32559ff
94554.xword 0x377bfcb5e950c319
94555.xword 0x93e1ae9966b5f882
94556.xword 0x5974d827579695e3
94557.xword 0xf57ef6f81cabffe3
94558.xword 0x3b922c651e9ad5a4
94559.xword 0x6629137e9cbdd325
94560.xword 0xdad25f01c400dd21
94561.xword 0x4141272aed9b4ede
94562.xword 0x6480a774fe695464
94563.xword 0xf28a4b9742c00960
94564.xword 0x97f37056b122ce47
94565.xword 0xe3d748b24192e86e
94566.xword 0x8d87f8a681c9057d
94567.xword 0xf643a8547108bc4b
94568.xword 0xbf577166d6bfcec8
94569.xword 0x292fbf6c9cf7c624
94570.xword 0x41aa2b32cb05a218
94571.xword 0xf8e9571acb669c79
94572.xword 0x6980b3884fdc842b
94573.xword 0xaac6993dd58c93ab
94574.xword 0x6040e8f3cafb0183
94575.xword 0x4a8276b4b66e849f
94576.xword 0xacb8ca2960ffa74c
94577.xword 0x19910f15c58c1c9b
94578.xword 0xc31bd3deff0be7c5
94579.xword 0x09c96433b08b6ea8
94580.xword 0x1ddfe99b6989c5b8
94581.xword 0x9b985f37afe2c461
94582.xword 0xa74827fd49c0c90f
94583.xword 0x88954e88d549ef34
94584.xword 0xc4ba83e4987bc170
94585.xword 0x9442013236db1358
94586.xword 0xdd80dfd4b0cbcb4d
94587.xword 0x80fd5a426690396a
94588.xword 0x5b6b0a56bbece5f1
94589.xword 0x00cd486e7fda4662
94590.xword 0x51fcc3b8f916264d
94591.xword 0x5315aabea8d1ebdf
94592.xword 0xde7ee14715039d83
94593.xword 0xc546b1804268ff4c
94594.xword 0xabe7b85d67422c1a
94595.xword 0xff4196fd4f8b26fe
94596.xword 0xe57cf56bdc8377d3
94597.xword 0xaf029ea6062f75d4
94598.xword 0x8e5e4c68fa5b022a
94599.xword 0x7ac1f0107754830d
94600.xword 0x4af1b7f95721372f
94601.xword 0x286be4ba9ccda6ac
94602.xword 0x01c93856f09c9a97
94603.xword 0x799d2fd6d8669e7c
94604.xword 0x6c1e71f9a1ef1ef5
94605.xword 0xe71d2f289bb4e965
94606.xword 0xc184d04450bab745
94607.xword 0xe38b2a51d0f2d68f
94608.xword 0x6bbe05bb2177e53d
94609.xword 0x61b6d0026db550a8
94610.xword 0xce70bd6576456257
94611.xword 0x0f90d20ecf874e14
94612.xword 0x918dd633ad5b41dd
94613.xword 0x391aadb02682b03e
94614.xword 0x656e523b37dddc5a
94615.xword 0x47e770fbbfdd647b
94616.xword 0xc7e43b34c386952a
94617.xword 0x8bf08107a148f112
94618.xword 0xc5da69c3a4dff127
94619.xword 0x2bed55ac270309cf
94620.xword 0xdf4b490524c5b70e
94621.xword 0xd20741216942c6e9
94622.xword 0x62d1dc30beb1fc18
94623.xword 0x3d0422593486d45a
94624.xword 0xc85c97c4ba58735f
94625.xword 0xb00839b403527a20
94626.xword 0x8edd944f1be38760
94627.xword 0x411b898699cffe62
94628.xword 0xd4bd97c0b0eebf60
94629.xword 0xf7a237547b9bb1a1
94630.xword 0x61bdc727b97d51d9
94631.xword 0x34d524db9b278a9b
94632.xword 0x829d956f69207f4f
94633.xword 0xd9914e9b8283639c
94634.xword 0x1c98d088d92d144a
94635.xword 0xf878eb67ed84118e
94636.xword 0x579329f6b8f0b2f2
94637.xword 0x04c6d83bfafd9ada
94638.xword 0xf5e5c244509f1f86
94639.xword 0x6b667827880a3fec
94640.xword 0x7dc39eb1a5d75cce
94641.xword 0x0ade776c656176ac
94642.xword 0xbb9822929ceac076
94643.xword 0xae077ae4fa6add3b
94644.xword 0x7c9385ec320c7c8f
94645.xword 0x016d0caa0af49f65
94646.xword 0xd710f35aad4d7924
94647.xword 0x527678666e2ab823
94648.xword 0xd65a2cffd38744ed
94649.xword 0x9b293230e2c28e1e
94650.xword 0xfe6a52110b29b2b2
94651.xword 0x202f40acde6fbae0
94652.xword 0xfbfc66f704948758
94653.xword 0x00dc4518056792b9
94654.xword 0xac5b310c7b0af143
94655.xword 0x37d1e06c4b60d98f
94656.xword 0x9791e6b53ea74406
94657.xword 0xbd80121c18f66467
94658.xword 0xa2eaff9629003d59
94659.xword 0x119fac05b6ddc3c3
94660.xword 0x245b7240d0186e04
94661.xword 0x82bfd9110ec5a0e9
94662.xword 0x69bceed900a28e2a
94663.xword 0x42aaf93a36fa5b40
94664.xword 0xd2a654db24a62cd6
94665.xword 0xdc98dfd8631cbcd2
94666.xword 0xae00d21a5e574744
94667.xword 0x8bb0f8de1e86753e
94668.xword 0x9ee6af6e79573390
94669.xword 0xd81f66ac24c2cc33
94670.xword 0x5e2bdf16d62888be
94671.xword 0x020a7f2f5ffb02eb
94672.xword 0xfc16a613120b64be
94673.xword 0xcb0ab369cfb86ad3
94674.xword 0xb1713a081eda9c48
94675.xword 0x90c5a2cf46bdd56b
94676.xword 0x2ab47470d2df0a10
94677.xword 0x05a4b4d69d3431a7
94678.xword 0xc8d17122bffbe923
94679.xword 0xeb328e0d9848cb9b
94680.xword 0x9fc760b6777222e3
94681.xword 0x6b6610850e6e4e06
94682.xword 0x5ef4bf8e1fcadac4
94683.xword 0x6457daa5dee07aa0
94684.xword 0x8bf62b6dee0e8342
94685.xword 0x0d067927f6950b0e
94686.xword 0xa76d83e2ba2ab9d9
94687.xword 0x3fd3cf19d0b2967a
94688.xword 0x6fe3db6a94be7f51
94689.xword 0x28b91ed4ea78e007
94690.xword 0x3e1c34b80995bee2
94691.xword 0x41d03d7c4c98ded8
94692.xword 0x992947ef3288e819
94693.xword 0x7d8214057425b241
94694.xword 0x6939f8638a8780ed
94695.xword 0x15358a6614cd969a
94696.xword 0x2111e643433e9c44
94697.xword 0xfa01a3e2e48a1b6c
94698.xword 0xc9a7cad314a86b95
94699.xword 0x4c79910174e5a53f
94700.xword 0xb8846f8f895e2142
94701.xword 0x2957232376d3aab3
94702.xword 0x47560d814bfe836e
94703
94704.align 8
94705.global _t2_ma_operations6
94706_t2_ma_operations6:
94707.xword 0
94708.xword 0x00020086 | (3 << 18) !ma_ctl_Ld (0)
94709
94710.xword 0
94711.xword 0x0002015f | (3 << 18) !ma_ctl_St (0)
94712
94713.xword 0x80604020
94714.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (0)
94715
94716.xword 0x356a
94717.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (0)
94718
94719.xword 0x0000006000408020
94720.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (0)
94721
94722.xword 0x6a35
94723.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (0)
94724
94725.xword 0x78285050
94726.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (0)
94727
94728.xword 0x80604020
94729.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (0)
94730
94731.xword 0x00287850
94732.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (0)
94733
94734.xword 0x00287850
94735.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (0)
94736
94737.xword 0x78285050
94738.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (0)
94739
94740.xword 0x64500000003c0000
94741.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (0)
94742
94743.xword 0x7766000000554422
94744.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (0)
94745
94746.xword 0x706200000054462a
94747.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (0)
94748
94749.xword 0x784d005800423721
94750.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (0)
94751
94752.xword 0x804d006000504020
94753.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (0)
94754
94755.xword 0x0000006000408020
94756.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (0)
94757
94758.xword 0
94759.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (0)
94760
94761.xword 0
94762.xword 0x00020048 | (3 << 18) !ma_ctl_Ld (1)
94763
94764.xword 0
94765.xword 0x0002017d | (3 << 18) !ma_ctl_St (1)
94766
94767.xword 0x80604020
94768.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (1)
94769
94770.xword 0x356a
94771.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (1)
94772
94773.xword 0x0000006000408020
94774.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (1)
94775
94776.xword 0x6a35
94777.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (1)
94778
94779.xword 0x78285050
94780.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (1)
94781
94782.xword 0x80604020
94783.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (1)
94784
94785.xword 0x00287850
94786.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (1)
94787
94788.xword 0x00287850
94789.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (1)
94790
94791.xword 0x78285050
94792.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (1)
94793
94794.xword 0x64500000003c0000
94795.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (1)
94796
94797.xword 0x7766000000554422
94798.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (1)
94799
94800.xword 0x706200000054462a
94801.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (1)
94802
94803.xword 0x784d005800423721
94804.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (1)
94805
94806.xword 0x804d006000504020
94807.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (1)
94808
94809.xword 0x0000006000408020
94810.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (1)
94811
94812.xword 0
94813.xword 0x00021118 | (3 << 18) !ma_ctl_StParity (1)
94814
94815.xword 0
94816.xword 0x0002005b | (3 << 18) !ma_ctl_Ld (2)
94817
94818.xword 0
94819.xword 0x0002012b | (3 << 18) !ma_ctl_St (2)
94820
94821.xword 0x80604020
94822.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (2)
94823
94824.xword 0x356a
94825.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (2)
94826
94827.xword 0x0000006000408020
94828.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (2)
94829
94830.xword 0x6a35
94831.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (2)
94832
94833.xword 0x78285050
94834.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (2)
94835
94836.xword 0x80604020
94837.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (2)
94838
94839.xword 0x00287850
94840.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (2)
94841
94842.xword 0x00287850
94843.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (2)
94844
94845.xword 0x78285050
94846.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (2)
94847
94848.xword 0x64500000003c0000
94849.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (2)
94850
94851.xword 0x7766000000554422
94852.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (2)
94853
94854.xword 0x706200000054462a
94855.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (2)
94856
94857.xword 0x784d005800423721
94858.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (2)
94859
94860.xword 0x804d006000504020
94861.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (2)
94862
94863.xword 0x0000006000408020
94864.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (2)
94865
94866.xword 0
94867.xword 0x00021114 | (3 << 18) !ma_ctl_StParity (2)
94868
94869.xword 0
94870.xword 0x00020031 | (3 << 18) !ma_ctl_Ld (3)
94871
94872.xword 0
94873.xword 0x00020185 | (3 << 18) !ma_ctl_St (3)
94874
94875.xword 0x80604020
94876.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (3)
94877
94878.xword 0x356a
94879.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (3)
94880
94881.xword 0x0000006000408020
94882.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (3)
94883
94884.xword 0x6a35
94885.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (3)
94886
94887.xword 0x78285050
94888.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (3)
94889
94890.xword 0x80604020
94891.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (3)
94892
94893.xword 0x00287850
94894.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (3)
94895
94896.xword 0x00287850
94897.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (3)
94898
94899.xword 0x78285050
94900.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (3)
94901
94902.xword 0x64500000003c0000
94903.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (3)
94904
94905.xword 0x7766000000554422
94906.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (3)
94907
94908.xword 0x706200000054462a
94909.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (3)
94910
94911.xword 0x784d005800423721
94912.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (3)
94913
94914.xword 0x804d006000504020
94915.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (3)
94916
94917.xword 0x0000006000408020
94918.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (3)
94919
94920.xword 0
94921.xword 0x0002111a | (3 << 18) !ma_ctl_StParity (3)
94922
94923.xword 0
94924.xword 0x0002005d | (3 << 18) !ma_ctl_Ld (4)
94925
94926.xword 0
94927.xword 0x00020152 | (3 << 18) !ma_ctl_St (4)
94928
94929.xword 0x80604020
94930.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (4)
94931
94932.xword 0x356a
94933.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (4)
94934
94935.xword 0x0000006000408020
94936.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (4)
94937
94938.xword 0x6a35
94939.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (4)
94940
94941.xword 0x78285050
94942.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (4)
94943
94944.xword 0x80604020
94945.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (4)
94946
94947.xword 0x00287850
94948.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (4)
94949
94950.xword 0x00287850
94951.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (4)
94952
94953.xword 0x78285050
94954.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (4)
94955
94956.xword 0x64500000003c0000
94957.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (4)
94958
94959.xword 0x7766000000554422
94960.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (4)
94961
94962.xword 0x706200000054462a
94963.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (4)
94964
94965.xword 0x784d005800423721
94966.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (4)
94967
94968.xword 0x804d006000504020
94969.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (4)
94970
94971.xword 0x0000006000408020
94972.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (4)
94973
94974.xword 0
94975.xword 0x00021102 | (3 << 18) !ma_ctl_StParity (4)
94976
94977.xword 0
94978.xword 0x00020039 | (3 << 18) !ma_ctl_Ld (5)
94979
94980.xword 0
94981.xword 0x00020180 | (3 << 18) !ma_ctl_St (5)
94982
94983.xword 0x80604020
94984.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (5)
94985
94986.xword 0x356a
94987.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (5)
94988
94989.xword 0x0000006000408020
94990.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (5)
94991
94992.xword 0x6a35
94993.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (5)
94994
94995.xword 0x78285050
94996.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (5)
94997
94998.xword 0x80604020
94999.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (5)
95000
95001.xword 0x00287850
95002.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (5)
95003
95004.xword 0x00287850
95005.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (5)
95006
95007.xword 0x78285050
95008.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (5)
95009
95010.xword 0x64500000003c0000
95011.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (5)
95012
95013.xword 0x7766000000554422
95014.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (5)
95015
95016.xword 0x706200000054462a
95017.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (5)
95018
95019.xword 0x784d005800423721
95020.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (5)
95021
95022.xword 0x804d006000504020
95023.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (5)
95024
95025.xword 0x0000006000408020
95026.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (5)
95027
95028.xword 0
95029.xword 0x00021101 | (3 << 18) !ma_ctl_StParity (5)
95030
95031.xword 0
95032.xword 0x00020064 | (3 << 18) !ma_ctl_Ld (6)
95033
95034.xword 0
95035.xword 0x00020179 | (3 << 18) !ma_ctl_St (6)
95036
95037.xword 0x80604020
95038.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (6)
95039
95040.xword 0x356a
95041.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (6)
95042
95043.xword 0x0000006000408020
95044.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (6)
95045
95046.xword 0x6a35
95047.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (6)
95048
95049.xword 0x78285050
95050.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (6)
95051
95052.xword 0x80604020
95053.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (6)
95054
95055.xword 0x00287850
95056.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (6)
95057
95058.xword 0x00287850
95059.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (6)
95060
95061.xword 0x78285050
95062.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (6)
95063
95064.xword 0x64500000003c0000
95065.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (6)
95066
95067.xword 0x7766000000554422
95068.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (6)
95069
95070.xword 0x706200000054462a
95071.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (6)
95072
95073.xword 0x784d005800423721
95074.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (6)
95075
95076.xword 0x804d006000504020
95077.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (6)
95078
95079.xword 0x0000006000408020
95080.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (6)
95081
95082.xword 0
95083.xword 0x0002110f | (3 << 18) !ma_ctl_StParity (6)
95084
95085.xword 0
95086.xword 0x00020053 | (3 << 18) !ma_ctl_Ld (7)
95087
95088.xword 0
95089.xword 0x00020167 | (3 << 18) !ma_ctl_St (7)
95090
95091.xword 0x80604020
95092.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (7)
95093
95094.xword 0x356a
95095.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (7)
95096
95097.xword 0x0000006000408020
95098.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (7)
95099
95100.xword 0x6a35
95101.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (7)
95102
95103.xword 0x78285050
95104.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (7)
95105
95106.xword 0x80604020
95107.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (7)
95108
95109.xword 0x00287850
95110.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (7)
95111
95112.xword 0x00287850
95113.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (7)
95114
95115.xword 0x78285050
95116.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (7)
95117
95118.xword 0x64500000003c0000
95119.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (7)
95120
95121.xword 0x7766000000554422
95122.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (7)
95123
95124.xword 0x706200000054462a
95125.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (7)
95126
95127.xword 0x784d005800423721
95128.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (7)
95129
95130.xword 0x804d006000504020
95131.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (7)
95132
95133.xword 0x0000006000408020
95134.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (7)
95135
95136.xword 0
95137.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (7)
95138
95139.xword 0
95140.xword 0x00020053 | (3 << 18) !ma_ctl_Ld (8)
95141
95142.xword 0
95143.xword 0x00020151 | (3 << 18) !ma_ctl_St (8)
95144
95145.xword 0x80604020
95146.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (8)
95147
95148.xword 0x356a
95149.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (8)
95150
95151.xword 0x0000006000408020
95152.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (8)
95153
95154.xword 0x6a35
95155.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (8)
95156
95157.xword 0x78285050
95158.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (8)
95159
95160.xword 0x80604020
95161.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (8)
95162
95163.xword 0x00287850
95164.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (8)
95165
95166.xword 0x00287850
95167.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (8)
95168
95169.xword 0x78285050
95170.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (8)
95171
95172.xword 0x64500000003c0000
95173.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (8)
95174
95175.xword 0x7766000000554422
95176.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (8)
95177
95178.xword 0x706200000054462a
95179.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (8)
95180
95181.xword 0x784d005800423721
95182.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (8)
95183
95184.xword 0x804d006000504020
95185.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (8)
95186
95187.xword 0x0000006000408020
95188.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (8)
95189
95190.xword 0
95191.xword 0x0002110d | (3 << 18) !ma_ctl_StParity (8)
95192
95193.xword 0
95194.xword 0x00020061 | (3 << 18) !ma_ctl_Ld (9)
95195
95196.xword 0
95197.xword 0x0002017a | (3 << 18) !ma_ctl_St (9)
95198
95199.xword 0x80604020
95200.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (9)
95201
95202.xword 0x356a
95203.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (9)
95204
95205.xword 0x0000006000408020
95206.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (9)
95207
95208.xword 0x6a35
95209.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (9)
95210
95211.xword 0x78285050
95212.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (9)
95213
95214.xword 0x80604020
95215.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (9)
95216
95217.xword 0x00287850
95218.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (9)
95219
95220.xword 0x00287850
95221.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (9)
95222
95223.xword 0x78285050
95224.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (9)
95225
95226.xword 0x64500000003c0000
95227.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (9)
95228
95229.xword 0x7766000000554422
95230.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (9)
95231
95232.xword 0x706200000054462a
95233.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (9)
95234
95235.xword 0x784d005800423721
95236.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (9)
95237
95238.xword 0x804d006000504020
95239.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (9)
95240
95241.xword 0x0000006000408020
95242.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (9)
95243
95244.xword 0
95245.xword 0x00021109 | (3 << 18) !ma_ctl_StParity (9)
95246
95247.xword 0
95248.xword 0x0002002f | (3 << 18) !ma_ctl_Ld (10)
95249
95250.xword 0
95251.xword 0x00020160 | (3 << 18) !ma_ctl_St (10)
95252
95253.xword 0x80604020
95254.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (10)
95255
95256.xword 0x356a
95257.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (10)
95258
95259.xword 0x0000006000408020
95260.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (10)
95261
95262.xword 0x6a35
95263.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (10)
95264
95265.xword 0x78285050
95266.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (10)
95267
95268.xword 0x80604020
95269.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (10)
95270
95271.xword 0x00287850
95272.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (10)
95273
95274.xword 0x00287850
95275.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (10)
95276
95277.xword 0x78285050
95278.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (10)
95279
95280.xword 0x64500000003c0000
95281.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (10)
95282
95283.xword 0x7766000000554422
95284.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (10)
95285
95286.xword 0x706200000054462a
95287.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (10)
95288
95289.xword 0x784d005800423721
95290.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (10)
95291
95292.xword 0x804d006000504020
95293.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (10)
95294
95295.xword 0x0000006000408020
95296.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (10)
95297
95298.xword 0
95299.xword 0x0002110e | (3 << 18) !ma_ctl_StParity (10)
95300
95301.xword 0
95302.xword 0x00020025 | (3 << 18) !ma_ctl_Ld (11)
95303
95304.xword 0
95305.xword 0x00020188 | (3 << 18) !ma_ctl_St (11)
95306
95307.xword 0x80604020
95308.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (11)
95309
95310.xword 0x356a
95311.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (11)
95312
95313.xword 0x0000006000408020
95314.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (11)
95315
95316.xword 0x6a35
95317.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (11)
95318
95319.xword 0x78285050
95320.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (11)
95321
95322.xword 0x80604020
95323.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (11)
95324
95325.xword 0x00287850
95326.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (11)
95327
95328.xword 0x00287850
95329.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (11)
95330
95331.xword 0x78285050
95332.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (11)
95333
95334.xword 0x64500000003c0000
95335.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (11)
95336
95337.xword 0x7766000000554422
95338.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (11)
95339
95340.xword 0x706200000054462a
95341.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (11)
95342
95343.xword 0x784d005800423721
95344.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (11)
95345
95346.xword 0x804d006000504020
95347.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (11)
95348
95349.xword 0x0000006000408020
95350.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (11)
95351
95352.xword 0
95353.xword 0x00021104 | (3 << 18) !ma_ctl_StParity (11)
95354
95355.xword 0
95356.xword 0x00020036 | (3 << 18) !ma_ctl_Ld (12)
95357
95358.xword 0
95359.xword 0x0002014f | (3 << 18) !ma_ctl_St (12)
95360
95361.xword 0x80604020
95362.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (12)
95363
95364.xword 0x356a
95365.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (12)
95366
95367.xword 0x0000006000408020
95368.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (12)
95369
95370.xword 0x6a35
95371.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (12)
95372
95373.xword 0x78285050
95374.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (12)
95375
95376.xword 0x80604020
95377.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (12)
95378
95379.xword 0x00287850
95380.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (12)
95381
95382.xword 0x00287850
95383.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (12)
95384
95385.xword 0x78285050
95386.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (12)
95387
95388.xword 0x64500000003c0000
95389.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (12)
95390
95391.xword 0x7766000000554422
95392.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (12)
95393
95394.xword 0x706200000054462a
95395.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (12)
95396
95397.xword 0x784d005800423721
95398.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (12)
95399
95400.xword 0x804d006000504020
95401.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (12)
95402
95403.xword 0x0000006000408020
95404.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (12)
95405
95406.xword 0
95407.xword 0x0002111b | (3 << 18) !ma_ctl_StParity (12)
95408
95409.xword 0
95410.xword 0x0002003e | (3 << 18) !ma_ctl_Ld (13)
95411
95412.xword 0
95413.xword 0x00020155 | (3 << 18) !ma_ctl_St (13)
95414
95415.xword 0x80604020
95416.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (13)
95417
95418.xword 0x356a
95419.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (13)
95420
95421.xword 0x0000006000408020
95422.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (13)
95423
95424.xword 0x6a35
95425.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (13)
95426
95427.xword 0x78285050
95428.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (13)
95429
95430.xword 0x80604020
95431.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (13)
95432
95433.xword 0x00287850
95434.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (13)
95435
95436.xword 0x00287850
95437.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (13)
95438
95439.xword 0x78285050
95440.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (13)
95441
95442.xword 0x64500000003c0000
95443.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (13)
95444
95445.xword 0x7766000000554422
95446.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (13)
95447
95448.xword 0x706200000054462a
95449.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (13)
95450
95451.xword 0x784d005800423721
95452.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (13)
95453
95454.xword 0x804d006000504020
95455.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (13)
95456
95457.xword 0x0000006000408020
95458.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (13)
95459
95460.xword 0
95461.xword 0x00021103 | (3 << 18) !ma_ctl_StParity (13)
95462
95463.xword 0
95464.xword 0x00020093 | (3 << 18) !ma_ctl_Ld (14)
95465
95466.xword 0
95467.xword 0x0002018e | (3 << 18) !ma_ctl_St (14)
95468
95469.xword 0x80604020
95470.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (14)
95471
95472.xword 0x356a
95473.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (14)
95474
95475.xword 0x0000006000408020
95476.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (14)
95477
95478.xword 0x6a35
95479.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (14)
95480
95481.xword 0x78285050
95482.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (14)
95483
95484.xword 0x80604020
95485.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (14)
95486
95487.xword 0x00287850
95488.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (14)
95489
95490.xword 0x00287850
95491.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (14)
95492
95493.xword 0x78285050
95494.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (14)
95495
95496.xword 0x64500000003c0000
95497.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (14)
95498
95499.xword 0x7766000000554422
95500.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (14)
95501
95502.xword 0x706200000054462a
95503.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (14)
95504
95505.xword 0x784d005800423721
95506.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (14)
95507
95508.xword 0x804d006000504020
95509.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (14)
95510
95511.xword 0x0000006000408020
95512.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (14)
95513
95514.xword 0
95515.xword 0x00021104 | (3 << 18) !ma_ctl_StParity (14)
95516
95517.xword 0
95518.xword 0x0002005c | (3 << 18) !ma_ctl_Ld (15)
95519
95520.xword 0
95521.xword 0x00020176 | (3 << 18) !ma_ctl_St (15)
95522
95523.xword 0x80604020
95524.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (15)
95525
95526.xword 0x356a
95527.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (15)
95528
95529.xword 0x0000006000408020
95530.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (15)
95531
95532.xword 0x6a35
95533.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (15)
95534
95535.xword 0x78285050
95536.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (15)
95537
95538.xword 0x80604020
95539.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (15)
95540
95541.xword 0x00287850
95542.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (15)
95543
95544.xword 0x00287850
95545.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (15)
95546
95547.xword 0x78285050
95548.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (15)
95549
95550.xword 0x64500000003c0000
95551.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (15)
95552
95553.xword 0x7766000000554422
95554.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (15)
95555
95556.xword 0x706200000054462a
95557.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (15)
95558
95559.xword 0x784d005800423721
95560.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (15)
95561
95562.xword 0x804d006000504020
95563.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (15)
95564
95565.xword 0x0000006000408020
95566.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (15)
95567
95568.xword 0
95569.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (15)
95570
95571.xword 0
95572.xword 0x00020028 | (3 << 18) !ma_ctl_Ld (16)
95573
95574.xword 0
95575.xword 0x00020178 | (3 << 18) !ma_ctl_St (16)
95576
95577.xword 0x80604020
95578.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (16)
95579
95580.xword 0x356a
95581.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (16)
95582
95583.xword 0x0000006000408020
95584.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (16)
95585
95586.xword 0x6a35
95587.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (16)
95588
95589.xword 0x78285050
95590.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (16)
95591
95592.xword 0x80604020
95593.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (16)
95594
95595.xword 0x00287850
95596.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (16)
95597
95598.xword 0x00287850
95599.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (16)
95600
95601.xword 0x78285050
95602.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (16)
95603
95604.xword 0x64500000003c0000
95605.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (16)
95606
95607.xword 0x7766000000554422
95608.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (16)
95609
95610.xword 0x706200000054462a
95611.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (16)
95612
95613.xword 0x784d005800423721
95614.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (16)
95615
95616.xword 0x804d006000504020
95617.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (16)
95618
95619.xword 0x0000006000408020
95620.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (16)
95621
95622.xword 0
95623.xword 0x0002111d | (3 << 18) !ma_ctl_StParity (16)
95624
95625.xword 0
95626.xword 0x0002001f | (3 << 18) !ma_ctl_Ld (17)
95627
95628.xword 0
95629.xword 0x0002012d | (3 << 18) !ma_ctl_St (17)
95630
95631.xword 0x80604020
95632.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (17)
95633
95634.xword 0x356a
95635.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (17)
95636
95637.xword 0x0000006000408020
95638.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (17)
95639
95640.xword 0x6a35
95641.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (17)
95642
95643.xword 0x78285050
95644.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (17)
95645
95646.xword 0x80604020
95647.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (17)
95648
95649.xword 0x00287850
95650.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (17)
95651
95652.xword 0x00287850
95653.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (17)
95654
95655.xword 0x78285050
95656.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (17)
95657
95658.xword 0x64500000003c0000
95659.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (17)
95660
95661.xword 0x7766000000554422
95662.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (17)
95663
95664.xword 0x706200000054462a
95665.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (17)
95666
95667.xword 0x784d005800423721
95668.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (17)
95669
95670.xword 0x804d006000504020
95671.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (17)
95672
95673.xword 0x0000006000408020
95674.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (17)
95675
95676.xword 0
95677.xword 0x0002110e | (3 << 18) !ma_ctl_StParity (17)
95678
95679.xword 0
95680.xword 0x0002009c | (3 << 18) !ma_ctl_Ld (18)
95681
95682.xword 0
95683.xword 0x0002012c | (3 << 18) !ma_ctl_St (18)
95684
95685.xword 0x80604020
95686.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (18)
95687
95688.xword 0x356a
95689.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (18)
95690
95691.xword 0x0000006000408020
95692.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (18)
95693
95694.xword 0x6a35
95695.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (18)
95696
95697.xword 0x78285050
95698.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (18)
95699
95700.xword 0x80604020
95701.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (18)
95702
95703.xword 0x00287850
95704.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (18)
95705
95706.xword 0x00287850
95707.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (18)
95708
95709.xword 0x78285050
95710.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (18)
95711
95712.xword 0x64500000003c0000
95713.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (18)
95714
95715.xword 0x7766000000554422
95716.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (18)
95717
95718.xword 0x706200000054462a
95719.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (18)
95720
95721.xword 0x784d005800423721
95722.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (18)
95723
95724.xword 0x804d006000504020
95725.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (18)
95726
95727.xword 0x0000006000408020
95728.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (18)
95729
95730.xword 0
95731.xword 0x0002110b | (3 << 18) !ma_ctl_StParity (18)
95732
95733.xword 0
95734.xword 0x00020097 | (3 << 18) !ma_ctl_Ld (19)
95735
95736.xword 0
95737.xword 0x00020154 | (3 << 18) !ma_ctl_St (19)
95738
95739.xword 0x80604020
95740.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (19)
95741
95742.xword 0x356a
95743.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (19)
95744
95745.xword 0x0000006000408020
95746.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (19)
95747
95748.xword 0x6a35
95749.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (19)
95750
95751.xword 0x78285050
95752.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (19)
95753
95754.xword 0x80604020
95755.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (19)
95756
95757.xword 0x00287850
95758.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (19)
95759
95760.xword 0x00287850
95761.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (19)
95762
95763.xword 0x78285050
95764.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (19)
95765
95766.xword 0x64500000003c0000
95767.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (19)
95768
95769.xword 0x7766000000554422
95770.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (19)
95771
95772.xword 0x706200000054462a
95773.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (19)
95774
95775.xword 0x784d005800423721
95776.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (19)
95777
95778.xword 0x804d006000504020
95779.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (19)
95780
95781.xword 0x0000006000408020
95782.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (19)
95783
95784.xword 0
95785.xword 0x0002111a | (3 << 18) !ma_ctl_StParity (19)
95786
95787.xword 0
95788.xword 0x00020058 | (3 << 18) !ma_ctl_Ld (20)
95789
95790.xword 0
95791.xword 0x00020188 | (3 << 18) !ma_ctl_St (20)
95792
95793.xword 0x80604020
95794.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (20)
95795
95796.xword 0x356a
95797.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (20)
95798
95799.xword 0x0000006000408020
95800.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (20)
95801
95802.xword 0x6a35
95803.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (20)
95804
95805.xword 0x78285050
95806.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (20)
95807
95808.xword 0x80604020
95809.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (20)
95810
95811.xword 0x00287850
95812.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (20)
95813
95814.xword 0x00287850
95815.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (20)
95816
95817.xword 0x78285050
95818.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (20)
95819
95820.xword 0x64500000003c0000
95821.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (20)
95822
95823.xword 0x7766000000554422
95824.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (20)
95825
95826.xword 0x706200000054462a
95827.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (20)
95828
95829.xword 0x784d005800423721
95830.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (20)
95831
95832.xword 0x804d006000504020
95833.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (20)
95834
95835.xword 0x0000006000408020
95836.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (20)
95837
95838.xword 0
95839.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (20)
95840
95841.xword 0
95842.xword 0x00020064 | (3 << 18) !ma_ctl_Ld (21)
95843
95844.xword 0
95845.xword 0x00020173 | (3 << 18) !ma_ctl_St (21)
95846
95847.xword 0x80604020
95848.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (21)
95849
95850.xword 0x356a
95851.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (21)
95852
95853.xword 0x0000006000408020
95854.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (21)
95855
95856.xword 0x6a35
95857.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (21)
95858
95859.xword 0x78285050
95860.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (21)
95861
95862.xword 0x80604020
95863.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (21)
95864
95865.xword 0x00287850
95866.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (21)
95867
95868.xword 0x00287850
95869.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (21)
95870
95871.xword 0x78285050
95872.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (21)
95873
95874.xword 0x64500000003c0000
95875.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (21)
95876
95877.xword 0x7766000000554422
95878.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (21)
95879
95880.xword 0x706200000054462a
95881.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (21)
95882
95883.xword 0x784d005800423721
95884.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (21)
95885
95886.xword 0x804d006000504020
95887.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (21)
95888
95889.xword 0x0000006000408020
95890.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (21)
95891
95892.xword 0
95893.xword 0x00021118 | (3 << 18) !ma_ctl_StParity (21)
95894
95895.xword 0
95896.xword 0x00020092 | (3 << 18) !ma_ctl_Ld (22)
95897
95898.xword 0
95899.xword 0x0002014e | (3 << 18) !ma_ctl_St (22)
95900
95901.xword 0x80604020
95902.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (22)
95903
95904.xword 0x356a
95905.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (22)
95906
95907.xword 0x0000006000408020
95908.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (22)
95909
95910.xword 0x6a35
95911.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (22)
95912
95913.xword 0x78285050
95914.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (22)
95915
95916.xword 0x80604020
95917.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (22)
95918
95919.xword 0x00287850
95920.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (22)
95921
95922.xword 0x00287850
95923.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (22)
95924
95925.xword 0x78285050
95926.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (22)
95927
95928.xword 0x64500000003c0000
95929.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (22)
95930
95931.xword 0x7766000000554422
95932.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (22)
95933
95934.xword 0x706200000054462a
95935.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (22)
95936
95937.xword 0x784d005800423721
95938.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (22)
95939
95940.xword 0x804d006000504020
95941.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (22)
95942
95943.xword 0x0000006000408020
95944.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (22)
95945
95946.xword 0
95947.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (22)
95948
95949.xword 0
95950.xword 0x00020091 | (3 << 18) !ma_ctl_Ld (23)
95951
95952.xword 0
95953.xword 0x00020185 | (3 << 18) !ma_ctl_St (23)
95954
95955.xword 0x80604020
95956.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (23)
95957
95958.xword 0x356a
95959.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (23)
95960
95961.xword 0x0000006000408020
95962.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (23)
95963
95964.xword 0x6a35
95965.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (23)
95966
95967.xword 0x78285050
95968.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (23)
95969
95970.xword 0x80604020
95971.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (23)
95972
95973.xword 0x00287850
95974.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (23)
95975
95976.xword 0x00287850
95977.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (23)
95978
95979.xword 0x78285050
95980.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (23)
95981
95982.xword 0x64500000003c0000
95983.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (23)
95984
95985.xword 0x7766000000554422
95986.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (23)
95987
95988.xword 0x706200000054462a
95989.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (23)
95990
95991.xword 0x784d005800423721
95992.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (23)
95993
95994.xword 0x804d006000504020
95995.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (23)
95996
95997.xword 0x0000006000408020
95998.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (23)
95999
96000.xword 0
96001.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (23)
96002
96003.xword 0
96004.xword 0x00020045 | (3 << 18) !ma_ctl_Ld (24)
96005
96006.xword 0
96007.xword 0x0002017e | (3 << 18) !ma_ctl_St (24)
96008
96009.xword 0x80604020
96010.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (24)
96011
96012.xword 0x356a
96013.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (24)
96014
96015.xword 0x0000006000408020
96016.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (24)
96017
96018.xword 0x6a35
96019.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (24)
96020
96021.xword 0x78285050
96022.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (24)
96023
96024.xword 0x80604020
96025.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (24)
96026
96027.xword 0x00287850
96028.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (24)
96029
96030.xword 0x00287850
96031.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (24)
96032
96033.xword 0x78285050
96034.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (24)
96035
96036.xword 0x64500000003c0000
96037.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (24)
96038
96039.xword 0x7766000000554422
96040.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (24)
96041
96042.xword 0x706200000054462a
96043.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (24)
96044
96045.xword 0x784d005800423721
96046.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (24)
96047
96048.xword 0x804d006000504020
96049.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (24)
96050
96051.xword 0x0000006000408020
96052.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (24)
96053
96054.xword 0
96055.xword 0x00021111 | (3 << 18) !ma_ctl_StParity (24)
96056
96057.xword 0
96058.xword 0x00020075 | (3 << 18) !ma_ctl_Ld (25)
96059
96060.xword 0
96061.xword 0x00020162 | (3 << 18) !ma_ctl_St (25)
96062
96063.xword 0x80604020
96064.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (25)
96065
96066.xword 0x356a
96067.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (25)
96068
96069.xword 0x0000006000408020
96070.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (25)
96071
96072.xword 0x6a35
96073.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (25)
96074
96075.xword 0x78285050
96076.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (25)
96077
96078.xword 0x80604020
96079.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (25)
96080
96081.xword 0x00287850
96082.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (25)
96083
96084.xword 0x00287850
96085.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (25)
96086
96087.xword 0x78285050
96088.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (25)
96089
96090.xword 0x64500000003c0000
96091.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (25)
96092
96093.xword 0x7766000000554422
96094.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (25)
96095
96096.xword 0x706200000054462a
96097.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (25)
96098
96099.xword 0x784d005800423721
96100.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (25)
96101
96102.xword 0x804d006000504020
96103.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (25)
96104
96105.xword 0x0000006000408020
96106.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (25)
96107
96108.xword 0
96109.xword 0x00021108 | (3 << 18) !ma_ctl_StParity (25)
96110
96111.xword 0
96112.xword 0x00020085 | (3 << 18) !ma_ctl_Ld (26)
96113
96114.xword 0
96115.xword 0x00020182 | (3 << 18) !ma_ctl_St (26)
96116
96117.xword 0x80604020
96118.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (26)
96119
96120.xword 0x356a
96121.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (26)
96122
96123.xword 0x0000006000408020
96124.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (26)
96125
96126.xword 0x6a35
96127.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (26)
96128
96129.xword 0x78285050
96130.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (26)
96131
96132.xword 0x80604020
96133.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (26)
96134
96135.xword 0x00287850
96136.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (26)
96137
96138.xword 0x00287850
96139.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (26)
96140
96141.xword 0x78285050
96142.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (26)
96143
96144.xword 0x64500000003c0000
96145.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (26)
96146
96147.xword 0x7766000000554422
96148.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (26)
96149
96150.xword 0x706200000054462a
96151.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (26)
96152
96153.xword 0x784d005800423721
96154.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (26)
96155
96156.xword 0x804d006000504020
96157.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (26)
96158
96159.xword 0x0000006000408020
96160.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (26)
96161
96162.xword 0
96163.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (26)
96164
96165.xword 0
96166.xword 0x00020032 | (3 << 18) !ma_ctl_Ld (27)
96167
96168.xword 0
96169.xword 0x00020162 | (3 << 18) !ma_ctl_St (27)
96170
96171.xword 0x80604020
96172.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (27)
96173
96174.xword 0x356a
96175.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (27)
96176
96177.xword 0x0000006000408020
96178.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (27)
96179
96180.xword 0x6a35
96181.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (27)
96182
96183.xword 0x78285050
96184.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (27)
96185
96186.xword 0x80604020
96187.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (27)
96188
96189.xword 0x00287850
96190.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (27)
96191
96192.xword 0x00287850
96193.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (27)
96194
96195.xword 0x78285050
96196.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (27)
96197
96198.xword 0x64500000003c0000
96199.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (27)
96200
96201.xword 0x7766000000554422
96202.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (27)
96203
96204.xword 0x706200000054462a
96205.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (27)
96206
96207.xword 0x784d005800423721
96208.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (27)
96209
96210.xword 0x804d006000504020
96211.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (27)
96212
96213.xword 0x0000006000408020
96214.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (27)
96215
96216.xword 0
96217.xword 0x0002111e | (3 << 18) !ma_ctl_StParity (27)
96218
96219.xword 0
96220.xword 0x00020095 | (3 << 18) !ma_ctl_Ld (28)
96221
96222.xword 0
96223.xword 0x00020190 | (3 << 18) !ma_ctl_St (28)
96224
96225.xword 0x80604020
96226.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (28)
96227
96228.xword 0x356a
96229.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (28)
96230
96231.xword 0x0000006000408020
96232.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (28)
96233
96234.xword 0x6a35
96235.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (28)
96236
96237.xword 0x78285050
96238.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (28)
96239
96240.xword 0x80604020
96241.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (28)
96242
96243.xword 0x00287850
96244.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (28)
96245
96246.xword 0x00287850
96247.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (28)
96248
96249.xword 0x78285050
96250.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (28)
96251
96252.xword 0x64500000003c0000
96253.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (28)
96254
96255.xword 0x7766000000554422
96256.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (28)
96257
96258.xword 0x706200000054462a
96259.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (28)
96260
96261.xword 0x784d005800423721
96262.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (28)
96263
96264.xword 0x804d006000504020
96265.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (28)
96266
96267.xword 0x0000006000408020
96268.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (28)
96269
96270.xword 0
96271.xword 0x0002110d | (3 << 18) !ma_ctl_StParity (28)
96272
96273.xword 0
96274.xword 0x00020048 | (3 << 18) !ma_ctl_Ld (29)
96275
96276.xword 0
96277.xword 0x00020136 | (3 << 18) !ma_ctl_St (29)
96278
96279.xword 0x80604020
96280.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (29)
96281
96282.xword 0x356a
96283.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (29)
96284
96285.xword 0x0000006000408020
96286.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (29)
96287
96288.xword 0x6a35
96289.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (29)
96290
96291.xword 0x78285050
96292.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (29)
96293
96294.xword 0x80604020
96295.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (29)
96296
96297.xword 0x00287850
96298.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (29)
96299
96300.xword 0x00287850
96301.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (29)
96302
96303.xword 0x78285050
96304.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (29)
96305
96306.xword 0x64500000003c0000
96307.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (29)
96308
96309.xword 0x7766000000554422
96310.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (29)
96311
96312.xword 0x706200000054462a
96313.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (29)
96314
96315.xword 0x784d005800423721
96316.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (29)
96317
96318.xword 0x804d006000504020
96319.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (29)
96320
96321.xword 0x0000006000408020
96322.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (29)
96323
96324.xword 0
96325.xword 0x0002110d | (3 << 18) !ma_ctl_StParity (29)
96326
96327.xword 0
96328.xword 0x00020068 | (3 << 18) !ma_ctl_Ld (30)
96329
96330.xword 0
96331.xword 0x0002018d | (3 << 18) !ma_ctl_St (30)
96332
96333.xword 0x80604020
96334.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (30)
96335
96336.xword 0x356a
96337.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (30)
96338
96339.xword 0x0000006000408020
96340.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (30)
96341
96342.xword 0x6a35
96343.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (30)
96344
96345.xword 0x78285050
96346.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (30)
96347
96348.xword 0x80604020
96349.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (30)
96350
96351.xword 0x00287850
96352.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (30)
96353
96354.xword 0x00287850
96355.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (30)
96356
96357.xword 0x78285050
96358.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (30)
96359
96360.xword 0x64500000003c0000
96361.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (30)
96362
96363.xword 0x7766000000554422
96364.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (30)
96365
96366.xword 0x706200000054462a
96367.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (30)
96368
96369.xword 0x784d005800423721
96370.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (30)
96371
96372.xword 0x804d006000504020
96373.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (30)
96374
96375.xword 0x0000006000408020
96376.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (30)
96377
96378.xword 0
96379.xword 0x0002110d | (3 << 18) !ma_ctl_StParity (30)
96380
96381.xword 0
96382.xword 0x00020083 | (3 << 18) !ma_ctl_Ld (31)
96383
96384.xword 0
96385.xword 0x00020171 | (3 << 18) !ma_ctl_St (31)
96386
96387.xword 0x80604020
96388.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (31)
96389
96390.xword 0x356a
96391.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (31)
96392
96393.xword 0x0000006000408020
96394.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (31)
96395
96396.xword 0x6a35
96397.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (31)
96398
96399.xword 0x78285050
96400.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (31)
96401
96402.xword 0x80604020
96403.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (31)
96404
96405.xword 0x00287850
96406.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (31)
96407
96408.xword 0x00287850
96409.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (31)
96410
96411.xword 0x78285050
96412.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (31)
96413
96414.xword 0x64500000003c0000
96415.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (31)
96416
96417.xword 0x7766000000554422
96418.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (31)
96419
96420.xword 0x706200000054462a
96421.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (31)
96422
96423.xword 0x784d005800423721
96424.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (31)
96425
96426.xword 0x804d006000504020
96427.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (31)
96428
96429.xword 0x0000006000408020
96430.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (31)
96431
96432.xword 0
96433.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (31)
96434
96435.xword 0
96436.xword 0x00020052 | (3 << 18) !ma_ctl_Ld (32)
96437
96438.xword 0
96439.xword 0x0002016f | (3 << 18) !ma_ctl_St (32)
96440
96441.xword 0x80604020
96442.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (32)
96443
96444.xword 0x356a
96445.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (32)
96446
96447.xword 0x0000006000408020
96448.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (32)
96449
96450.xword 0x6a35
96451.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (32)
96452
96453.xword 0x78285050
96454.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (32)
96455
96456.xword 0x80604020
96457.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (32)
96458
96459.xword 0x00287850
96460.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (32)
96461
96462.xword 0x00287850
96463.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (32)
96464
96465.xword 0x78285050
96466.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (32)
96467
96468.xword 0x64500000003c0000
96469.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (32)
96470
96471.xword 0x7766000000554422
96472.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (32)
96473
96474.xword 0x706200000054462a
96475.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (32)
96476
96477.xword 0x784d005800423721
96478.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (32)
96479
96480.xword 0x804d006000504020
96481.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (32)
96482
96483.xword 0x0000006000408020
96484.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (32)
96485
96486.xword 0
96487.xword 0x00021113 | (3 << 18) !ma_ctl_StParity (32)
96488
96489.xword 0
96490.xword 0x00020058 | (3 << 18) !ma_ctl_Ld (33)
96491
96492.xword 0
96493.xword 0x00020173 | (3 << 18) !ma_ctl_St (33)
96494
96495.xword 0x80604020
96496.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (33)
96497
96498.xword 0x356a
96499.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (33)
96500
96501.xword 0x0000006000408020
96502.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (33)
96503
96504.xword 0x6a35
96505.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (33)
96506
96507.xword 0x78285050
96508.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (33)
96509
96510.xword 0x80604020
96511.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (33)
96512
96513.xword 0x00287850
96514.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (33)
96515
96516.xword 0x00287850
96517.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (33)
96518
96519.xword 0x78285050
96520.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (33)
96521
96522.xword 0x64500000003c0000
96523.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (33)
96524
96525.xword 0x7766000000554422
96526.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (33)
96527
96528.xword 0x706200000054462a
96529.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (33)
96530
96531.xword 0x784d005800423721
96532.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (33)
96533
96534.xword 0x804d006000504020
96535.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (33)
96536
96537.xword 0x0000006000408020
96538.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (33)
96539
96540.xword 0
96541.xword 0x00021101 | (3 << 18) !ma_ctl_StParity (33)
96542
96543.xword 0
96544.xword 0x00020065 | (3 << 18) !ma_ctl_Ld (34)
96545
96546.xword 0
96547.xword 0x0002012f | (3 << 18) !ma_ctl_St (34)
96548
96549.xword 0x80604020
96550.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (34)
96551
96552.xword 0x356a
96553.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (34)
96554
96555.xword 0x0000006000408020
96556.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (34)
96557
96558.xword 0x6a35
96559.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (34)
96560
96561.xword 0x78285050
96562.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (34)
96563
96564.xword 0x80604020
96565.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (34)
96566
96567.xword 0x00287850
96568.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (34)
96569
96570.xword 0x00287850
96571.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (34)
96572
96573.xword 0x78285050
96574.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (34)
96575
96576.xword 0x64500000003c0000
96577.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (34)
96578
96579.xword 0x7766000000554422
96580.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (34)
96581
96582.xword 0x706200000054462a
96583.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (34)
96584
96585.xword 0x784d005800423721
96586.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (34)
96587
96588.xword 0x804d006000504020
96589.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (34)
96590
96591.xword 0x0000006000408020
96592.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (34)
96593
96594.xword 0
96595.xword 0x0002111b | (3 << 18) !ma_ctl_StParity (34)
96596
96597.xword 0
96598.xword 0x0002004e | (3 << 18) !ma_ctl_Ld (35)
96599
96600.xword 0
96601.xword 0x0002017d | (3 << 18) !ma_ctl_St (35)
96602
96603.xword 0x80604020
96604.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (35)
96605
96606.xword 0x356a
96607.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (35)
96608
96609.xword 0x0000006000408020
96610.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (35)
96611
96612.xword 0x6a35
96613.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (35)
96614
96615.xword 0x78285050
96616.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (35)
96617
96618.xword 0x80604020
96619.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (35)
96620
96621.xword 0x00287850
96622.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (35)
96623
96624.xword 0x00287850
96625.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (35)
96626
96627.xword 0x78285050
96628.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (35)
96629
96630.xword 0x64500000003c0000
96631.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (35)
96632
96633.xword 0x7766000000554422
96634.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (35)
96635
96636.xword 0x706200000054462a
96637.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (35)
96638
96639.xword 0x784d005800423721
96640.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (35)
96641
96642.xword 0x804d006000504020
96643.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (35)
96644
96645.xword 0x0000006000408020
96646.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (35)
96647
96648.xword 0
96649.xword 0x00021108 | (3 << 18) !ma_ctl_StParity (35)
96650
96651.xword 0
96652.xword 0x00020066 | (3 << 18) !ma_ctl_Ld (36)
96653
96654.xword 0
96655.xword 0x00020127 | (3 << 18) !ma_ctl_St (36)
96656
96657.xword 0x80604020
96658.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (36)
96659
96660.xword 0x356a
96661.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (36)
96662
96663.xword 0x0000006000408020
96664.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (36)
96665
96666.xword 0x6a35
96667.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (36)
96668
96669.xword 0x78285050
96670.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (36)
96671
96672.xword 0x80604020
96673.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (36)
96674
96675.xword 0x00287850
96676.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (36)
96677
96678.xword 0x00287850
96679.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (36)
96680
96681.xword 0x78285050
96682.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (36)
96683
96684.xword 0x64500000003c0000
96685.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (36)
96686
96687.xword 0x7766000000554422
96688.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (36)
96689
96690.xword 0x706200000054462a
96691.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (36)
96692
96693.xword 0x784d005800423721
96694.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (36)
96695
96696.xword 0x804d006000504020
96697.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (36)
96698
96699.xword 0x0000006000408020
96700.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (36)
96701
96702.xword 0
96703.xword 0x00021113 | (3 << 18) !ma_ctl_StParity (36)
96704
96705.xword 0
96706.xword 0x0002002f | (3 << 18) !ma_ctl_Ld (37)
96707
96708.xword 0
96709.xword 0x00020153 | (3 << 18) !ma_ctl_St (37)
96710
96711.xword 0x80604020
96712.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (37)
96713
96714.xword 0x356a
96715.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (37)
96716
96717.xword 0x0000006000408020
96718.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (37)
96719
96720.xword 0x6a35
96721.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (37)
96722
96723.xword 0x78285050
96724.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (37)
96725
96726.xword 0x80604020
96727.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (37)
96728
96729.xword 0x00287850
96730.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (37)
96731
96732.xword 0x00287850
96733.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (37)
96734
96735.xword 0x78285050
96736.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (37)
96737
96738.xword 0x64500000003c0000
96739.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (37)
96740
96741.xword 0x7766000000554422
96742.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (37)
96743
96744.xword 0x706200000054462a
96745.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (37)
96746
96747.xword 0x784d005800423721
96748.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (37)
96749
96750.xword 0x804d006000504020
96751.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (37)
96752
96753.xword 0x0000006000408020
96754.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (37)
96755
96756.xword 0
96757.xword 0x00021111 | (3 << 18) !ma_ctl_StParity (37)
96758
96759.xword 0
96760.xword 0x00020077 | (3 << 18) !ma_ctl_Ld (38)
96761
96762.xword 0
96763.xword 0x00020138 | (3 << 18) !ma_ctl_St (38)
96764
96765.xword 0x80604020
96766.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (38)
96767
96768.xword 0x356a
96769.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (38)
96770
96771.xword 0x0000006000408020
96772.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (38)
96773
96774.xword 0x6a35
96775.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (38)
96776
96777.xword 0x78285050
96778.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (38)
96779
96780.xword 0x80604020
96781.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (38)
96782
96783.xword 0x00287850
96784.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (38)
96785
96786.xword 0x00287850
96787.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (38)
96788
96789.xword 0x78285050
96790.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (38)
96791
96792.xword 0x64500000003c0000
96793.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (38)
96794
96795.xword 0x7766000000554422
96796.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (38)
96797
96798.xword 0x706200000054462a
96799.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (38)
96800
96801.xword 0x784d005800423721
96802.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (38)
96803
96804.xword 0x804d006000504020
96805.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (38)
96806
96807.xword 0x0000006000408020
96808.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (38)
96809
96810.xword 0
96811.xword 0x0002111e | (3 << 18) !ma_ctl_StParity (38)
96812
96813.xword 0
96814.xword 0x00020077 | (3 << 18) !ma_ctl_Ld (39)
96815
96816.xword 0
96817.xword 0x00020180 | (3 << 18) !ma_ctl_St (39)
96818
96819.xword 0x80604020
96820.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (39)
96821
96822.xword 0x356a
96823.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (39)
96824
96825.xword 0x0000006000408020
96826.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (39)
96827
96828.xword 0x6a35
96829.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (39)
96830
96831.xword 0x78285050
96832.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (39)
96833
96834.xword 0x80604020
96835.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (39)
96836
96837.xword 0x00287850
96838.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (39)
96839
96840.xword 0x00287850
96841.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (39)
96842
96843.xword 0x78285050
96844.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (39)
96845
96846.xword 0x64500000003c0000
96847.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (39)
96848
96849.xword 0x7766000000554422
96850.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (39)
96851
96852.xword 0x706200000054462a
96853.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (39)
96854
96855.xword 0x784d005800423721
96856.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (39)
96857
96858.xword 0x804d006000504020
96859.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (39)
96860
96861.xword 0x0000006000408020
96862.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (39)
96863
96864.xword 0
96865.xword 0x00021117 | (3 << 18) !ma_ctl_StParity (39)
96866
96867.xword 0
96868.xword 0x00020094 | (3 << 18) !ma_ctl_Ld (40)
96869
96870.xword 0
96871.xword 0x0002014e | (3 << 18) !ma_ctl_St (40)
96872
96873.xword 0x80604020
96874.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (40)
96875
96876.xword 0x356a
96877.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (40)
96878
96879.xword 0x0000006000408020
96880.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (40)
96881
96882.xword 0x6a35
96883.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (40)
96884
96885.xword 0x78285050
96886.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (40)
96887
96888.xword 0x80604020
96889.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (40)
96890
96891.xword 0x00287850
96892.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (40)
96893
96894.xword 0x00287850
96895.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (40)
96896
96897.xword 0x78285050
96898.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (40)
96899
96900.xword 0x64500000003c0000
96901.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (40)
96902
96903.xword 0x7766000000554422
96904.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (40)
96905
96906.xword 0x706200000054462a
96907.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (40)
96908
96909.xword 0x784d005800423721
96910.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (40)
96911
96912.xword 0x804d006000504020
96913.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (40)
96914
96915.xword 0x0000006000408020
96916.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (40)
96917
96918.xword 0
96919.xword 0x00021117 | (3 << 18) !ma_ctl_StParity (40)
96920
96921.xword 0
96922.xword 0x00020063 | (3 << 18) !ma_ctl_Ld (41)
96923
96924.xword 0
96925.xword 0x0002018e | (3 << 18) !ma_ctl_St (41)
96926
96927.xword 0x80604020
96928.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (41)
96929
96930.xword 0x356a
96931.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (41)
96932
96933.xword 0x0000006000408020
96934.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (41)
96935
96936.xword 0x6a35
96937.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (41)
96938
96939.xword 0x78285050
96940.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (41)
96941
96942.xword 0x80604020
96943.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (41)
96944
96945.xword 0x00287850
96946.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (41)
96947
96948.xword 0x00287850
96949.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (41)
96950
96951.xword 0x78285050
96952.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (41)
96953
96954.xword 0x64500000003c0000
96955.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (41)
96956
96957.xword 0x7766000000554422
96958.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (41)
96959
96960.xword 0x706200000054462a
96961.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (41)
96962
96963.xword 0x784d005800423721
96964.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (41)
96965
96966.xword 0x804d006000504020
96967.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (41)
96968
96969.xword 0x0000006000408020
96970.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (41)
96971
96972.xword 0
96973.xword 0x0002110f | (3 << 18) !ma_ctl_StParity (41)
96974
96975.xword 0
96976.xword 0x0002003b | (3 << 18) !ma_ctl_Ld (42)
96977
96978.xword 0
96979.xword 0x00020124 | (3 << 18) !ma_ctl_St (42)
96980
96981.xword 0x80604020
96982.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (42)
96983
96984.xword 0x356a
96985.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (42)
96986
96987.xword 0x0000006000408020
96988.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (42)
96989
96990.xword 0x6a35
96991.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (42)
96992
96993.xword 0x78285050
96994.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (42)
96995
96996.xword 0x80604020
96997.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (42)
96998
96999.xword 0x00287850
97000.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (42)
97001
97002.xword 0x00287850
97003.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (42)
97004
97005.xword 0x78285050
97006.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (42)
97007
97008.xword 0x64500000003c0000
97009.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (42)
97010
97011.xword 0x7766000000554422
97012.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (42)
97013
97014.xword 0x706200000054462a
97015.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (42)
97016
97017.xword 0x784d005800423721
97018.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (42)
97019
97020.xword 0x804d006000504020
97021.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (42)
97022
97023.xword 0x0000006000408020
97024.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (42)
97025
97026.xword 0
97027.xword 0x00021111 | (3 << 18) !ma_ctl_StParity (42)
97028
97029.xword 0
97030.xword 0x0002007d | (3 << 18) !ma_ctl_Ld (43)
97031
97032.xword 0
97033.xword 0x00020167 | (3 << 18) !ma_ctl_St (43)
97034
97035.xword 0x80604020
97036.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (43)
97037
97038.xword 0x356a
97039.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (43)
97040
97041.xword 0x0000006000408020
97042.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (43)
97043
97044.xword 0x6a35
97045.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (43)
97046
97047.xword 0x78285050
97048.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (43)
97049
97050.xword 0x80604020
97051.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (43)
97052
97053.xword 0x00287850
97054.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (43)
97055
97056.xword 0x00287850
97057.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (43)
97058
97059.xword 0x78285050
97060.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (43)
97061
97062.xword 0x64500000003c0000
97063.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (43)
97064
97065.xword 0x7766000000554422
97066.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (43)
97067
97068.xword 0x706200000054462a
97069.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (43)
97070
97071.xword 0x784d005800423721
97072.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (43)
97073
97074.xword 0x804d006000504020
97075.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (43)
97076
97077.xword 0x0000006000408020
97078.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (43)
97079
97080.xword 0
97081.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (43)
97082
97083.xword 0
97084.xword 0x0002002e | (3 << 18) !ma_ctl_Ld (44)
97085
97086.xword 0
97087.xword 0x00020167 | (3 << 18) !ma_ctl_St (44)
97088
97089.xword 0x80604020
97090.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (44)
97091
97092.xword 0x356a
97093.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (44)
97094
97095.xword 0x0000006000408020
97096.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (44)
97097
97098.xword 0x6a35
97099.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (44)
97100
97101.xword 0x78285050
97102.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (44)
97103
97104.xword 0x80604020
97105.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (44)
97106
97107.xword 0x00287850
97108.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (44)
97109
97110.xword 0x00287850
97111.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (44)
97112
97113.xword 0x78285050
97114.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (44)
97115
97116.xword 0x64500000003c0000
97117.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (44)
97118
97119.xword 0x7766000000554422
97120.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (44)
97121
97122.xword 0x706200000054462a
97123.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (44)
97124
97125.xword 0x784d005800423721
97126.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (44)
97127
97128.xword 0x804d006000504020
97129.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (44)
97130
97131.xword 0x0000006000408020
97132.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (44)
97133
97134.xword 0
97135.xword 0x0002111d | (3 << 18) !ma_ctl_StParity (44)
97136
97137.xword 0
97138.xword 0x00020051 | (3 << 18) !ma_ctl_Ld (45)
97139
97140.xword 0
97141.xword 0x00020127 | (3 << 18) !ma_ctl_St (45)
97142
97143.xword 0x80604020
97144.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (45)
97145
97146.xword 0x356a
97147.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (45)
97148
97149.xword 0x0000006000408020
97150.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (45)
97151
97152.xword 0x6a35
97153.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (45)
97154
97155.xword 0x78285050
97156.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (45)
97157
97158.xword 0x80604020
97159.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (45)
97160
97161.xword 0x00287850
97162.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (45)
97163
97164.xword 0x00287850
97165.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (45)
97166
97167.xword 0x78285050
97168.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (45)
97169
97170.xword 0x64500000003c0000
97171.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (45)
97172
97173.xword 0x7766000000554422
97174.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (45)
97175
97176.xword 0x706200000054462a
97177.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (45)
97178
97179.xword 0x784d005800423721
97180.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (45)
97181
97182.xword 0x804d006000504020
97183.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (45)
97184
97185.xword 0x0000006000408020
97186.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (45)
97187
97188.xword 0
97189.xword 0x00021100 | (3 << 18) !ma_ctl_StParity (45)
97190
97191.xword 0
97192.xword 0x00020044 | (3 << 18) !ma_ctl_Ld (46)
97193
97194.xword 0
97195.xword 0x0002017e | (3 << 18) !ma_ctl_St (46)
97196
97197.xword 0x80604020
97198.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (46)
97199
97200.xword 0x356a
97201.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (46)
97202
97203.xword 0x0000006000408020
97204.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (46)
97205
97206.xword 0x6a35
97207.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (46)
97208
97209.xword 0x78285050
97210.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (46)
97211
97212.xword 0x80604020
97213.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (46)
97214
97215.xword 0x00287850
97216.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (46)
97217
97218.xword 0x00287850
97219.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (46)
97220
97221.xword 0x78285050
97222.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (46)
97223
97224.xword 0x64500000003c0000
97225.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (46)
97226
97227.xword 0x7766000000554422
97228.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (46)
97229
97230.xword 0x706200000054462a
97231.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (46)
97232
97233.xword 0x784d005800423721
97234.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (46)
97235
97236.xword 0x804d006000504020
97237.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (46)
97238
97239.xword 0x0000006000408020
97240.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (46)
97241
97242.xword 0
97243.xword 0x00021108 | (3 << 18) !ma_ctl_StParity (46)
97244
97245.xword 0
97246.xword 0x00020024 | (3 << 18) !ma_ctl_Ld (47)
97247
97248.xword 0
97249.xword 0x0002013a | (3 << 18) !ma_ctl_St (47)
97250
97251.xword 0x80604020
97252.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (47)
97253
97254.xword 0x356a
97255.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (47)
97256
97257.xword 0x0000006000408020
97258.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (47)
97259
97260.xword 0x6a35
97261.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (47)
97262
97263.xword 0x78285050
97264.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (47)
97265
97266.xword 0x80604020
97267.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (47)
97268
97269.xword 0x00287850
97270.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (47)
97271
97272.xword 0x00287850
97273.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (47)
97274
97275.xword 0x78285050
97276.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (47)
97277
97278.xword 0x64500000003c0000
97279.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (47)
97280
97281.xword 0x7766000000554422
97282.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (47)
97283
97284.xword 0x706200000054462a
97285.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (47)
97286
97287.xword 0x784d005800423721
97288.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (47)
97289
97290.xword 0x804d006000504020
97291.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (47)
97292
97293.xword 0x0000006000408020
97294.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (47)
97295
97296.xword 0
97297.xword 0x00021101 | (3 << 18) !ma_ctl_StParity (47)
97298
97299.xword 0
97300.xword 0x0002004e | (3 << 18) !ma_ctl_Ld (48)
97301
97302.xword 0
97303.xword 0x00020174 | (3 << 18) !ma_ctl_St (48)
97304
97305.xword 0x80604020
97306.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (48)
97307
97308.xword 0x356a
97309.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (48)
97310
97311.xword 0x0000006000408020
97312.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (48)
97313
97314.xword 0x6a35
97315.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (48)
97316
97317.xword 0x78285050
97318.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (48)
97319
97320.xword 0x80604020
97321.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (48)
97322
97323.xword 0x00287850
97324.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (48)
97325
97326.xword 0x00287850
97327.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (48)
97328
97329.xword 0x78285050
97330.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (48)
97331
97332.xword 0x64500000003c0000
97333.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (48)
97334
97335.xword 0x7766000000554422
97336.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (48)
97337
97338.xword 0x706200000054462a
97339.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (48)
97340
97341.xword 0x784d005800423721
97342.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (48)
97343
97344.xword 0x804d006000504020
97345.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (48)
97346
97347.xword 0x0000006000408020
97348.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (48)
97349
97350.xword 0
97351.xword 0x00021116 | (3 << 18) !ma_ctl_StParity (48)
97352
97353.xword 0
97354.xword 0x0002009b | (3 << 18) !ma_ctl_Ld (49)
97355
97356.xword 0
97357.xword 0x0002011f | (3 << 18) !ma_ctl_St (49)
97358
97359.xword 0x80604020
97360.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (49)
97361
97362.xword 0x356a
97363.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (49)
97364
97365.xword 0x0000006000408020
97366.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (49)
97367
97368.xword 0x6a35
97369.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (49)
97370
97371.xword 0x78285050
97372.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (49)
97373
97374.xword 0x80604020
97375.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (49)
97376
97377.xword 0x00287850
97378.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (49)
97379
97380.xword 0x00287850
97381.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (49)
97382
97383.xword 0x78285050
97384.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (49)
97385
97386.xword 0x64500000003c0000
97387.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (49)
97388
97389.xword 0x7766000000554422
97390.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (49)
97391
97392.xword 0x706200000054462a
97393.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (49)
97394
97395.xword 0x784d005800423721
97396.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (49)
97397
97398.xword 0x804d006000504020
97399.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (49)
97400
97401.xword 0x0000006000408020
97402.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (49)
97403
97404.xword 0
97405.xword 0x00021113 | (3 << 18) !ma_ctl_StParity (49)
97406
97407.xword 0
97408.xword 0x0002005b | (3 << 18) !ma_ctl_Ld (50)
97409
97410.xword 0
97411.xword 0x00020159 | (3 << 18) !ma_ctl_St (50)
97412
97413.xword 0x80604020
97414.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (50)
97415
97416.xword 0x356a
97417.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (50)
97418
97419.xword 0x0000006000408020
97420.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (50)
97421
97422.xword 0x6a35
97423.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (50)
97424
97425.xword 0x78285050
97426.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (50)
97427
97428.xword 0x80604020
97429.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (50)
97430
97431.xword 0x00287850
97432.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (50)
97433
97434.xword 0x00287850
97435.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (50)
97436
97437.xword 0x78285050
97438.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (50)
97439
97440.xword 0x64500000003c0000
97441.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (50)
97442
97443.xword 0x7766000000554422
97444.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (50)
97445
97446.xword 0x706200000054462a
97447.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (50)
97448
97449.xword 0x784d005800423721
97450.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (50)
97451
97452.xword 0x804d006000504020
97453.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (50)
97454
97455.xword 0x0000006000408020
97456.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (50)
97457
97458.xword 0
97459.xword 0x00021113 | (3 << 18) !ma_ctl_StParity (50)
97460
97461.xword 0
97462.xword 0x00020087 | (3 << 18) !ma_ctl_Ld (51)
97463
97464.xword 0
97465.xword 0x00020128 | (3 << 18) !ma_ctl_St (51)
97466
97467.xword 0x80604020
97468.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (51)
97469
97470.xword 0x356a
97471.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (51)
97472
97473.xword 0x0000006000408020
97474.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (51)
97475
97476.xword 0x6a35
97477.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (51)
97478
97479.xword 0x78285050
97480.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (51)
97481
97482.xword 0x80604020
97483.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (51)
97484
97485.xword 0x00287850
97486.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (51)
97487
97488.xword 0x00287850
97489.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (51)
97490
97491.xword 0x78285050
97492.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (51)
97493
97494.xword 0x64500000003c0000
97495.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (51)
97496
97497.xword 0x7766000000554422
97498.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (51)
97499
97500.xword 0x706200000054462a
97501.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (51)
97502
97503.xword 0x784d005800423721
97504.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (51)
97505
97506.xword 0x804d006000504020
97507.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (51)
97508
97509.xword 0x0000006000408020
97510.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (51)
97511
97512.xword 0
97513.xword 0x0002110c | (3 << 18) !ma_ctl_StParity (51)
97514
97515.xword 0
97516.xword 0x0002001e | (3 << 18) !ma_ctl_Ld (52)
97517
97518.xword 0
97519.xword 0x0002017c | (3 << 18) !ma_ctl_St (52)
97520
97521.xword 0x80604020
97522.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (52)
97523
97524.xword 0x356a
97525.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (52)
97526
97527.xword 0x0000006000408020
97528.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (52)
97529
97530.xword 0x6a35
97531.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (52)
97532
97533.xword 0x78285050
97534.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (52)
97535
97536.xword 0x80604020
97537.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (52)
97538
97539.xword 0x00287850
97540.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (52)
97541
97542.xword 0x00287850
97543.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (52)
97544
97545.xword 0x78285050
97546.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (52)
97547
97548.xword 0x64500000003c0000
97549.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (52)
97550
97551.xword 0x7766000000554422
97552.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (52)
97553
97554.xword 0x706200000054462a
97555.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (52)
97556
97557.xword 0x784d005800423721
97558.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (52)
97559
97560.xword 0x804d006000504020
97561.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (52)
97562
97563.xword 0x0000006000408020
97564.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (52)
97565
97566.xword 0
97567.xword 0x0002110e | (3 << 18) !ma_ctl_StParity (52)
97568
97569.xword 0
97570.xword 0x00020052 | (3 << 18) !ma_ctl_Ld (53)
97571
97572.xword 0
97573.xword 0x00020125 | (3 << 18) !ma_ctl_St (53)
97574
97575.xword 0x80604020
97576.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (53)
97577
97578.xword 0x356a
97579.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (53)
97580
97581.xword 0x0000006000408020
97582.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (53)
97583
97584.xword 0x6a35
97585.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (53)
97586
97587.xword 0x78285050
97588.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (53)
97589
97590.xword 0x80604020
97591.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (53)
97592
97593.xword 0x00287850
97594.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (53)
97595
97596.xword 0x00287850
97597.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (53)
97598
97599.xword 0x78285050
97600.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (53)
97601
97602.xword 0x64500000003c0000
97603.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (53)
97604
97605.xword 0x7766000000554422
97606.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (53)
97607
97608.xword 0x706200000054462a
97609.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (53)
97610
97611.xword 0x784d005800423721
97612.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (53)
97613
97614.xword 0x804d006000504020
97615.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (53)
97616
97617.xword 0x0000006000408020
97618.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (53)
97619
97620.xword 0
97621.xword 0x00021116 | (3 << 18) !ma_ctl_StParity (53)
97622
97623.xword 0
97624.xword 0x00020045 | (3 << 18) !ma_ctl_Ld (54)
97625
97626.xword 0
97627.xword 0x00020173 | (3 << 18) !ma_ctl_St (54)
97628
97629.xword 0x80604020
97630.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (54)
97631
97632.xword 0x356a
97633.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (54)
97634
97635.xword 0x0000006000408020
97636.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (54)
97637
97638.xword 0x6a35
97639.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (54)
97640
97641.xword 0x78285050
97642.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (54)
97643
97644.xword 0x80604020
97645.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (54)
97646
97647.xword 0x00287850
97648.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (54)
97649
97650.xword 0x00287850
97651.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (54)
97652
97653.xword 0x78285050
97654.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (54)
97655
97656.xword 0x64500000003c0000
97657.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (54)
97658
97659.xword 0x7766000000554422
97660.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (54)
97661
97662.xword 0x706200000054462a
97663.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (54)
97664
97665.xword 0x784d005800423721
97666.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (54)
97667
97668.xword 0x804d006000504020
97669.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (54)
97670
97671.xword 0x0000006000408020
97672.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (54)
97673
97674.xword 0
97675.xword 0x00021115 | (3 << 18) !ma_ctl_StParity (54)
97676
97677.xword 0
97678.xword 0x0002009a | (3 << 18) !ma_ctl_Ld (55)
97679
97680.xword 0
97681.xword 0x0002014d | (3 << 18) !ma_ctl_St (55)
97682
97683.xword 0x80604020
97684.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (55)
97685
97686.xword 0x356a
97687.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (55)
97688
97689.xword 0x0000006000408020
97690.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (55)
97691
97692.xword 0x6a35
97693.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (55)
97694
97695.xword 0x78285050
97696.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (55)
97697
97698.xword 0x80604020
97699.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (55)
97700
97701.xword 0x00287850
97702.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (55)
97703
97704.xword 0x00287850
97705.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (55)
97706
97707.xword 0x78285050
97708.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (55)
97709
97710.xword 0x64500000003c0000
97711.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (55)
97712
97713.xword 0x7766000000554422
97714.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (55)
97715
97716.xword 0x706200000054462a
97717.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (55)
97718
97719.xword 0x784d005800423721
97720.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (55)
97721
97722.xword 0x804d006000504020
97723.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (55)
97724
97725.xword 0x0000006000408020
97726.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (55)
97727
97728.xword 0
97729.xword 0x0002111e | (3 << 18) !ma_ctl_StParity (55)
97730
97731.xword 0
97732.xword 0x00020063 | (3 << 18) !ma_ctl_Ld (56)
97733
97734.xword 0
97735.xword 0x0002013e | (3 << 18) !ma_ctl_St (56)
97736
97737.xword 0x80604020
97738.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (56)
97739
97740.xword 0x356a
97741.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (56)
97742
97743.xword 0x0000006000408020
97744.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (56)
97745
97746.xword 0x6a35
97747.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (56)
97748
97749.xword 0x78285050
97750.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (56)
97751
97752.xword 0x80604020
97753.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (56)
97754
97755.xword 0x00287850
97756.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (56)
97757
97758.xword 0x00287850
97759.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (56)
97760
97761.xword 0x78285050
97762.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (56)
97763
97764.xword 0x64500000003c0000
97765.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (56)
97766
97767.xword 0x7766000000554422
97768.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (56)
97769
97770.xword 0x706200000054462a
97771.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (56)
97772
97773.xword 0x784d005800423721
97774.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (56)
97775
97776.xword 0x804d006000504020
97777.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (56)
97778
97779.xword 0x0000006000408020
97780.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (56)
97781
97782.xword 0
97783.xword 0x00021115 | (3 << 18) !ma_ctl_StParity (56)
97784
97785.xword 0
97786.xword 0x00020072 | (3 << 18) !ma_ctl_Ld (57)
97787
97788.xword 0
97789.xword 0x0002012d | (3 << 18) !ma_ctl_St (57)
97790
97791.xword 0x80604020
97792.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (57)
97793
97794.xword 0x356a
97795.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (57)
97796
97797.xword 0x0000006000408020
97798.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (57)
97799
97800.xword 0x6a35
97801.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (57)
97802
97803.xword 0x78285050
97804.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (57)
97805
97806.xword 0x80604020
97807.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (57)
97808
97809.xword 0x00287850
97810.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (57)
97811
97812.xword 0x00287850
97813.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (57)
97814
97815.xword 0x78285050
97816.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (57)
97817
97818.xword 0x64500000003c0000
97819.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (57)
97820
97821.xword 0x7766000000554422
97822.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (57)
97823
97824.xword 0x706200000054462a
97825.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (57)
97826
97827.xword 0x784d005800423721
97828.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (57)
97829
97830.xword 0x804d006000504020
97831.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (57)
97832
97833.xword 0x0000006000408020
97834.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (57)
97835
97836.xword 0
97837.xword 0x0002110a | (3 << 18) !ma_ctl_StParity (57)
97838
97839.xword 0
97840.xword 0x00020048 | (3 << 18) !ma_ctl_Ld (58)
97841
97842.xword 0
97843.xword 0x00020176 | (3 << 18) !ma_ctl_St (58)
97844
97845.xword 0x80604020
97846.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (58)
97847
97848.xword 0x356a
97849.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (58)
97850
97851.xword 0x0000006000408020
97852.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (58)
97853
97854.xword 0x6a35
97855.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (58)
97856
97857.xword 0x78285050
97858.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (58)
97859
97860.xword 0x80604020
97861.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (58)
97862
97863.xword 0x00287850
97864.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (58)
97865
97866.xword 0x00287850
97867.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (58)
97868
97869.xword 0x78285050
97870.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (58)
97871
97872.xword 0x64500000003c0000
97873.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (58)
97874
97875.xword 0x7766000000554422
97876.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (58)
97877
97878.xword 0x706200000054462a
97879.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (58)
97880
97881.xword 0x784d005800423721
97882.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (58)
97883
97884.xword 0x804d006000504020
97885.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (58)
97886
97887.xword 0x0000006000408020
97888.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (58)
97889
97890.xword 0
97891.xword 0x00021116 | (3 << 18) !ma_ctl_StParity (58)
97892
97893
97894.align 8
97895.global _t2_ma_results6
97896_t2_ma_results6:
97897.xword 0xDEADBEEFDEADBEEF
97898.xword 0xDEADBEEFDEADBEEF
97899.xword 0xDEADBEEFDEADBEEF
97900.xword 0xDEADBEEFDEADBEEF
97901.xword 0xDEADBEEFDEADBEEF
97902.xword 0xDEADBEEFDEADBEEF
97903.xword 0xDEADBEEFDEADBEEF
97904.xword 0xDEADBEEFDEADBEEF
97905.xword 0xDEADBEEFDEADBEEF
97906.xword 0xDEADBEEFDEADBEEF
97907.xword 0xDEADBEEFDEADBEEF
97908.xword 0xDEADBEEFDEADBEEF
97909.xword 0xDEADBEEFDEADBEEF
97910.xword 0xDEADBEEFDEADBEEF
97911.xword 0xDEADBEEFDEADBEEF
97912.xword 0xDEADBEEFDEADBEEF
97913.xword 0xDEADBEEFDEADBEEF
97914.xword 0xDEADBEEFDEADBEEF
97915.xword 0xDEADBEEFDEADBEEF
97916.xword 0xDEADBEEFDEADBEEF
97917.xword 0xDEADBEEFDEADBEEF
97918.xword 0xDEADBEEFDEADBEEF
97919.xword 0xDEADBEEFDEADBEEF
97920.xword 0xDEADBEEFDEADBEEF
97921.xword 0xDEADBEEFDEADBEEF
97922.xword 0xDEADBEEFDEADBEEF
97923.xword 0xDEADBEEFDEADBEEF
97924.xword 0xDEADBEEFDEADBEEF
97925.xword 0xDEADBEEFDEADBEEF
97926.xword 0xDEADBEEFDEADBEEF
97927.xword 0xDEADBEEFDEADBEEF
97928.xword 0xDEADBEEFDEADBEEF
97929.xword 0xDEADBEEFDEADBEEF
97930.xword 0xDEADBEEFDEADBEEF
97931.xword 0xDEADBEEFDEADBEEF
97932.xword 0xDEADBEEFDEADBEEF
97933.xword 0xDEADBEEFDEADBEEF
97934.xword 0xDEADBEEFDEADBEEF
97935.xword 0xDEADBEEFDEADBEEF
97936.xword 0xDEADBEEFDEADBEEF
97937.xword 0xDEADBEEFDEADBEEF
97938.xword 0xDEADBEEFDEADBEEF
97939.xword 0xDEADBEEFDEADBEEF
97940.xword 0xDEADBEEFDEADBEEF
97941.xword 0xDEADBEEFDEADBEEF
97942.xword 0xDEADBEEFDEADBEEF
97943.xword 0xDEADBEEFDEADBEEF
97944.xword 0xDEADBEEFDEADBEEF
97945.xword 0xDEADBEEFDEADBEEF
97946.xword 0xDEADBEEFDEADBEEF
97947.xword 0xDEADBEEFDEADBEEF
97948.xword 0xDEADBEEFDEADBEEF
97949.xword 0xDEADBEEFDEADBEEF
97950.xword 0xDEADBEEFDEADBEEF
97951.xword 0xDEADBEEFDEADBEEF
97952.xword 0xDEADBEEFDEADBEEF
97953.xword 0xDEADBEEFDEADBEEF
97954.xword 0xDEADBEEFDEADBEEF
97955.xword 0xDEADBEEFDEADBEEF
97956.xword 0xDEADBEEFDEADBEEF
97957.xword 0xDEADBEEFDEADBEEF
97958.xword 0xDEADBEEFDEADBEEF
97959.xword 0xDEADBEEFDEADBEEF
97960.xword 0xDEADBEEFDEADBEEF
97961.xword 0xDEADBEEFDEADBEEF
97962.xword 0xDEADBEEFDEADBEEF
97963.xword 0xDEADBEEFDEADBEEF
97964.xword 0xDEADBEEFDEADBEEF
97965.xword 0xDEADBEEFDEADBEEF
97966.xword 0xDEADBEEFDEADBEEF
97967.xword 0xDEADBEEFDEADBEEF
97968.xword 0xDEADBEEFDEADBEEF
97969.xword 0xDEADBEEFDEADBEEF
97970.xword 0xDEADBEEFDEADBEEF
97971.xword 0xDEADBEEFDEADBEEF
97972.xword 0xDEADBEEFDEADBEEF
97973.xword 0xDEADBEEFDEADBEEF
97974.xword 0xDEADBEEFDEADBEEF
97975.xword 0xDEADBEEFDEADBEEF
97976.xword 0xDEADBEEFDEADBEEF
97977.xword 0xDEADBEEFDEADBEEF
97978.xword 0xDEADBEEFDEADBEEF
97979.xword 0xDEADBEEFDEADBEEF
97980.xword 0xDEADBEEFDEADBEEF
97981.xword 0xDEADBEEFDEADBEEF
97982.xword 0xDEADBEEFDEADBEEF
97983.xword 0xDEADBEEFDEADBEEF
97984.xword 0xDEADBEEFDEADBEEF
97985.xword 0xDEADBEEFDEADBEEF
97986.xword 0xDEADBEEFDEADBEEF
97987.xword 0xDEADBEEFDEADBEEF
97988.xword 0xDEADBEEFDEADBEEF
97989.xword 0xDEADBEEFDEADBEEF
97990.xword 0xDEADBEEFDEADBEEF
97991.xword 0xDEADBEEFDEADBEEF
97992.xword 0xDEADBEEFDEADBEEF
97993.xword 0xDEADBEEFDEADBEEF
97994.xword 0xDEADBEEFDEADBEEF
97995.xword 0xDEADBEEFDEADBEEF
97996.xword 0xDEADBEEFDEADBEEF
97997.xword 0xDEADBEEFDEADBEEF
97998.xword 0xDEADBEEFDEADBEEF
97999.xword 0xDEADBEEFDEADBEEF
98000.xword 0xDEADBEEFDEADBEEF
98001.xword 0xDEADBEEFDEADBEEF
98002.xword 0xDEADBEEFDEADBEEF
98003.xword 0xDEADBEEFDEADBEEF
98004.xword 0xDEADBEEFDEADBEEF
98005.xword 0xDEADBEEFDEADBEEF
98006.xword 0xDEADBEEFDEADBEEF
98007.xword 0xDEADBEEFDEADBEEF
98008.xword 0xDEADBEEFDEADBEEF
98009.xword 0xDEADBEEFDEADBEEF
98010.xword 0xDEADBEEFDEADBEEF
98011.xword 0xDEADBEEFDEADBEEF
98012.xword 0xDEADBEEFDEADBEEF
98013.xword 0xDEADBEEFDEADBEEF
98014.xword 0xDEADBEEFDEADBEEF
98015.xword 0xDEADBEEFDEADBEEF
98016.xword 0xDEADBEEFDEADBEEF
98017.xword 0xDEADBEEFDEADBEEF
98018.xword 0xDEADBEEFDEADBEEF
98019.xword 0xDEADBEEFDEADBEEF
98020.xword 0xDEADBEEFDEADBEEF
98021.xword 0xDEADBEEFDEADBEEF
98022.xword 0xDEADBEEFDEADBEEF
98023.xword 0xDEADBEEFDEADBEEF
98024.xword 0xDEADBEEFDEADBEEF
98025.xword 0xDEADBEEFDEADBEEF
98026.xword 0xDEADBEEFDEADBEEF
98027.xword 0xDEADBEEFDEADBEEF
98028.xword 0xDEADBEEFDEADBEEF
98029.xword 0xDEADBEEFDEADBEEF
98030.xword 0xDEADBEEFDEADBEEF
98031.xword 0xDEADBEEFDEADBEEF
98032.xword 0xDEADBEEFDEADBEEF
98033.xword 0xDEADBEEFDEADBEEF
98034.xword 0xDEADBEEFDEADBEEF
98035.xword 0xDEADBEEFDEADBEEF
98036.xword 0xDEADBEEFDEADBEEF
98037.xword 0xDEADBEEFDEADBEEF
98038.xword 0xDEADBEEFDEADBEEF
98039.xword 0xDEADBEEFDEADBEEF
98040.xword 0xDEADBEEFDEADBEEF
98041.xword 0xDEADBEEFDEADBEEF
98042.xword 0xDEADBEEFDEADBEEF
98043.xword 0xDEADBEEFDEADBEEF
98044.xword 0xDEADBEEFDEADBEEF
98045.xword 0xDEADBEEFDEADBEEF
98046.xword 0xDEADBEEFDEADBEEF
98047.xword 0xDEADBEEFDEADBEEF
98048.xword 0xDEADBEEFDEADBEEF
98049.xword 0xDEADBEEFDEADBEEF
98050.xword 0xDEADBEEFDEADBEEF
98051.xword 0xDEADBEEFDEADBEEF
98052.xword 0xDEADBEEFDEADBEEF
98053.xword 0xDEADBEEFDEADBEEF
98054.xword 0xDEADBEEFDEADBEEF
98055.xword 0xDEADBEEFDEADBEEF
98056.xword 0xDEADBEEFDEADBEEF
98057.xword 0xDEADBEEFDEADBEEF
98058.xword 0xDEADBEEFDEADBEEF
98059.xword 0xDEADBEEFDEADBEEF
98060.xword 0xDEADBEEFDEADBEEF
98061SECTION ._t2_T_MAX_DATA7 DATA_VA=275775488
98062attr_data {
98063 Name = ._t2_T_MAX_DATA7
98064 hypervisor
98065}
98066
98067.data
98068_t2_user_data_start:
98069_t2_scratch_area:
98070
98071.align 8
98072.global _t2_ma_operands7
98073_t2_ma_operands7:
98074.xword 0xef91cbce8ece34a9
98075.xword 0x09a15f93ed22d6c2
98076.xword 0x2b0de52f7bfad96d
98077.xword 0x19def2c9ef71e3b4
98078.xword 0x6bc77e485177a878
98079.xword 0x999f8dcaaa280b08
98080.xword 0x1ad80e98f7625e98
98081.xword 0x2607cdd2f6c48ecc
98082.xword 0x735bce8f5574f3ae
98083.xword 0xb20eda64969ee04f
98084.xword 0x9f6b5bc3cda674aa
98085.xword 0xe2902a5a675e54b0
98086.xword 0xb5d729e5809275da
98087.xword 0x0b8e7cf2bbabb6c2
98088.xword 0x10862c43f807e85f
98089.xword 0x730ca9f3c9bf75da
98090.xword 0xf22f1059918ee331
98091.xword 0x5fcafe777b3c3b6e
98092.xword 0xed0b072589c44013
98093.xword 0x38e98ee3caef236f
98094.xword 0x70a20808a3549a20
98095.xword 0x801a476f8d3e29fa
98096.xword 0x62b5cdfbfaf253fc
98097.xword 0xcbfdfb981595e84e
98098.xword 0x4e1ac5a423394d82
98099.xword 0xede59c37fcbda24a
98100.xword 0x6cf3b030f75a362c
98101.xword 0xa7a15347399350f9
98102.xword 0x7c05b0881ee2ac65
98103.xword 0xc5fe8ba077c197ab
98104.xword 0xa608cc132e7297e5
98105.xword 0x30fc6d640dcd1e53
98106.xword 0x878c87d2debe506c
98107.xword 0x77a89a8e6f1cff3d
98108.xword 0xf62d5ab922f7d661
98109.xword 0x701b100fa3d29349
98110.xword 0x39ed240085a46617
98111.xword 0x8faa8d27f805b3b8
98112.xword 0xb7d3dc634c4daf29
98113.xword 0x798fad37ba4b39d7
98114.xword 0x4f43a16361ea8cdf
98115.xword 0x18a9f46fda1635a1
98116.xword 0xbbe9e65d083632dc
98117.xword 0xebc97870f4116297
98118.xword 0xdc4cd11611723852
98119.xword 0xad16441d75f4eac9
98120.xword 0x0da315a36ddcccbb
98121.xword 0xb3f2fbfde045efa2
98122.xword 0xd1d75cf33d1ab45f
98123.xword 0x199e082f05323c2e
98124.xword 0x3333dfdcc47ebd37
98125.xword 0xf580faa655455d7b
98126.xword 0x92219c5c8bf3c34b
98127.xword 0xdbb3494816384ac3
98128.xword 0x204ebf1489bc5945
98129.xword 0x96b2ef657b0fa566
98130.xword 0x5caf69f806e82fa3
98131.xword 0xbbacd6ce97ec18c6
98132.xword 0x7391979909415a83
98133.xword 0x8ee8196c6ffdf8bb
98134.xword 0x2481291b63535169
98135.xword 0x4f05fab8a7d04de3
98136.xword 0x42fef39b783a8e2b
98137.xword 0x6050a9e945c92c5a
98138.xword 0xc3f666553f457cae
98139.xword 0x9b716a376e53645c
98140.xword 0x73edef92d7c3e5dc
98141.xword 0x576c74c9a41df6e0
98142.xword 0xc2277dc719d47483
98143.xword 0xb2719d409364b438
98144.xword 0xc5808337409721c0
98145.xword 0xe27362cb737910c7
98146.xword 0xaf1165dde3aa2f79
98147.xword 0x309aa1989a8c92c7
98148.xword 0xdbfb27fa67412637
98149.xword 0x3b8788a3b25400a7
98150.xword 0xda8eb5538eb67bc9
98151.xword 0x78f4e5912b683f2a
98152.xword 0x030332e0069d9081
98153.xword 0x691e1aad8ed37856
98154.xword 0x844efd9d43848c89
98155.xword 0x0cddaeacc8ed9b7b
98156.xword 0x32d38724010c04f5
98157.xword 0xd7751204ed147bd3
98158.xword 0x2516d9193eeedbfe
98159.xword 0x8ba2798cd31d4e40
98160.xword 0xa0aaef357a070ae3
98161.xword 0xfd7a0dd016b0a6d9
98162.xword 0x7ebddc4bb9d0e7e4
98163.xword 0x75b79ad08c090b54
98164.xword 0x1dc42957a6c2d4cd
98165.xword 0x5f7095e08b700150
98166.xword 0x0ac2e8c47edd8131
98167.xword 0xa3a06081bf55a146
98168.xword 0x6f1c71dd182ab97d
98169.xword 0xe9421480d003b9bf
98170.xword 0xc8f7db443d37aead
98171.xword 0xb59d455da5b24263
98172.xword 0x26e3203fdda8fc0a
98173.xword 0xd19e24433252dd60
98174.xword 0xd132ac49a1f1084a
98175.xword 0xfb9c5454ab2efc26
98176.xword 0x582c7708ff349444
98177.xword 0x1c38e8d6ea84d954
98178.xword 0xf93f2faa8c3f9963
98179.xword 0x878aa9f4bad4e378
98180.xword 0x00e88261fa7fd1eb
98181.xword 0xb5bd0cadb9ec093b
98182.xword 0x387f4bbb2eb5eefc
98183.xword 0x6a58f70659f66e1b
98184.xword 0xa97b228ac35d6d01
98185.xword 0x4f348f169286f68f
98186.xword 0xbb5901914a3be6b9
98187.xword 0x0034a78f0184c002
98188.xword 0x715979f3c0b0eee3
98189.xword 0x965eb28dfbd507a7
98190.xword 0x9c0c9c1f682ae5f5
98191.xword 0x3a9213ee4644eba2
98192.xword 0x369618550c854b9c
98193.xword 0x7929345b38f050b0
98194.xword 0x5f1541c1884a8a0f
98195.xword 0x90a8bfd35111f5d7
98196.xword 0x4649324175fefbc8
98197.xword 0xa28490def33544f3
98198.xword 0xd3dc4621599a1e9e
98199.xword 0x21a4c07248b3691f
98200.xword 0x57f2f553e1229b64
98201.xword 0xdf4c07df67cc490b
98202.xword 0x5a949f6ae161116a
98203.xword 0x74ef0bfe64391fee
98204.xword 0x948a97066ac79179
98205.xword 0x7f4fb8eaa09fdd0c
98206.xword 0xb3f67db57e42bc9f
98207.xword 0x696cc19e672236e9
98208.xword 0xcd0bc7e3d8e42fa4
98209.xword 0x243d0e415dae5e14
98210.xword 0xc667ae851409681e
98211.xword 0x4105759b388976e5
98212.xword 0xd710660d0b463e02
98213.xword 0xf98c4808acfe964f
98214.xword 0x6967196a9227ac28
98215.xword 0x7c347062a7dbab43
98216.xword 0x749b4b9a7be0759d
98217.xword 0x8f334586b2865c25
98218.xword 0x547c3a6db50f64d3
98219.xword 0xf4fcbfed626740a8
98220.xword 0x8a60e1412c68e9dc
98221.xword 0x951cf476ba93520d
98222.xword 0x19f9473ab869cc29
98223.xword 0x503cd04823bdca14
98224.xword 0x2f8324d241b84951
98225.xword 0x5fccd3e57d5d007d
98226.xword 0xfc7647920c2805c6
98227.xword 0x7e953ea0eaab2dee
98228.xword 0x9f015eae48311465
98229.xword 0x5230a7f10fad2287
98230.xword 0x6d47e4f0c8898fbf
98231.xword 0x8a53a5bb74147e77
98232.xword 0x3e0252d999ba9e5a
98233.xword 0x19be3aabf90a92a1
98234.xword 0xe333312f374a4ee5
98235.xword 0xa2788087f164ce2e
98236.xword 0x34de6c8606575d12
98237.xword 0x3eb083044eefbf5a
98238
98239.align 8
98240.global _t2_ma_operations7
98241_t2_ma_operations7:
98242.xword 0
98243.xword 0x00020097 | (3 << 18) !ma_ctl_Ld (0)
98244
98245.xword 0
98246.xword 0x00020191 | (3 << 18) !ma_ctl_St (0)
98247
98248.xword 0x80604020
98249.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (0)
98250
98251.xword 0x356a
98252.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (0)
98253
98254.xword 0x0000006000408020
98255.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (0)
98256
98257.xword 0x6a35
98258.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (0)
98259
98260.xword 0x78285050
98261.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (0)
98262
98263.xword 0x80604020
98264.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (0)
98265
98266.xword 0x00287850
98267.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (0)
98268
98269.xword 0x00287850
98270.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (0)
98271
98272.xword 0x78285050
98273.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (0)
98274
98275.xword 0x64500000003c0000
98276.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (0)
98277
98278.xword 0x7766000000554422
98279.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (0)
98280
98281.xword 0x706200000054462a
98282.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (0)
98283
98284.xword 0x784d005800423721
98285.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (0)
98286
98287.xword 0x804d006000504020
98288.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (0)
98289
98290.xword 0x0000006000408020
98291.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (0)
98292
98293.xword 0
98294.xword 0x00021115 | (3 << 18) !ma_ctl_StParity (0)
98295
98296.xword 0
98297.xword 0x00020046 | (3 << 18) !ma_ctl_Ld (1)
98298
98299.xword 0
98300.xword 0x00020168 | (3 << 18) !ma_ctl_St (1)
98301
98302.xword 0x80604020
98303.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (1)
98304
98305.xword 0x356a
98306.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (1)
98307
98308.xword 0x0000006000408020
98309.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (1)
98310
98311.xword 0x6a35
98312.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (1)
98313
98314.xword 0x78285050
98315.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (1)
98316
98317.xword 0x80604020
98318.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (1)
98319
98320.xword 0x00287850
98321.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (1)
98322
98323.xword 0x00287850
98324.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (1)
98325
98326.xword 0x78285050
98327.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (1)
98328
98329.xword 0x64500000003c0000
98330.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (1)
98331
98332.xword 0x7766000000554422
98333.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (1)
98334
98335.xword 0x706200000054462a
98336.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (1)
98337
98338.xword 0x784d005800423721
98339.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (1)
98340
98341.xword 0x804d006000504020
98342.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (1)
98343
98344.xword 0x0000006000408020
98345.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (1)
98346
98347.xword 0
98348.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (1)
98349
98350.xword 0
98351.xword 0x00020049 | (3 << 18) !ma_ctl_Ld (2)
98352
98353.xword 0
98354.xword 0x00020158 | (3 << 18) !ma_ctl_St (2)
98355
98356.xword 0x80604020
98357.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (2)
98358
98359.xword 0x356a
98360.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (2)
98361
98362.xword 0x0000006000408020
98363.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (2)
98364
98365.xword 0x6a35
98366.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (2)
98367
98368.xword 0x78285050
98369.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (2)
98370
98371.xword 0x80604020
98372.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (2)
98373
98374.xword 0x00287850
98375.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (2)
98376
98377.xword 0x00287850
98378.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (2)
98379
98380.xword 0x78285050
98381.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (2)
98382
98383.xword 0x64500000003c0000
98384.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (2)
98385
98386.xword 0x7766000000554422
98387.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (2)
98388
98389.xword 0x706200000054462a
98390.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (2)
98391
98392.xword 0x784d005800423721
98393.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (2)
98394
98395.xword 0x804d006000504020
98396.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (2)
98397
98398.xword 0x0000006000408020
98399.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (2)
98400
98401.xword 0
98402.xword 0x00021116 | (3 << 18) !ma_ctl_StParity (2)
98403
98404.xword 0
98405.xword 0x00020095 | (3 << 18) !ma_ctl_Ld (3)
98406
98407.xword 0
98408.xword 0x0002012f | (3 << 18) !ma_ctl_St (3)
98409
98410.xword 0x80604020
98411.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (3)
98412
98413.xword 0x356a
98414.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (3)
98415
98416.xword 0x0000006000408020
98417.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (3)
98418
98419.xword 0x6a35
98420.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (3)
98421
98422.xword 0x78285050
98423.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (3)
98424
98425.xword 0x80604020
98426.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (3)
98427
98428.xword 0x00287850
98429.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (3)
98430
98431.xword 0x00287850
98432.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (3)
98433
98434.xword 0x78285050
98435.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (3)
98436
98437.xword 0x64500000003c0000
98438.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (3)
98439
98440.xword 0x7766000000554422
98441.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (3)
98442
98443.xword 0x706200000054462a
98444.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (3)
98445
98446.xword 0x784d005800423721
98447.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (3)
98448
98449.xword 0x804d006000504020
98450.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (3)
98451
98452.xword 0x0000006000408020
98453.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (3)
98454
98455.xword 0
98456.xword 0x00021117 | (3 << 18) !ma_ctl_StParity (3)
98457
98458.xword 0
98459.xword 0x00020078 | (3 << 18) !ma_ctl_Ld (4)
98460
98461.xword 0
98462.xword 0x00020147 | (3 << 18) !ma_ctl_St (4)
98463
98464.xword 0x80604020
98465.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (4)
98466
98467.xword 0x356a
98468.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (4)
98469
98470.xword 0x0000006000408020
98471.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (4)
98472
98473.xword 0x6a35
98474.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (4)
98475
98476.xword 0x78285050
98477.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (4)
98478
98479.xword 0x80604020
98480.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (4)
98481
98482.xword 0x00287850
98483.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (4)
98484
98485.xword 0x00287850
98486.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (4)
98487
98488.xword 0x78285050
98489.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (4)
98490
98491.xword 0x64500000003c0000
98492.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (4)
98493
98494.xword 0x7766000000554422
98495.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (4)
98496
98497.xword 0x706200000054462a
98498.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (4)
98499
98500.xword 0x784d005800423721
98501.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (4)
98502
98503.xword 0x804d006000504020
98504.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (4)
98505
98506.xword 0x0000006000408020
98507.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (4)
98508
98509.xword 0
98510.xword 0x0002110c | (3 << 18) !ma_ctl_StParity (4)
98511
98512.xword 0
98513.xword 0x00020071 | (3 << 18) !ma_ctl_Ld (5)
98514
98515.xword 0
98516.xword 0x00020127 | (3 << 18) !ma_ctl_St (5)
98517
98518.xword 0x80604020
98519.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (5)
98520
98521.xword 0x356a
98522.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (5)
98523
98524.xword 0x0000006000408020
98525.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (5)
98526
98527.xword 0x6a35
98528.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (5)
98529
98530.xword 0x78285050
98531.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (5)
98532
98533.xword 0x80604020
98534.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (5)
98535
98536.xword 0x00287850
98537.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (5)
98538
98539.xword 0x00287850
98540.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (5)
98541
98542.xword 0x78285050
98543.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (5)
98544
98545.xword 0x64500000003c0000
98546.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (5)
98547
98548.xword 0x7766000000554422
98549.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (5)
98550
98551.xword 0x706200000054462a
98552.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (5)
98553
98554.xword 0x784d005800423721
98555.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (5)
98556
98557.xword 0x804d006000504020
98558.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (5)
98559
98560.xword 0x0000006000408020
98561.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (5)
98562
98563.xword 0
98564.xword 0x0002111e | (3 << 18) !ma_ctl_StParity (5)
98565
98566.xword 0
98567.xword 0x00020069 | (3 << 18) !ma_ctl_Ld (6)
98568
98569.xword 0
98570.xword 0x0002014b | (3 << 18) !ma_ctl_St (6)
98571
98572.xword 0x80604020
98573.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (6)
98574
98575.xword 0x356a
98576.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (6)
98577
98578.xword 0x0000006000408020
98579.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (6)
98580
98581.xword 0x6a35
98582.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (6)
98583
98584.xword 0x78285050
98585.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (6)
98586
98587.xword 0x80604020
98588.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (6)
98589
98590.xword 0x00287850
98591.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (6)
98592
98593.xword 0x00287850
98594.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (6)
98595
98596.xword 0x78285050
98597.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (6)
98598
98599.xword 0x64500000003c0000
98600.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (6)
98601
98602.xword 0x7766000000554422
98603.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (6)
98604
98605.xword 0x706200000054462a
98606.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (6)
98607
98608.xword 0x784d005800423721
98609.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (6)
98610
98611.xword 0x804d006000504020
98612.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (6)
98613
98614.xword 0x0000006000408020
98615.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (6)
98616
98617.xword 0
98618.xword 0x0002110a | (3 << 18) !ma_ctl_StParity (6)
98619
98620.xword 0
98621.xword 0x0002009a | (3 << 18) !ma_ctl_Ld (7)
98622
98623.xword 0
98624.xword 0x00020153 | (3 << 18) !ma_ctl_St (7)
98625
98626.xword 0x80604020
98627.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (7)
98628
98629.xword 0x356a
98630.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (7)
98631
98632.xword 0x0000006000408020
98633.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (7)
98634
98635.xword 0x6a35
98636.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (7)
98637
98638.xword 0x78285050
98639.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (7)
98640
98641.xword 0x80604020
98642.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (7)
98643
98644.xword 0x00287850
98645.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (7)
98646
98647.xword 0x00287850
98648.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (7)
98649
98650.xword 0x78285050
98651.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (7)
98652
98653.xword 0x64500000003c0000
98654.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (7)
98655
98656.xword 0x7766000000554422
98657.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (7)
98658
98659.xword 0x706200000054462a
98660.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (7)
98661
98662.xword 0x784d005800423721
98663.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (7)
98664
98665.xword 0x804d006000504020
98666.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (7)
98667
98668.xword 0x0000006000408020
98669.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (7)
98670
98671.xword 0
98672.xword 0x0002111d | (3 << 18) !ma_ctl_StParity (7)
98673
98674.xword 0
98675.xword 0x00020022 | (3 << 18) !ma_ctl_Ld (8)
98676
98677.xword 0
98678.xword 0x00020167 | (3 << 18) !ma_ctl_St (8)
98679
98680.xword 0x80604020
98681.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (8)
98682
98683.xword 0x356a
98684.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (8)
98685
98686.xword 0x0000006000408020
98687.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (8)
98688
98689.xword 0x6a35
98690.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (8)
98691
98692.xword 0x78285050
98693.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (8)
98694
98695.xword 0x80604020
98696.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (8)
98697
98698.xword 0x00287850
98699.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (8)
98700
98701.xword 0x00287850
98702.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (8)
98703
98704.xword 0x78285050
98705.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (8)
98706
98707.xword 0x64500000003c0000
98708.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (8)
98709
98710.xword 0x7766000000554422
98711.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (8)
98712
98713.xword 0x706200000054462a
98714.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (8)
98715
98716.xword 0x784d005800423721
98717.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (8)
98718
98719.xword 0x804d006000504020
98720.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (8)
98721
98722.xword 0x0000006000408020
98723.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (8)
98724
98725.xword 0
98726.xword 0x00021103 | (3 << 18) !ma_ctl_StParity (8)
98727
98728.xword 0
98729.xword 0x00020059 | (3 << 18) !ma_ctl_Ld (9)
98730
98731.xword 0
98732.xword 0x00020153 | (3 << 18) !ma_ctl_St (9)
98733
98734.xword 0x80604020
98735.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (9)
98736
98737.xword 0x356a
98738.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (9)
98739
98740.xword 0x0000006000408020
98741.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (9)
98742
98743.xword 0x6a35
98744.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (9)
98745
98746.xword 0x78285050
98747.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (9)
98748
98749.xword 0x80604020
98750.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (9)
98751
98752.xword 0x00287850
98753.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (9)
98754
98755.xword 0x00287850
98756.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (9)
98757
98758.xword 0x78285050
98759.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (9)
98760
98761.xword 0x64500000003c0000
98762.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (9)
98763
98764.xword 0x7766000000554422
98765.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (9)
98766
98767.xword 0x706200000054462a
98768.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (9)
98769
98770.xword 0x784d005800423721
98771.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (9)
98772
98773.xword 0x804d006000504020
98774.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (9)
98775
98776.xword 0x0000006000408020
98777.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (9)
98778
98779.xword 0
98780.xword 0x0002110f | (3 << 18) !ma_ctl_StParity (9)
98781
98782.xword 0
98783.xword 0x0002005e | (3 << 18) !ma_ctl_Ld (10)
98784
98785.xword 0
98786.xword 0x00020183 | (3 << 18) !ma_ctl_St (10)
98787
98788.xword 0x80604020
98789.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (10)
98790
98791.xword 0x356a
98792.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (10)
98793
98794.xword 0x0000006000408020
98795.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (10)
98796
98797.xword 0x6a35
98798.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (10)
98799
98800.xword 0x78285050
98801.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (10)
98802
98803.xword 0x80604020
98804.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (10)
98805
98806.xword 0x00287850
98807.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (10)
98808
98809.xword 0x00287850
98810.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (10)
98811
98812.xword 0x78285050
98813.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (10)
98814
98815.xword 0x64500000003c0000
98816.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (10)
98817
98818.xword 0x7766000000554422
98819.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (10)
98820
98821.xword 0x706200000054462a
98822.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (10)
98823
98824.xword 0x784d005800423721
98825.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (10)
98826
98827.xword 0x804d006000504020
98828.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (10)
98829
98830.xword 0x0000006000408020
98831.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (10)
98832
98833.xword 0
98834.xword 0x00021105 | (3 << 18) !ma_ctl_StParity (10)
98835
98836.xword 0
98837.xword 0x00020077 | (3 << 18) !ma_ctl_Ld (11)
98838
98839.xword 0
98840.xword 0x00020126 | (3 << 18) !ma_ctl_St (11)
98841
98842.xword 0x80604020
98843.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (11)
98844
98845.xword 0x356a
98846.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (11)
98847
98848.xword 0x0000006000408020
98849.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (11)
98850
98851.xword 0x6a35
98852.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (11)
98853
98854.xword 0x78285050
98855.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (11)
98856
98857.xword 0x80604020
98858.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (11)
98859
98860.xword 0x00287850
98861.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (11)
98862
98863.xword 0x00287850
98864.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (11)
98865
98866.xword 0x78285050
98867.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (11)
98868
98869.xword 0x64500000003c0000
98870.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (11)
98871
98872.xword 0x7766000000554422
98873.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (11)
98874
98875.xword 0x706200000054462a
98876.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (11)
98877
98878.xword 0x784d005800423721
98879.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (11)
98880
98881.xword 0x804d006000504020
98882.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (11)
98883
98884.xword 0x0000006000408020
98885.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (11)
98886
98887.xword 0
98888.xword 0x0002110f | (3 << 18) !ma_ctl_StParity (11)
98889
98890.xword 0
98891.xword 0x00020082 | (3 << 18) !ma_ctl_Ld (12)
98892
98893.xword 0
98894.xword 0x0002016c | (3 << 18) !ma_ctl_St (12)
98895
98896.xword 0x80604020
98897.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (12)
98898
98899.xword 0x356a
98900.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (12)
98901
98902.xword 0x0000006000408020
98903.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (12)
98904
98905.xword 0x6a35
98906.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (12)
98907
98908.xword 0x78285050
98909.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (12)
98910
98911.xword 0x80604020
98912.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (12)
98913
98914.xword 0x00287850
98915.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (12)
98916
98917.xword 0x00287850
98918.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (12)
98919
98920.xword 0x78285050
98921.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (12)
98922
98923.xword 0x64500000003c0000
98924.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (12)
98925
98926.xword 0x7766000000554422
98927.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (12)
98928
98929.xword 0x706200000054462a
98930.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (12)
98931
98932.xword 0x784d005800423721
98933.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (12)
98934
98935.xword 0x804d006000504020
98936.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (12)
98937
98938.xword 0x0000006000408020
98939.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (12)
98940
98941.xword 0
98942.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (12)
98943
98944.xword 0
98945.xword 0x0002004a | (3 << 18) !ma_ctl_Ld (13)
98946
98947.xword 0
98948.xword 0x0002017c | (3 << 18) !ma_ctl_St (13)
98949
98950.xword 0x80604020
98951.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (13)
98952
98953.xword 0x356a
98954.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (13)
98955
98956.xword 0x0000006000408020
98957.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (13)
98958
98959.xword 0x6a35
98960.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (13)
98961
98962.xword 0x78285050
98963.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (13)
98964
98965.xword 0x80604020
98966.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (13)
98967
98968.xword 0x00287850
98969.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (13)
98970
98971.xword 0x00287850
98972.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (13)
98973
98974.xword 0x78285050
98975.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (13)
98976
98977.xword 0x64500000003c0000
98978.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (13)
98979
98980.xword 0x7766000000554422
98981.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (13)
98982
98983.xword 0x706200000054462a
98984.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (13)
98985
98986.xword 0x784d005800423721
98987.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (13)
98988
98989.xword 0x804d006000504020
98990.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (13)
98991
98992.xword 0x0000006000408020
98993.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (13)
98994
98995.xword 0
98996.xword 0x0002110f | (3 << 18) !ma_ctl_StParity (13)
98997
98998.xword 0
98999.xword 0x0002002e | (3 << 18) !ma_ctl_Ld (14)
99000
99001.xword 0
99002.xword 0x0002017b | (3 << 18) !ma_ctl_St (14)
99003
99004.xword 0x80604020
99005.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (14)
99006
99007.xword 0x356a
99008.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (14)
99009
99010.xword 0x0000006000408020
99011.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (14)
99012
99013.xword 0x6a35
99014.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (14)
99015
99016.xword 0x78285050
99017.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (14)
99018
99019.xword 0x80604020
99020.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (14)
99021
99022.xword 0x00287850
99023.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (14)
99024
99025.xword 0x00287850
99026.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (14)
99027
99028.xword 0x78285050
99029.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (14)
99030
99031.xword 0x64500000003c0000
99032.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (14)
99033
99034.xword 0x7766000000554422
99035.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (14)
99036
99037.xword 0x706200000054462a
99038.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (14)
99039
99040.xword 0x784d005800423721
99041.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (14)
99042
99043.xword 0x804d006000504020
99044.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (14)
99045
99046.xword 0x0000006000408020
99047.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (14)
99048
99049.xword 0
99050.xword 0x0002111b | (3 << 18) !ma_ctl_StParity (14)
99051
99052.xword 0
99053.xword 0x00020034 | (3 << 18) !ma_ctl_Ld (15)
99054
99055.xword 0
99056.xword 0x0002012b | (3 << 18) !ma_ctl_St (15)
99057
99058.xword 0x80604020
99059.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (15)
99060
99061.xword 0x356a
99062.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (15)
99063
99064.xword 0x0000006000408020
99065.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (15)
99066
99067.xword 0x6a35
99068.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (15)
99069
99070.xword 0x78285050
99071.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (15)
99072
99073.xword 0x80604020
99074.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (15)
99075
99076.xword 0x00287850
99077.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (15)
99078
99079.xword 0x00287850
99080.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (15)
99081
99082.xword 0x78285050
99083.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (15)
99084
99085.xword 0x64500000003c0000
99086.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (15)
99087
99088.xword 0x7766000000554422
99089.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (15)
99090
99091.xword 0x706200000054462a
99092.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (15)
99093
99094.xword 0x784d005800423721
99095.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (15)
99096
99097.xword 0x804d006000504020
99098.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (15)
99099
99100.xword 0x0000006000408020
99101.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (15)
99102
99103.xword 0
99104.xword 0x00021116 | (3 << 18) !ma_ctl_StParity (15)
99105
99106.xword 0
99107.xword 0x00020057 | (3 << 18) !ma_ctl_Ld (16)
99108
99109.xword 0
99110.xword 0x00020174 | (3 << 18) !ma_ctl_St (16)
99111
99112.xword 0x80604020
99113.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (16)
99114
99115.xword 0x356a
99116.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (16)
99117
99118.xword 0x0000006000408020
99119.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (16)
99120
99121.xword 0x6a35
99122.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (16)
99123
99124.xword 0x78285050
99125.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (16)
99126
99127.xword 0x80604020
99128.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (16)
99129
99130.xword 0x00287850
99131.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (16)
99132
99133.xword 0x00287850
99134.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (16)
99135
99136.xword 0x78285050
99137.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (16)
99138
99139.xword 0x64500000003c0000
99140.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (16)
99141
99142.xword 0x7766000000554422
99143.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (16)
99144
99145.xword 0x706200000054462a
99146.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (16)
99147
99148.xword 0x784d005800423721
99149.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (16)
99150
99151.xword 0x804d006000504020
99152.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (16)
99153
99154.xword 0x0000006000408020
99155.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (16)
99156
99157.xword 0
99158.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (16)
99159
99160.xword 0
99161.xword 0x00020062 | (3 << 18) !ma_ctl_Ld (17)
99162
99163.xword 0
99164.xword 0x00020180 | (3 << 18) !ma_ctl_St (17)
99165
99166.xword 0x80604020
99167.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (17)
99168
99169.xword 0x356a
99170.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (17)
99171
99172.xword 0x0000006000408020
99173.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (17)
99174
99175.xword 0x6a35
99176.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (17)
99177
99178.xword 0x78285050
99179.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (17)
99180
99181.xword 0x80604020
99182.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (17)
99183
99184.xword 0x00287850
99185.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (17)
99186
99187.xword 0x00287850
99188.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (17)
99189
99190.xword 0x78285050
99191.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (17)
99192
99193.xword 0x64500000003c0000
99194.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (17)
99195
99196.xword 0x7766000000554422
99197.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (17)
99198
99199.xword 0x706200000054462a
99200.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (17)
99201
99202.xword 0x784d005800423721
99203.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (17)
99204
99205.xword 0x804d006000504020
99206.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (17)
99207
99208.xword 0x0000006000408020
99209.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (17)
99210
99211.xword 0
99212.xword 0x00021101 | (3 << 18) !ma_ctl_StParity (17)
99213
99214.xword 0
99215.xword 0x0002004e | (3 << 18) !ma_ctl_Ld (18)
99216
99217.xword 0
99218.xword 0x00020127 | (3 << 18) !ma_ctl_St (18)
99219
99220.xword 0x80604020
99221.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (18)
99222
99223.xword 0x356a
99224.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (18)
99225
99226.xword 0x0000006000408020
99227.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (18)
99228
99229.xword 0x6a35
99230.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (18)
99231
99232.xword 0x78285050
99233.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (18)
99234
99235.xword 0x80604020
99236.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (18)
99237
99238.xword 0x00287850
99239.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (18)
99240
99241.xword 0x00287850
99242.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (18)
99243
99244.xword 0x78285050
99245.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (18)
99246
99247.xword 0x64500000003c0000
99248.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (18)
99249
99250.xword 0x7766000000554422
99251.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (18)
99252
99253.xword 0x706200000054462a
99254.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (18)
99255
99256.xword 0x784d005800423721
99257.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (18)
99258
99259.xword 0x804d006000504020
99260.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (18)
99261
99262.xword 0x0000006000408020
99263.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (18)
99264
99265.xword 0
99266.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (18)
99267
99268.xword 0
99269.xword 0x0002004c | (3 << 18) !ma_ctl_Ld (19)
99270
99271.xword 0
99272.xword 0x0002015b | (3 << 18) !ma_ctl_St (19)
99273
99274.xword 0x80604020
99275.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (19)
99276
99277.xword 0x356a
99278.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (19)
99279
99280.xword 0x0000006000408020
99281.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (19)
99282
99283.xword 0x6a35
99284.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (19)
99285
99286.xword 0x78285050
99287.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (19)
99288
99289.xword 0x80604020
99290.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (19)
99291
99292.xword 0x00287850
99293.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (19)
99294
99295.xword 0x00287850
99296.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (19)
99297
99298.xword 0x78285050
99299.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (19)
99300
99301.xword 0x64500000003c0000
99302.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (19)
99303
99304.xword 0x7766000000554422
99305.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (19)
99306
99307.xword 0x706200000054462a
99308.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (19)
99309
99310.xword 0x784d005800423721
99311.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (19)
99312
99313.xword 0x804d006000504020
99314.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (19)
99315
99316.xword 0x0000006000408020
99317.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (19)
99318
99319.xword 0
99320.xword 0x00021100 | (3 << 18) !ma_ctl_StParity (19)
99321
99322.xword 0
99323.xword 0x00020065 | (3 << 18) !ma_ctl_Ld (20)
99324
99325.xword 0
99326.xword 0x0002012f | (3 << 18) !ma_ctl_St (20)
99327
99328.xword 0x80604020
99329.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (20)
99330
99331.xword 0x356a
99332.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (20)
99333
99334.xword 0x0000006000408020
99335.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (20)
99336
99337.xword 0x6a35
99338.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (20)
99339
99340.xword 0x78285050
99341.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (20)
99342
99343.xword 0x80604020
99344.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (20)
99345
99346.xword 0x00287850
99347.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (20)
99348
99349.xword 0x00287850
99350.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (20)
99351
99352.xword 0x78285050
99353.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (20)
99354
99355.xword 0x64500000003c0000
99356.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (20)
99357
99358.xword 0x7766000000554422
99359.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (20)
99360
99361.xword 0x706200000054462a
99362.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (20)
99363
99364.xword 0x784d005800423721
99365.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (20)
99366
99367.xword 0x804d006000504020
99368.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (20)
99369
99370.xword 0x0000006000408020
99371.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (20)
99372
99373.xword 0
99374.xword 0x0002110c | (3 << 18) !ma_ctl_StParity (20)
99375
99376.xword 0
99377.xword 0x00020074 | (3 << 18) !ma_ctl_Ld (21)
99378
99379.xword 0
99380.xword 0x0002012e | (3 << 18) !ma_ctl_St (21)
99381
99382.xword 0x80604020
99383.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (21)
99384
99385.xword 0x356a
99386.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (21)
99387
99388.xword 0x0000006000408020
99389.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (21)
99390
99391.xword 0x6a35
99392.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (21)
99393
99394.xword 0x78285050
99395.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (21)
99396
99397.xword 0x80604020
99398.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (21)
99399
99400.xword 0x00287850
99401.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (21)
99402
99403.xword 0x00287850
99404.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (21)
99405
99406.xword 0x78285050
99407.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (21)
99408
99409.xword 0x64500000003c0000
99410.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (21)
99411
99412.xword 0x7766000000554422
99413.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (21)
99414
99415.xword 0x706200000054462a
99416.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (21)
99417
99418.xword 0x784d005800423721
99419.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (21)
99420
99421.xword 0x804d006000504020
99422.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (21)
99423
99424.xword 0x0000006000408020
99425.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (21)
99426
99427.xword 0
99428.xword 0x00021118 | (3 << 18) !ma_ctl_StParity (21)
99429
99430.xword 0
99431.xword 0x00020084 | (3 << 18) !ma_ctl_Ld (22)
99432
99433.xword 0
99434.xword 0x00020126 | (3 << 18) !ma_ctl_St (22)
99435
99436.xword 0x80604020
99437.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (22)
99438
99439.xword 0x356a
99440.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (22)
99441
99442.xword 0x0000006000408020
99443.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (22)
99444
99445.xword 0x6a35
99446.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (22)
99447
99448.xword 0x78285050
99449.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (22)
99450
99451.xword 0x80604020
99452.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (22)
99453
99454.xword 0x00287850
99455.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (22)
99456
99457.xword 0x00287850
99458.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (22)
99459
99460.xword 0x78285050
99461.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (22)
99462
99463.xword 0x64500000003c0000
99464.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (22)
99465
99466.xword 0x7766000000554422
99467.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (22)
99468
99469.xword 0x706200000054462a
99470.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (22)
99471
99472.xword 0x784d005800423721
99473.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (22)
99474
99475.xword 0x804d006000504020
99476.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (22)
99477
99478.xword 0x0000006000408020
99479.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (22)
99480
99481.xword 0
99482.xword 0x0002111c | (3 << 18) !ma_ctl_StParity (22)
99483
99484.xword 0
99485.xword 0x00020065 | (3 << 18) !ma_ctl_Ld (23)
99486
99487.xword 0
99488.xword 0x0002015e | (3 << 18) !ma_ctl_St (23)
99489
99490.xword 0x80604020
99491.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (23)
99492
99493.xword 0x356a
99494.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (23)
99495
99496.xword 0x0000006000408020
99497.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (23)
99498
99499.xword 0x6a35
99500.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (23)
99501
99502.xword 0x78285050
99503.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (23)
99504
99505.xword 0x80604020
99506.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (23)
99507
99508.xword 0x00287850
99509.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (23)
99510
99511.xword 0x00287850
99512.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (23)
99513
99514.xword 0x78285050
99515.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (23)
99516
99517.xword 0x64500000003c0000
99518.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (23)
99519
99520.xword 0x7766000000554422
99521.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (23)
99522
99523.xword 0x706200000054462a
99524.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (23)
99525
99526.xword 0x784d005800423721
99527.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (23)
99528
99529.xword 0x804d006000504020
99530.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (23)
99531
99532.xword 0x0000006000408020
99533.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (23)
99534
99535.xword 0
99536.xword 0x00021115 | (3 << 18) !ma_ctl_StParity (23)
99537
99538.xword 0
99539.xword 0x0002007d | (3 << 18) !ma_ctl_Ld (24)
99540
99541.xword 0
99542.xword 0x00020122 | (3 << 18) !ma_ctl_St (24)
99543
99544.xword 0x80604020
99545.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (24)
99546
99547.xword 0x356a
99548.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (24)
99549
99550.xword 0x0000006000408020
99551.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (24)
99552
99553.xword 0x6a35
99554.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (24)
99555
99556.xword 0x78285050
99557.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (24)
99558
99559.xword 0x80604020
99560.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (24)
99561
99562.xword 0x00287850
99563.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (24)
99564
99565.xword 0x00287850
99566.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (24)
99567
99568.xword 0x78285050
99569.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (24)
99570
99571.xword 0x64500000003c0000
99572.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (24)
99573
99574.xword 0x7766000000554422
99575.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (24)
99576
99577.xword 0x706200000054462a
99578.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (24)
99579
99580.xword 0x784d005800423721
99581.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (24)
99582
99583.xword 0x804d006000504020
99584.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (24)
99585
99586.xword 0x0000006000408020
99587.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (24)
99588
99589.xword 0
99590.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (24)
99591
99592.xword 0
99593.xword 0x00020034 | (3 << 18) !ma_ctl_Ld (25)
99594
99595.xword 0
99596.xword 0x00020131 | (3 << 18) !ma_ctl_St (25)
99597
99598.xword 0x80604020
99599.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (25)
99600
99601.xword 0x356a
99602.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (25)
99603
99604.xword 0x0000006000408020
99605.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (25)
99606
99607.xword 0x6a35
99608.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (25)
99609
99610.xword 0x78285050
99611.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (25)
99612
99613.xword 0x80604020
99614.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (25)
99615
99616.xword 0x00287850
99617.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (25)
99618
99619.xword 0x00287850
99620.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (25)
99621
99622.xword 0x78285050
99623.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (25)
99624
99625.xword 0x64500000003c0000
99626.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (25)
99627
99628.xword 0x7766000000554422
99629.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (25)
99630
99631.xword 0x706200000054462a
99632.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (25)
99633
99634.xword 0x784d005800423721
99635.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (25)
99636
99637.xword 0x804d006000504020
99638.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (25)
99639
99640.xword 0x0000006000408020
99641.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (25)
99642
99643.xword 0
99644.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (25)
99645
99646.xword 0
99647.xword 0x00020030 | (3 << 18) !ma_ctl_Ld (26)
99648
99649.xword 0
99650.xword 0x0002012b | (3 << 18) !ma_ctl_St (26)
99651
99652.xword 0x80604020
99653.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (26)
99654
99655.xword 0x356a
99656.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (26)
99657
99658.xword 0x0000006000408020
99659.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (26)
99660
99661.xword 0x6a35
99662.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (26)
99663
99664.xword 0x78285050
99665.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (26)
99666
99667.xword 0x80604020
99668.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (26)
99669
99670.xword 0x00287850
99671.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (26)
99672
99673.xword 0x00287850
99674.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (26)
99675
99676.xword 0x78285050
99677.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (26)
99678
99679.xword 0x64500000003c0000
99680.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (26)
99681
99682.xword 0x7766000000554422
99683.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (26)
99684
99685.xword 0x706200000054462a
99686.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (26)
99687
99688.xword 0x784d005800423721
99689.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (26)
99690
99691.xword 0x804d006000504020
99692.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (26)
99693
99694.xword 0x0000006000408020
99695.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (26)
99696
99697.xword 0
99698.xword 0x00021110 | (3 << 18) !ma_ctl_StParity (26)
99699
99700.xword 0
99701.xword 0x0002009d | (3 << 18) !ma_ctl_Ld (27)
99702
99703.xword 0
99704.xword 0x00020149 | (3 << 18) !ma_ctl_St (27)
99705
99706.xword 0x80604020
99707.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (27)
99708
99709.xword 0x356a
99710.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (27)
99711
99712.xword 0x0000006000408020
99713.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (27)
99714
99715.xword 0x6a35
99716.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (27)
99717
99718.xword 0x78285050
99719.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (27)
99720
99721.xword 0x80604020
99722.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (27)
99723
99724.xword 0x00287850
99725.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (27)
99726
99727.xword 0x00287850
99728.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (27)
99729
99730.xword 0x78285050
99731.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (27)
99732
99733.xword 0x64500000003c0000
99734.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (27)
99735
99736.xword 0x7766000000554422
99737.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (27)
99738
99739.xword 0x706200000054462a
99740.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (27)
99741
99742.xword 0x784d005800423721
99743.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (27)
99744
99745.xword 0x804d006000504020
99746.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (27)
99747
99748.xword 0x0000006000408020
99749.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (27)
99750
99751.xword 0
99752.xword 0x0002110d | (3 << 18) !ma_ctl_StParity (27)
99753
99754.xword 0
99755.xword 0x00020085 | (3 << 18) !ma_ctl_Ld (28)
99756
99757.xword 0
99758.xword 0x00020186 | (3 << 18) !ma_ctl_St (28)
99759
99760.xword 0x80604020
99761.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (28)
99762
99763.xword 0x356a
99764.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (28)
99765
99766.xword 0x0000006000408020
99767.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (28)
99768
99769.xword 0x6a35
99770.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (28)
99771
99772.xword 0x78285050
99773.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (28)
99774
99775.xword 0x80604020
99776.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (28)
99777
99778.xword 0x00287850
99779.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (28)
99780
99781.xword 0x00287850
99782.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (28)
99783
99784.xword 0x78285050
99785.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (28)
99786
99787.xword 0x64500000003c0000
99788.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (28)
99789
99790.xword 0x7766000000554422
99791.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (28)
99792
99793.xword 0x706200000054462a
99794.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (28)
99795
99796.xword 0x784d005800423721
99797.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (28)
99798
99799.xword 0x804d006000504020
99800.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (28)
99801
99802.xword 0x0000006000408020
99803.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (28)
99804
99805.xword 0
99806.xword 0x0002111b | (3 << 18) !ma_ctl_StParity (28)
99807
99808.xword 0
99809.xword 0x0002001f | (3 << 18) !ma_ctl_Ld (29)
99810
99811.xword 0
99812.xword 0x00020138 | (3 << 18) !ma_ctl_St (29)
99813
99814.xword 0x80604020
99815.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (29)
99816
99817.xword 0x356a
99818.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (29)
99819
99820.xword 0x0000006000408020
99821.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (29)
99822
99823.xword 0x6a35
99824.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (29)
99825
99826.xword 0x78285050
99827.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (29)
99828
99829.xword 0x80604020
99830.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (29)
99831
99832.xword 0x00287850
99833.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (29)
99834
99835.xword 0x00287850
99836.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (29)
99837
99838.xword 0x78285050
99839.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (29)
99840
99841.xword 0x64500000003c0000
99842.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (29)
99843
99844.xword 0x7766000000554422
99845.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (29)
99846
99847.xword 0x706200000054462a
99848.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (29)
99849
99850.xword 0x784d005800423721
99851.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (29)
99852
99853.xword 0x804d006000504020
99854.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (29)
99855
99856.xword 0x0000006000408020
99857.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (29)
99858
99859.xword 0
99860.xword 0x00021116 | (3 << 18) !ma_ctl_StParity (29)
99861
99862.xword 0
99863.xword 0x0002001f | (3 << 18) !ma_ctl_Ld (30)
99864
99865.xword 0
99866.xword 0x0002014d | (3 << 18) !ma_ctl_St (30)
99867
99868.xword 0x80604020
99869.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (30)
99870
99871.xword 0x356a
99872.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (30)
99873
99874.xword 0x0000006000408020
99875.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (30)
99876
99877.xword 0x6a35
99878.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (30)
99879
99880.xword 0x78285050
99881.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (30)
99882
99883.xword 0x80604020
99884.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (30)
99885
99886.xword 0x00287850
99887.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (30)
99888
99889.xword 0x00287850
99890.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (30)
99891
99892.xword 0x78285050
99893.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (30)
99894
99895.xword 0x64500000003c0000
99896.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (30)
99897
99898.xword 0x7766000000554422
99899.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (30)
99900
99901.xword 0x706200000054462a
99902.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (30)
99903
99904.xword 0x784d005800423721
99905.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (30)
99906
99907.xword 0x804d006000504020
99908.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (30)
99909
99910.xword 0x0000006000408020
99911.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (30)
99912
99913.xword 0
99914.xword 0x0002111b | (3 << 18) !ma_ctl_StParity (30)
99915
99916.xword 0
99917.xword 0x0002002e | (3 << 18) !ma_ctl_Ld (31)
99918
99919.xword 0
99920.xword 0x00020128 | (3 << 18) !ma_ctl_St (31)
99921
99922.xword 0x80604020
99923.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (31)
99924
99925.xword 0x356a
99926.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (31)
99927
99928.xword 0x0000006000408020
99929.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (31)
99930
99931.xword 0x6a35
99932.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (31)
99933
99934.xword 0x78285050
99935.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (31)
99936
99937.xword 0x80604020
99938.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (31)
99939
99940.xword 0x00287850
99941.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (31)
99942
99943.xword 0x00287850
99944.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (31)
99945
99946.xword 0x78285050
99947.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (31)
99948
99949.xword 0x64500000003c0000
99950.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (31)
99951
99952.xword 0x7766000000554422
99953.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (31)
99954
99955.xword 0x706200000054462a
99956.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (31)
99957
99958.xword 0x784d005800423721
99959.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (31)
99960
99961.xword 0x804d006000504020
99962.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (31)
99963
99964.xword 0x0000006000408020
99965.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (31)
99966
99967.xword 0
99968.xword 0x00021102 | (3 << 18) !ma_ctl_StParity (31)
99969
99970.xword 0
99971.xword 0x0002006d | (3 << 18) !ma_ctl_Ld (32)
99972
99973.xword 0
99974.xword 0x00020141 | (3 << 18) !ma_ctl_St (32)
99975
99976.xword 0x80604020
99977.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (32)
99978
99979.xword 0x356a
99980.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (32)
99981
99982.xword 0x0000006000408020
99983.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (32)
99984
99985.xword 0x6a35
99986.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (32)
99987
99988.xword 0x78285050
99989.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (32)
99990
99991.xword 0x80604020
99992.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (32)
99993
99994.xword 0x00287850
99995.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (32)
99996
99997.xword 0x00287850
99998.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (32)
99999
100000.xword 0x78285050
100001.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (32)
100002
100003.xword 0x64500000003c0000
100004.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (32)
100005
100006.xword 0x7766000000554422
100007.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (32)
100008
100009.xword 0x706200000054462a
100010.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (32)
100011
100012.xword 0x784d005800423721
100013.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (32)
100014
100015.xword 0x804d006000504020
100016.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (32)
100017
100018.xword 0x0000006000408020
100019.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (32)
100020
100021.xword 0
100022.xword 0x00021117 | (3 << 18) !ma_ctl_StParity (32)
100023
100024.xword 0
100025.xword 0x0002006c | (3 << 18) !ma_ctl_Ld (33)
100026
100027.xword 0
100028.xword 0x0002011f | (3 << 18) !ma_ctl_St (33)
100029
100030.xword 0x80604020
100031.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (33)
100032
100033.xword 0x356a
100034.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (33)
100035
100036.xword 0x0000006000408020
100037.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (33)
100038
100039.xword 0x6a35
100040.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (33)
100041
100042.xword 0x78285050
100043.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (33)
100044
100045.xword 0x80604020
100046.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (33)
100047
100048.xword 0x00287850
100049.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (33)
100050
100051.xword 0x00287850
100052.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (33)
100053
100054.xword 0x78285050
100055.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (33)
100056
100057.xword 0x64500000003c0000
100058.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (33)
100059
100060.xword 0x7766000000554422
100061.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (33)
100062
100063.xword 0x706200000054462a
100064.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (33)
100065
100066.xword 0x784d005800423721
100067.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (33)
100068
100069.xword 0x804d006000504020
100070.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (33)
100071
100072.xword 0x0000006000408020
100073.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (33)
100074
100075.xword 0
100076.xword 0x0002111a | (3 << 18) !ma_ctl_StParity (33)
100077
100078.xword 0
100079.xword 0x0002002b | (3 << 18) !ma_ctl_Ld (34)
100080
100081.xword 0
100082.xword 0x00020128 | (3 << 18) !ma_ctl_St (34)
100083
100084.xword 0x80604020
100085.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (34)
100086
100087.xword 0x356a
100088.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (34)
100089
100090.xword 0x0000006000408020
100091.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (34)
100092
100093.xword 0x6a35
100094.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (34)
100095
100096.xword 0x78285050
100097.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (34)
100098
100099.xword 0x80604020
100100.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (34)
100101
100102.xword 0x00287850
100103.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (34)
100104
100105.xword 0x00287850
100106.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (34)
100107
100108.xword 0x78285050
100109.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (34)
100110
100111.xword 0x64500000003c0000
100112.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (34)
100113
100114.xword 0x7766000000554422
100115.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (34)
100116
100117.xword 0x706200000054462a
100118.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (34)
100119
100120.xword 0x784d005800423721
100121.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (34)
100122
100123.xword 0x804d006000504020
100124.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (34)
100125
100126.xword 0x0000006000408020
100127.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (34)
100128
100129.xword 0
100130.xword 0x00021111 | (3 << 18) !ma_ctl_StParity (34)
100131
100132.xword 0
100133.xword 0x0002006e | (3 << 18) !ma_ctl_Ld (35)
100134
100135.xword 0
100136.xword 0x0002013c | (3 << 18) !ma_ctl_St (35)
100137
100138.xword 0x80604020
100139.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (35)
100140
100141.xword 0x356a
100142.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (35)
100143
100144.xword 0x0000006000408020
100145.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (35)
100146
100147.xword 0x6a35
100148.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (35)
100149
100150.xword 0x78285050
100151.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (35)
100152
100153.xword 0x80604020
100154.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (35)
100155
100156.xword 0x00287850
100157.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (35)
100158
100159.xword 0x00287850
100160.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (35)
100161
100162.xword 0x78285050
100163.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (35)
100164
100165.xword 0x64500000003c0000
100166.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (35)
100167
100168.xword 0x7766000000554422
100169.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (35)
100170
100171.xword 0x706200000054462a
100172.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (35)
100173
100174.xword 0x784d005800423721
100175.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (35)
100176
100177.xword 0x804d006000504020
100178.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (35)
100179
100180.xword 0x0000006000408020
100181.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (35)
100182
100183.xword 0
100184.xword 0x00021107 | (3 << 18) !ma_ctl_StParity (35)
100185
100186.xword 0
100187.xword 0x00020084 | (3 << 18) !ma_ctl_Ld (36)
100188
100189.xword 0
100190.xword 0x00020172 | (3 << 18) !ma_ctl_St (36)
100191
100192.xword 0x80604020
100193.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (36)
100194
100195.xword 0x356a
100196.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (36)
100197
100198.xword 0x0000006000408020
100199.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (36)
100200
100201.xword 0x6a35
100202.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (36)
100203
100204.xword 0x78285050
100205.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (36)
100206
100207.xword 0x80604020
100208.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (36)
100209
100210.xword 0x00287850
100211.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (36)
100212
100213.xword 0x00287850
100214.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (36)
100215
100216.xword 0x78285050
100217.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (36)
100218
100219.xword 0x64500000003c0000
100220.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (36)
100221
100222.xword 0x7766000000554422
100223.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (36)
100224
100225.xword 0x706200000054462a
100226.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (36)
100227
100228.xword 0x784d005800423721
100229.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (36)
100230
100231.xword 0x804d006000504020
100232.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (36)
100233
100234.xword 0x0000006000408020
100235.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (36)
100236
100237.xword 0
100238.xword 0x0002111b | (3 << 18) !ma_ctl_StParity (36)
100239
100240.xword 0
100241.xword 0x00020075 | (3 << 18) !ma_ctl_Ld (37)
100242
100243.xword 0
100244.xword 0x00020131 | (3 << 18) !ma_ctl_St (37)
100245
100246.xword 0x80604020
100247.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (37)
100248
100249.xword 0x356a
100250.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (37)
100251
100252.xword 0x0000006000408020
100253.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (37)
100254
100255.xword 0x6a35
100256.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (37)
100257
100258.xword 0x78285050
100259.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (37)
100260
100261.xword 0x80604020
100262.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (37)
100263
100264.xword 0x00287850
100265.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (37)
100266
100267.xword 0x00287850
100268.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (37)
100269
100270.xword 0x78285050
100271.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (37)
100272
100273.xword 0x64500000003c0000
100274.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (37)
100275
100276.xword 0x7766000000554422
100277.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (37)
100278
100279.xword 0x706200000054462a
100280.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (37)
100281
100282.xword 0x784d005800423721
100283.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (37)
100284
100285.xword 0x804d006000504020
100286.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (37)
100287
100288.xword 0x0000006000408020
100289.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (37)
100290
100291.xword 0
100292.xword 0x00021111 | (3 << 18) !ma_ctl_StParity (37)
100293
100294.xword 0
100295.xword 0x00020039 | (3 << 18) !ma_ctl_Ld (38)
100296
100297.xword 0
100298.xword 0x0002014e | (3 << 18) !ma_ctl_St (38)
100299
100300.xword 0x80604020
100301.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (38)
100302
100303.xword 0x356a
100304.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (38)
100305
100306.xword 0x0000006000408020
100307.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (38)
100308
100309.xword 0x6a35
100310.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (38)
100311
100312.xword 0x78285050
100313.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (38)
100314
100315.xword 0x80604020
100316.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (38)
100317
100318.xword 0x00287850
100319.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (38)
100320
100321.xword 0x00287850
100322.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (38)
100323
100324.xword 0x78285050
100325.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (38)
100326
100327.xword 0x64500000003c0000
100328.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (38)
100329
100330.xword 0x7766000000554422
100331.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (38)
100332
100333.xword 0x706200000054462a
100334.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (38)
100335
100336.xword 0x784d005800423721
100337.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (38)
100338
100339.xword 0x804d006000504020
100340.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (38)
100341
100342.xword 0x0000006000408020
100343.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (38)
100344
100345.xword 0
100346.xword 0x0002110b | (3 << 18) !ma_ctl_StParity (38)
100347
100348.xword 0
100349.xword 0x00020046 | (3 << 18) !ma_ctl_Ld (39)
100350
100351.xword 0
100352.xword 0x00020188 | (3 << 18) !ma_ctl_St (39)
100353
100354.xword 0x80604020
100355.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (39)
100356
100357.xword 0x356a
100358.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (39)
100359
100360.xword 0x0000006000408020
100361.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (39)
100362
100363.xword 0x6a35
100364.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (39)
100365
100366.xword 0x78285050
100367.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (39)
100368
100369.xword 0x80604020
100370.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (39)
100371
100372.xword 0x00287850
100373.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (39)
100374
100375.xword 0x00287850
100376.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (39)
100377
100378.xword 0x78285050
100379.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (39)
100380
100381.xword 0x64500000003c0000
100382.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (39)
100383
100384.xword 0x7766000000554422
100385.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (39)
100386
100387.xword 0x706200000054462a
100388.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (39)
100389
100390.xword 0x784d005800423721
100391.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (39)
100392
100393.xword 0x804d006000504020
100394.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (39)
100395
100396.xword 0x0000006000408020
100397.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (39)
100398
100399.xword 0
100400.xword 0x0002111d | (3 << 18) !ma_ctl_StParity (39)
100401
100402.xword 0
100403.xword 0x00020070 | (3 << 18) !ma_ctl_Ld (40)
100404
100405.xword 0
100406.xword 0x0002016a | (3 << 18) !ma_ctl_St (40)
100407
100408.xword 0x80604020
100409.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (40)
100410
100411.xword 0x356a
100412.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (40)
100413
100414.xword 0x0000006000408020
100415.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (40)
100416
100417.xword 0x6a35
100418.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (40)
100419
100420.xword 0x78285050
100421.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (40)
100422
100423.xword 0x80604020
100424.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (40)
100425
100426.xword 0x00287850
100427.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (40)
100428
100429.xword 0x00287850
100430.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (40)
100431
100432.xword 0x78285050
100433.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (40)
100434
100435.xword 0x64500000003c0000
100436.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (40)
100437
100438.xword 0x7766000000554422
100439.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (40)
100440
100441.xword 0x706200000054462a
100442.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (40)
100443
100444.xword 0x784d005800423721
100445.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (40)
100446
100447.xword 0x804d006000504020
100448.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (40)
100449
100450.xword 0x0000006000408020
100451.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (40)
100452
100453.xword 0
100454.xword 0x00021106 | (3 << 18) !ma_ctl_StParity (40)
100455
100456.xword 0
100457.xword 0x0002006b | (3 << 18) !ma_ctl_Ld (41)
100458
100459.xword 0
100460.xword 0x00020166 | (3 << 18) !ma_ctl_St (41)
100461
100462.xword 0x80604020
100463.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (41)
100464
100465.xword 0x356a
100466.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (41)
100467
100468.xword 0x0000006000408020
100469.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (41)
100470
100471.xword 0x6a35
100472.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (41)
100473
100474.xword 0x78285050
100475.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (41)
100476
100477.xword 0x80604020
100478.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (41)
100479
100480.xword 0x00287850
100481.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (41)
100482
100483.xword 0x00287850
100484.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (41)
100485
100486.xword 0x78285050
100487.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (41)
100488
100489.xword 0x64500000003c0000
100490.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (41)
100491
100492.xword 0x7766000000554422
100493.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (41)
100494
100495.xword 0x706200000054462a
100496.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (41)
100497
100498.xword 0x784d005800423721
100499.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (41)
100500
100501.xword 0x804d006000504020
100502.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (41)
100503
100504.xword 0x0000006000408020
100505.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (41)
100506
100507.xword 0
100508.xword 0x00021119 | (3 << 18) !ma_ctl_StParity (41)
100509
100510.xword 0
100511.xword 0x0002005a | (3 << 18) !ma_ctl_Ld (42)
100512
100513.xword 0
100514.xword 0x00020145 | (3 << 18) !ma_ctl_St (42)
100515
100516.xword 0x80604020
100517.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (42)
100518
100519.xword 0x356a
100520.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (42)
100521
100522.xword 0x0000006000408020
100523.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (42)
100524
100525.xword 0x6a35
100526.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (42)
100527
100528.xword 0x78285050
100529.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (42)
100530
100531.xword 0x80604020
100532.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (42)
100533
100534.xword 0x00287850
100535.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (42)
100536
100537.xword 0x00287850
100538.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (42)
100539
100540.xword 0x78285050
100541.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (42)
100542
100543.xword 0x64500000003c0000
100544.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (42)
100545
100546.xword 0x7766000000554422
100547.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (42)
100548
100549.xword 0x706200000054462a
100550.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (42)
100551
100552.xword 0x784d005800423721
100553.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (42)
100554
100555.xword 0x804d006000504020
100556.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (42)
100557
100558.xword 0x0000006000408020
100559.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (42)
100560
100561.xword 0
100562.xword 0x0002110f | (3 << 18) !ma_ctl_StParity (42)
100563
100564.xword 0
100565.xword 0x00020089 | (3 << 18) !ma_ctl_Ld (43)
100566
100567.xword 0
100568.xword 0x0002012b | (3 << 18) !ma_ctl_St (43)
100569
100570.xword 0x80604020
100571.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (43)
100572
100573.xword 0x356a
100574.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (43)
100575
100576.xword 0x0000006000408020
100577.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (43)
100578
100579.xword 0x6a35
100580.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (43)
100581
100582.xword 0x78285050
100583.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (43)
100584
100585.xword 0x80604020
100586.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (43)
100587
100588.xword 0x00287850
100589.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (43)
100590
100591.xword 0x00287850
100592.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (43)
100593
100594.xword 0x78285050
100595.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (43)
100596
100597.xword 0x64500000003c0000
100598.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (43)
100599
100600.xword 0x7766000000554422
100601.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (43)
100602
100603.xword 0x706200000054462a
100604.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (43)
100605
100606.xword 0x784d005800423721
100607.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (43)
100608
100609.xword 0x804d006000504020
100610.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (43)
100611
100612.xword 0x0000006000408020
100613.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (43)
100614
100615.xword 0
100616.xword 0x00021100 | (3 << 18) !ma_ctl_StParity (43)
100617
100618.xword 0
100619.xword 0x00020045 | (3 << 18) !ma_ctl_Ld (44)
100620
100621.xword 0
100622.xword 0x00020125 | (3 << 18) !ma_ctl_St (44)
100623
100624.xword 0x80604020
100625.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (44)
100626
100627.xword 0x356a
100628.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (44)
100629
100630.xword 0x0000006000408020
100631.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (44)
100632
100633.xword 0x6a35
100634.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (44)
100635
100636.xword 0x78285050
100637.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (44)
100638
100639.xword 0x80604020
100640.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (44)
100641
100642.xword 0x00287850
100643.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (44)
100644
100645.xword 0x00287850
100646.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (44)
100647
100648.xword 0x78285050
100649.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (44)
100650
100651.xword 0x64500000003c0000
100652.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (44)
100653
100654.xword 0x7766000000554422
100655.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (44)
100656
100657.xword 0x706200000054462a
100658.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (44)
100659
100660.xword 0x784d005800423721
100661.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (44)
100662
100663.xword 0x804d006000504020
100664.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (44)
100665
100666.xword 0x0000006000408020
100667.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (44)
100668
100669.xword 0
100670.xword 0x00021104 | (3 << 18) !ma_ctl_StParity (44)
100671
100672.xword 0
100673.xword 0x00020023 | (3 << 18) !ma_ctl_Ld (45)
100674
100675.xword 0
100676.xword 0x00020189 | (3 << 18) !ma_ctl_St (45)
100677
100678.xword 0x80604020
100679.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (45)
100680
100681.xword 0x356a
100682.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (45)
100683
100684.xword 0x0000006000408020
100685.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (45)
100686
100687.xword 0x6a35
100688.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (45)
100689
100690.xword 0x78285050
100691.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (45)
100692
100693.xword 0x80604020
100694.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (45)
100695
100696.xword 0x00287850
100697.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (45)
100698
100699.xword 0x00287850
100700.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (45)
100701
100702.xword 0x78285050
100703.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (45)
100704
100705.xword 0x64500000003c0000
100706.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (45)
100707
100708.xword 0x7766000000554422
100709.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (45)
100710
100711.xword 0x706200000054462a
100712.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (45)
100713
100714.xword 0x784d005800423721
100715.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (45)
100716
100717.xword 0x804d006000504020
100718.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (45)
100719
100720.xword 0x0000006000408020
100721.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (45)
100722
100723.xword 0
100724.xword 0x00021101 | (3 << 18) !ma_ctl_StParity (45)
100725
100726.xword 0
100727.xword 0x0002003b | (3 << 18) !ma_ctl_Ld (46)
100728
100729.xword 0
100730.xword 0x00020140 | (3 << 18) !ma_ctl_St (46)
100731
100732.xword 0x80604020
100733.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (46)
100734
100735.xword 0x356a
100736.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (46)
100737
100738.xword 0x0000006000408020
100739.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (46)
100740
100741.xword 0x6a35
100742.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (46)
100743
100744.xword 0x78285050
100745.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (46)
100746
100747.xword 0x80604020
100748.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (46)
100749
100750.xword 0x00287850
100751.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (46)
100752
100753.xword 0x00287850
100754.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (46)
100755
100756.xword 0x78285050
100757.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (46)
100758
100759.xword 0x64500000003c0000
100760.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (46)
100761
100762.xword 0x7766000000554422
100763.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (46)
100764
100765.xword 0x706200000054462a
100766.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (46)
100767
100768.xword 0x784d005800423721
100769.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (46)
100770
100771.xword 0x804d006000504020
100772.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (46)
100773
100774.xword 0x0000006000408020
100775.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (46)
100776
100777.xword 0
100778.xword 0x00021103 | (3 << 18) !ma_ctl_StParity (46)
100779
100780.xword 0
100781.xword 0x0002004e | (3 << 18) !ma_ctl_Ld (47)
100782
100783.xword 0
100784.xword 0x00020168 | (3 << 18) !ma_ctl_St (47)
100785
100786.xword 0x80604020
100787.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (47)
100788
100789.xword 0x356a
100790.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (47)
100791
100792.xword 0x0000006000408020
100793.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (47)
100794
100795.xword 0x6a35
100796.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (47)
100797
100798.xword 0x78285050
100799.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (47)
100800
100801.xword 0x80604020
100802.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (47)
100803
100804.xword 0x00287850
100805.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (47)
100806
100807.xword 0x00287850
100808.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (47)
100809
100810.xword 0x78285050
100811.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (47)
100812
100813.xword 0x64500000003c0000
100814.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (47)
100815
100816.xword 0x7766000000554422
100817.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (47)
100818
100819.xword 0x706200000054462a
100820.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (47)
100821
100822.xword 0x784d005800423721
100823.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (47)
100824
100825.xword 0x804d006000504020
100826.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (47)
100827
100828.xword 0x0000006000408020
100829.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (47)
100830
100831.xword 0
100832.xword 0x0002110d | (3 << 18) !ma_ctl_StParity (47)
100833
100834.xword 0
100835.xword 0x00020055 | (3 << 18) !ma_ctl_Ld (48)
100836
100837.xword 0
100838.xword 0x00020173 | (3 << 18) !ma_ctl_St (48)
100839
100840.xword 0x80604020
100841.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (48)
100842
100843.xword 0x356a
100844.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (48)
100845
100846.xword 0x0000006000408020
100847.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (48)
100848
100849.xword 0x6a35
100850.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (48)
100851
100852.xword 0x78285050
100853.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (48)
100854
100855.xword 0x80604020
100856.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (48)
100857
100858.xword 0x00287850
100859.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (48)
100860
100861.xword 0x00287850
100862.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (48)
100863
100864.xword 0x78285050
100865.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (48)
100866
100867.xword 0x64500000003c0000
100868.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (48)
100869
100870.xword 0x7766000000554422
100871.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (48)
100872
100873.xword 0x706200000054462a
100874.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (48)
100875
100876.xword 0x784d005800423721
100877.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (48)
100878
100879.xword 0x804d006000504020
100880.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (48)
100881
100882.xword 0x0000006000408020
100883.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (48)
100884
100885.xword 0
100886.xword 0x00021105 | (3 << 18) !ma_ctl_StParity (48)
100887
100888.xword 0
100889.xword 0x00020057 | (3 << 18) !ma_ctl_Ld (49)
100890
100891.xword 0
100892.xword 0x0002016d | (3 << 18) !ma_ctl_St (49)
100893
100894.xword 0x80604020
100895.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (49)
100896
100897.xword 0x356a
100898.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (49)
100899
100900.xword 0x0000006000408020
100901.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (49)
100902
100903.xword 0x6a35
100904.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (49)
100905
100906.xword 0x78285050
100907.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (49)
100908
100909.xword 0x80604020
100910.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (49)
100911
100912.xword 0x00287850
100913.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (49)
100914
100915.xword 0x00287850
100916.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (49)
100917
100918.xword 0x78285050
100919.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (49)
100920
100921.xword 0x64500000003c0000
100922.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (49)
100923
100924.xword 0x7766000000554422
100925.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (49)
100926
100927.xword 0x706200000054462a
100928.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (49)
100929
100930.xword 0x784d005800423721
100931.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (49)
100932
100933.xword 0x804d006000504020
100934.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (49)
100935
100936.xword 0x0000006000408020
100937.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (49)
100938
100939.xword 0
100940.xword 0x00021106 | (3 << 18) !ma_ctl_StParity (49)
100941
100942.xword 0
100943.xword 0x00020027 | (3 << 18) !ma_ctl_Ld (50)
100944
100945.xword 0
100946.xword 0x00020171 | (3 << 18) !ma_ctl_St (50)
100947
100948.xword 0x80604020
100949.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (50)
100950
100951.xword 0x356a
100952.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (50)
100953
100954.xword 0x0000006000408020
100955.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (50)
100956
100957.xword 0x6a35
100958.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (50)
100959
100960.xword 0x78285050
100961.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (50)
100962
100963.xword 0x80604020
100964.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (50)
100965
100966.xword 0x00287850
100967.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (50)
100968
100969.xword 0x00287850
100970.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (50)
100971
100972.xword 0x78285050
100973.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (50)
100974
100975.xword 0x64500000003c0000
100976.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (50)
100977
100978.xword 0x7766000000554422
100979.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (50)
100980
100981.xword 0x706200000054462a
100982.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (50)
100983
100984.xword 0x784d005800423721
100985.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (50)
100986
100987.xword 0x804d006000504020
100988.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (50)
100989
100990.xword 0x0000006000408020
100991.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (50)
100992
100993.xword 0
100994.xword 0x00021103 | (3 << 18) !ma_ctl_StParity (50)
100995
100996.xword 0
100997.xword 0x00020074 | (3 << 18) !ma_ctl_Ld (51)
100998
100999.xword 0
101000.xword 0x0002013e | (3 << 18) !ma_ctl_St (51)
101001
101002.xword 0x80604020
101003.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (51)
101004
101005.xword 0x356a
101006.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (51)
101007
101008.xword 0x0000006000408020
101009.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (51)
101010
101011.xword 0x6a35
101012.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (51)
101013
101014.xword 0x78285050
101015.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (51)
101016
101017.xword 0x80604020
101018.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (51)
101019
101020.xword 0x00287850
101021.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (51)
101022
101023.xword 0x00287850
101024.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (51)
101025
101026.xword 0x78285050
101027.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (51)
101028
101029.xword 0x64500000003c0000
101030.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (51)
101031
101032.xword 0x7766000000554422
101033.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (51)
101034
101035.xword 0x706200000054462a
101036.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (51)
101037
101038.xword 0x784d005800423721
101039.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (51)
101040
101041.xword 0x804d006000504020
101042.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (51)
101043
101044.xword 0x0000006000408020
101045.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (51)
101046
101047.xword 0
101048.xword 0x00021117 | (3 << 18) !ma_ctl_StParity (51)
101049
101050.xword 0
101051.xword 0x0002003b | (3 << 18) !ma_ctl_Ld (52)
101052
101053.xword 0
101054.xword 0x0002015b | (3 << 18) !ma_ctl_St (52)
101055
101056.xword 0x80604020
101057.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (52)
101058
101059.xword 0x356a
101060.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (52)
101061
101062.xword 0x0000006000408020
101063.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (52)
101064
101065.xword 0x6a35
101066.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (52)
101067
101068.xword 0x78285050
101069.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (52)
101070
101071.xword 0x80604020
101072.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (52)
101073
101074.xword 0x00287850
101075.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (52)
101076
101077.xword 0x00287850
101078.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (52)
101079
101080.xword 0x78285050
101081.xword 0x00020a02 | (3 << 18) !ma_ctl_ModSq (52)
101082
101083.xword 0x64500000003c0000
101084.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (52)
101085
101086.xword 0x7766000000554422
101087.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (52)
101088
101089.xword 0x706200000054462a
101090.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (52)
101091
101092.xword 0x784d005800423721
101093.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (52)
101094
101095.xword 0x804d006000504020
101096.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (52)
101097
101098.xword 0x0000006000408020
101099.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (52)
101100
101101.xword 0
101102.xword 0x0002110d | (3 << 18) !ma_ctl_StParity (52)
101103
101104.xword 0
101105.xword 0x00020051 | (3 << 18) !ma_ctl_Ld (53)
101106
101107.xword 0
101108.xword 0x00020185 | (3 << 18) !ma_ctl_St (53)
101109
101110.xword 0x80604020
101111.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (53)
101112
101113.xword 0x356a
101114.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (53)
101115
101116.xword 0x0000006000408020
101117.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (53)
101118
101119.xword 0x6a35
101120.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (53)
101121
101122.xword 0x78285050
101123.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (53)
101124
101125.xword 0x80604020
101126.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (53)
101127
101128.xword 0x00287850
101129.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (53)
101130
101131.xword 0x00287850
101132.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (53)
101133
101134.xword 0x78285050
101135.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (53)
101136
101137.xword 0x64500000003c0000
101138.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (53)
101139
101140.xword 0x7766000000554422
101141.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (53)
101142
101143.xword 0x706200000054462a
101144.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (53)
101145
101146.xword 0x784d005800423721
101147.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (53)
101148
101149.xword 0x804d006000504020
101150.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (53)
101151
101152.xword 0x0000006000408020
101153.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (53)
101154
101155.xword 0
101156.xword 0x00021115 | (3 << 18) !ma_ctl_StParity (53)
101157
101158.xword 0
101159.xword 0x00020050 | (3 << 18) !ma_ctl_Ld (54)
101160
101161.xword 0
101162.xword 0x00020141 | (3 << 18) !ma_ctl_St (54)
101163
101164.xword 0x80604020
101165.xword 0x00020201 | (3 << 18) !ma_ctl_ModMul (54)
101166
101167.xword 0x356a
101168.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (54)
101169
101170.xword 0x0000006000408020
101171.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (54)
101172
101173.xword 0x6a35
101174.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (54)
101175
101176.xword 0x78285050
101177.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (54)
101178
101179.xword 0x80604020
101180.xword 0x00020702 | (3 << 18) !ma_ctl_gf2m_ModMul (54)
101181
101182.xword 0x00287850
101183.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (54)
101184
101185.xword 0x00287850
101186.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (54)
101187
101188.xword 0x78285050
101189.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (54)
101190
101191.xword 0x64500000003c0000
101192.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (54)
101193
101194.xword 0x7766000000554422
101195.xword 0x00020c02 | (3 << 18) !ma_ctl_gfp_ptDbl (54)
101196
101197.xword 0x706200000054462a
101198.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (54)
101199
101200.xword 0x784d005800423721
101201.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (54)
101202
101203.xword 0x804d006000504020
101204.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (54)
101205
101206.xword 0x0000006000408020
101207.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (54)
101208
101209.xword 0
101210.xword 0x00021108 | (3 << 18) !ma_ctl_StParity (54)
101211
101212.xword 0
101213.xword 0x00020033 | (3 << 18) !ma_ctl_Ld (55)
101214
101215.xword 0
101216.xword 0x00020133 | (3 << 18) !ma_ctl_St (55)
101217
101218.xword 0x80604020
101219.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (55)
101220
101221.xword 0x356a
101222.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (55)
101223
101224.xword 0x0000006000408020
101225.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (55)
101226
101227.xword 0x6a35
101228.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (55)
101229
101230.xword 0x78285050
101231.xword 0x00020602 | (3 << 18) !ma_ctl_gf2m_ModSq (55)
101232
101233.xword 0x80604020
101234.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (55)
101235
101236.xword 0x00287850
101237.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (55)
101238
101239.xword 0x00287850
101240.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (55)
101241
101242.xword 0x78285050
101243.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (55)
101244
101245.xword 0x64500000003c0000
101246.xword 0x00020b01 | (3 << 18) !ma_ctl_gfp_ptDbl (55)
101247
101248.xword 0x7766000000554422
101249.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (55)
101250
101251.xword 0x706200000054462a
101252.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (55)
101253
101254.xword 0x784d005800423721
101255.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (55)
101256
101257.xword 0x804d006000504020
101258.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (55)
101259
101260.xword 0x0000006000408020
101261.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (55)
101262
101263.xword 0
101264.xword 0x00021111 | (3 << 18) !ma_ctl_StParity (55)
101265
101266.xword 0
101267.xword 0x00020079 | (3 << 18) !ma_ctl_Ld (56)
101268
101269.xword 0
101270.xword 0x00020149 | (3 << 18) !ma_ctl_St (56)
101271
101272.xword 0x80604020
101273.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (56)
101274
101275.xword 0x356a
101276.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (56)
101277
101278.xword 0x0000006000408020
101279.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (56)
101280
101281.xword 0x6a35
101282.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (56)
101283
101284.xword 0x78285050
101285.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (56)
101286
101287.xword 0x80604020
101288.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (56)
101289
101290.xword 0x00287850
101291.xword 0x00020802 | (3 << 18) !ma_ctl_ModSub (56)
101292
101293.xword 0x00287850
101294.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (56)
101295
101296.xword 0x78285050
101297.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (56)
101298
101299.xword 0x64500000003c0000
101300.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (56)
101301
101302.xword 0x7766000000554422
101303.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (56)
101304
101305.xword 0x706200000054462a
101306.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (56)
101307
101308.xword 0x784d005800423721
101309.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (56)
101310
101311.xword 0x804d006000504020
101312.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (56)
101313
101314.xword 0x0000006000408020
101315.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (56)
101316
101317.xword 0
101318.xword 0x0002111e | (3 << 18) !ma_ctl_StParity (56)
101319
101320.xword 0
101321.xword 0x0002003c | (3 << 18) !ma_ctl_Ld (57)
101322
101323.xword 0
101324.xword 0x00020131 | (3 << 18) !ma_ctl_St (57)
101325
101326.xword 0x80604020
101327.xword 0x00020203 | (3 << 18) !ma_ctl_ModMul (57)
101328
101329.xword 0x356a
101330.xword 0x00020302 | (3 << 18) !ma_ctl_ModRed (57)
101331
101332.xword 0x0000006000408020
101333.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (57)
101334
101335.xword 0x6a35
101336.xword 0x00020501 | (3 << 18) !ma_ctl_gf2m_ModAdd (57)
101337
101338.xword 0x78285050
101339.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (57)
101340
101341.xword 0x80604020
101342.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (57)
101343
101344.xword 0x00287850
101345.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (57)
101346
101347.xword 0x00287850
101348.xword 0x00020901 | (3 << 18) !ma_ctl_ModAdd (57)
101349
101350.xword 0x78285050
101351.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (57)
101352
101353.xword 0x64500000003c0000
101354.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (57)
101355
101356.xword 0x7766000000554422
101357.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (57)
101358
101359.xword 0x706200000054462a
101360.xword 0x00020d02 | (3 << 18) !ma_ctl_gfp_ptAdd (57)
101361
101362.xword 0x784d005800423721
101363.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (57)
101364
101365.xword 0x804d006000504020
101366.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (57)
101367
101368.xword 0x0000006000408020
101369.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (57)
101370
101371.xword 0
101372.xword 0x00021112 | (3 << 18) !ma_ctl_StParity (57)
101373
101374.xword 0
101375.xword 0x00020048 | (3 << 18) !ma_ctl_Ld (58)
101376
101377.xword 0
101378.xword 0x00020189 | (3 << 18) !ma_ctl_St (58)
101379
101380.xword 0x80604020
101381.xword 0x00020202 | (3 << 18) !ma_ctl_ModMul (58)
101382
101383.xword 0x356a
101384.xword 0x00020301 | (3 << 18) !ma_ctl_ModRed (58)
101385
101386.xword 0x0000006000408020
101387.xword 0x00020401 | (3 << 18) !ma_ctl_ModExp (58)
101388
101389.xword 0x6a35
101390.xword 0x00020502 | (3 << 18) !ma_ctl_gf2m_ModAdd (58)
101391
101392.xword 0x78285050
101393.xword 0x00020601 | (3 << 18) !ma_ctl_gf2m_ModSq (58)
101394
101395.xword 0x80604020
101396.xword 0x00020701 | (3 << 18) !ma_ctl_gf2m_ModMul (58)
101397
101398.xword 0x00287850
101399.xword 0x00020801 | (3 << 18) !ma_ctl_ModSub (58)
101400
101401.xword 0x00287850
101402.xword 0x00020902 | (3 << 18) !ma_ctl_ModAdd (58)
101403
101404.xword 0x78285050
101405.xword 0x00020a01 | (3 << 18) !ma_ctl_ModSq (58)
101406
101407.xword 0x64500000003c0000
101408.xword 0x00020b02 | (3 << 18) !ma_ctl_gfp_ptDbl (58)
101409
101410.xword 0x7766000000554422
101411.xword 0x00020c01 | (3 << 18) !ma_ctl_gfp_ptDbl (58)
101412
101413.xword 0x706200000054462a
101414.xword 0x00020d01 | (3 << 18) !ma_ctl_gfp_ptAdd (58)
101415
101416.xword 0x784d005800423721
101417.xword 0x00020e01 | (3 << 18) !ma_ctl_gfp_ptMul (58)
101418
101419.xword 0x804d006000504020
101420.xword 0x00020f01 | (3 << 18) !ma_ctl_gf2m_ptMul (58)
101421
101422.xword 0x0000006000408020
101423.xword 0x00021001 | (3 << 18) !ma_ctl_gf2m_ModExp (58)
101424
101425.xword 0
101426.xword 0x00021118 | (3 << 18) !ma_ctl_StParity (58)
101427
101428
101429.align 8
101430.global _t2_ma_results7
101431_t2_ma_results7:
101432.xword 0xDEADBEEFDEADBEEF
101433.xword 0xDEADBEEFDEADBEEF
101434.xword 0xDEADBEEFDEADBEEF
101435.xword 0xDEADBEEFDEADBEEF
101436.xword 0xDEADBEEFDEADBEEF
101437.xword 0xDEADBEEFDEADBEEF
101438.xword 0xDEADBEEFDEADBEEF
101439.xword 0xDEADBEEFDEADBEEF
101440.xword 0xDEADBEEFDEADBEEF
101441.xword 0xDEADBEEFDEADBEEF
101442.xword 0xDEADBEEFDEADBEEF
101443.xword 0xDEADBEEFDEADBEEF
101444.xword 0xDEADBEEFDEADBEEF
101445.xword 0xDEADBEEFDEADBEEF
101446.xword 0xDEADBEEFDEADBEEF
101447.xword 0xDEADBEEFDEADBEEF
101448.xword 0xDEADBEEFDEADBEEF
101449.xword 0xDEADBEEFDEADBEEF
101450.xword 0xDEADBEEFDEADBEEF
101451.xword 0xDEADBEEFDEADBEEF
101452.xword 0xDEADBEEFDEADBEEF
101453.xword 0xDEADBEEFDEADBEEF
101454.xword 0xDEADBEEFDEADBEEF
101455.xword 0xDEADBEEFDEADBEEF
101456.xword 0xDEADBEEFDEADBEEF
101457.xword 0xDEADBEEFDEADBEEF
101458.xword 0xDEADBEEFDEADBEEF
101459.xword 0xDEADBEEFDEADBEEF
101460.xword 0xDEADBEEFDEADBEEF
101461.xword 0xDEADBEEFDEADBEEF
101462.xword 0xDEADBEEFDEADBEEF
101463.xword 0xDEADBEEFDEADBEEF
101464.xword 0xDEADBEEFDEADBEEF
101465.xword 0xDEADBEEFDEADBEEF
101466.xword 0xDEADBEEFDEADBEEF
101467.xword 0xDEADBEEFDEADBEEF
101468.xword 0xDEADBEEFDEADBEEF
101469.xword 0xDEADBEEFDEADBEEF
101470.xword 0xDEADBEEFDEADBEEF
101471.xword 0xDEADBEEFDEADBEEF
101472.xword 0xDEADBEEFDEADBEEF
101473.xword 0xDEADBEEFDEADBEEF
101474.xword 0xDEADBEEFDEADBEEF
101475.xword 0xDEADBEEFDEADBEEF
101476.xword 0xDEADBEEFDEADBEEF
101477.xword 0xDEADBEEFDEADBEEF
101478.xword 0xDEADBEEFDEADBEEF
101479.xword 0xDEADBEEFDEADBEEF
101480.xword 0xDEADBEEFDEADBEEF
101481.xword 0xDEADBEEFDEADBEEF
101482.xword 0xDEADBEEFDEADBEEF
101483.xword 0xDEADBEEFDEADBEEF
101484.xword 0xDEADBEEFDEADBEEF
101485.xword 0xDEADBEEFDEADBEEF
101486.xword 0xDEADBEEFDEADBEEF
101487.xword 0xDEADBEEFDEADBEEF
101488.xword 0xDEADBEEFDEADBEEF
101489.xword 0xDEADBEEFDEADBEEF
101490.xword 0xDEADBEEFDEADBEEF
101491.xword 0xDEADBEEFDEADBEEF
101492.xword 0xDEADBEEFDEADBEEF
101493.xword 0xDEADBEEFDEADBEEF
101494.xword 0xDEADBEEFDEADBEEF
101495.xword 0xDEADBEEFDEADBEEF
101496.xword 0xDEADBEEFDEADBEEF
101497.xword 0xDEADBEEFDEADBEEF
101498.xword 0xDEADBEEFDEADBEEF
101499.xword 0xDEADBEEFDEADBEEF
101500.xword 0xDEADBEEFDEADBEEF
101501.xword 0xDEADBEEFDEADBEEF
101502.xword 0xDEADBEEFDEADBEEF
101503.xword 0xDEADBEEFDEADBEEF
101504.xword 0xDEADBEEFDEADBEEF
101505.xword 0xDEADBEEFDEADBEEF
101506.xword 0xDEADBEEFDEADBEEF
101507.xword 0xDEADBEEFDEADBEEF
101508.xword 0xDEADBEEFDEADBEEF
101509.xword 0xDEADBEEFDEADBEEF
101510.xword 0xDEADBEEFDEADBEEF
101511.xword 0xDEADBEEFDEADBEEF
101512.xword 0xDEADBEEFDEADBEEF
101513.xword 0xDEADBEEFDEADBEEF
101514.xword 0xDEADBEEFDEADBEEF
101515.xword 0xDEADBEEFDEADBEEF
101516.xword 0xDEADBEEFDEADBEEF
101517.xword 0xDEADBEEFDEADBEEF
101518.xword 0xDEADBEEFDEADBEEF
101519.xword 0xDEADBEEFDEADBEEF
101520.xword 0xDEADBEEFDEADBEEF
101521.xword 0xDEADBEEFDEADBEEF
101522.xword 0xDEADBEEFDEADBEEF
101523.xword 0xDEADBEEFDEADBEEF
101524.xword 0xDEADBEEFDEADBEEF
101525.xword 0xDEADBEEFDEADBEEF
101526.xword 0xDEADBEEFDEADBEEF
101527.xword 0xDEADBEEFDEADBEEF
101528.xword 0xDEADBEEFDEADBEEF
101529.xword 0xDEADBEEFDEADBEEF
101530.xword 0xDEADBEEFDEADBEEF
101531.xword 0xDEADBEEFDEADBEEF
101532.xword 0xDEADBEEFDEADBEEF
101533.xword 0xDEADBEEFDEADBEEF
101534.xword 0xDEADBEEFDEADBEEF
101535.xword 0xDEADBEEFDEADBEEF
101536.xword 0xDEADBEEFDEADBEEF
101537.xword 0xDEADBEEFDEADBEEF
101538.xword 0xDEADBEEFDEADBEEF
101539.xword 0xDEADBEEFDEADBEEF
101540.xword 0xDEADBEEFDEADBEEF
101541.xword 0xDEADBEEFDEADBEEF
101542.xword 0xDEADBEEFDEADBEEF
101543.xword 0xDEADBEEFDEADBEEF
101544.xword 0xDEADBEEFDEADBEEF
101545.xword 0xDEADBEEFDEADBEEF
101546.xword 0xDEADBEEFDEADBEEF
101547.xword 0xDEADBEEFDEADBEEF
101548.xword 0xDEADBEEFDEADBEEF
101549.xword 0xDEADBEEFDEADBEEF
101550.xword 0xDEADBEEFDEADBEEF
101551.xword 0xDEADBEEFDEADBEEF
101552.xword 0xDEADBEEFDEADBEEF
101553.xword 0xDEADBEEFDEADBEEF
101554.xword 0xDEADBEEFDEADBEEF
101555.xword 0xDEADBEEFDEADBEEF
101556.xword 0xDEADBEEFDEADBEEF
101557.xword 0xDEADBEEFDEADBEEF
101558.xword 0xDEADBEEFDEADBEEF
101559.xword 0xDEADBEEFDEADBEEF
101560.xword 0xDEADBEEFDEADBEEF
101561.xword 0xDEADBEEFDEADBEEF
101562.xword 0xDEADBEEFDEADBEEF
101563.xword 0xDEADBEEFDEADBEEF
101564.xword 0xDEADBEEFDEADBEEF
101565.xword 0xDEADBEEFDEADBEEF
101566.xword 0xDEADBEEFDEADBEEF
101567.xword 0xDEADBEEFDEADBEEF
101568.xword 0xDEADBEEFDEADBEEF
101569.xword 0xDEADBEEFDEADBEEF
101570.xword 0xDEADBEEFDEADBEEF
101571.xword 0xDEADBEEFDEADBEEF
101572.xword 0xDEADBEEFDEADBEEF
101573.xword 0xDEADBEEFDEADBEEF
101574.xword 0xDEADBEEFDEADBEEF
101575.xword 0xDEADBEEFDEADBEEF
101576.xword 0xDEADBEEFDEADBEEF
101577.xword 0xDEADBEEFDEADBEEF
101578.xword 0xDEADBEEFDEADBEEF
101579.xword 0xDEADBEEFDEADBEEF
101580.xword 0xDEADBEEFDEADBEEF
101581.xword 0xDEADBEEFDEADBEEF
101582.xword 0xDEADBEEFDEADBEEF
101583.xword 0xDEADBEEFDEADBEEF
101584.xword 0xDEADBEEFDEADBEEF
101585.xword 0xDEADBEEFDEADBEEF
101586.xword 0xDEADBEEFDEADBEEF
101587.xword 0xDEADBEEFDEADBEEF
101588.xword 0xDEADBEEFDEADBEEF
101589.xword 0xDEADBEEFDEADBEEF
101590.xword 0xDEADBEEFDEADBEEF
101591.xword 0xDEADBEEFDEADBEEF
101592.xword 0xDEADBEEFDEADBEEF
101593.xword 0xDEADBEEFDEADBEEF
101594.xword 0xDEADBEEFDEADBEEF
101595.xword 0xDEADBEEFDEADBEEF
101596
101597
101598
101599SECTION .MyHTRAPS_0 TEXT_VA = 0x0000000000280000, DATA_VA = 0x00000000002c0000
101600attr_text {
101601 Name = .MyHTRAPS_0,
101602 RA = 0x0000000000280000,
101603 PA = ra2pa(0x0000000000280000,0),
101604 part_0_ctx_zero_tsb_config_3,
101605 part_0_ctx_nonzero_tsb_config_3,
101606 TTE_G = 1,
101607 TTE_Context = 0,
101608 TTE_V = 1,
101609 TTE_Size = PART0_Z_PAGE_SIZE_3,
101610 TTE_NFO = 0,
101611 TTE_IE = 0,
101612 TTE_Soft2 = 0,
101613 TTE_Diag = 0,
101614 TTE_Soft = 0,
101615 TTE_L = 0,
101616 TTE_CP = 0,
101617 TTE_CV = 1,
101618 TTE_E = 1,
101619 TTE_P = 1,
101620 TTE_W = 0,
101621 TTE_X = 0
101622}
101623
101624
101625attr_data {
101626 Name = .MyHTRAPS_0,
101627 RA = 0x00000000002c0000,
101628 PA = ra2pa(0x00000000002c0000,0),
101629 part_0_ctx_zero_tsb_config_3,
101630 part_0_ctx_nonzero_tsb_config_3,
101631 TTE_G = 1,
101632 TTE_Context = 0,
101633 TTE_V = 1,
101634 TTE_Size = PART0_Z_PAGE_SIZE_3,
101635 TTE_NFO = 0,
101636 TTE_IE = 0,
101637 TTE_Soft2 = 0,
101638 TTE_Diag = 0,
101639 TTE_Soft = 0,
101640 TTE_L = 0,
101641 TTE_CP = 1,
101642 TTE_CV = 1,
101643 TTE_E = 0,
101644 TTE_P = 1,
101645 TTE_W = 0
101646}
101647
101648.text
101649#include "htraps.s"
101650#include "tlu_htraps_ext.s"
101651
101652
101653
101654SECTION .MyHTRAPS_1 TEXT_VA = 0x00000000002a0000, DATA_VA = 0x00000000002e0000
101655attr_text {
101656 Name = .MyHTRAPS_1,
101657 RA = 0x00000000002a0000,
101658 PA = ra2pa(0x00000000002a0000,0),
101659 part_0_ctx_zero_tsb_config_3,
101660 part_0_ctx_nonzero_tsb_config_3,
101661 TTE_G = 1,
101662 TTE_Context = 0,
101663 TTE_V = 1,
101664 TTE_Size = PART0_Z_PAGE_SIZE_3,
101665 TTE_NFO = 0,
101666 TTE_IE = 0,
101667 TTE_Soft2 = 0,
101668 TTE_Diag = 0,
101669 TTE_Soft = 0,
101670 TTE_L = 0,
101671 TTE_CP = 0,
101672 TTE_CV = 1,
101673 TTE_E = 0,
101674 TTE_P = 1,
101675 TTE_W = 0,
101676 TTE_X = 0
101677}
101678
101679
101680attr_data {
101681 Name = .MyHTRAPS_1,
101682 RA = 0x00000000002e0000,
101683 PA = ra2pa(0x00000000002e0000,0),
101684 part_0_ctx_zero_tsb_config_3,
101685 part_0_ctx_nonzero_tsb_config_3,
101686 TTE_G = 1,
101687 TTE_Context = 0,
101688 TTE_V = 1,
101689 TTE_Size = PART0_Z_PAGE_SIZE_3,
101690 TTE_NFO = 0,
101691 TTE_IE = 0,
101692 TTE_Soft2 = 0,
101693 TTE_Diag = 0,
101694 TTE_Soft = 0,
101695 TTE_L = 0,
101696 TTE_CP = 0,
101697 TTE_CV = 0,
101698 TTE_E = 0,
101699 TTE_P = 1,
101700 TTE_W = 0
101701}
101702
101703.text
101704#include "htraps.s"
101705#include "tlu_htraps_ext.s"
101706
101707
101708
101709SECTION .MyHTRAPS_2 TEXT_VA = 0x0000000200280000, DATA_VA = 0x00000002002c0000
101710attr_text {
101711 Name = .MyHTRAPS_2,
101712 RA = 0x0000000200280000,
101713 PA = ra2pa(0x0000000200280000,0),
101714 part_0_ctx_zero_tsb_config_3,
101715 part_0_ctx_nonzero_tsb_config_3,
101716 TTE_G = 1,
101717 TTE_Context = 0,
101718 TTE_V = 1,
101719 TTE_Size = PART0_Z_PAGE_SIZE_3,
101720 TTE_NFO = 0,
101721 TTE_IE = 0,
101722 TTE_Soft2 = 0,
101723 TTE_Diag = 0,
101724 TTE_Soft = 0,
101725 TTE_L = 0,
101726 TTE_CP = 0,
101727 TTE_CV = 0,
101728 TTE_E = 0,
101729 TTE_P = 1,
101730 TTE_W = 0,
101731 TTE_X = 0
101732}
101733
101734
101735attr_data {
101736 Name = .MyHTRAPS_2,
101737 RA = 0x00000002002c0000,
101738 PA = ra2pa(0x00000002002c0000,0),
101739 part_0_ctx_zero_tsb_config_3,
101740 part_0_ctx_nonzero_tsb_config_3,
101741 TTE_G = 1,
101742 TTE_Context = 0,
101743 TTE_V = 1,
101744 TTE_Size = PART0_Z_PAGE_SIZE_3,
101745 TTE_NFO = 0,
101746 TTE_IE = 0,
101747 TTE_Soft2 = 0,
101748 TTE_Diag = 0,
101749 TTE_Soft = 0,
101750 TTE_L = 0,
101751 TTE_CP = 1,
101752 TTE_CV = 1,
101753 TTE_E = 0,
101754 TTE_P = 1,
101755 TTE_W = 0
101756}
101757
101758.text
101759#include "htraps.s"
101760#include "tlu_htraps_ext.s"
101761
101762
101763
101764SECTION .MyHTRAPS_3 TEXT_VA = 0x00000002002a0000, DATA_VA = 0x00000002002e0000
101765attr_text {
101766 Name = .MyHTRAPS_3,
101767 RA = 0x00000002002a0000,
101768 PA = ra2pa(0x00000002002a0000,0),
101769 part_0_ctx_zero_tsb_config_3,
101770 part_0_ctx_nonzero_tsb_config_3,
101771 TTE_G = 1,
101772 TTE_Context = 0,
101773 TTE_V = 1,
101774 TTE_Size = PART0_Z_PAGE_SIZE_3,
101775 TTE_NFO = 0,
101776 TTE_IE = 0,
101777 TTE_Soft2 = 0,
101778 TTE_Diag = 0,
101779 TTE_Soft = 0,
101780 TTE_L = 0,
101781 TTE_CP = 0,
101782 TTE_CV = 1,
101783 TTE_E = 0,
101784 TTE_P = 1,
101785 TTE_W = 0,
101786 TTE_X = 0
101787}
101788
101789
101790attr_data {
101791 Name = .MyHTRAPS_3,
101792 RA = 0x00000002002e0000,
101793 PA = ra2pa(0x00000002002e0000,0),
101794 part_0_ctx_zero_tsb_config_3,
101795 part_0_ctx_nonzero_tsb_config_3,
101796 TTE_G = 1,
101797 TTE_Context = 0,
101798 TTE_V = 1,
101799 TTE_Size = PART0_Z_PAGE_SIZE_3,
101800 TTE_NFO = 0,
101801 TTE_IE = 0,
101802 TTE_Soft2 = 0,
101803 TTE_Diag = 0,
101804 TTE_Soft = 0,
101805 TTE_L = 0,
101806 TTE_CP = 0,
101807 TTE_CV = 1,
101808 TTE_E = 0,
101809 TTE_P = 1,
101810 TTE_W = 0
101811}
101812
101813.text
101814#include "htraps.s"
101815#include "tlu_htraps_ext.s"
101816
101817
101818
101819
101820
101821SECTION .MyTRAPS_0 TEXT_VA = 0x0000000000380000, DATA_VA = 0x00000000003c0000
101822attr_text {
101823 Name = .MyTRAPS_0,
101824 RA = 0x0000000000380000,
101825 PA = ra2pa(0x0000000000380000,0),
101826 part_0_ctx_zero_tsb_config_3,
101827 part_0_ctx_nonzero_tsb_config_3,
101828 TTE_G = 1,
101829 TTE_Context = 0,
101830 TTE_V = 1,
101831 TTE_Size = PART0_Z_PAGE_SIZE_3,
101832 TTE_NFO = 1,
101833 TTE_IE = 1,
101834 TTE_Soft2 = 0,
101835 TTE_Diag = 0,
101836 TTE_Soft = 0,
101837 TTE_L = 0,
101838 TTE_CP = 1,
101839 TTE_CV = 1,
101840 TTE_E = 1,
101841 TTE_P = 0,
101842 TTE_W = 1,
101843 TTE_X = 0
101844}
101845
101846
101847attr_data {
101848 Name = .MyTRAPS_0,
101849 RA = 0x00000000003c0000,
101850 PA = ra2pa(0x00000000003c0000,0),
101851 part_0_ctx_zero_tsb_config_3,
101852 part_0_ctx_nonzero_tsb_config_3,
101853 TTE_G = 1,
101854 TTE_Context = 0,
101855 TTE_V = 1,
101856 TTE_Size = PART0_Z_PAGE_SIZE_3,
101857 TTE_NFO = 1,
101858 TTE_IE = 1,
101859 TTE_Soft2 = 0,
101860 TTE_Diag = 0,
101861 TTE_Soft = 0,
101862 TTE_L = 0,
101863 TTE_CP = 0,
101864 TTE_CV = 0,
101865 TTE_E = 0,
101866 TTE_P = 1,
101867 TTE_W = 0
101868}
101869
101870#include "traps.s"
101871
101872
101873
101874SECTION .MyTRAPS_1 TEXT_VA = 0x00000000003a0000, DATA_VA = 0x00000000003e0000
101875attr_text {
101876 Name = .MyTRAPS_1,
101877 RA = 0x00000000003a0000,
101878 PA = ra2pa(0x00000000003a0000,0),
101879 part_0_ctx_zero_tsb_config_3,
101880 part_0_ctx_nonzero_tsb_config_3,
101881 TTE_G = 1,
101882 TTE_Context = 0,
101883 TTE_V = 1,
101884 TTE_Size = PART0_Z_PAGE_SIZE_3,
101885 TTE_NFO = 1,
101886 TTE_IE = 1,
101887 TTE_Soft2 = 0,
101888 TTE_Diag = 0,
101889 TTE_Soft = 0,
101890 TTE_L = 0,
101891 TTE_CP = 0,
101892 TTE_CV = 0,
101893 TTE_E = 0,
101894 TTE_P = 1,
101895 TTE_W = 1,
101896 TTE_X = 1
101897}
101898
101899
101900attr_data {
101901 Name = .MyTRAPS_1,
101902 RA = 0x00000000003e0000,
101903 PA = ra2pa(0x00000000003e0000,0),
101904 part_0_ctx_zero_tsb_config_3,
101905 part_0_ctx_nonzero_tsb_config_3,
101906 TTE_G = 1,
101907 TTE_Context = 0,
101908 TTE_V = 1,
101909 TTE_Size = PART0_Z_PAGE_SIZE_3,
101910 TTE_NFO = 1,
101911 TTE_IE = 0,
101912 TTE_Soft2 = 0,
101913 TTE_Diag = 0,
101914 TTE_Soft = 0,
101915 TTE_L = 0,
101916 TTE_CP = 0,
101917 TTE_CV = 0,
101918 TTE_E = 0,
101919 TTE_P = 1,
101920 TTE_W = 0
101921}
101922
101923#include "traps.s"
101924
101925
101926
101927SECTION .MyTRAPS_2 TEXT_VA = 0x0000000400380000, DATA_VA = 0x00000004003c0000
101928attr_text {
101929 Name = .MyTRAPS_2,
101930 RA = 0x0000000400380000,
101931 PA = ra2pa(0x0000000400380000,0),
101932 part_0_ctx_zero_tsb_config_3,
101933 part_0_ctx_nonzero_tsb_config_3,
101934 TTE_G = 1,
101935 TTE_Context = 0,
101936 TTE_V = 1,
101937 TTE_Size = PART0_Z_PAGE_SIZE_3,
101938 TTE_NFO = 1,
101939 TTE_IE = 1,
101940 TTE_Soft2 = 0,
101941 TTE_Diag = 0,
101942 TTE_Soft = 0,
101943 TTE_L = 0,
101944 TTE_CP = 0,
101945 TTE_CV = 1,
101946 TTE_E = 1,
101947 TTE_P = 0,
101948 TTE_W = 1,
101949 TTE_X = 1
101950}
101951
101952
101953attr_data {
101954 Name = .MyTRAPS_2,
101955 RA = 0x00000004003c0000,
101956 PA = ra2pa(0x00000004003c0000,0),
101957 part_0_ctx_zero_tsb_config_3,
101958 part_0_ctx_nonzero_tsb_config_3,
101959 TTE_G = 1,
101960 TTE_Context = 0,
101961 TTE_V = 1,
101962 TTE_Size = PART0_Z_PAGE_SIZE_3,
101963 TTE_NFO = 1,
101964 TTE_IE = 1,
101965 TTE_Soft2 = 0,
101966 TTE_Diag = 0,
101967 TTE_Soft = 0,
101968 TTE_L = 0,
101969 TTE_CP = 1,
101970 TTE_CV = 0,
101971 TTE_E = 0,
101972 TTE_P = 1,
101973 TTE_W = 1
101974}
101975
101976#include "traps.s"
101977
101978
101979
101980SECTION .MyTRAPS_3 TEXT_VA = 0x00000004003a0000, DATA_VA = 0x00000004003e0000
101981attr_text {
101982 Name = .MyTRAPS_3,
101983 RA = 0x00000004003a0000,
101984 PA = ra2pa(0x00000004003a0000,0),
101985 part_0_ctx_zero_tsb_config_3,
101986 part_0_ctx_nonzero_tsb_config_3,
101987 TTE_G = 1,
101988 TTE_Context = 0,
101989 TTE_V = 1,
101990 TTE_Size = PART0_Z_PAGE_SIZE_3,
101991 TTE_NFO = 1,
101992 TTE_IE = 0,
101993 TTE_Soft2 = 0,
101994 TTE_Diag = 0,
101995 TTE_Soft = 0,
101996 TTE_L = 0,
101997 TTE_CP = 0,
101998 TTE_CV = 1,
101999 TTE_E = 0,
102000 TTE_P = 0,
102001 TTE_W = 1,
102002 TTE_X = 1
102003}
102004
102005
102006attr_data {
102007 Name = .MyTRAPS_3,
102008 RA = 0x00000004003e0000,
102009 PA = ra2pa(0x00000004003e0000,0),
102010 part_0_ctx_zero_tsb_config_3,
102011 part_0_ctx_nonzero_tsb_config_3,
102012 TTE_G = 1,
102013 TTE_Context = 0,
102014 TTE_V = 1,
102015 TTE_Size = PART0_Z_PAGE_SIZE_3,
102016 TTE_NFO = 1,
102017 TTE_IE = 0,
102018 TTE_Soft2 = 0,
102019 TTE_Diag = 0,
102020 TTE_Soft = 0,
102021 TTE_L = 0,
102022 TTE_CP = 0,
102023 TTE_CV = 1,
102024 TTE_E = 0,
102025 TTE_P = 1,
102026 TTE_W = 1
102027}
102028
102029#include "traps.s"
102030
102031
102032
102033
102034
102035SECTION .MyDATA_0 TEXT_VA = 0x00000000e0140000, DATA_VA = 0x0000000060140000
102036attr_text {
102037 Name = .MyDATA_0,
102038 RA = 0x0000000170100000,
102039 PA = ra2pa(0x0000000170100000,0),
102040 part_0_ctx_zero_tsb_config_0,
102041 part_0_ctx_nonzero_tsb_config_0,
102042 TTE_G = 1,
102043 TTE_Context = PCONTEXT,
102044 TTE_V = 1,
102045 TTE_Size = 0,
102046 TTE_NFO = 1,
102047 TTE_IE = 0,
102048 TTE_Soft2 = 0,
102049 TTE_Diag = 0,
102050 TTE_Soft = 0,
102051 TTE_L = 0,
102052 TTE_CP = 1,
102053 TTE_CV = 1,
102054 TTE_E = 0,
102055 TTE_P = 1,
102056 TTE_W = 0
102057}
102058
102059
102060attr_data {
102061 Name = .MyDATA_0,
102062 RA = 0x0000000170100000,
102063 PA = ra2pa(0x0000000170100000,0),
102064 part_0_ctx_zero_tsb_config_1,
102065 part_0_ctx_nonzero_tsb_config_1,
102066 TTE_G = 1,
102067 TTE_Context = PCONTEXT,
102068 TTE_V = 1,
102069 TTE_Size = 5,
102070 TTE_NFO = 0,
102071 TTE_IE = 1,
102072 TTE_Soft2 = 0,
102073 TTE_Diag = 0,
102074 TTE_Soft = 0,
102075 TTE_L = 0,
102076 TTE_CP = 0,
102077 TTE_CV = 0,
102078 TTE_E = 1,
102079 TTE_P = 0,
102080 TTE_W = 0
102081}
102082
102083
102084attr_data {
102085 Name = .MyDATA_0,
102086 RA = 0x0000000170100000,
102087 PA = ra2pa(0x0000000170100000,0),
102088 part_0_ctx_nonzero_tsb_config_2,
102089 TTE_G = 1,
102090 TTE_Context = SCONTEXT,
102091 TTE_V = 1,
102092 TTE_Size = 1,
102093 TTE_NFO = 1,
102094 TTE_IE = 1,
102095 TTE_Soft2 = 0,
102096 TTE_Diag = 0,
102097 TTE_Soft = 0,
102098 TTE_L = 0,
102099 TTE_CP = 0,
102100 TTE_CV = 1,
102101 TTE_E = 1,
102102 TTE_P = 0,
102103 TTE_W = 0,
102104 tsbonly
102105}
102106
102107
102108attr_data {
102109 Name = .MyDATA_0,
102110 hypervisor
102111}
102112
102113
102114attr_text {
102115 Name = .MyDATA_0,
102116 hypervisor
102117}
102118
102119.data
102120 .xword 0xd2ffdafda113cd08
102121 .xword 0x84bb6c8ccebc8d21
102122 .xword 0x9c2a88dc7d9d4cec
102123 .xword 0xf078944f4888c7db
102124 .xword 0xac6aebf41eb4f078
102125 .xword 0x6b9d374b0fb3c0a5
102126 .xword 0x474bd569fe3a31eb
102127 .xword 0xf621a66d752e9301
102128 .xword 0xe151ec2afaf114b5
102129 .xword 0xa3ee871a76e712e8
102130 .xword 0x44d78af526b855e5
102131 .xword 0xc4318cb05fbb300d
102132 .xword 0x0bd5179f36fa9f00
102133 .xword 0xf72744d60525c120
102134 .xword 0x1e9836ababa72caf
102135 .xword 0x97883d5f12548437
102136 .xword 0x51b2cc38fccaf7e7
102137 .xword 0x72728e995c5bfad2
102138 .xword 0x62ec924e615e88b2
102139 .xword 0x208538a51df19ed3
102140 .xword 0xcb8f178dccd7fab9
102141 .xword 0x2227dcd0b009c2d8
102142 .xword 0xa536d9ba65f403f6
102143 .xword 0xf382d24548eb97db
102144 .xword 0x890b076b57094d26
102145 .xword 0xac85898120c6eb5f
102146 .xword 0x0dcfcb6ace58b66d
102147 .xword 0xd62da2ee7b9d5a00
102148 .xword 0xc913d0dccf6405f3
102149 .xword 0x33d78f31b210fc84
102150 .xword 0x3800b6b8b7dacde1
102151 .xword 0xc6ccb3efc0b30ec7
102152
102153
102154
102155SECTION .MyDATA_1 TEXT_VA = 0x00000000e0340000, DATA_VA = 0x0000000060340000
102156attr_text {
102157 Name = .MyDATA_1,
102158 RA = 0x0000000170300000,
102159 PA = ra2pa(0x0000000170300000,0),
102160 part_0_ctx_zero_tsb_config_0,
102161 part_0_ctx_nonzero_tsb_config_0,
102162 TTE_G = 1,
102163 TTE_Context = PCONTEXT,
102164 TTE_V = 1,
102165 TTE_Size = 5,
102166 TTE_NFO = 1,
102167 TTE_IE = 0,
102168 TTE_Soft2 = 0,
102169 TTE_Diag = 0,
102170 TTE_Soft = 0,
102171 TTE_L = 0,
102172 TTE_CP = 0,
102173 TTE_CV = 0,
102174 TTE_E = 0,
102175 TTE_P = 1,
102176 TTE_W = 1
102177}
102178
102179
102180attr_data {
102181 Name = .MyDATA_1,
102182 RA = 0x0000000170300000,
102183 PA = ra2pa(0x0000000170300000,0),
102184 part_0_ctx_zero_tsb_config_1,
102185 part_0_ctx_nonzero_tsb_config_1,
102186 TTE_G = 1,
102187 TTE_Context = PCONTEXT,
102188 TTE_V = 1,
102189 TTE_Size = 5,
102190 TTE_NFO = 1,
102191 TTE_IE = 0,
102192 TTE_Soft2 = 0,
102193 TTE_Diag = 0,
102194 TTE_Soft = 0,
102195 TTE_L = 0,
102196 TTE_CP = 1,
102197 TTE_CV = 1,
102198 TTE_E = 1,
102199 TTE_P = 1,
102200 TTE_W = 0
102201}
102202
102203
102204attr_data {
102205 Name = .MyDATA_1,
102206 RA = 0x0000000170300000,
102207 PA = ra2pa(0x0000000170300000,0),
102208 part_0_ctx_nonzero_tsb_config_2,
102209 TTE_G = 1,
102210 TTE_Context = SCONTEXT,
102211 TTE_V = 1,
102212 TTE_Size = 0,
102213 TTE_NFO = 0,
102214 TTE_IE = 1,
102215 TTE_Soft2 = 0,
102216 TTE_Diag = 0,
102217 TTE_Soft = 0,
102218 TTE_L = 0,
102219 TTE_CP = 0,
102220 TTE_CV = 1,
102221 TTE_E = 1,
102222 TTE_P = 1,
102223 TTE_W = 1,
102224 tsbonly
102225}
102226
102227
102228attr_data {
102229 Name = .MyDATA_1,
102230 hypervisor
102231}
102232
102233
102234attr_text {
102235 Name = .MyDATA_1,
102236 hypervisor
102237}
102238
102239.data
102240 .xword 0xbd9a5989246c0ba4
102241 .xword 0x25017f27fd75b7c7
102242 .xword 0x7815a135262e16d9
102243 .xword 0x412d7b3e4f0b5855
102244 .xword 0xfcbb38aaeb7f7a3a
102245 .xword 0x34a61f6fd07c04bb
102246 .xword 0x7ac929b4b4f81ea7
102247 .xword 0xfb191e063249f863
102248 .xword 0xec6fb3decc8af577
102249 .xword 0xd5262f1df295bdbd
102250 .xword 0x2f2599af6371dc27
102251 .xword 0x024a353c1cdfcf6a
102252 .xword 0x2f80f7e6a6aac329
102253 .xword 0xbddccc4c0d1b4f94
102254 .xword 0x63c2d7ea14de3a0f
102255 .xword 0x6a7666faa5fad4d6
102256 .xword 0x63fa48ef825c7c3c
102257 .xword 0x409c75b347f2c8c3
102258 .xword 0x9510425fa89b009f
102259 .xword 0x6357d61fc3c0d773
102260 .xword 0xccdf30db54e8522e
102261 .xword 0xe5b544c1e595af72
102262 .xword 0xbff81c5176638978
102263 .xword 0x45d5c2a10c63cb88
102264 .xword 0x4beb34ca50646754
102265 .xword 0x39661ca9431eef07
102266 .xword 0x5557561a76cc5111
102267 .xword 0xba3dfd929b939f39
102268 .xword 0x52917c30234a47ee
102269 .xword 0x38727d6af8d9d44b
102270 .xword 0x604ecc39f4390a91
102271 .xword 0x358a98534c39bd92
102272
102273
102274
102275SECTION .MyDATA_2 TEXT_VA = 0x00000000e0540000, DATA_VA = 0x0000000060540000
102276attr_text {
102277 Name = .MyDATA_2,
102278 RA = 0x0000000170500000,
102279 PA = ra2pa(0x0000000170500000,0),
102280 part_0_ctx_zero_tsb_config_0,
102281 part_0_ctx_nonzero_tsb_config_0,
102282 TTE_G = 1,
102283 TTE_Context = PCONTEXT,
102284 TTE_V = 1,
102285 TTE_Size = 1,
102286 TTE_NFO = 1,
102287 TTE_IE = 1,
102288 TTE_Soft2 = 0,
102289 TTE_Diag = 0,
102290 TTE_Soft = 0,
102291 TTE_L = 0,
102292 TTE_CP = 0,
102293 TTE_CV = 0,
102294 TTE_E = 0,
102295 TTE_P = 1,
102296 TTE_W = 0
102297}
102298
102299
102300attr_data {
102301 Name = .MyDATA_2,
102302 RA = 0x0000000170500000,
102303 PA = ra2pa(0x0000000170500000,0),
102304 part_0_ctx_zero_tsb_config_1,
102305 part_0_ctx_nonzero_tsb_config_1,
102306 TTE_G = 1,
102307 TTE_Context = PCONTEXT,
102308 TTE_V = 1,
102309 TTE_Size = 3,
102310 TTE_NFO = 1,
102311 TTE_IE = 0,
102312 TTE_Soft2 = 0,
102313 TTE_Diag = 0,
102314 TTE_Soft = 0,
102315 TTE_L = 0,
102316 TTE_CP = 1,
102317 TTE_CV = 1,
102318 TTE_E = 0,
102319 TTE_P = 0,
102320 TTE_W = 1
102321}
102322
102323
102324attr_data {
102325 Name = .MyDATA_2,
102326 RA = 0x0000000170500000,
102327 PA = ra2pa(0x0000000170500000,0),
102328 part_0_ctx_nonzero_tsb_config_2,
102329 TTE_G = 1,
102330 TTE_Context = SCONTEXT,
102331 TTE_V = 1,
102332 TTE_Size = 1,
102333 TTE_NFO = 1,
102334 TTE_IE = 0,
102335 TTE_Soft2 = 0,
102336 TTE_Diag = 0,
102337 TTE_Soft = 0,
102338 TTE_L = 0,
102339 TTE_CP = 0,
102340 TTE_CV = 0,
102341 TTE_E = 0,
102342 TTE_P = 1,
102343 TTE_W = 1,
102344 tsbonly
102345}
102346
102347
102348attr_data {
102349 Name = .MyDATA_2,
102350 hypervisor
102351}
102352
102353
102354attr_text {
102355 Name = .MyDATA_2,
102356 hypervisor
102357}
102358
102359.data
102360 .xword 0x4c01ec6492d3dbbe
102361 .xword 0xfe541bc5e66d4878
102362 .xword 0x284130967fd6bcc6
102363 .xword 0xc807dd7ec73d492b
102364 .xword 0x965b0c0da71547db
102365 .xword 0x5384a3904f4ac107
102366 .xword 0x3229227418ab840f
102367 .xword 0x7762f284a9237b57
102368 .xword 0x87aba07def9946c0
102369 .xword 0x2c192090cc215bf9
102370 .xword 0xaef25dfd5b1ba999
102371 .xword 0xe73fdb71c5f33fab
102372 .xword 0xcf36c4610af72128
102373 .xword 0xc60ff0ebe17843f6
102374 .xword 0x7c1b214a38719563
102375 .xword 0xdb370bc6a6c3b5a1
102376 .xword 0x9ebd7ff8d465f14f
102377 .xword 0x6f03084a51059dc2
102378 .xword 0x9ff4e3385f20ee05
102379 .xword 0xc5f8bfe0d4c563ba
102380 .xword 0x1175c5155c474d15
102381 .xword 0x039b18eb3390c0c4
102382 .xword 0x8c979c47f4bdad23
102383 .xword 0x0cd7e6fd74b66796
102384 .xword 0x15be01d45601bc68
102385 .xword 0x82dc571ff5e261bb
102386 .xword 0x18cf4a772ff149d8
102387 .xword 0x513f1e2aad7688f0
102388 .xword 0xe7b51f98730fffee
102389 .xword 0xc56a99b0f603c7d2
102390 .xword 0x8427e1c96d79369f
102391 .xword 0x60370b7dedb5a537
102392
102393
102394
102395SECTION .MyDATA_3 TEXT_VA = 0x00000000e0740000, DATA_VA = 0x0000000060740000
102396attr_text {
102397 Name = .MyDATA_3,
102398 RA = 0x0000000170700000,
102399 PA = ra2pa(0x0000000170700000,0),
102400 part_0_ctx_zero_tsb_config_0,
102401 part_0_ctx_nonzero_tsb_config_0,
102402 TTE_G = 1,
102403 TTE_Context = PCONTEXT,
102404 TTE_V = 1,
102405 TTE_Size = 5,
102406 TTE_NFO = 0,
102407 TTE_IE = 1,
102408 TTE_Soft2 = 0,
102409 TTE_Diag = 0,
102410 TTE_Soft = 0,
102411 TTE_L = 0,
102412 TTE_CP = 1,
102413 TTE_CV = 0,
102414 TTE_E = 1,
102415 TTE_P = 0,
102416 TTE_W = 1
102417}
102418
102419
102420attr_data {
102421 Name = .MyDATA_3,
102422 RA = 0x0000000170700000,
102423 PA = ra2pa(0x0000000170700000,0),
102424 part_0_ctx_zero_tsb_config_1,
102425 part_0_ctx_nonzero_tsb_config_1,
102426 TTE_G = 1,
102427 TTE_Context = PCONTEXT,
102428 TTE_V = 1,
102429 TTE_Size = 5,
102430 TTE_NFO = 0,
102431 TTE_IE = 0,
102432 TTE_Soft2 = 0,
102433 TTE_Diag = 0,
102434 TTE_Soft = 0,
102435 TTE_L = 0,
102436 TTE_CP = 1,
102437 TTE_CV = 1,
102438 TTE_E = 1,
102439 TTE_P = 0,
102440 TTE_W = 1
102441}
102442
102443
102444attr_data {
102445 Name = .MyDATA_3,
102446 RA = 0x0000000170700000,
102447 PA = ra2pa(0x0000000170700000,0),
102448 part_0_ctx_nonzero_tsb_config_2,
102449 TTE_G = 1,
102450 TTE_Context = SCONTEXT,
102451 TTE_V = 1,
102452 TTE_Size = 3,
102453 TTE_NFO = 0,
102454 TTE_IE = 0,
102455 TTE_Soft2 = 0,
102456 TTE_Diag = 0,
102457 TTE_Soft = 0,
102458 TTE_L = 0,
102459 TTE_CP = 1,
102460 TTE_CV = 0,
102461 TTE_E = 0,
102462 TTE_P = 1,
102463 TTE_W = 1,
102464 tsbonly
102465}
102466
102467
102468attr_data {
102469 Name = .MyDATA_3,
102470 hypervisor
102471}
102472
102473
102474attr_text {
102475 Name = .MyDATA_3,
102476 hypervisor
102477}
102478
102479.data
102480 .xword 0xe1c496386b9be7a9
102481 .xword 0x64745fbff01435c4
102482 .xword 0x3335aa0c586e7721
102483 .xword 0xe81cf17a97c74455
102484 .xword 0xf9eabebb8b58983e
102485 .xword 0x9ec28d4308740df6
102486 .xword 0xab0a44af81b1bb20
102487 .xword 0x44f316583008ac05
102488 .xword 0x72f04e96970f314f
102489 .xword 0xc099305093bc9d51
102490 .xword 0xe894c76443a854f0
102491 .xword 0x1dc7e5767f2c172a
102492 .xword 0xf4a20773bb3e9412
102493 .xword 0x59269c135a4ae4b4
102494 .xword 0x14d129586bba1a61
102495 .xword 0xcedb851912ec94d7
102496 .xword 0x92caa4ad6c9dbd36
102497 .xword 0x011c65a25a21ac88
102498 .xword 0x2616ea2abbb088c6
102499 .xword 0xfd891bfde5427ce0
102500 .xword 0xe2ad114060f02ee6
102501 .xword 0x6148724145d643b2
102502 .xword 0x3b8ccef5fb49af97
102503 .xword 0xb718a8fa62a676c5
102504 .xword 0xc010f467d8a6b240
102505 .xword 0x36e27bdaaaa645be
102506 .xword 0x027e913b561663be
102507 .xword 0xbec13fc792dfbad5
102508 .xword 0x73b8079eb82abdef
102509 .xword 0x2d05afb69cd4f0ae
102510 .xword 0xe417398cfb4a1c13
102511 .xword 0x33833094af7cf5d4
102512
102513
102514
102515
102516
102517SECTION .MyTEXT_0 TEXT_VA = 0x00000000e0200000
102518attr_text {
102519 Name = .MyTEXT_0,
102520 RA = 0x00000000e0200000,
102521 PA = ra2pa(0x00000000e0200000,0),
102522 part_0_ctx_zero_tsb_config_1,
102523 part_0_ctx_nonzero_tsb_config_1,
102524 TTE_G = 1,
102525 TTE_Context = PCONTEXT,
102526 TTE_V = 1,
102527 TTE_Size = 5,
102528 TTE_NFO = 0,
102529 TTE_IE = 1,
102530 TTE_Soft2 = 0,
102531 TTE_Diag = 0,
102532 TTE_Soft = 0,
102533 TTE_L = 0,
102534 TTE_CP = 0,
102535 TTE_CV = 0,
102536 TTE_EP = 1,
102537 TTE_E = 1,
102538 TTE_P = 0,
102539 TTE_W = 0
102540}
102541
102542.text
102543nuff_said_0:
102544 .word 0x87afca40 ! 1: FCMPd fcmpd %fcc<n>, %f62, %f0
102545 .word 0x81b7c480 ! 1: FCMPLE32 fcmple32 %d62, %d0, %r0
102546 mov HIGHVA_HIGHNUM, %r11
102547 sllx %r11, 32, %r11
102548 or %r27, %r11, %r27
102549 jmpl %r27+8, %r0
102550 jmpl %r27+8, %r0
102551 jmpl %r27+8, %r0
102552 jmpl %r27+8, %r0
102553 .word 0xe1bfde20 ! 1: STDFA_R stda %f16, [%r0, %r31]
102554 .word 0x87afca40 ! 1: FCMPd fcmpd %fcc<n>, %f62, %f0
102555
102556
102557
102558SECTION .MyTEXT_1 TEXT_VA = 0x00000000e0a00000
102559attr_text {
102560 Name = .MyTEXT_1,
102561 RA = 0x00000000e0a00000,
102562 PA = ra2pa(0x00000000e0a00000,0),
102563 part_0_ctx_zero_tsb_config_1,
102564 part_0_ctx_nonzero_tsb_config_1,
102565 TTE_G = 1,
102566 TTE_Context = PCONTEXT,
102567 TTE_V = 1,
102568 TTE_Size = 1,
102569 TTE_NFO = 0,
102570 TTE_IE = 0,
102571 TTE_Soft2 = 0,
102572 TTE_Diag = 0,
102573 TTE_Soft = 0,
102574 TTE_L = 0,
102575 TTE_CP = 0,
102576 TTE_CV = 1,
102577 TTE_EP = 1,
102578 TTE_E = 1,
102579 TTE_P = 1,
102580 TTE_W = 1
102581}
102582
102583.text
102584nuff_said_1:
102585 .word 0x87afca40 ! 1: FCMPd fcmpd %fcc<n>, %f62, %f0
102586 .word 0xe1bfdf00 ! 1: STDFA_R stda %f16, [%r0, %r31]
102587 mov HIGHVA_HIGHNUM, %r11
102588 sllx %r11, 32, %r11
102589 or %r27, %r11, %r27
102590 jmpl %r27+8, %r0
102591 jmpl %r27+8, %r0
102592 jmpl %r27+8, %r0
102593 jmpl %r27+8, %r0
102594 .word 0xa1a7c9a0 ! 1: FDIVs fdivs %f31, %f0, %f16
102595 .word 0xe09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r16
102596
102597
102598
102599SECTION .MyTEXT_2 TEXT_VA = 0x00000000e1200000
102600attr_text {
102601 Name = .MyTEXT_2,
102602 RA = 0x00000000e1200000,
102603 PA = ra2pa(0x00000000e1200000,0),
102604 part_0_ctx_zero_tsb_config_1,
102605 part_0_ctx_nonzero_tsb_config_1,
102606 TTE_G = 1,
102607 TTE_Context = PCONTEXT,
102608 TTE_V = 1,
102609 TTE_Size = 0,
102610 TTE_NFO = 0,
102611 TTE_IE = 1,
102612 TTE_Soft2 = 0,
102613 TTE_Diag = 0,
102614 TTE_Soft = 0,
102615 TTE_L = 0,
102616 TTE_CP = 1,
102617 TTE_CV = 0,
102618 TTE_EP = 0,
102619 TTE_E = 1,
102620 TTE_P = 1,
102621 TTE_W = 1
102622}
102623
102624.text
102625nuff_said_2:
102626 .word 0xc09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r0
102627 .word 0xe09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r16
102628 mov HIGHVA_HIGHNUM, %r11
102629 sllx %r11, 32, %r11
102630 or %r27, %r11, %r27
102631 jmpl %r27+8, %r0
102632 jmpl %r27+8, %r0
102633 jmpl %r27+8, %r0
102634 jmpl %r27+8, %r0
102635 .word 0x81b7c480 ! 1: FCMPLE32 fcmple32 %d62, %d0, %r0
102636 .word 0xe09fde20 ! 1: LDDA_R ldda [%r31, %r0] 0xf1, %r16
102637
102638
102639
102640SECTION .MyTEXT_3 TEXT_VA = 0x00000000e1a00000
102641attr_text {
102642 Name = .MyTEXT_3,
102643 RA = 0x00000000e1a00000,
102644 PA = ra2pa(0x00000000e1a00000,0),
102645 part_0_ctx_zero_tsb_config_1,
102646 part_0_ctx_nonzero_tsb_config_1,
102647 TTE_G = 1,
102648 TTE_Context = PCONTEXT,
102649 TTE_V = 1,
102650 TTE_Size = 3,
102651 TTE_NFO = 0,
102652 TTE_IE = 1,
102653 TTE_Soft2 = 0,
102654 TTE_Diag = 0,
102655 TTE_Soft = 0,
102656 TTE_L = 0,
102657 TTE_CP = 1,
102658 TTE_CV = 1,
102659 TTE_EP = 1,
102660 TTE_E = 1,
102661 TTE_P = 0,
102662 TTE_W = 1
102663}
102664
102665.text
102666nuff_said_3:
102667 .word 0xc09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r0
102668 .word 0x81a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f0
102669 mov HIGHVA_HIGHNUM, %r11
102670 sllx %r11, 32, %r11
102671 or %r27, %r11, %r27
102672 jmpl %r27+8, %r0
102673 jmpl %r27+8, %r0
102674 jmpl %r27+8, %r0
102675 jmpl %r27+8, %r0
102676 .word 0xa1a7c9a0 ! 1: FDIVs fdivs %f31, %f0, %f16
102677 .word 0xa1a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f16
102678
102679
102680
102681
102682
102683SECTION .VaHOLE_0 TEXT_VA = 0x00007fffffffe000
102684attr_text {
102685 Name = .VaHOLE_0,
102686 RA = 0x00000000ffffe000,
102687 PA = ra2pa(0x00000000ffffe000,0),
102688 part_0_ctx_zero_tsb_config_1,
102689 part_0_ctx_nonzero_tsb_config_1,
102690 TTE_G = 1,
102691 TTE_Context = PCONTEXT,
102692 TTE_V = 1,
102693 TTE_Size = 0,
102694 TTE_NFO = 0,
102695 TTE_IE = 1,
102696 TTE_Soft2 = 0,
102697 TTE_Diag = 0,
102698 TTE_Soft = 0,
102699 TTE_L = 0,
102700 TTE_CP = 1,
102701 TTE_CV = 0,
102702 TTE_E = 0,
102703 TTE_P = 0,
102704 TTE_W = 1,
102705 TTE_X = 1
102706}
102707
102708.text
102709.global vahole_target0
102710.text
102711.global vahole_target1
102712.text
102713.global vahole_target2
102714.text
102715.global vahole_target3
102716 nop
102717.align 4096
102718 nop
102719.align 2048
102720 nop
102721.align 1024
102722 nop
102723.align 512
102724 nop
102725.align 256
102726 nop
102727.align 128
102728 nop
102729.align 64
102730 nop
102731 nop
102732.align 16
102733 nop;nop;nop
102734vahole_target0: nop;nop
102735vahole_target1: nop
102736vahole_target2: nop;nop;nop
102737vahole_target3: nop;nop;nop
102738
102739
102740
102741
102742
102743SECTION .VaHOLEL_0 TEXT_VA = 0x00000000ffffe000
102744attr_text {
102745 Name = .VaHOLEL_0,
102746 RA = 0x00000000ffffe000,
102747 PA = ra2pa(0x00000000ffffe000,0),
102748 part_0_ctx_zero_tsb_config_0,
102749 part_0_ctx_nonzero_tsb_config_0,
102750 TTE_G = 1,
102751 TTE_Context = PCONTEXT,
102752 TTE_V = 1,
102753 TTE_Size = 1,
102754 TTE_NFO = 0,
102755 TTE_IE = 1,
102756 TTE_Soft2 = 0,
102757 TTE_Diag = 0,
102758 TTE_Soft = 0,
102759 TTE_L = 0,
102760 TTE_CP = 1,
102761 TTE_CV = 0,
102762 TTE_E = 1,
102763 TTE_P = 0,
102764 TTE_W = 0,
102765 TTE_X = 1,
102766 tsbonly
102767}
102768
102769.text
102770 nop
102771
102772
102773
102774
102775
102776SECTION .ZERO_0 TEXT_VA = 0x0000000000000000
102777attr_text {
102778 Name = .ZERO_0,
102779 RA = 0x0000000000000000,
102780 PA = ra2pa(0x0000000000000000,0),
102781 part_0_ctx_zero_tsb_config_1,
102782 part_0_ctx_nonzero_tsb_config_1,
102783 TTE_G = 1,
102784 TTE_Context = 0x44,
102785 TTE_V = 1,
102786 TTE_Size = 3,
102787 TTE_NFO = 0,
102788 TTE_IE = 1,
102789 TTE_Soft2 = 0,
102790 TTE_Diag = 0,
102791 TTE_Soft = 0,
102792 TTE_L = 0,
102793 TTE_CP = 0,
102794 TTE_CV = 1,
102795 TTE_E = 1,
102796 TTE_P = 0,
102797 TTE_W = 1,
102798 TTE_X = 1
102799}
102800
102801
102802.text
102803 nop
102804 mov HIGHVA_HIGHNUM, %r11
102805 sllx %r11, 32, %r11
102806 or %r27, %r11, %r27
102807 jmpl %r27+8, %r0
102808 nop
102809 jmpl %r27+8, %r0
102810 nop
102811
102812Power_On_Reset:
102813 setx HRedmode_Reset_Handler, %g1, %g2
102814 jmp %g2
102815 nop
102816.align 32
102817
102818Watchdog_Reset:
102819 setx wdog_red_ext, %g1, %g2
102820 jmp %g2
102821 nop
102822.align 32
102823
102824External_Reset:
102825 My_External_Reset
102826
102827.align 32
102828
102829Software_Initiated_Reset:
102830 setx Software_Reset_Handler, %g1, %g2
102831 jmp %g2
102832 nop
102833
102834.align 32
102835
102836.global ZRED_Mode_Other_Reset
102837ZRED_Mode_Other_Reset:
102838 ! IF TL=6, shift stack by one ..
102839 rdpr %tl, %l1
102840 cmp %l1, 6
102841 be start_tsa_shift
102842 nop
102843
102844continue_red_other:
102845 mov 0x1f, %l1
102846 stxa %l1, [%g0] ASI_LSU_CTL_REG
102847
102848 rdpr %tt, %l1
102849
102850 rdhpr %htstate, %l2
102851 and %l2, 0x4, %l2 ! If previously in hpriv mode, go to hpriv
102852 brnz,a %l2, red_goto_handler
102853 rdhpr %htba, %l2
102854 srlx %l1, 7, %l2 ! Send priv sw traps to priv mode ..
102855 cmp %l2, 0x2 ! 0x2 = priv sw trap, 0x3=hpriv sw trap ..
102856 be,a red_goto_handler
102857 rdpr %tba, %l2
102858 rdhpr %htba, %l2
102859red_goto_handler:
102860
102861 sllx %l1, 5, %l1
102862 add %l1, %l2, %l2
102863 rdhpr %htstate, %l1
102864 andn %l1, 0x20, %l1
102865 wrhpr %g0, %l1, %htstate
102866 rdhpr %hpstate, %l1
102867 jmp %l2
102868 wrhpr %l1, 0x20, %hpstate
102869 nop
102870
102871wdog_red_ext:
102872 ! Shift stack down by 1 ...
102873 rdpr %tl, %l1
102874 cmp %l1, 6
102875 bl wdog_end
102876start_tsa_shift:
102877 mov 0x2, %l2
102878
102879tsa_shift:
102880 wrpr %l2, %tl
102881 rdpr %tt, %l3
102882 rdpr %tpc, %l4
102883 rdpr %tnpc, %l5
102884 rdpr %tstate, %l6
102885 rdhpr %htstate, %l7
102886 dec %l2
102887 wrpr %l2, %tl
102888 wrpr %l3, %tt
102889 wrpr %l4, %tpc
102890 wrpr %l5, %tnpc
102891 wrpr %l6, %tstate
102892 wrhpr %l7, %htstate
102893 add %l2, 2, %l2
102894 cmp %l2, %l1
102895 ble tsa_shift
102896 nop
102897tsa_shift_done:
102898 dec %l1
102899 wrpr %l1, %tl
102900
102901wdog_end:
102902 ! If TT != 2, then goto trap handler
102903 rdpr %tt, %l1
102904
102905 cmp %l1, 0x2
102906 bne continue_red_other
102907 nop
102908 ! else done
102909 mov 0x1f, %l1
102910 stxa %l1, [%g0] ASI_LSU_CTL_REG
102911 done
102912
102913
102914
102915
102916
102917SECTION .VaHOLE_PA_0 TEXT_VA = 0x000000ffffffe000
102918attr_text {
102919 Name = .VAHOLE_PA_0,
102920 hypervisor
102921}
102922
102923 nop
102924.align 4096
102925 nop
102926.align 2048
102927 nop
102928.align 1024
102929 nop
102930.align 512
102931 nop
102932.align 256
102933 nop
102934.align 128
102935 nop
102936.align 64
102937 nop
102938 nop
102939.align 16
102940 nop;nop;nop
102941 nop
102942 nop
102943 jmpl %r27+8, %r0
102944 nop
102945 nop
102946 nop
102947 jmpl %r27+8, %r0
102948 nop
102949
102950
102951
102952
102953
102954SECTION .MASKEDHOLE_0 TEXT_VA = 0x0000000100000000
102955attr_text {
102956 Name = .MASKEDHOLE_0,
102957 RA = 0x0000000000000000,
102958 PA = ra2pa(0x0000000000000000,0),
102959 part_0_ctx_zero_tsb_config_3,
102960 part_0_ctx_nonzero_tsb_config_3,
102961 TTE_G = 1,
102962 TTE_Context = 0x44,
102963 TTE_V = 1,
102964 TTE_Size = 1,
102965 TTE_NFO = 0,
102966 TTE_IE = 1,
102967 TTE_Soft2 = 0,
102968 TTE_Diag = 0,
102969 TTE_Soft = 0,
102970 TTE_L = 0,
102971 TTE_CP = 1,
102972 TTE_CV = 0,
102973 TTE_E = 0,
102974 TTE_P = 0,
102975 TTE_W = 0,
102976 TTE_X = 1,
102977 tsbonly
102978}
102979
102980
102981attr_text {
102982 Name = .MASKEDHOLE_0,
102983 hypervisor
102984}
102985
102986 mov HIGHVA_HIGHNUM, %r11
102987 sllx %r11, 32, %r11
102988 or %r27, %r11, %r27
102989 return %r27+8
102990 nop
102991
102992
102993
102994
102995
102996SECTION .MyFRZ_0 TEXT_VA = 0x000000003cb00000
102997attr_text {
102998 Name = .MyFRZ_0,
102999 RA = 0x000000003cb00000,
103000 PA = ra2pa(0x000000003cb00000,0),
103001 part_0_ctx_zero_tsb_config_1,
103002 part_0_ctx_nonzero_tsb_config_1,
103003 TTE_G = 1,
103004 TTE_Context = PCONTEXT,
103005 TTE_V = 1,
103006 TTE_Size = 0,
103007 TTE_NFO = 0,
103008 TTE_IE = 0,
103009 TTE_Soft2 = 0,
103010 TTE_Diag = 0,
103011 TTE_Soft = 0,
103012 TTE_L = 0,
103013 TTE_CP = 1,
103014 TTE_CV = 1,
103015 TTE_EP = 1,
103016 TTE_E = 0,
103017 TTE_P = 0,
103018 TTE_W = 0
103019}
103020
103021
103022.text
103023.global last_in_frz_1_0
103024
103025 nop
103026.align 4096
103027 nop
103028.align 2048
103029 nop
103030.align 1024
103031 nop
103032.align 512
103033 nop
103034.align 256
103035 nop
103036.align 128
103037 nop
103038.align 64
103039 nop
103040.align 16
103041 nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
103042last_in_frz_1_0:
103043 .word 0xf1efe140 ! 1: PREFETCHA_I prefetcha [%r31, + 0x0140] %asi, #24
103044
103045
103046
103047SECTION .MyFRZ_1 TEXT_VA = 0x000000003cb40000
103048attr_text {
103049 Name = .MyFRZ_1,
103050 RA = 0x000000003cb40000,
103051 PA = ra2pa(0x000000003cb40000,0),
103052 part_0_ctx_zero_tsb_config_1,
103053 part_0_ctx_nonzero_tsb_config_1,
103054 TTE_G = 1,
103055 TTE_Context = PCONTEXT,
103056 TTE_V = 1,
103057 TTE_Size = 0,
103058 TTE_NFO = 1,
103059 TTE_IE = 1,
103060 TTE_Soft2 = 0,
103061 TTE_Diag = 0,
103062 TTE_Soft = 0,
103063 TTE_L = 0,
103064 TTE_CP = 0,
103065 TTE_CV = 1,
103066 TTE_EP = 1,
103067 TTE_E = 0,
103068 TTE_P = 0,
103069 TTE_W = 1
103070}
103071
103072
103073.text
103074.global last_in_frz_1_1
103075
103076 nop
103077.align 4096
103078 nop
103079.align 2048
103080 nop
103081.align 1024
103082 nop
103083.align 512
103084 nop
103085.align 256
103086 nop
103087.align 128
103088 nop
103089.align 64
103090 nop
103091.align 16
103092 nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
103093last_in_frz_1_1:
103094 .word 0x87afca40 ! 1: FCMPd fcmpd %fcc<n>, %f62, %f0
103095
103096
103097
103098SECTION .MyFRZ_2 TEXT_VA = 0x000000003cb80000
103099attr_text {
103100 Name = .MyFRZ_2,
103101 RA = 0x000000003cb80000,
103102 PA = ra2pa(0x000000003cb80000,0),
103103 part_0_ctx_zero_tsb_config_1,
103104 part_0_ctx_nonzero_tsb_config_1,
103105 TTE_G = 1,
103106 TTE_Context = PCONTEXT,
103107 TTE_V = 1,
103108 TTE_Size = 0,
103109 TTE_NFO = 1,
103110 TTE_IE = 0,
103111 TTE_Soft2 = 0,
103112 TTE_Diag = 0,
103113 TTE_Soft = 0,
103114 TTE_L = 0,
103115 TTE_CP = 0,
103116 TTE_CV = 0,
103117 TTE_EP = 0,
103118 TTE_E = 1,
103119 TTE_P = 1,
103120 TTE_W = 0
103121}
103122
103123
103124.text
103125.global last_in_frz_1_2
103126
103127 nop
103128.align 4096
103129 nop
103130.align 2048
103131 nop
103132.align 1024
103133 nop
103134.align 512
103135 nop
103136.align 256
103137 nop
103138.align 128
103139 nop
103140.align 64
103141 nop
103142.align 16
103143 nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
103144last_in_frz_1_2:
103145 .word 0xa1a7c9a0 ! 1: FDIVs fdivs %f31, %f0, %f16
103146
103147
103148
103149SECTION .MyFRZ_3 TEXT_VA = 0x000000003cbc0000
103150attr_text {
103151 Name = .MyFRZ_3,
103152 RA = 0x000000003cbc0000,
103153 PA = ra2pa(0x000000003cbc0000,0),
103154 part_0_ctx_zero_tsb_config_1,
103155 part_0_ctx_nonzero_tsb_config_1,
103156 TTE_G = 1,
103157 TTE_Context = PCONTEXT,
103158 TTE_V = 1,
103159 TTE_Size = 0,
103160 TTE_NFO = 0,
103161 TTE_IE = 1,
103162 TTE_Soft2 = 0,
103163 TTE_Diag = 0,
103164 TTE_Soft = 0,
103165 TTE_L = 0,
103166 TTE_CP = 0,
103167 TTE_CV = 0,
103168 TTE_EP = 1,
103169 TTE_E = 1,
103170 TTE_P = 1,
103171 TTE_W = 1
103172}
103173
103174
103175.text
103176.global last_in_frz_1_3
103177
103178 nop
103179.align 4096
103180 nop
103181.align 2048
103182 nop
103183.align 1024
103184 nop
103185.align 512
103186 nop
103187.align 256
103188 nop
103189.align 128
103190 nop
103191.align 64
103192 nop
103193.align 16
103194 nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
103195last_in_frz_1_3:
103196 .word 0x81a7c9a0 ! 1: FDIVs fdivs %f31, %f0, %f0
103197
103198
103199
103200
103201
103202SECTION .MyFRZn_0 TEXT_VA = 0x000000003cb02000
103203attr_text {
103204 Name = .MyFRZn_0,
103205 RA = 0x000000003cb02000,
103206 PA = ra2pa(0x000000003cb02000,0),
103207 part_0_ctx_zero_tsb_config_2,
103208 part_0_ctx_nonzero_tsb_config_2,
103209 TTE_G = 1,
103210 TTE_Context = PCONTEXT,
103211 TTE_V = 1,
103212 TTE_Size = 0,
103213 TTE_NFO = 0,
103214 TTE_IE = 0,
103215 TTE_Soft2 = 0,
103216 TTE_Diag = 0,
103217 TTE_Soft = 0,
103218 TTE_L = 0,
103219 TTE_CP = 0,
103220 TTE_CV = 0,
103221 TTE_EP = 1,
103222 TTE_E = 1,
103223 TTE_P = 0,
103224 TTE_W = 0
103225}
103226
103227 nop
103228 nop
103229 return %r27+8
103230 .word 0xe09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r16
103231
103232
103233
103234SECTION .MyFRZn_1 TEXT_VA = 0x000000003cb42000
103235attr_text {
103236 Name = .MyFRZn_1,
103237 RA = 0x000000003cb42000,
103238 PA = ra2pa(0x000000003cb42000,0),
103239 part_0_ctx_zero_tsb_config_2,
103240 part_0_ctx_nonzero_tsb_config_2,
103241 TTE_G = 1,
103242 TTE_Context = PCONTEXT,
103243 TTE_V = 1,
103244 TTE_Size = 0,
103245 TTE_NFO = 0,
103246 TTE_IE = 0,
103247 TTE_Soft2 = 0,
103248 TTE_Diag = 0,
103249 TTE_Soft = 0,
103250 TTE_L = 0,
103251 TTE_CP = 0,
103252 TTE_CV = 1,
103253 TTE_EP = 1,
103254 TTE_E = 0,
103255 TTE_P = 0,
103256 TTE_W = 0
103257}
103258
103259 nop
103260 nop
103261 return %r27+8
103262 .word 0x817021e0 ! 1: POPC_I popc 0x01e0, %r0
103263
103264
103265
103266SECTION .MyFRZn_2 TEXT_VA = 0x000000003cb82000
103267attr_text {
103268 Name = .MyFRZn_2,
103269 RA = 0x000000003cb82000,
103270 PA = ra2pa(0x000000003cb82000,0),
103271 part_0_ctx_zero_tsb_config_2,
103272 part_0_ctx_nonzero_tsb_config_2,
103273 TTE_G = 1,
103274 TTE_Context = PCONTEXT,
103275 TTE_V = 1,
103276 TTE_Size = 0,
103277 TTE_NFO = 0,
103278 TTE_IE = 0,
103279 TTE_Soft2 = 0,
103280 TTE_Diag = 0,
103281 TTE_Soft = 0,
103282 TTE_L = 0,
103283 TTE_CP = 1,
103284 TTE_CV = 1,
103285 TTE_EP = 1,
103286 TTE_E = 0,
103287 TTE_P = 0,
103288 TTE_W = 1
103289}
103290
103291 nop
103292 nop
103293 return %r27+8
103294 .word 0xc32fe0a0 ! 1: STXFSR_I st-sfr %f1, [0x00a0, %r31]
103295
103296
103297
103298SECTION .MyFRZn_3 TEXT_VA = 0x000000003cbc2000
103299attr_text {
103300 Name = .MyFRZn_3,
103301 RA = 0x000000003cbc2000,
103302 PA = ra2pa(0x000000003cbc2000,0),
103303 part_0_ctx_zero_tsb_config_2,
103304 part_0_ctx_nonzero_tsb_config_2,
103305 TTE_G = 1,
103306 TTE_Context = PCONTEXT,
103307 TTE_V = 1,
103308 TTE_Size = 0,
103309 TTE_NFO = 0,
103310 TTE_IE = 0,
103311 TTE_Soft2 = 0,
103312 TTE_Diag = 0,
103313 TTE_Soft = 0,
103314 TTE_L = 0,
103315 TTE_CP = 1,
103316 TTE_CV = 1,
103317 TTE_EP = 1,
103318 TTE_E = 1,
103319 TTE_P = 0,
103320 TTE_W = 1
103321}
103322
103323 nop
103324 nop
103325 return %r27+8
103326 .word 0xe0dfda60 ! 1: LDXA_R ldxa [%r31, %r0] 0xd3, %r16
103327
103328
103329
103330#if 0
103331#endif