Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / verif / env / fc / dump_scope.vh
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: dump_scope.vh
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35if ($test$plusargs("DUMP_LIMIT")) begin // {
36
37 //--------------------
38 // Conditionally dump verif modules
39 if ($test$plusargs("DUMP_CPU")) begin // {
40 $fsdbDumpvars(1,tb_top.cpu);
41 end // }
42 //--------------------
43 // Conditionally dump
44 if ($test$plusargs("DUMP_TOP")) begin // {
45 $fsdbDumpvars(1,tb_top);
46 end // }
47 //
48 if ($test$plusargs("CPU_PINS")) begin // {
49 $fsdbDumpvars(1,tb_top.cpu,"+IO_Only");
50 end // }
51 //
52 //---------------------------------------
53 //
54 if ($test$plusargs("DUMP_ENV")) begin // {
55 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_ENV plusarg detected");
56 $fsdbDumpvars(2,tb_top);
57 $fsdbDumpvars(1,tb_top.cpu);
58`ifndef PLAYBACK
59 $fsdbDumpvars(0,tb_top.verif_args);
60 $fsdbDumpvars(0,tb_top.nas_top);
61 $fsdbDumpvars(0,tb_top.tlb_sync);
62 $fsdbDumpvars(0,tb_top.ldst_sync);
63 $fsdbDumpvars(0,tb_top.int_sync);
64 $fsdbDumpvars(0,tb_top.err_sync);
65`endif
66`ifndef GATESIM
67 // removed this for T2
68 //$fsdbDumpvars(0,tb_top.mcusat_cov_mon);
69 $fsdbDumpvars(0,fc_dmupeu_csr_probe);
70`ifndef FC_NO_NIU_T2
71`ifndef NIU_SYSTEMC_T2
72 $fsdbDumpvars(0,fc_niu_csr_probe);
73`endif
74`endif
75 $fsdbDumpvars(0,fc_ncu_csr_probe);
76 $fsdbDumpvars(0,fc_l2_csr_probe);
77 $fsdbDumpvars(0,fc_mcu_csr_probe);
78`endif
79 //$fsdbDumpvars(0,tb_top.ras);
80 //$fsdbDumpvars(0,tb_top.debug);
81 //$fsdbDumpvars(0,tb_top.monitors);
82 end // }
83
84
85 //--------------------
86 // Conditionally dump monitors
87 if ($test$plusargs("DUMP_MON")) begin // {
88 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_MON plusarg detected");
89 // monitors included in monitors_soc.v
90`ifndef GATESIM
91 $fsdbDumpvars(0,socras_mon); // aka iosras_mon
92 $fsdbDumpvars(0,ncu_ucbmon);
93 $fsdbDumpvars(0,mcu_errmon);
94 $fsdbDumpvars(0,mcu_fmon);
95 $fsdbDumpvars(0,nb_crc_mon);
96 $fsdbDumpvars(0,ddr2_monitor);
97 $fsdbDumpvars(0,l2esr_mon);
98 $fsdbDumpvars(0,l2_tagstate_mon);
99`ifndef FC_NO_PEU_T2
100`ifndef PEU_SYSTEMC_MODEL
101 $fsdbDumpvars(0,pcie_intx_mon);
102`endif
103`endif
104 // removed this for T2
105 //$fsdbDumpvars(0,dmu_int_relocation_cov_mon);
106 $fsdbDumpvars(0,mcuesr_mon);
107`ifndef TO_1_0_VECTORS
108 $fsdbDumpvars(0,global_monitor);
109`endif
110`endif
111 end // }
112
113 //--------------------
114 // Conditionally dump all top lvel design modules
115 if ($test$plusargs("DUMP_TOPMOD")) begin // {
116 $fsdbDumpvars(1,tb_top.cpu);
117 $fsdbDumpvars(1,tb_top.cpu.ccu);
118 $fsdbDumpvars(1,tb_top.cpu.ccx);
119 $fsdbDumpvars(1,tb_top.cpu.efu);
120 $fsdbDumpvars(1,tb_top.cpu.dbg0);
121 $fsdbDumpvars(1,tb_top.cpu.dbg1);
122`ifndef FC_NO_NIU_T2
123`ifndef NIU_SYSTEMC_T2
124 $fsdbDumpvars(1,tb_top.cpu.esr);
125 $fsdbDumpvars(1,tb_top.cpu.mac);
126 $fsdbDumpvars(1,tb_top.cpu.rdp);
127`endif
128`endif
129 $fsdbDumpvars(1,tb_top.cpu.mio);
130 $fsdbDumpvars(1,tb_top.cpu.ncu);
131// added this
132`ifndef FC_NO_PEU_T2
133`ifndef PEU_SYSTEMC_MODEL
134 $fsdbDumpvars(1,tb_top.cpu.psr);
135`endif
136`endif
137 $fsdbDumpvars(1,tb_top.cpu.sii);
138 $fsdbDumpvars(1,tb_top.cpu.sio);
139 $fsdbDumpvars(1,tb_top.cpu.tcu);
140`ifndef FC_NO_NIU_T2
141`ifndef NIU_SYSTEMC_T2
142 $fsdbDumpvars(1,tb_top.cpu.tds);
143 $fsdbDumpvars(1,tb_top.cpu.rtx);
144`endif
145`endif
146 $fsdbDumpvars(1,tb_top.cpu.rst);
147 $fsdbDumpvars(1,tb_top.cpu.mcu0);
148 $fsdbDumpvars(1,tb_top.cpu.mcu1);
149 $fsdbDumpvars(1,tb_top.cpu.mcu2);
150 $fsdbDumpvars(1,tb_top.cpu.mcu3);
151 $fsdbDumpvars(1,tb_top.cpu.l2b0);
152 $fsdbDumpvars(1,tb_top.cpu.l2b1);
153 $fsdbDumpvars(1,tb_top.cpu.l2b2);
154 $fsdbDumpvars(1,tb_top.cpu.l2b3);
155 $fsdbDumpvars(1,tb_top.cpu.l2b4);
156 $fsdbDumpvars(1,tb_top.cpu.l2b5);
157 $fsdbDumpvars(1,tb_top.cpu.l2b6);
158 $fsdbDumpvars(1,tb_top.cpu.l2b7);
159 $fsdbDumpvars(1,tb_top.cpu.l2d0);
160 $fsdbDumpvars(1,tb_top.cpu.l2d1);
161 $fsdbDumpvars(1,tb_top.cpu.l2d2);
162 $fsdbDumpvars(1,tb_top.cpu.l2d3);
163 $fsdbDumpvars(1,tb_top.cpu.l2d4);
164 $fsdbDumpvars(1,tb_top.cpu.l2d5);
165 $fsdbDumpvars(1,tb_top.cpu.l2d6);
166 $fsdbDumpvars(1,tb_top.cpu.l2d7);
167 $fsdbDumpvars(1,tb_top.cpu.l2t0);
168 $fsdbDumpvars(1,tb_top.cpu.l2t1);
169 $fsdbDumpvars(1,tb_top.cpu.l2t2);
170 $fsdbDumpvars(1,tb_top.cpu.l2t3);
171 $fsdbDumpvars(1,tb_top.cpu.l2t4);
172 $fsdbDumpvars(1,tb_top.cpu.l2t5);
173 $fsdbDumpvars(1,tb_top.cpu.l2t6);
174 $fsdbDumpvars(1,tb_top.cpu.l2t7);
175 $fsdbDumpvars(1,tb_top.cpu.spc0);
176
177 end // }
178
179 //--------------------
180 // Conditionally dump verif modules
181 if ($test$plusargs("DUMP_DMUPEU")) begin // {
182 $fsdbDumpvars(1,tb_top.cpu.dmu);
183// added this
184`ifndef FC_NO_PEU_T2
185`ifndef PEU_SYSTEMC_MODEL
186 $fsdbDumpvars(1,tb_top.cpu.peu);
187`endif
188`endif
189 end // }
190
191 //--------------------
192 // Conditionally dump the cores
193 `ifdef CORE_0
194 if ($test$plusargs("DUMP_SPC0")) begin // {
195 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_SPC0 plusarg detected");
196`ifndef PLAYBACK
197 $fsdbDumpvars(0,tb_top.intf0);
198`endif
199 $fsdbDumpvars(0,tb_top.cpu.spc0);
200 end // }
201 `endif
202 `ifdef CORE_1
203 if ($test$plusargs("DUMP_SPC1")) begin // {
204 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_SPC1 plusarg detected");
205 $fsdbDumpvars(0,tb_top.intf1);
206 $fsdbDumpvars(0,tb_top.cpu.spc1);
207 end // }
208 `endif
209 `ifdef CORE_2
210 if ($test$plusargs("DUMP_SPC2")) begin // {
211 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_SPC2 plusarg detected");
212 $fsdbDumpvars(0,tb_top.intf2);
213 $fsdbDumpvars(0,tb_top.cpu.spc2);
214 end // }
215 `endif
216 `ifdef CORE_3
217 if ($test$plusargs("DUMP_SPC3")) begin // {
218 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_SPC3 plusarg detected");
219 $fsdbDumpvars(0,tb_top.intf3);
220 $fsdbDumpvars(0,tb_top.cpu.spc3);
221 end // }
222 `endif
223 `ifdef CORE_4
224 if ($test$plusargs("DUMP_SPC4")) begin // {
225 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_SPC4 plusarg detected");
226 $fsdbDumpvars(0,tb_top.intf4);
227 $fsdbDumpvars(0,tb_top.cpu.spc4);
228 end // }
229 `endif
230 `ifdef CORE_5
231 if ($test$plusargs("DUMP_SPC5")) begin // {
232 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_SPC5 plusarg detected");
233 $fsdbDumpvars(0,tb_top.intf5);
234 $fsdbDumpvars(0,tb_top.cpu.spc5);
235 end // }
236 `endif
237 `ifdef CORE_6
238 if ($test$plusargs("DUMP_SPC6")) begin // {
239 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_SPC6 plusarg detected");
240 $fsdbDumpvars(0,tb_top.intf6);
241 $fsdbDumpvars(0,tb_top.cpu.spc6);
242 end // }
243 `endif
244 `ifdef CORE_7
245 if ($test$plusargs("DUMP_SPC7")) begin // {
246 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_SPC7 plusarg detected");
247 $fsdbDumpvars(0,tb_top.intf7);
248 $fsdbDumpvars(0,tb_top.cpu.spc7);
249 end // }
250 `endif
251
252
253 // AT 03/23/06: Added Tung's DUMP_PINS option for vector gen.
254 //---------------------------------------------------------
255
256
257// `ifndef GATESIM
258 if ($test$plusargs("DUMP_PINS")) begin // {
259 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_PINS plusarg detected");
260 // ANALOG //
261 $fsdbDumpvars(1,tb_top.cpu.VDD_PLL_CMP_REG);
262 $fsdbDumpvars(1,tb_top.cpu.VDD_RNG_HV);
263 $fsdbDumpvars(1,tb_top.cpu.VDD_SENSE);
264 $fsdbDumpvars(1,tb_top.cpu.VSS_SENSE);
265 $fsdbDumpvars(1,tb_top.cpu.VDDO_PCM);
266 $fsdbDumpvars(1,tb_top.cpu.DIODE_TOP);
267 $fsdbDumpvars(1,tb_top.cpu.DIODE_BOT);
268 $fsdbDumpvars(1,tb_top.cpu.RNG_ANLG_CHAR_OUT);
269 $fsdbDumpvars(1,tb_top.cpu.PLL_CHAR_OUT); // should be PLL_CMP_CHAR_OUT
270 $fsdbDumpvars(1,tb_top.cpu.PLL_TESTMODE);
271 $fsdbDumpvars(1,tb_top.cpu.VREG_SELBG_L);
272
273
274 // BISI //
275 $fsdbDumpvars(1,tb_top.cpu.tcu_bisx_done);
276 $fsdbDumpvars(1,tb_top.cpu.tcu.mbist_done);
277
278 // CLOCKS //
279 $fsdbDumpvars(1,tb_top.cpu.PLL_CMP_CLK_P);
280 $fsdbDumpvars(1,tb_top.cpu.PLL_CMP_CLK_N);
281 $fsdbDumpvars(1,tb_top.cpu.FBDIMM1_REFCLK_P);
282 $fsdbDumpvars(1,tb_top.cpu.FBDIMM1_REFCLK_N);
283 $fsdbDumpvars(1,tb_top.cpu.FBDIMM2_REFCLK_P);
284 $fsdbDumpvars(1,tb_top.cpu.FBDIMM2_REFCLK_N);
285 $fsdbDumpvars(1,tb_top.cpu.FBDIMM3_REFCLK_P);
286 $fsdbDumpvars(1,tb_top.cpu.FBDIMM3_REFCLK_N);
287 $fsdbDumpvars(1,tb_top.cpu.PEX_REFCLK_P);
288 $fsdbDumpvars(1,tb_top.cpu.PEX_REFCLK_N);
289`ifndef FC_NO_NIU_T2
290`ifndef NIU_SYSTEMC_T2
291 $fsdbDumpvars(1,tb_top.cpu.XAUI0_REFCLK_P);
292 $fsdbDumpvars(1,tb_top.cpu.XAUI0_REFCLK_N);
293`endif
294`endif
295 $fsdbDumpvars(1,tb_top.cpu.tcu.gclk);
296 $fsdbDumpvars(1,tb_top.cpu.ccu.cmp_pll_clk);
297 $fsdbDumpvars(1,tb_top.cpu.ccu.dr_pll_clk);
298 $fsdbDumpvars(1,tb_top.cpu.efu.iol2clk);
299 $fsdbDumpvars(1,tb_top.cpu.l2clk);
300
301 // DEBUG //
302 $fsdbDumpvars(1,tb_top.cpu.DBG_DQ);
303 $fsdbDumpvars(1,tb_top.cpu.dbg1_mio_drv_en_muxtest_inp);
304 $fsdbDumpvars(1,tb_top.cpu.dbg1_mio_drv_en_muxtestpll_inp);
305 // tb_top.cpu.DBG_DQ[165:160]) ----> SOC Observability FSM state //
306 // tb_top.cpu.DBG_DQ[159]) ----> SCAN_OUT31 //
307 // tb_top.cpu.DBG_DQ[158]) ----> SCAN_IN31 //
308 // tb_top.cpu.DBG_DQ[157]) ----> PLL_CMP_CHAR_IN //
309 // tb_top.cpu.DBG_DQ[156:151]) ----> PLL_CMP_DIV2[5:0] //
310 // tb_top.cpu.DBG_DQ[150]) ----> PLL_CMP_TRST_L //
311 // tb_top.cpu.DBG_DQ[149]) ----> PLL_CMP_CLAMP_FLTR //
312 // tb_top.cpu.DBG_DQ[148:147]) //
313 // tb_top.cpu.DBG_DQ[146:140]) ----> PLL_CMP_DIV4[6:0] //
314 // tb_top.cpu.DBG_DQ[139]) ----> PLL_EXT_DR_CLK //
315 // tb_top.cpu.DBG_DQ[138]) ----> PLL_EXT_CMP_CLK //
316 // tb_top.cpu.DBG_DQ[137]) ----> AC_TESTMODE //
317 // tb_top.cpu.DBG_DQ[136]) ----> AC_TESTTRIG //
318 // tb_top.cpu.DBG_DQ[135]) ----> ACLK //
319 // tb_top.cpu.DBG_DQ[134]) ----> BCLK //
320 // tb_top.cpu.DBG_DQ[133:103]) ----> SCAN_IN[30:0] //
321 // tb_top.cpu.DBG_DQ[102:85]); //
322 // tb_top.cpu.DBG_DQ[84]) ----> PEU_CLK_EXT //
323 // tb_top.cpu.DBG_DQ[83]); //
324 // tb_top.cpu.DBG_DQ[82:77]) ----> NIU_CLK_EXT[5:0] //
325 // tb_top.cpu.DBG_DQ[76:75]); //
326 // tb_top.cpu.DBG_DQ[74]) ----> SCAN_ENABLE //
327 // tb_top.cpu.DBG_DQ[73:43]) ----> SCAN_OUT[30:0] //
328 // tb_top.cpu.DBG_DQ[42]) ----> DMO_SYNC //
329 // tb_top.cpu.DBG_DQ[41:2]) ----> DMO_DATA[39:0] //
330 // tb_top.cpu.DBG_DQ[1]) ----> MBIST_DONE //
331 // tb_top.cpu.DBG_DQ[0]) ----> MBIST_FAIL //
332 $fsdbDumpvars(1,tb_top.cpu.DBG_CK0);
333 $fsdbDumpvars(1,tb_top.cpu.TRIGIN);
334 $fsdbDumpvars(1,tb_top.cpu.TRIGOUT);
335 $fsdbDumpvars(1,tb_top.cpu.tcu_sck_bypass);
336
337`ifdef TCU_GATE
338 $fsdbDumpvars(1,tb_top.verif_args.bisx_counter);
339`else
340 $fsdbDumpvars(1,tb_top.cpu.tcu.mbist_ctl.bisx_counter);
341`endif // tcu_gate
342
343 $fsdbDumpvars(1,tb_top.cpu.tcu_rst_clk_stop);
344 $fsdbDumpvars(1,tb_top.cpu.tcu_rst_io_clk_stop);
345 $fsdbDumpvars(1,tb_top.cpu.tcu_efu_read_start);
346
347`ifdef TCU_GATE
348 $fsdbDumpvars(1,tb_top.verif_args.mbist_start);
349`else
350 $fsdbDumpvars(1,tb_top.cpu.tcu.tcu_mbist_start);
351`endif // tcu_gate
352
353`ifdef NCU_GATE
354 $fsdbDumpvars(1,tb_top.verif_args.coreavail);
355`else
356 $fsdbDumpvars(1,tb_top.cpu.ncu.coreavail);
357`endif // ncu_gate
358
359 // FBDIMM //
360 $fsdbDumpvars(1,tb_top.cpu.FBDIMM0A_TX_P);
361 $fsdbDumpvars(1,tb_top.cpu.FBDIMM0A_TX_N);
362 $fsdbDumpvars(1,tb_top.cpu.FBDIMM0B_TX_P);
363 $fsdbDumpvars(1,tb_top.cpu.FBDIMM0B_TX_N);
364 $fsdbDumpvars(1,tb_top.cpu.FBDIMM1A_TX_P);
365 $fsdbDumpvars(1,tb_top.cpu.FBDIMM1A_TX_N);
366 $fsdbDumpvars(1,tb_top.cpu.FBDIMM1B_TX_P);
367 $fsdbDumpvars(1,tb_top.cpu.FBDIMM1B_TX_N);
368 $fsdbDumpvars(1,tb_top.cpu.FBDIMM2A_TX_P);
369 $fsdbDumpvars(1,tb_top.cpu.FBDIMM2A_TX_N);
370 $fsdbDumpvars(1,tb_top.cpu.FBDIMM2B_TX_P);
371 $fsdbDumpvars(1,tb_top.cpu.FBDIMM2B_TX_N);
372 $fsdbDumpvars(1,tb_top.cpu.FBDIMM3A_TX_P);
373 $fsdbDumpvars(1,tb_top.cpu.FBDIMM3A_TX_N);
374 $fsdbDumpvars(1,tb_top.cpu.FBDIMM3B_TX_P);
375 $fsdbDumpvars(1,tb_top.cpu.FBDIMM3B_TX_N);
376 $fsdbDumpvars(1,tb_top.cpu.FBDIMM0A_RX_P);
377 $fsdbDumpvars(1,tb_top.cpu.FBDIMM0A_RX_N);
378 $fsdbDumpvars(1,tb_top.cpu.FBDIMM0B_RX_P);
379 $fsdbDumpvars(1,tb_top.cpu.FBDIMM0B_RX_N);
380 $fsdbDumpvars(1,tb_top.cpu.FBDIMM1A_RX_P);
381 $fsdbDumpvars(1,tb_top.cpu.FBDIMM1A_RX_N);
382 $fsdbDumpvars(1,tb_top.cpu.FBDIMM1B_RX_P);
383 $fsdbDumpvars(1,tb_top.cpu.FBDIMM1B_RX_N);
384 $fsdbDumpvars(1,tb_top.cpu.FBDIMM2A_RX_P);
385 $fsdbDumpvars(1,tb_top.cpu.FBDIMM2A_RX_N);
386 $fsdbDumpvars(1,tb_top.cpu.FBDIMM2B_RX_P);
387 $fsdbDumpvars(1,tb_top.cpu.FBDIMM2B_RX_N);
388 $fsdbDumpvars(1,tb_top.cpu.FBDIMM3A_RX_P);
389 $fsdbDumpvars(1,tb_top.cpu.FBDIMM3A_RX_N);
390 $fsdbDumpvars(1,tb_top.cpu.FBDIMM3B_RX_P);
391 $fsdbDumpvars(1,tb_top.cpu.FBDIMM3B_RX_N);
392 $fsdbDumpvars(1,tb_top.cpu.FBDIMM0A_AMUX);
393 $fsdbDumpvars(1,tb_top.cpu.FBDIMM0B_AMUX);
394 $fsdbDumpvars(1,tb_top.cpu.FBDIMM1A_AMUX);
395 $fsdbDumpvars(1,tb_top.cpu.FBDIMM1B_AMUX);
396 $fsdbDumpvars(1,tb_top.cpu.FBDIMM2A_AMUX);
397 $fsdbDumpvars(1,tb_top.cpu.FBDIMM2B_AMUX);
398 $fsdbDumpvars(1,tb_top.cpu.FBDIMM3A_AMUX);
399 $fsdbDumpvars(1,tb_top.cpu.FBDIMM3B_AMUX);
400 $fsdbDumpvars(1,tb_top.cpu.mcu0_fsr0_data);
401 $fsdbDumpvars(1,tb_top.cpu.fsr0_mcu0_data);
402 $fsdbDumpvars(1,tb_top.cpu.mcu1_fsr2_data);
403 $fsdbDumpvars(1,tb_top.cpu.fsr2_mcu1_data);
404 $fsdbDumpvars(1,tb_top.cpu.mcu2_fsr4_data);
405 $fsdbDumpvars(1,tb_top.cpu.fsr4_mcu2_data);
406 $fsdbDumpvars(1,tb_top.cpu.mcu3_fsr6_data);
407 $fsdbDumpvars(1,tb_top.cpu.fsr6_mcu3_data);
408 // FLUSH-RESETS //
409 $fsdbDumpvars(1,tb_top.cpu.tcu.rst_tcu_flush_init_req);
410 $fsdbDumpvars(1,tb_top.cpu.tcu.tcu_rst_flush_init_ack);
411 $fsdbDumpvars(1,tb_top.cpu.tcu.rst_tcu_flush_stop_req);
412 $fsdbDumpvars(1,tb_top.cpu.tcu.tcu_rst_flush_stop_ack);
413
414`ifdef TCU_GATE
415 $fsdbDumpvars(1,tb_top.verif_args.clock_stop_active);
416`else
417 $fsdbDumpvars(1,tb_top.cpu.tcu.sigmux_ctl.clock_stop_active);
418`endif // tcu_gate
419
420 $fsdbDumpvars(1,tb_top.cpu.tcu_se_scancollar_in);
421 // $fsdbDumpvars(1,tb_top.cpu.rst.rst_fsm_ctl.rst_soc_run);
422 $fsdbDumpvars(1,tb_top.cpu.rst_ncu_unpark_thread);
423
424 // JTAG //
425 $fsdbDumpvars(1,tb_top.cpu.TCK);
426 $fsdbDumpvars(1,tb_top.cpu.TMS);
427 $fsdbDumpvars(1,tb_top.cpu.TDI);
428 $fsdbDumpvars(1,tb_top.cpu.TDO);
429
430`ifdef TCU_GATE
431 $fsdbDumpvars(1,tb_top.verif_args.tap_state);
432 $fsdbDumpvars(1,tb_top.verif_args.instr);
433`else
434 $fsdbDumpvars(1,tb_top.cpu.tcu.jtag_ctl.tap_state);
435 $fsdbDumpvars(1,tb_top.cpu.tcu.jtag_ctl.instr);
436`endif // tcu_gate
437
438 $fsdbDumpvars(1,tb_top.cpu.tcu.jtag_creg_addr);
439
440`ifdef TCU_GATE
441 $fsdbDumpvars(1,tb_top.cpu.tcu.jtag_creg_data);
442`else
443 $fsdbDumpvars(1,tb_top.cpu.tcu.jtag_ctl.jtag_creg_data);
444`endif // tcu_gate
445
446 // MISC. //
447 $fsdbDumpvars(1,tb_top.cpu.PMI);
448 $fsdbDumpvars(1,tb_top.cpu.PMO);
449 $fsdbDumpvars(1,tb_top.cpu.PGRM_EN);
450 $fsdbDumpvars(1,tb_top.cpu.VPP);
451 $fsdbDumpvars(1,tb_top.cpu.BURNIN);
452
453 // PCIE //
454 $fsdbDumpvars(1,tb_top.cpu.PEX_TX_P);
455 $fsdbDumpvars(1,tb_top.cpu.PEX_TX_N);
456 $fsdbDumpvars(1,tb_top.cpu.PEX_RX_P);
457 $fsdbDumpvars(1,tb_top.cpu.PEX_RX_N);
458 $fsdbDumpvars(1,tb_top.cpu.PEX_AMUX);
459// added this
460`ifndef FC_NO_PEU_T2
461`ifndef PEU_SYSTEMC_MODEL
462 $fsdbDumpvars(1,tb_top.cpu.peu.peu_mio_pipe_txdata);
463 $fsdbDumpvars(1,tb_top.cpu.peu.peu_mio_pipe_txdatak);
464`endif
465`endif
466 // RESETS //
467 $fsdbDumpvars(1,tb_top.cpu.PWRON_RST_L);
468 $fsdbDumpvars(1,tb_top.cpu.PB_RST_L);
469 $fsdbDumpvars(1,tb_top.cpu.BUTTON_XIR_L);
470 $fsdbDumpvars(1,tb_top.cpu.PEX_RESET_L);
471 $fsdbDumpvars(1,tb_top.cpu.TRST_L);
472 // $fsdbDumpvars(1,tb_top.cpu.sigmux_ctl.por_one);
473 // $fsdbDumpvars(1,tb_top.cpu.sigmux_ctl.por_two);
474 // $fsdbDumpvars(1,tb_top.cpu.sigmux_ctl.wmr_one);
475 // $fsdbDumpvars(1,tb_top.cpu.sigmux_ctl.wmr_two);
476
477`ifdef TCU_GATE
478 $fsdbDumpvars(1,tb_top.cpu.tcu.jtag_por_status);
479`else
480 $fsdbDumpvars(1,tb_top.cpu.tcu.sigmux_ctl.jtag_por_active);
481`endif
482
483 $fsdbDumpvars(1,tb_top.cpu.rst_l2_por_);
484 $fsdbDumpvars(1,tb_top.cpu.rst_l2_wmr_);
485 // $fsdbDumpvars(1,tb_top.cpu.sio.rst_por_);
486 // $fsdbDumpvars(1,tb_top.cpu.l2b0.rst_por_);
487 // $fsdbDumpvars(1,tb_top.cpu.l2b1.rst_por_);
488 // $fsdbDumpvars(1,tb_top.cpu.l2b2.rst_por_);
489 // $fsdbDumpvars(1,tb_top.cpu.l2b3.rst_por_);
490 // $fsdbDumpvars(1,tb_top.cpu.l2b4.rst_por_);
491 // $fsdbDumpvars(1,tb_top.cpu.l2b5.rst_por_);
492 // $fsdbDumpvars(1,tb_top.cpu.l2b6.rst_por_);
493 // $fsdbDumpvars(1,tb_top.cpu.l2b7.rst_por_);
494 // $fsdbDumpvars(1,tb_top.rst_por_);
495 // $fsdbDumpvars(1,tb_top.cpu.sio.rst_wmr_);
496 // $fsdbDumpvars(1,tb_top.cpu.l2b0.rst_wmr_);
497 // $fsdbDumpvars(1,tb_top.cpu.l2b1.rst_wmr_);
498 // $fsdbDumpvars(1,tb_top.cpu.l2b2.rst_wmr_);
499 // $fsdbDumpvars(1,tb_top.cpu.l2b3.rst_wmr_);
500 // $fsdbDumpvars(1,tb_top.cpu.l2b4.rst_wmr_);
501 // $fsdbDumpvars(1,tb_top.cpu.l2b5.rst_wmr_);
502 // $fsdbDumpvars(1,tb_top.cpu.l2b6.rst_wmr_);
503 // $fsdbDumpvars(1,tb_top.cpu.l2b7.rst_wmr_);
504 // $fsdbDumpvars(1,tb_top.rst_wmr_);
505 // $fsdbDumpvars(1,tb_top.cpu.rst.rst_dmu_peu_por_);
506 // $fsdbDumpvars(1,tb_top.cpu.rst.rst_dmu_peu_wmr_);
507 // $fsdbDumpvars(1,tb_top.cpu.rst.rst_niu_);
508 // $fsdbDumpvars(1,tb_top.cpu.rst_niu_wmr_);
509 $fsdbDumpvars(1,tb_top.cpu.rst.rst_mio_rst_state);
510 $fsdbDumpvars(1,tb_top.cpu.rst_ccu_pll_);
511 $fsdbDumpvars(1,tb_top.cpu.rst_ccu_);
512 $fsdbDumpvars(1,tb_top.cpu.tcu_efu_read_start);
513 $fsdbDumpvars(1,tb_top.cpu.efu.sbc_efa_word_addr);
514 $fsdbDumpvars(1,tb_top.cpu.tcu_rst_efu_done);
515 $fsdbDumpvars(1,tb_top.cpu.tcu_bisx_done);
516 $fsdbDumpvars(1,tb_top.cpu.ccu_serdes_dtm);
517 $fsdbDumpvars(1,tb_top.cpu.tcu.cycle_count);
518
519 // SSI //
520 $fsdbDumpvars(1,tb_top.cpu.SSI_SCK);
521 $fsdbDumpvars(1,tb_top.cpu.SSI_MOSI);
522 $fsdbDumpvars(1,tb_top.cpu.SSI_MISO);
523 $fsdbDumpvars(1,tb_top.cpu.SSI_EXT_INT_L);
524 $fsdbDumpvars(1,tb_top.cpu.SSI_SYNC_L);
525
526`ifdef NCU_GATE
527 $fsdbDumpvars(1,tb_top.verif_args.ssi_sm);
528 $fsdbDumpvars(1,tb_top.cpu.ncu.ncu_ssitop_ctl__n2354);
529`else
530 $fsdbDumpvars(1,tb_top.cpu.ncu.ncu_ssitop_ctl.ncu_ssisif_ctl.ssi_sm);
531 $fsdbDumpvars(1,tb_top.cpu.ncu.ncu_ssitop_ctl.ncu_ssisif_ctl.ssi_sm_rst_l);
532`endif // ncu_gate
533
534
535 // STCI //
536 $fsdbDumpvars(1,tb_top.cpu.STCICLK);
537 $fsdbDumpvars(1,tb_top.cpu.STCICFG);
538 $fsdbDumpvars(1,tb_top.cpu.STCID);
539 $fsdbDumpvars(1,tb_top.cpu.STCIQ);
540
541 // TEST CONTROLS //
542 $fsdbDumpvars(1,tb_top.cpu.TESTCLKT);
543 $fsdbDumpvars(1,tb_top.cpu.TESTCLKR);
544 $fsdbDumpvars(1,tb_top.cpu.TESTMODE);
545 $fsdbDumpvars(1,tb_top.cpu.DIVIDER_BYPASS);
546 $fsdbDumpvars(1,tb_top.cpu.PLL_CMP_BYPASS);
547 // $fsdbDumpvars(1,tb_top.cpu.PLL_DR_BYPASS);
548 $fsdbDumpvars(1,tb_top.cpu.PWR_THRTTL_0);
549 $fsdbDumpvars(1,tb_top.cpu.PWR_THRTTL_1);
550`ifdef TO_1_0_VECTORS
551 $fsdbDumpvars(1,tb_top.cpu.SPARE);
552`endif
553
554 // XAUI //
555`ifndef FC_NO_NIU_T2
556`ifndef NIU_SYSTEMC_T2
557`ifndef TO_1_0_VECTORS
558 $fsdbDumpvars(1,tb_top.cpu.XAUI_MDINT1_L);
559 $fsdbDumpvars(1,tb_top.cpu.XAUI_MDINT0_L);
560`endif
561 $fsdbDumpvars(1,tb_top.cpu.XAUI0_TX_P);
562 $fsdbDumpvars(1,tb_top.cpu.XAUI0_TX_N);
563 $fsdbDumpvars(1,tb_top.cpu.XAUI0_RX_P);
564 $fsdbDumpvars(1,tb_top.cpu.XAUI0_RX_N);
565 $fsdbDumpvars(1,tb_top.cpu.XAUI1_TX_P);
566 $fsdbDumpvars(1,tb_top.cpu.XAUI1_TX_N);
567 $fsdbDumpvars(1,tb_top.cpu.XAUI1_RX_P);
568 $fsdbDumpvars(1,tb_top.cpu.XAUI1_RX_N);
569 $fsdbDumpvars(1,tb_top.cpu.XAUI0_AMUX);
570 $fsdbDumpvars(1,tb_top.cpu.XAUI0_LINK_LED);
571 $fsdbDumpvars(1,tb_top.cpu.XAUI0_ACT_LED);
572 $fsdbDumpvars(1,tb_top.cpu.XAUI1_AMUX);
573 $fsdbDumpvars(1,tb_top.cpu.XAUI1_LINK_LED);
574 $fsdbDumpvars(1,tb_top.cpu.XAUI1_ACT_LED);
575 $fsdbDumpvars(1,tb_top.cpu.XAUI_MDC);
576 $fsdbDumpvars(1,tb_top.cpu.XAUI_MDIO);
577 $fsdbDumpvars(1,tb_top.cpu.mdoe);
578`endif
579`endif
580
581
582//Signal indicating splice point
583`ifndef PLAYBACK
584 $fsdbDumpvars(1,tb_top.splice_point);
585`endif // PLAYBACK
586// Add debug select dump
587 if ($test$plusargs("DUMP_DEBUG_PORT")) begin // {
588 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_DEBUG_PORT plusarg detected");
589 // SOC OBSERVE
590`ifndef GATESIM
591 $fsdbDumpvars(1,tb_top.cpu.dbg1.dbg1_csr.dbg_train);
592 $fsdbDumpvars(1,tb_top.cpu.dbg1.dbg1_csr.dbg_conf_mode);
593 $fsdbDumpvars(1,tb_top.cpu.dbg1.dbg1_dbgprt.soc_obs_data);
594 $fsdbDumpvars(1,tb_top.cpu.dbg1.dbg1_dbgprt.charac_data);
595 $fsdbDumpvars(1,tb_top.cpu.dbg1.dbg1_dbgprt.rep_data);
596 $fsdbDumpvars(1,tb_top.cpu.dbg1.dbg1_dbgprt.core_soc_align_data);
597 $fsdbDumpvars(1,tb_top.cpu.mio.niu_mio_debug_data);
598 $fsdbDumpvars(1,tb_top.cpu.mio.dbg0_mio_debug_bus_a);
599 $fsdbDumpvars(1,tb_top.cpu.mio.dbg0_mio_debug_bus_b);
600 $fsdbDumpvars(1,tb_top.cpu.mio.peu_mio_debug_bus_a);
601 $fsdbDumpvars(1,tb_top.cpu.mio.peu_mio_debug_bus_b);
602`endif
603 //MSA
604`ifdef DTM_ENABLED
605 $fsdbDumpvars(1,tb_top.cpu.DBG_DQ);
606`ifndef GATESIM
607 $fsdbDumpvars(1,tb_top.csr_cabinet.dbg1_l2clk);
608 $fsdbDumpvars(1,tb_top.csr_cabinet.dtm_mode_on);
609 $fsdbDumpvars(1,tb_top.csr_cabinet.dtm2_one_core_tester_dbg_pins);
610 $fsdbDumpvars(1,tb_top.csr_cabinet.io2x_sync_en);
611`endif // GATESIM
612`endif // DTM_ENABLED
613
614 end //}
615 end //}
616// `endif // gatesim
617
618
619
620
621`ifdef FC_CRC_INJECT
622 if ($test$plusargs("DUMP_CRC")) begin
623 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_CRC plusarg detected");
624 $fsdbDumpvars(0,tb_top.crc_errinject_top);
625 end
626`endif
627
628 //---------------------------------------------------------
629`ifndef FC_NO_NIU_T2
630`ifndef NIU_SYSTEMC_T2
631 if ($test$plusargs("DUMP_NIU")) begin // {
632 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_NIU plusarg detected");
633 $fsdbDumpvars(0,tb_top.cpu.mac);
634 $fsdbDumpvars(0,tb_top.cpu.rdp);
635 $fsdbDumpvars(0,tb_top.cpu.rtx);
636 $fsdbDumpvars(0,tb_top.cpu.tds);
637 $fsdbDumpvars(0,tb_top.cpu.esr);
638`ifndef PLAYBACK
639 $fsdbDumpvars(0,tb_top.enet_model);
640`endif
641 end // }
642`endif
643`endif
644
645 //---------------------------------------------------------
646 if ($test$plusargs("DUMP_NCU")) begin // {
647 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_NCU plusarg detected");
648 $fsdbDumpvars(0,tb_top.cpu.ncu);
649 end // }
650 //---------------------------------------------------------
651
652 //---------------------------------------------------------
653 if ($test$plusargs("DUMP_SIU")) begin // {
654 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_SIU plusarg detected");
655 $fsdbDumpvars(0,tb_top.cpu.sii);
656 $fsdbDumpvars(0,tb_top.cpu.sio);
657 end // }
658
659 //---------------------------------------------------------
660 if ($test$plusargs("DUMP_DMU")) begin // {
661 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_DMU plusarg detected");
662 $fsdbDumpvars(1,tb_top);
663 $fsdbDumpvars(1,tb_top.cpu);
664 $fsdbDumpvars(0,tb_top.cpu.dmu);
665 end // }
666
667 //---------------------------------------------------------
668 if ($test$plusargs("DUMP_PIU")) begin // {
669 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_PIU plusarg detected");
670 $fsdbDumpvars(0,tb_top.cpu.dmu);
671// added this
672`ifndef FC_NO_PEU_T2
673`ifndef PEU_SYSTEMC_MODEL
674 $fsdbDumpvars(0,tb_top.cpu.peu);
675`endif
676`endif
677 end // }
678// added this
679`ifndef FC_NO_PEU_T2
680`ifndef PEU_SYSTEMC_MODEL
681 if ($test$plusargs("DUMP_PSR")) begin // {
682 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_PSR plusarg detected");
683 $fsdbDumpvars(0,tb_top.cpu.psr);
684 end // }
685`ifdef FC_NO_PEUSAT_CODE
686 if ($test$plusargs("DUMP_EPT")) begin // {
687 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_EPT plusarg detected");
688 $fsdbDumpvars(0,tb_top.ept);
689 end // }
690 if ($test$plusargs("DUMP_BOBO")) begin // {
691 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_BOBO plusarg detected");
692 $fsdbDumpvars(0,tb_top.ept.pci_dma.dma);
693 end // }
694`endif
695`endif
696`endif
697 //---------------------------------------------------------
698 if ( !$test$plusargs("DUMP_MCU0") && !$test$plusargs("DUMP_MCU1") &&
699 !$test$plusargs("DUMP_MCU2") && !$test$plusargs("DUMP_MCU3")
700 ) begin // {
701 if ($test$plusargs("DUMP_MCU")) begin // {
702 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_MCU plusarg detected");
703`ifndef PLAYBACK
704 $fsdbDumpvars(0,tb_top.mcusat_fbdimm); // FBDIMM model
705`endif
706 $fsdbDumpvars(0,tb_top.cpu.mcu0);
707 $fsdbDumpvars(0,tb_top.cpu.mcu1);
708 $fsdbDumpvars(0,tb_top.cpu.mcu2);
709 $fsdbDumpvars(0,tb_top.cpu.mcu3);
710 end // }
711 end // }
712 //---------------------------------------------------------
713 // a la carte options for MCU
714 //---------------------------------------------------------
715 if ($test$plusargs("DUMP_FBDIMM")) begin // {
716 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_FBDIMM plusarg detected");
717`ifndef PLAYBACK
718 $fsdbDumpvars(0,tb_top.mcusat_fbdimm); // FBDIMM model
719`endif
720 end // }
721 if ($test$plusargs("DUMP_MCU0")) begin // {
722 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_MCU0 plusarg detected");
723 $fsdbDumpvars(0,tb_top.cpu.mcu0);
724 end // }
725 if ($test$plusargs("DUMP_MCU1")) begin // {
726 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_MCU1 plusarg detected");
727 $fsdbDumpvars(0,tb_top.cpu.mcu1);
728 end // }
729 if ($test$plusargs("DUMP_MCU2")) begin // {
730 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_MCU2 plusarg detected");
731 $fsdbDumpvars(0,tb_top.cpu.mcu2);
732 end // }
733 if ($test$plusargs("DUMP_MCU3")) begin // {
734 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_MCU3 plusarg detected");
735 $fsdbDumpvars(0,tb_top.cpu.mcu3);
736 end // }
737 //---------------------------------------------------------
738 if ($test$plusargs("DUMP_FSR")) begin // {
739 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_FSR plusarg detected");
740 $fsdbDumpvars(0,tb_top.cpu.fsr_bottom);
741 $fsdbDumpvars(0,tb_top.cpu.fsr_left);
742 $fsdbDumpvars(0,tb_top.cpu.fsr_right);
743 end // }
744 //--------------------
745 // Conditionally dump L2 modules
746 if ($test$plusargs("DUMP_L2_0")) begin // {
747 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_L2_0 plusarg detected");
748 $fsdbDumpvars(1,tb_top);
749 $fsdbDumpvars(1,tb_top.cpu);
750 $fsdbDumpvars(0,tb_top.cpu.l2b0);
751 $fsdbDumpvars(0,tb_top.cpu.l2d0);
752 $fsdbDumpvars(0,tb_top.cpu.l2t0);
753 end // }
754 if ($test$plusargs("DUMP_L2_1")) begin // {
755 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_L2_1 plusarg detected");
756 $fsdbDumpvars(1,tb_top);
757 $fsdbDumpvars(1,tb_top.cpu);
758 $fsdbDumpvars(0,tb_top.cpu.l2b1);
759 $fsdbDumpvars(0,tb_top.cpu.l2d1);
760 $fsdbDumpvars(0,tb_top.cpu.l2t1);
761 end // }
762 if ($test$plusargs("DUMP_L2_2")) begin // {
763 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_L2_2 plusarg detected");
764 $fsdbDumpvars(1,tb_top);
765 $fsdbDumpvars(1,tb_top.cpu);
766 $fsdbDumpvars(0,tb_top.cpu.l2b2);
767 $fsdbDumpvars(0,tb_top.cpu.l2d2);
768 $fsdbDumpvars(0,tb_top.cpu.l2t2);
769 end // }
770 if ($test$plusargs("DUMP_L2_3")) begin // {
771 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_L2_3 plusarg detected");
772 $fsdbDumpvars(1,tb_top);
773 $fsdbDumpvars(1,tb_top.cpu);
774 $fsdbDumpvars(0,tb_top.cpu.l2b3);
775 $fsdbDumpvars(0,tb_top.cpu.l2d3);
776 $fsdbDumpvars(0,tb_top.cpu.l2t3);
777 end // }
778 if ($test$plusargs("DUMP_L2_4")) begin // {
779 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_L2_4 plusarg detected");
780 $fsdbDumpvars(1,tb_top);
781 $fsdbDumpvars(1,tb_top.cpu);
782 $fsdbDumpvars(0,tb_top.cpu.l2b4);
783 $fsdbDumpvars(0,tb_top.cpu.l2d4);
784 $fsdbDumpvars(0,tb_top.cpu.l2t4);
785 end // }
786 if ($test$plusargs("DUMP_L2_5")) begin // {
787 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_L2_5 plusarg detected");
788 $fsdbDumpvars(1,tb_top);
789 $fsdbDumpvars(1,tb_top.cpu);
790 $fsdbDumpvars(0,tb_top.cpu.l2b5);
791 $fsdbDumpvars(0,tb_top.cpu.l2d5);
792 $fsdbDumpvars(0,tb_top.cpu.l2t5);
793 end // }
794 if ($test$plusargs("DUMP_L2_6")) begin // {
795 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_L2_6 plusarg detected");
796 $fsdbDumpvars(1,tb_top);
797 $fsdbDumpvars(1,tb_top.cpu);
798 $fsdbDumpvars(0,tb_top.cpu.l2b6);
799 $fsdbDumpvars(0,tb_top.cpu.l2d6);
800 $fsdbDumpvars(0,tb_top.cpu.l2t6);
801 end // }
802 if ($test$plusargs("DUMP_L2_7")) begin // {
803 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_L2_7 plusarg detected");
804 $fsdbDumpvars(1,tb_top);
805 $fsdbDumpvars(1,tb_top.cpu);
806 $fsdbDumpvars(0,tb_top.cpu.l2b7);
807 $fsdbDumpvars(0,tb_top.cpu.l2d7);
808 $fsdbDumpvars(0,tb_top.cpu.l2t7);
809 end // }
810
811 //---------------------------------------------------------
812 if ($test$plusargs("DUMP_CCX")) begin // {
813 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_CCX plusarg detected");
814 $fsdbDumpvars(1,tb_top.cpu);
815 $fsdbDumpvars(0,tb_top.cpu.ccx);
816 end // }
817
818 //---------------------------------------------------------
819 if ($test$plusargs("DUMP_CCU")) begin // {
820 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_CCU plusarg detected");
821 $fsdbDumpvars(1,tb_top.cpu);
822 $fsdbDumpvars(0,tb_top.cpu.ccu);
823 $fsdbDumpvars(0,tb_top.cpu.n2_clk_gl_cust); // Global Clock Tree
824 end // }
825
826 //---------------------------------------------------------
827 if ($test$plusargs("DUMP_EFU")) begin // {
828 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_EFU plusarg detected");
829 $fsdbDumpvars(1,tb_top.cpu);
830 $fsdbDumpvars(0,tb_top.cpu.efu);
831 end // }
832
833 //---------------------------------------------------------
834 if ($test$plusargs("DUMP_RST")) begin // {
835 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_RST plusarg detected");
836 $fsdbDumpvars(1,tb_top.cpu);
837 $fsdbDumpvars(0,tb_top.cpu.rst);
838 end // }
839
840 //---------------------------------------------------------
841 if ($test$plusargs("DUMP_TCU")) begin // {
842 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_TCU plusarg detected");
843 $fsdbDumpvars(1,tb_top.cpu);
844 $fsdbDumpvars(0,tb_top.cpu.tcu);
845 end // }
846
847 //---------------------------------------------------------
848 if ($test$plusargs("DUMP_DBG")) begin // {
849 `PR_NORMAL ("dump_scope",`NORMAL,"DUMP_DBG plusarg detected");
850 $fsdbDumpvars(0,tb_top.cpu.mio);
851 $fsdbDumpvars(0,tb_top.cpu.dbg0);
852 $fsdbDumpvars(0,tb_top.cpu.dbg1);
853 end // }
854
855 //----------------------------------------------------------
856`ifndef GATESIM
857 if ($test$plusargs("DUMP_CLUSTER_HDRS")) begin // {
858 $display ("\nINFO : DUMP_CLUSTER_HDRS plusarg detected");
859 //---blocks in TCU SAT---
860 $fsdbDumpvars(1,tb_top.cpu.ccu.clkgen_cmp);
861 $fsdbDumpvars(1,tb_top.cpu.ccu.clkgen_io);
862 $fsdbDumpvars(1,tb_top.cpu.dbg0.db0_clk_header_cmp_clk);
863 $fsdbDumpvars(1,tb_top.cpu.dbg0.db0_clk_header_iol2clk);
864 $fsdbDumpvars(1,tb_top.cpu.dbg1.db1_clk_header_cmp_clk);
865 $fsdbDumpvars(1,tb_top.cpu.dbg1.db1_clk_header_iol2clk);
866 $fsdbDumpvars(1,tb_top.cpu.efu.efu_ioclk_header);
867 $fsdbDumpvars(1,tb_top.cpu.efu.l2t_clk_header);
868 $fsdbDumpvars(1,tb_top.cpu.mio.mio_clk_header_cmp_clk_0);
869 $fsdbDumpvars(1,tb_top.cpu.mio.mio_clk_header_cmp_clk_1);
870 $fsdbDumpvars(1,tb_top.cpu.mio.mio_clk_header_cmp_clk_2);
871 $fsdbDumpvars(1,tb_top.cpu.mio.mio_clk_header_cmp_clk_3);
872 $fsdbDumpvars(1,tb_top.cpu.mio.mio_clk_header_iol2clk);
873 $fsdbDumpvars(1,tb_top.cpu.ncu.clkgen_ncu_cmp);
874 $fsdbDumpvars(1,tb_top.cpu.ncu.clkgen_ncu_io);
875 $fsdbDumpvars(1,tb_top.cpu.rst.clkgen_rst_cmp);
876 $fsdbDumpvars(1,tb_top.cpu.rst.clkgen_rst_io);
877 $fsdbDumpvars(1,tb_top.cpu.tcu.clkgen_tcu_cmp);
878 $fsdbDumpvars(1,tb_top.cpu.tcu.clkgen_tcu_io);
879 //---blocks are NOT in TCU SAT---
880 $fsdbDumpvars(1,tb_top.cpu.ccx.clk_ccx);
881 $fsdbDumpvars(1,tb_top.cpu.dmu.dmu_clkgen);
882 $fsdbDumpvars(1,tb_top.cpu.l2b0.clock_header);
883 $fsdbDumpvars(1,tb_top.cpu.l2b1.clock_header);
884 $fsdbDumpvars(1,tb_top.cpu.l2b2.clock_header);
885 $fsdbDumpvars(1,tb_top.cpu.l2b3.clock_header);
886 $fsdbDumpvars(1,tb_top.cpu.l2b4.clock_header);
887 $fsdbDumpvars(1,tb_top.cpu.l2b5.clock_header);
888 $fsdbDumpvars(1,tb_top.cpu.l2b6.clock_header);
889 $fsdbDumpvars(1,tb_top.cpu.l2b7.clock_header);
890 $fsdbDumpvars(1,tb_top.cpu.l2d0.l2d_clk_header);
891 $fsdbDumpvars(1,tb_top.cpu.l2d0.l2d_pregrid_drv_top);
892 $fsdbDumpvars(1,tb_top.cpu.l2d0.l2d_pregrid_drv_bot);
893 $fsdbDumpvars(1,tb_top.cpu.l2d1.l2d_clk_header);
894 $fsdbDumpvars(1,tb_top.cpu.l2d1.l2d_pregrid_drv_top);
895 $fsdbDumpvars(1,tb_top.cpu.l2d1.l2d_pregrid_drv_bot);
896 $fsdbDumpvars(1,tb_top.cpu.l2d2.l2d_clk_header);
897 $fsdbDumpvars(1,tb_top.cpu.l2d2.l2d_pregrid_drv_top);
898 $fsdbDumpvars(1,tb_top.cpu.l2d2.l2d_pregrid_drv_bot);
899 $fsdbDumpvars(1,tb_top.cpu.l2d3.l2d_clk_header);
900 $fsdbDumpvars(1,tb_top.cpu.l2d3.l2d_pregrid_drv_top);
901 $fsdbDumpvars(1,tb_top.cpu.l2d3.l2d_pregrid_drv_bot);
902 $fsdbDumpvars(1,tb_top.cpu.l2d4.l2d_clk_header);
903 $fsdbDumpvars(1,tb_top.cpu.l2d4.l2d_pregrid_drv_top);
904 $fsdbDumpvars(1,tb_top.cpu.l2d4.l2d_pregrid_drv_bot);
905 $fsdbDumpvars(1,tb_top.cpu.l2d5.l2d_clk_header);
906 $fsdbDumpvars(1,tb_top.cpu.l2d5.l2d_pregrid_drv_top);
907 $fsdbDumpvars(1,tb_top.cpu.l2d5.l2d_pregrid_drv_bot);
908 $fsdbDumpvars(1,tb_top.cpu.l2d6.l2d_clk_header);
909 $fsdbDumpvars(1,tb_top.cpu.l2d6.l2d_pregrid_drv_top);
910 $fsdbDumpvars(1,tb_top.cpu.l2d6.l2d_pregrid_drv_bot);
911 $fsdbDumpvars(1,tb_top.cpu.l2d7.l2d_clk_header);
912 $fsdbDumpvars(1,tb_top.cpu.l2d7.l2d_pregrid_drv_top);
913 $fsdbDumpvars(1,tb_top.cpu.l2d7.l2d_pregrid_drv_bot);
914 $fsdbDumpvars(1,tb_top.cpu.l2t0.l2t_clk_header);
915 $fsdbDumpvars(1,tb_top.cpu.l2t1.l2t_clk_header);
916 $fsdbDumpvars(1,tb_top.cpu.l2t2.l2t_clk_header);
917 $fsdbDumpvars(1,tb_top.cpu.l2t3.l2t_clk_header);
918 $fsdbDumpvars(1,tb_top.cpu.l2t4.l2t_clk_header);
919 $fsdbDumpvars(1,tb_top.cpu.l2t5.l2t_clk_header);
920 $fsdbDumpvars(1,tb_top.cpu.l2t6.l2t_clk_header);
921 $fsdbDumpvars(1,tb_top.cpu.l2t7.l2t_clk_header);
922`ifndef FC_NO_NIU_T2
923`ifndef NIU_SYSTEMC_T2
924 $fsdbDumpvars(1,tb_top.cpu.mac.clkgen_mac.clkgen_mac_io);
925`endif
926`endif
927 $fsdbDumpvars(1,tb_top.cpu.mcu0.clkgen_cmp);
928 $fsdbDumpvars(1,tb_top.cpu.mcu0.clkgen_dr);
929 $fsdbDumpvars(1,tb_top.cpu.mcu0.clkgen_io);
930 $fsdbDumpvars(1,tb_top.cpu.mcu1.clkgen_cmp);
931 $fsdbDumpvars(1,tb_top.cpu.mcu1.clkgen_dr);
932 $fsdbDumpvars(1,tb_top.cpu.mcu1.clkgen_io);
933 $fsdbDumpvars(1,tb_top.cpu.mcu2.clkgen_cmp);
934 $fsdbDumpvars(1,tb_top.cpu.mcu2.clkgen_dr);
935 $fsdbDumpvars(1,tb_top.cpu.mcu2.clkgen_io);
936 $fsdbDumpvars(1,tb_top.cpu.mcu3.clkgen_cmp);
937 $fsdbDumpvars(1,tb_top.cpu.mcu3.clkgen_dr);
938 $fsdbDumpvars(1,tb_top.cpu.mcu3.clkgen_io);
939// added this
940`ifndef FC_NO_PEU_T2
941`ifndef PEU_SYSTEMC_MODEL
942 $fsdbDumpvars(1,tb_top.cpu.peu.peu_iol2clk_gen);
943 $fsdbDumpvars(1,tb_top.cpu.peu.peu_pcl2clk_gen);
944`endif
945`endif
946`ifndef FC_NO_NIU_T2
947`ifndef NIU_SYSTEMC_T2
948 $fsdbDumpvars(1,tb_top.cpu.rdp.rdp_clkgen_rdp_io.clkgen_rdp_io);
949 $fsdbDumpvars(1,tb_top.cpu.rdp.rdp_clkgen_rdp_io2x.clkgen_rdp_io2x);
950 $fsdbDumpvars(1,tb_top.cpu.rtx.clkgen_rtx.clkgen_rtx_io);
951 $fsdbDumpvars(1,tb_top.cpu.rtx.clkgen2x_rtx);
952`endif
953`endif
954 $fsdbDumpvars(1,tb_top.cpu.sii.clkgen_cmp);
955 $fsdbDumpvars(1,tb_top.cpu.sii.clkgen_io);
956 $fsdbDumpvars(1,tb_top.cpu.sio.clkgen_cmp);
957 $fsdbDumpvars(1,tb_top.cpu.sio.clkgen_io);
958`ifdef CORE_0
959 $fsdbDumpvars(1,tb_top.cpu.spc0.clk_spc);
960`endif
961`ifdef CORE_1
962 $fsdbDumpvars(1,tb_top.cpu.spc1.clk_spc);
963`endif
964`ifdef CORE_2
965 $fsdbDumpvars(1,tb_top.cpu.spc2.clk_spc);
966`endif
967`ifdef CORE_3
968 $fsdbDumpvars(1,tb_top.cpu.spc3.clk_spc);
969`endif
970`ifdef CORE_4
971 $fsdbDumpvars(1,tb_top.cpu.spc4.clk_spc);
972`endif
973`ifdef CORE_5
974 $fsdbDumpvars(1,tb_top.cpu.spc5.clk_spc);
975`endif
976`ifdef CORE_6
977 $fsdbDumpvars(1,tb_top.cpu.spc6.clk_spc);
978`endif
979`ifdef CORE_7
980 $fsdbDumpvars(1,tb_top.cpu.spc7.clk_spc);
981`endif
982`ifndef FC_NO_NIU_T2
983`ifndef NIU_SYSTEMC_T2
984 $fsdbDumpvars(1,tb_top.cpu.tds.clkgen_tds.clkgen_tds_io);
985 $fsdbDumpvars(1,tb_top.cpu.tds.clkgen2x_tds);
986`endif
987`endif
988 end // }
989`endif
990
991 //----------------------------------------------------------
992
993 if ($test$plusargs("DUMP_ALL_EXCEPT")) begin // {
994 $fsdbDumpvars(1,tb_top);
995 $fsdbDumpvars(1,tb_top.cpu);
996// added this
997`ifndef FC_NO_NIU_T2
998`ifndef NIU_SYSTEMC_T2
999 if (!$test$plusargs("DUMP_ALL_EXCEPT_NIU")) begin // {
1000 $fsdbDumpvars(0,tb_top.cpu.rdp);
1001 $fsdbDumpvars(0,tb_top.cpu.tds);
1002 $fsdbDumpvars(0,tb_top.cpu.rtx);
1003 $fsdbDumpvars(0,tb_top.cpu.mac);
1004 end // }
1005`endif
1006`endif
1007 if (!$test$plusargs("DUMP_ALL_EXCEPT_DMU")) begin // {
1008 $fsdbDumpvars(0,tb_top.cpu.dmu);
1009 end // }
1010// added this
1011`ifndef FC_NO_PEU_T2
1012`ifndef PEU_SYSTEMC_MODEL
1013 if (!$test$plusargs("DUMP_ALL_EXCEPT_PEU")) begin // {
1014 $fsdbDumpvars(0,tb_top.cpu.peu);
1015 end // }
1016`endif
1017`endif
1018`ifndef FC_NO_NIU_T2
1019`ifndef NIU_SYSTEMC_T2
1020 if (!$test$plusargs("DUMP_ALL_EXCEPT_SERDES")) begin // {
1021 $fsdbDumpvars(0,tb_top.cpu.esr);
1022// added this
1023`ifndef FC_NO_PEU_T2
1024`ifndef PEU_SYSTEMC_MODEL
1025 $fsdbDumpvars(0,tb_top.cpu.psr);
1026`endif
1027`endif
1028 end // }
1029`endif
1030`endif
1031
1032 $fsdbDumpvars(0,tb_top.cpu.mio);
1033 $fsdbDumpvars(0,tb_top.cpu.dbg0);
1034 $fsdbDumpvars(0,tb_top.cpu.dbg1);
1035 if (!$test$plusargs("DUMP_ALL_EXCEPT_SPC")) begin // {
1036 `ifdef CORE_0 $fsdbDumpvars(0,tb_top.cpu.spc0); `endif
1037 `ifdef CORE_1 $fsdbDumpvars(0,tb_top.cpu.spc1); `endif
1038 `ifdef CORE_2 $fsdbDumpvars(0,tb_top.cpu.spc2); `endif
1039 `ifdef CORE_3 $fsdbDumpvars(0,tb_top.cpu.spc3); `endif
1040 `ifdef CORE_4 $fsdbDumpvars(0,tb_top.cpu.spc4); `endif
1041 `ifdef CORE_5 $fsdbDumpvars(0,tb_top.cpu.spc5); `endif
1042 `ifdef CORE_6 $fsdbDumpvars(0,tb_top.cpu.spc6); `endif
1043 `ifdef CORE_7 $fsdbDumpvars(0,tb_top.cpu.spc7); `endif
1044 end // }
1045 $fsdbDumpvars(0,tb_top.cpu.ccx);
1046 if (!$test$plusargs("DUMP_ALL_EXCEPT_L2D")) begin // {
1047 $fsdbDumpvars(0,tb_top.cpu.l2d0);
1048 $fsdbDumpvars(0,tb_top.cpu.l2d1);
1049 $fsdbDumpvars(0,tb_top.cpu.l2d2);
1050 $fsdbDumpvars(0,tb_top.cpu.l2d3);
1051 $fsdbDumpvars(0,tb_top.cpu.l2d4);
1052 $fsdbDumpvars(0,tb_top.cpu.l2d5);
1053 $fsdbDumpvars(0,tb_top.cpu.l2d6);
1054 $fsdbDumpvars(0,tb_top.cpu.l2d7);
1055 end // }
1056
1057 if (!$test$plusargs("DUMP_ALL_EXCEPT_L2T")) begin // {
1058 $fsdbDumpvars(0,tb_top.cpu.l2t0);
1059 $fsdbDumpvars(0,tb_top.cpu.l2t1);
1060 $fsdbDumpvars(0,tb_top.cpu.l2t2);
1061 $fsdbDumpvars(0,tb_top.cpu.l2t3);
1062 $fsdbDumpvars(0,tb_top.cpu.l2t4);
1063 $fsdbDumpvars(0,tb_top.cpu.l2t5);
1064 $fsdbDumpvars(0,tb_top.cpu.l2t6);
1065 $fsdbDumpvars(0,tb_top.cpu.l2t7);
1066 end // }
1067 if (!$test$plusargs("DUMP_ALL_EXCEPT_L2B")) begin // {
1068 $fsdbDumpvars(0,tb_top.cpu.l2b0);
1069 $fsdbDumpvars(0,tb_top.cpu.l2b1);
1070 $fsdbDumpvars(0,tb_top.cpu.l2b2);
1071 $fsdbDumpvars(0,tb_top.cpu.l2b3);
1072 $fsdbDumpvars(0,tb_top.cpu.l2b4);
1073 $fsdbDumpvars(0,tb_top.cpu.l2b5);
1074 $fsdbDumpvars(0,tb_top.cpu.l2b6);
1075 $fsdbDumpvars(0,tb_top.cpu.l2b7);
1076 end // }
1077 if (!$test$plusargs("DUMP_ALL_EXCEPT_MCU")) begin // {
1078 $fsdbDumpvars(0,tb_top.cpu.mcu0);
1079 $fsdbDumpvars(0,tb_top.cpu.mcu1);
1080 $fsdbDumpvars(0,tb_top.cpu.mcu2);
1081 $fsdbDumpvars(0,tb_top.cpu.mcu3);
1082 end // }
1083 if (!$test$plusargs("DUMP_ALL_EXCEPT_SERDES")) begin // {
1084 $fsdbDumpvars(0,tb_top.cpu.fsr_left);
1085 $fsdbDumpvars(0,tb_top.cpu.fsr_right);
1086 end // }
1087 $fsdbDumpvars(0,tb_top.cpu.sii);
1088 $fsdbDumpvars(0,tb_top.cpu.sio);
1089 $fsdbDumpvars(0,tb_top.cpu.ncu);
1090 $fsdbDumpvars(0,tb_top.cpu.efu);
1091 $fsdbDumpvars(0,tb_top.cpu.ccu);
1092 $fsdbDumpvars(0,tb_top.cpu.tcu);
1093 $fsdbDumpvars(0,tb_top.cpu.rst);
1094 $fsdbDumpvars(0,tb_top.cpu.n2_clk_gl_cust);
1095 end // }
1096//---------------------------------------------------------
1097//Dump signals for syncing SLAM to NON_SLAM
1098 if($test$plusargs("DUMP_SYNC_SLAM_NON_SLAM")) begin //{
1099 $fsdbDumpvars(0,`NCU.rst_wmr_protect);
1100 $fsdbDumpvars(0,`NCU.ncu_ssitop_ctl.ncu_ssisif_ctl.cntr);
1101 $fsdbDumpvars(0,`NCU.ncu_ssitop_ctl.ncu_ssisif_ctl.l1clk);
1102 $fsdbDumpvars(0,`NCU.ncu_ssitop_ctl.ncu_ssisif_ctl.ctu_jbi_ssiclk_ff);
1103 $fsdbDumpvars(0,`NCU.ncu_ssitop_ctl.ncu_ssisif_ctl.iol2clk_div);
1104 $fsdbDumpvars(0,`NCU.ncu_ssitop_ctl.ncu_ssisif_ctl.jbi_io_ssi_sck);
1105 end //}
1106
1107//---------------------------------------------------------
1108end // }
1109
1110//----------------------------------------------------------
1111// Default dumpfile scope
1112else begin // {
1113 // Dump from TestBench tb_top
1114 $fsdbDumpvars(0,tb_top);
1115end // }
1116
1117//----------------------------------------------------------
1118if ($test$plusargs("DUMP_ALL")) begin // {
1119 // Dump TestBench tb_top and items not instantiated in tb_top (i.e. 0in)
1120 $fsdbDumpvars(0);
1121end // }
1122
1123//----------------------------------------------------------
1124//----------------------------------------------------------