Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / verif / env / fc / trig_event.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: trig_event.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35`define L2TAG0 tb_top.cpu.l2t0
36`define L2DAT0 tb_top.cpu.l2d0
37`define L2TAG1 tb_top.cpu.l2t1
38`define L2DAT1 tb_top.cpu.l2d1
39`define L2TAG2 tb_top.cpu.l2t2
40`define L2DAT2 tb_top.cpu.l2d2
41`define L2TAG3 tb_top.cpu.l2t3
42`define L2DAT3 tb_top.cpu.l2d3
43`define L2TAG4 tb_top.cpu.l2t4
44`define L2DAT4 tb_top.cpu.l2d4
45`define L2TAG5 tb_top.cpu.l2t5
46`define L2DAT5 tb_top.cpu.l2d5
47`define L2TAG6 tb_top.cpu.l2t6
48`define L2DAT6 tb_top.cpu.l2d6
49`define L2TAG7 tb_top.cpu.l2t7
50`define L2DAT7 tb_top.cpu.l2d7
51`define SPARC_CORE tb_top.cpu
52`define L2TAG0 tb_top.cpu.l2t0
53`define L2DAT0 tb_top.cpu.l2d0
54`define L2TAG1 tb_top.cpu.l2t1
55`define L2DAT1 tb_top.cpu.l2d1
56`define L2TAG2 tb_top.cpu.l2t2
57`define L2DAT2 tb_top.cpu.l2d2
58`define L2TAG3 tb_top.cpu.l2t3
59`define L2DAT3 tb_top.cpu.l2d3
60`define L2TAG4 tb_top.cpu.l2t4
61`define L2DAT4 tb_top.cpu.l2d4
62`define L2TAG5 tb_top.cpu.l2t5
63`define L2DAT5 tb_top.cpu.l2d5
64`define L2TAG6 tb_top.cpu.l2t6
65`define L2DAT6 tb_top.cpu.l2d6
66`define L2TAG7 tb_top.cpu.l2t7
67`define L2DAT7 tb_top.cpu.l2d7
68`define SPARC_CORE tb_top.cpu
69
70`define PCX0 `SPARC_CORE.spc0.spc_pcx_data_pa
71`define PCX1 `SPARC_CORE.spc1.spc_pcx_data_pa
72`define PCX2 `SPARC_CORE.spc2.spc_pcx_data_pa
73`define PCX3 `SPARC_CORE.spc3.spc_pcx_data_pa
74`define PCX4 `SPARC_CORE.spc4.spc_pcx_data_pa
75`define PCX5 `SPARC_CORE.spc5.spc_pcx_data_pa
76`define PCX6 `SPARC_CORE.spc6.spc_pcx_data_pa
77`define PCX7 `SPARC_CORE.spc7.spc_pcx_data_pa
78
79`define READ_REQ00 `SPARC_CORE.mcu0.l2t0_mcu_rd_req
80`define WRITE_REQ00 `SPARC_CORE.mcu0.l2t0_mcu_wr_req
81`define WRITE_VLD00 `SPARC_CORE.mcu0.l2b0_mcu_data_vld_r5
82`define READ_REQ01 `SPARC_CORE.mcu0.l2t1_mcu_rd_req
83`define WRITE_REQ01 `SPARC_CORE.mcu0.l2t1_mcu_wr_req
84`define WRITE_VLD01 `SPARC_CORE.mcu0.l2b1_mcu_data_vld_r5
85
86`define READ_REQ10 `SPARC_CORE.mcu1.l2t0_mcu_rd_req
87`define WRITE_REQ10 `SPARC_CORE.mcu1.l2t0_mcu_wr_req
88`define WRITE_VLD10 `SPARC_CORE.mcu1.l2b0_mcu_data_vld_r5
89`define READ_REQ11 `SPARC_CORE.mcu1.l2t1_mcu_rd_req
90`define WRITE_REQ11 `SPARC_CORE.mcu1.l2t1_mcu_wr_req
91`define WRITE_VLD11 `SPARC_CORE.mcu1.l2b1_mcu_data_vld_r5
92
93`define READ_REQ20 `SPARC_CORE.mcu2.l2t0_mcu_rd_req
94`define WRITE_REQ20 `SPARC_CORE.mcu2.l2t0_mcu_wr_req
95`define WRITE_VLD20 `SPARC_CORE.mcu2.l2b0_mcu_data_vld_r5
96`define READ_REQ21 `SPARC_CORE.mcu2.l2t1_mcu_rd_req
97`define WRITE_REQ21 `SPARC_CORE.mcu2.l2t1_mcu_wr_req
98`define WRITE_VLD21 `SPARC_CORE.mcu2.l2b1_mcu_data_vld_r5
99
100`define READ_REQ30 `SPARC_CORE.mcu3.l2t0_mcu_rd_req
101`define WRITE_REQ30 `SPARC_CORE.mcu3.l2t0_mcu_wr_req
102`define WRITE_VLD30 `SPARC_CORE.mcu3.l2b0_mcu_data_vld_r5
103`define READ_REQ31 `SPARC_CORE.mcu3.l2t1_mcu_rd_req
104`define WRITE_REQ31 `SPARC_CORE.mcu3.l2t1_mcu_wr_req
105`define WRITE_VLD31 `SPARC_CORE.mcu3.l2b1_mcu_data_vld_r5
106
107//nas path to get instruction donwe and pc
108`define NAS_PATH tb_top.nas_top
109
110module trig_event( /*AUTOARG*/
111 // Inputs
112 clk
113 );
114 `define DUMP 0
115 input clk;
116 integer handle;
117 //monitor pc and instruction done
118 //E-stage, D-stage, M-stage, and W-stage
119 //l1 & l2 invalide here
120 reg l2warm, rdy;
121 reg [7:0] active;
122 reg l2warm_enable, l1warm_enable, l1warm_start;
123 reg l2_done;
124 reg l2load_enable;
125 reg l2load, loadngo_enable, loadngo_mon;
126 //checker
127 task check_blk;
128 input [3:0] core;
129 input [129:0] pkt;
130
131 if((pkt[128:124] == 5'b00001) &&
132 (pkt[115:114] == 2'b11) &&
133 (pkt[111:104] == 8'hff))begin
134 $display("Info(%0t) ***LOADNGO WARNING*** spc%d issue a block store", $time, core);
135 /*
136 case(core)
137 0 : `PR_ERROR("loadngo_mon", `ERROR, "spc0 issue a block store.\n");
138 1 : `PR_ERROR("loadngo_mon", `ERROR, "spc1 issue a block store.\n");
139 2 : `PR_ERROR("loadngo_mon", `ERROR, "spc2 issue a block store.\n");
140 3 : `PR_ERROR("loadngo_mon", `ERROR, "spc3 issue a block store.\n");
141 4 : `PR_ERROR("loadngo_mon", `ERROR, "spc4 issue a block store.\n");
142 5 : `PR_ERROR("loadngo_mon", `ERROR, "spc5 issue a block store.\n");
143 6 : `PR_ERROR("loadngo_mon", `ERROR, "spc6 issue a block store.\n");
144 7 : `PR_ERROR("loadngo_mon", `ERROR, "spc7 issue a block store.\n");
145 endcase // case(core)
146 */
147 end
148
149 endtask // check_blk
150
151 //check block store
152 always @(posedge clk)begin
153 if(loadngo_enable)begin
154`ifdef CORE_0 if(`PCX0[129])check_blk(0, `PCX0);`endif
155`ifdef CORE_1 if(`PCX1[129])check_blk(1, `PCX1);`endif
156`ifdef CORE_2 if(`PCX2[129])check_blk(2, `PCX2);`endif
157`ifdef CORE_3 if(`PCX3[129])check_blk(3, `PCX3);`endif
158`ifdef CORE_4 if(`PCX4[129])check_blk(4, `PCX4);`endif
159`ifdef CORE_5 if(`PCX5[129])check_blk(5, `PCX5);`endif
160`ifdef CORE_6 if(`PCX6[129])check_blk(6, `PCX6);`endif
161`ifdef CORE_7 if(`PCX7[129])check_blk(7, `PCX7);`endif
162 end
163 end // always @ (posedge clk)
164
165 //monitor any load and store into dram
166 always @(posedge clk)begin
167 if(loadngo_enable)begin
168
169 if(`WRITE_VLD00)$display("Info(%0t) ***LOADNGO WARNING*** write request on mcu0 from l2 bank0", $time);
170 if(`WRITE_VLD01)$display("Info(%0t) ***LOADNGO WARNING*** write request on mcu0 from l2 bank1", $time);
171 if(`WRITE_VLD10)$display("Info(%0t) ***LOADNGO WARNING*** write request on mcu1 from l2 bank2", $time);
172 if(`WRITE_VLD11)$display("Info(%0t) ***LOADNGO WARNING*** write request on mcu1 from l2 bank3", $time);
173 if(`WRITE_VLD20)$display("Info(%0t) ***LOADNGO WARNING*** write request on mcu2 from l2 bank4", $time);
174 if(`WRITE_VLD21)$display("Info(%0t) ***LOADNGO WARNING*** write request on mcu3 from l2 bank5", $time);
175 if(`WRITE_VLD30)$display("Info(%0t) ***LOADNGO WARNING*** write request on mcu3 from l2 bank6", $time);
176 if(`WRITE_VLD31)$display("Info(%0t) ***LOADNGO WARNING*** write request on mcu3 from l2 bank7", $time);
177
178 /*
179// if(`READ_REQ00) `PR_ERROR("loadngo_mon", `ERROR, "read request on mcu0 from l2 bank0\n");
180 if(`WRITE_VLD00)`PR_ERROR("loadngo_mon", `ERROR, "write request on mcu0 from l2 bank0\n");
181// if(`READ_REQ01) `PR_ERROR("loadngo_mon", `ERROR, "read request on mcu0 from l2 bank1\n");
182 if(`WRITE_VLD01)`PR_ERROR("loadngo_mon", `ERROR, "write request on mcu0 from l2 bank1\n");
183
184// if(`READ_REQ10) `PR_ERROR("loadngo_mon", `ERROR, "read request on mcu1 from l2 bank2\n");
185 if(`WRITE_VLD10)`PR_ERROR("loadngo_mon", `ERROR, "write request on mcu1 from l2 bank2\n");
186// if(`READ_REQ11) `PR_ERROR("loadngo_mon", `ERROR, "read request on mcu1 from l2 bank3\n");
187 if(`WRITE_VLD11)`PR_ERROR("loadngo_mon", `ERROR, "write request on mcu1 from l2 bank3\n");
188
189// if(`READ_REQ20) `PR_ERROR("loadngo_mon", `ERROR, "read request on mcu2 from l2 bank4\n");
190 if(`WRITE_VLD20)`PR_ERROR("loadngo_mon", `ERROR, "write request on mcu2 from l2 bank4\n");
191// if(`READ_REQ21) `PR_ERROR("loadngo_mon", `ERROR, "read request on mcu2 from l2 bank5\n");
192 if(`WRITE_VLD21)`PR_ERROR("loadngo_mon", `ERROR, "write request on mcu2 from l2 bank5\n");
193
194// if(`READ_REQ30) `PR_ERROR("loadngo_mon", `ERROR, "read request on mcu3 from l2 bank6\n");
195 if(`WRITE_VLD30)`PR_ERROR("loadngo_mon", `ERROR, "write request on mcu3 from l2 bank6\n");
196// if(`READ_REQ31) `PR_ERROR("loadngo_mon", `ERROR, "read request on mcu3 from l2 bank7\n");
197 if(`WRITE_VLD31)`PR_ERROR("loadngo_mon", `ERROR, "write request on mcu3 from l2 bank7\n");
198*/
199 end
200 end
201
202 initial begin
203 active = 0;
204 l2warm_enable = 0;
205 l1warm_enable = 0;
206 l1warm_start = 0;
207 l2_done = 1;
208 l2load_enable = 0;
209 l2load = 0;
210 loadngo_enable= 0;
211 loadngo_mon = 0;
212
213 if($value$plusargs("loadngo=%h", l2load_enable))$display("Info:L2_loadngo slam enable %x", l2load_enable);
214 if($value$plusargs("loadngo_mon=%h", loadngo_mon))$display("Info:l2_loadngo monitor enable %x", loadngo_mon);
215 if($value$plusargs("l2warm=%h", l2warm_enable))$display("Info:l2warm enable %x", l2warm_enable);
216 if($value$plusargs("l1warm=%h", l1warm_enable))$display("Info:l1warm enable %x", l1warm_enable);
217
218`ifdef CORE_0 active[0] = 1;`endif
219`ifdef CORE_1 active[1] = 1;`endif
220`ifdef CORE_2 active[2] = 1;`endif
221`ifdef CORE_3 active[3] = 1;`endif
222`ifdef CORE_4 active[4] = 1;`endif
223`ifdef CORE_5 active[5] = 1;`endif
224`ifdef CORE_6 active[6] = 1;`endif
225`ifdef CORE_7 active[7] = 1;`endif
226 rdy = 1;
227 @(negedge `L2TAG0.csr_l2_bypass_mode_on or
228 negedge `L2TAG1.csr_l2_bypass_mode_on or
229 negedge `L2TAG2.csr_l2_bypass_mode_on or
230 negedge `L2TAG3.csr_l2_bypass_mode_on or
231 negedge `L2TAG4.csr_l2_bypass_mode_on or
232 negedge `L2TAG5.csr_l2_bypass_mode_on or
233 negedge `L2TAG6.csr_l2_bypass_mode_on or
234 negedge `L2TAG7.csr_l2_bypass_mode_on);
235 repeat(1) @(posedge clk);
236 if(`L2TAG0.tag.quad0.bank0.l2t_array.redundancy_en !== 0)rdy = 0;
237 if(l2warm_enable || l2load_enable)$n2_check_event(1, active, "diag.ev", rdy);//read diag.ev
238 if(l2load_enable)l2load = 1;
239 l2warm = 1;
240 if(loadngo_mon)loadngo_enable = 1;
241 end
242
243 //find event
244 always @(posedge clk)begin
245 if(l2warm_enable)
246 $n2_check_event(
247 2,
248 active,
249 l2warm,
250 `ifdef CORE_0
251 `NAS_PATH.c0.t0.complete_fw2,
252 `NAS_PATH.c0.t0.pc_fw2,
253 `endif
254 `ifdef CORE_1
255 `NAS_PATH.c1.t0.complete_fw2,
256 `NAS_PATH.c1.t0.pc_fw2,
257 `endif
258 `ifdef CORE_2
259 `NAS_PATH.c2.t0.complete_fw2,
260 `NAS_PATH.c2.t0.pc_fw2,
261 `endif
262 `ifdef CORE_3
263 `NAS_PATH.c3.t0.complete_fw2,
264 `NAS_PATH.c3.t0.pc_fw2,
265 `endif
266 `ifdef CORE_4
267 `NAS_PATH.c4.t0.complete_fw2,
268 `NAS_PATH.c4.t0.pc_fw2,
269 `endif
270 `ifdef CORE_5
271 `NAS_PATH.c5.t0.complete_fw2,
272 `NAS_PATH.c5.t0.pc_fw2,
273 `endif
274 `ifdef CORE_6
275 `NAS_PATH.c6.t0.complete_fw2,
276 `NAS_PATH.c6.t0.pc_fw2,
277 `endif
278 `ifdef CORE_7
279 `NAS_PATH.c7.t0.complete_fw2,
280 `NAS_PATH.c7.t0.pc_fw2,
281 `endif
282 );
283
284 end
285
286 //tag 16
287 //vuad 8
288 //data top_left (32+ 32)(to and bot):07_00 (32+ 32):70_01 (32+ 32):158_00 (32+ 32)158_01
289 //
290 always @(posedge clk)begin
291 if(l2warm || l2load)begin
292 l2warm = 0;
293 if(l2_done && l2load == 0)begin
294 if(l2warm_enable)$display("Info(%0t): L2-warm start...", $time);
295 else $display("Info(%t): L2-warm not enabled", $time);
296 l2_done = 0;
297 end
298 if(l2load)$display("Info(%0t): L2-warm for loadngo start...", $time);
299 l2load = 0;
300 $n2_l2_warm(
301//Bank 0 define
302 `L2TAG0.tag.quad0.bank0.l2t_array.mem_lft, //1 reg [`L2T_ARR_D_WIDTH + 1:0] way0[`L2T_ARR_DEPTH - 1 :0];
303 `L2TAG0.tag.quad0.bank0.l2t_array.mem_rgt, //`define L2T_ARR_D_WIDTH 28 `define L2T_ARR_DEPTH 2
304
305 `L2TAG0.tag.quad0.bank1.l2t_array.mem_lft,
306 `L2TAG0.tag.quad0.bank1.l2t_array.mem_rgt,
307
308 `L2TAG0.tag.quad1.bank0.l2t_array.mem_lft,
309 `L2TAG0.tag.quad1.bank0.l2t_array.mem_rgt,
310
311 `L2TAG0.tag.quad1.bank1.l2t_array.mem_lft,
312 `L2TAG0.tag.quad1.bank1.l2t_array.mem_rgt,
313
314 `L2TAG0.tag.quad2.bank0.l2t_array.mem_lft,
315 `L2TAG0.tag.quad2.bank0.l2t_array.mem_rgt,
316
317 `L2TAG0.tag.quad2.bank1.l2t_array.mem_lft,
318 `L2TAG0.tag.quad2.bank1.l2t_array.mem_rgt,
319
320 `L2TAG0.tag.quad3.bank0.l2t_array.mem_lft,
321 `L2TAG0.tag.quad3.bank0.l2t_array.mem_rgt,
322
323 `L2TAG0.tag.quad3.bank1.l2t_array.mem_lft,
324 `L2TAG0.tag.quad3.bank1.l2t_array.mem_rgt, //16
325
326 `L2TAG0.subarray_0.array.inq_ary, // arg 17: reg [159:0] inq_ary [31:0];
327 `L2TAG0.subarray_1.array.inq_ary,
328 `L2TAG0.subarray_2.array.inq_ary,
329 `L2TAG0.subarray_3.array.inq_ary,
330 `L2TAG0.subarray_8.array.inq_ary,
331 `L2TAG0.subarray_9.array.inq_ary,
332 `L2TAG0.subarray_10.array.inq_ary,
333 `L2TAG0.subarray_11.array.inq_ary, //24
334
335 //bank 0 data
336 //way [7:0]
337 //[8] = 0 [255-0]
338 `L2DAT0.quad_top_left.way07_00.set_bot.mem_lo0_way0, //arg 313
339 `L2DAT0.quad_top_left.way07_00.set_bot.mem_hi0_way0,
340 `L2DAT0.quad_top_left.way07_00.set_bot.mem_lo1_way0, //[18:0] mem_lo1_way0 [255:0];
341 `L2DAT0.quad_top_left.way07_00.set_bot.mem_hi1_way0,
342 //index [511-256]
343 `L2DAT0.quad_top_left.way07_00.set_top.mem_lo0_way0 , //arg 281
344 `L2DAT0.quad_top_left.way07_00.set_top.mem_hi0_way0,
345 `L2DAT0.quad_top_left.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
346 `L2DAT0.quad_top_left.way07_00.set_top.mem_hi1_way0,
347
348 `L2DAT0.quad_top_left.way07_00.set_bot.mem_lo0_way1,
349 `L2DAT0.quad_top_left.way07_00.set_bot.mem_hi0_way1,
350 `L2DAT0.quad_top_left.way07_00.set_bot.mem_lo1_way1,
351 `L2DAT0.quad_top_left.way07_00.set_bot.mem_hi1_way1,
352
353 `L2DAT0.quad_top_left.way07_00.set_top.mem_lo0_way1,
354 `L2DAT0.quad_top_left.way07_00.set_top.mem_hi0_way1,
355 `L2DAT0.quad_top_left.way07_00.set_top.mem_lo1_way1,
356 `L2DAT0.quad_top_left.way07_00.set_top.mem_hi1_way1,
357
358 `L2DAT0.quad_top_left.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
359 `L2DAT0.quad_top_left.way07_00.set_bot.mem_hi0_way2,
360 `L2DAT0.quad_top_left.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
361 `L2DAT0.quad_top_left.way07_00.set_bot.mem_hi1_way2,
362
363 `L2DAT0.quad_top_left.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
364 `L2DAT0.quad_top_left.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
365 `L2DAT0.quad_top_left.way07_00.set_top.mem_lo1_way2,
366 `L2DAT0.quad_top_left.way07_00.set_top.mem_hi1_way2,
367
368 `L2DAT0.quad_top_left.way07_00.set_bot.mem_lo0_way3,
369 `L2DAT0.quad_top_left.way07_00.set_bot.mem_hi0_way3,
370 `L2DAT0.quad_top_left.way07_00.set_bot.mem_lo1_way3,
371 `L2DAT0.quad_top_left.way07_00.set_bot.mem_hi1_way3,
372
373 `L2DAT0.quad_top_left.way07_00.set_top.mem_lo0_way3,
374 `L2DAT0.quad_top_left.way07_00.set_top.mem_hi0_way3,
375 `L2DAT0.quad_top_left.way07_00.set_top.mem_lo1_way3,
376 `L2DAT0.quad_top_left.way07_00.set_top.mem_hi1_way3,
377
378 `L2DAT0.quad_top_left.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
379 `L2DAT0.quad_top_left.way07_00.set_bot.mem_hi0_way4,
380 `L2DAT0.quad_top_left.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
381 `L2DAT0.quad_top_left.way07_00.set_bot.mem_hi1_way4,
382
383 `L2DAT0.quad_top_left.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
384 `L2DAT0.quad_top_left.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
385 `L2DAT0.quad_top_left.way07_00.set_top.mem_lo1_way4,
386 `L2DAT0.quad_top_left.way07_00.set_top.mem_hi1_way4,
387
388 `L2DAT0.quad_top_left.way07_00.set_bot.mem_lo0_way5,
389 `L2DAT0.quad_top_left.way07_00.set_bot.mem_hi0_way5,
390 `L2DAT0.quad_top_left.way07_00.set_bot.mem_lo1_way5,
391 `L2DAT0.quad_top_left.way07_00.set_bot.mem_hi1_way5,
392
393 `L2DAT0.quad_top_left.way07_00.set_top.mem_lo0_way5,
394 `L2DAT0.quad_top_left.way07_00.set_top.mem_hi0_way5,
395 `L2DAT0.quad_top_left.way07_00.set_top.mem_lo1_way5,
396 `L2DAT0.quad_top_left.way07_00.set_top.mem_hi1_way5,
397
398 `L2DAT0.quad_top_left.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
399 `L2DAT0.quad_top_left.way07_00.set_bot.mem_hi0_way6,
400 `L2DAT0.quad_top_left.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
401 `L2DAT0.quad_top_left.way07_00.set_bot.mem_hi1_way6,
402
403 `L2DAT0.quad_top_left.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
404 `L2DAT0.quad_top_left.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
405 `L2DAT0.quad_top_left.way07_00.set_top.mem_lo1_way6,
406 `L2DAT0.quad_top_left.way07_00.set_top.mem_hi1_way6,
407
408 `L2DAT0.quad_top_left.way07_00.set_bot.mem_lo0_way7,
409 `L2DAT0.quad_top_left.way07_00.set_bot.mem_hi0_way7,
410 `L2DAT0.quad_top_left.way07_00.set_bot.mem_lo1_way7,
411 `L2DAT0.quad_top_left.way07_00.set_bot.mem_hi1_way7,
412
413 `L2DAT0.quad_top_left.way07_00.set_top.mem_lo0_way7,
414 `L2DAT0.quad_top_left.way07_00.set_top.mem_hi0_way7,
415 `L2DAT0.quad_top_left.way07_00.set_top.mem_lo1_way7,
416 `L2DAT0.quad_top_left.way07_00.set_top.mem_hi1_way7, //toatl arg 64
417
418 //way158_00 top way [15:8]
419 //index[255:0]
420
421 `L2DAT0.quad_top_left.way158_00.set_bot.mem_lo0_way0 , //arg 441
422 `L2DAT0.quad_top_left.way158_00.set_bot.mem_hi0_way0,
423 `L2DAT0.quad_top_left.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
424 `L2DAT0.quad_top_left.way158_00.set_bot.mem_hi1_way0,
425 //index[511:256]
426 `L2DAT0.quad_top_left.way158_00.set_top.mem_lo0_way0 , //arg 409
427 `L2DAT0.quad_top_left.way158_00.set_top.mem_hi0_way0,
428 `L2DAT0.quad_top_left.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
429 `L2DAT0.quad_top_left.way158_00.set_top.mem_hi1_way0,
430
431 `L2DAT0.quad_top_left.way158_00.set_bot.mem_lo0_way1,
432 `L2DAT0.quad_top_left.way158_00.set_bot.mem_hi0_way1,
433 `L2DAT0.quad_top_left.way158_00.set_bot.mem_lo1_way1,
434 `L2DAT0.quad_top_left.way158_00.set_bot.mem_hi1_way1,
435
436 `L2DAT0.quad_top_left.way158_00.set_top.mem_lo0_way1,
437 `L2DAT0.quad_top_left.way158_00.set_top.mem_hi0_way1,
438 `L2DAT0.quad_top_left.way158_00.set_top.mem_lo1_way1,
439 `L2DAT0.quad_top_left.way158_00.set_top.mem_hi1_way1,
440
441 `L2DAT0.quad_top_left.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
442 `L2DAT0.quad_top_left.way158_00.set_bot.mem_hi0_way2,
443 `L2DAT0.quad_top_left.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
444 `L2DAT0.quad_top_left.way158_00.set_bot.mem_hi1_way2,
445
446 `L2DAT0.quad_top_left.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
447 `L2DAT0.quad_top_left.way158_00.set_top.mem_hi0_way2,
448 `L2DAT0.quad_top_left.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
449 `L2DAT0.quad_top_left.way158_00.set_top.mem_hi1_way2,
450
451 `L2DAT0.quad_top_left.way158_00.set_bot.mem_lo0_way3,
452 `L2DAT0.quad_top_left.way158_00.set_bot.mem_hi0_way3,
453 `L2DAT0.quad_top_left.way158_00.set_bot.mem_lo1_way3,
454 `L2DAT0.quad_top_left.way158_00.set_bot.mem_hi1_way3,
455
456 `L2DAT0.quad_top_left.way158_00.set_top.mem_lo0_way3,
457 `L2DAT0.quad_top_left.way158_00.set_top.mem_hi0_way3,
458 `L2DAT0.quad_top_left.way158_00.set_top.mem_lo1_way3,
459 `L2DAT0.quad_top_left.way158_00.set_top.mem_hi1_way3,
460
461 `L2DAT0.quad_top_left.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
462 `L2DAT0.quad_top_left.way158_00.set_bot.mem_hi0_way4,
463 `L2DAT0.quad_top_left.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
464 `L2DAT0.quad_top_left.way158_00.set_bot.mem_hi1_way4,
465
466 `L2DAT0.quad_top_left.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
467 `L2DAT0.quad_top_left.way158_00.set_top.mem_hi0_way4,
468 `L2DAT0.quad_top_left.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
469 `L2DAT0.quad_top_left.way158_00.set_top.mem_hi1_way4,
470
471 `L2DAT0.quad_top_left.way158_00.set_bot.mem_lo0_way5,
472 `L2DAT0.quad_top_left.way158_00.set_bot.mem_hi0_way5,
473 `L2DAT0.quad_top_left.way158_00.set_bot.mem_lo1_way5,
474 `L2DAT0.quad_top_left.way158_00.set_bot.mem_hi1_way5,
475
476 `L2DAT0.quad_top_left.way158_00.set_top.mem_lo0_way5,
477 `L2DAT0.quad_top_left.way158_00.set_top.mem_hi0_way5,
478 `L2DAT0.quad_top_left.way158_00.set_top.mem_lo1_way5,
479 `L2DAT0.quad_top_left.way158_00.set_top.mem_hi1_way5,
480
481 `L2DAT0.quad_top_left.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
482 `L2DAT0.quad_top_left.way158_00.set_bot.mem_hi0_way6,
483 `L2DAT0.quad_top_left.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
484 `L2DAT0.quad_top_left.way158_00.set_bot.mem_hi1_way6,
485
486 `L2DAT0.quad_top_left.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
487 `L2DAT0.quad_top_left.way158_00.set_top.mem_hi0_way6,
488 `L2DAT0.quad_top_left.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
489 `L2DAT0.quad_top_left.way158_00.set_top.mem_hi1_way6,
490
491 `L2DAT0.quad_top_left.way158_00.set_bot.mem_lo0_way7,
492 `L2DAT0.quad_top_left.way158_00.set_bot.mem_hi0_way7,
493 `L2DAT0.quad_top_left.way158_00.set_bot.mem_lo1_way7,
494 `L2DAT0.quad_top_left.way158_00.set_bot.mem_hi1_way7,
495
496 `L2DAT0.quad_top_left.way158_00.set_top.mem_lo0_way7,
497 `L2DAT0.quad_top_left.way158_00.set_top.mem_hi0_way7,
498 `L2DAT0.quad_top_left.way158_00.set_top.mem_lo1_way7,
499 `L2DAT0.quad_top_left.way158_00.set_top.mem_hi1_way7, //128
500
501 //way70_01 bottom
502 //bottom
503 `L2DAT0.quad_top_left.way70_01.set_bot.mem_lo0_way0 , //[623:604]
504 `L2DAT0.quad_top_left.way70_01.set_bot.mem_hi0_way0,
505 `L2DAT0.quad_top_left.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
506 `L2DAT0.quad_top_left.way70_01.set_bot.mem_hi1_way0,
507
508 `L2DAT0.quad_top_left.way70_01.set_top.mem_lo0_way0 , //arg 345
509 `L2DAT0.quad_top_left.way70_01.set_top.mem_hi0_way0,
510 `L2DAT0.quad_top_left.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
511 `L2DAT0.quad_top_left.way70_01.set_top.mem_hi1_way0,
512
513 `L2DAT0.quad_top_left.way70_01.set_bot.mem_lo0_way1,
514 `L2DAT0.quad_top_left.way70_01.set_bot.mem_hi0_way1,
515 `L2DAT0.quad_top_left.way70_01.set_bot.mem_lo1_way1,
516 `L2DAT0.quad_top_left.way70_01.set_bot.mem_hi1_way1,
517
518 `L2DAT0.quad_top_left.way70_01.set_top.mem_lo0_way1,
519 `L2DAT0.quad_top_left.way70_01.set_top.mem_hi0_way1,
520 `L2DAT0.quad_top_left.way70_01.set_top.mem_lo1_way1,
521 `L2DAT0.quad_top_left.way70_01.set_top.mem_hi1_way1,
522
523 `L2DAT0.quad_top_left.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
524 `L2DAT0.quad_top_left.way70_01.set_bot.mem_hi0_way2,
525 `L2DAT0.quad_top_left.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
526 `L2DAT0.quad_top_left.way70_01.set_bot.mem_hi1_way2,
527
528 `L2DAT0.quad_top_left.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
529 `L2DAT0.quad_top_left.way70_01.set_top.mem_hi0_way2,
530 `L2DAT0.quad_top_left.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
531 `L2DAT0.quad_top_left.way70_01.set_top.mem_hi1_way2,
532
533 `L2DAT0.quad_top_left.way70_01.set_bot.mem_lo0_way3,
534 `L2DAT0.quad_top_left.way70_01.set_bot.mem_hi0_way3,
535 `L2DAT0.quad_top_left.way70_01.set_bot.mem_lo1_way3,
536 `L2DAT0.quad_top_left.way70_01.set_bot.mem_hi1_way3,
537
538 `L2DAT0.quad_top_left.way70_01.set_top.mem_lo0_way3,
539 `L2DAT0.quad_top_left.way70_01.set_top.mem_hi0_way3,
540 `L2DAT0.quad_top_left.way70_01.set_top.mem_lo1_way3,
541 `L2DAT0.quad_top_left.way70_01.set_top.mem_hi1_way3,
542
543 `L2DAT0.quad_top_left.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
544 `L2DAT0.quad_top_left.way70_01.set_bot.mem_hi0_way4,
545 `L2DAT0.quad_top_left.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
546 `L2DAT0.quad_top_left.way70_01.set_bot.mem_hi1_way4,
547
548 `L2DAT0.quad_top_left.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
549 `L2DAT0.quad_top_left.way70_01.set_top.mem_hi0_way4,
550 `L2DAT0.quad_top_left.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
551 `L2DAT0.quad_top_left.way70_01.set_top.mem_hi1_way4,
552
553 `L2DAT0.quad_top_left.way70_01.set_bot.mem_lo0_way5,
554 `L2DAT0.quad_top_left.way70_01.set_bot.mem_hi0_way5,
555 `L2DAT0.quad_top_left.way70_01.set_bot.mem_lo1_way5,
556 `L2DAT0.quad_top_left.way70_01.set_bot.mem_hi1_way5,
557
558 `L2DAT0.quad_top_left.way70_01.set_top.mem_lo0_way5,
559 `L2DAT0.quad_top_left.way70_01.set_top.mem_hi0_way5 ,
560 `L2DAT0.quad_top_left.way70_01.set_top.mem_lo1_way5,
561 `L2DAT0.quad_top_left.way70_01.set_top.mem_hi1_way5,
562
563 `L2DAT0.quad_top_left.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
564 `L2DAT0.quad_top_left.way70_01.set_bot.mem_hi0_way6,
565 `L2DAT0.quad_top_left.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
566 `L2DAT0.quad_top_left.way70_01.set_bot.mem_hi1_way6,
567
568 `L2DAT0.quad_top_left.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
569 `L2DAT0.quad_top_left.way70_01.set_top.mem_hi0_way6,
570 `L2DAT0.quad_top_left.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
571 `L2DAT0.quad_top_left.way70_01.set_top.mem_hi1_way6,
572
573 `L2DAT0.quad_top_left.way70_01.set_bot.mem_lo0_way7,
574 `L2DAT0.quad_top_left.way70_01.set_bot.mem_hi0_way7 ,
575 `L2DAT0.quad_top_left.way70_01.set_bot.mem_lo1_way7,
576 `L2DAT0.quad_top_left.way70_01.set_bot.mem_hi1_way7,
577
578 `L2DAT0.quad_top_left.way70_01.set_top.mem_lo0_way7,
579 `L2DAT0.quad_top_left.way70_01.set_top.mem_hi0_way7 ,
580 `L2DAT0.quad_top_left.way70_01.set_top.mem_lo1_way7,
581 `L2DAT0.quad_top_left.way70_01.set_top.mem_hi1_way7, //192
582
583 //way [15:8]
584 `L2DAT0.quad_top_left.way158_01.set_bot.mem_lo0_way0 , //arg 505
585 `L2DAT0.quad_top_left.way158_01.set_bot.mem_hi0_way0,
586 `L2DAT0.quad_top_left.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
587 `L2DAT0.quad_top_left.way158_01.set_bot.mem_hi1_way0,
588 //index [8]
589 `L2DAT0.quad_top_left.way158_01.set_top.mem_lo0_way0 , //arg 473
590 `L2DAT0.quad_top_left.way158_01.set_top.mem_hi0_way0,
591 `L2DAT0.quad_top_left.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
592 `L2DAT0.quad_top_left.way158_01.set_top.mem_hi1_way0,
593
594 `L2DAT0.quad_top_left.way158_01.set_bot.mem_lo0_way1,
595 `L2DAT0.quad_top_left.way158_01.set_bot.mem_hi0_way1,
596 `L2DAT0.quad_top_left.way158_01.set_bot.mem_lo1_way1,
597 `L2DAT0.quad_top_left.way158_01.set_bot.mem_hi1_way1,
598
599 `L2DAT0.quad_top_left.way158_01.set_top.mem_lo0_way1,
600 `L2DAT0.quad_top_left.way158_01.set_top.mem_hi0_way1,
601 `L2DAT0.quad_top_left.way158_01.set_top.mem_lo1_way1,
602 `L2DAT0.quad_top_left.way158_01.set_top.mem_hi1_way1,
603
604 `L2DAT0.quad_top_left.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
605 `L2DAT0.quad_top_left.way158_01.set_bot.mem_hi0_way2,
606 `L2DAT0.quad_top_left.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
607 `L2DAT0.quad_top_left.way158_01.set_bot.mem_hi1_way2,
608
609 `L2DAT0.quad_top_left.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
610 `L2DAT0.quad_top_left.way158_01.set_top.mem_hi0_way2,
611 `L2DAT0.quad_top_left.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
612 `L2DAT0.quad_top_left.way158_01.set_top.mem_hi1_way2,
613
614 `L2DAT0.quad_top_left.way158_01.set_bot.mem_lo0_way3,
615 `L2DAT0.quad_top_left.way158_01.set_bot.mem_hi0_way3,
616 `L2DAT0.quad_top_left.way158_01.set_bot.mem_lo1_way3,
617 `L2DAT0.quad_top_left.way158_01.set_bot.mem_hi1_way3,
618
619 `L2DAT0.quad_top_left.way158_01.set_top.mem_lo0_way3,
620 `L2DAT0.quad_top_left.way158_01.set_top.mem_hi0_way3,
621 `L2DAT0.quad_top_left.way158_01.set_top.mem_lo1_way3,
622 `L2DAT0.quad_top_left.way158_01.set_top.mem_hi1_way3,
623
624 `L2DAT0.quad_top_left.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
625 `L2DAT0.quad_top_left.way158_01.set_bot.mem_hi0_way4,
626 `L2DAT0.quad_top_left.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
627 `L2DAT0.quad_top_left.way158_01.set_bot.mem_hi1_way4,
628
629 `L2DAT0.quad_top_left.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
630 `L2DAT0.quad_top_left.way158_01.set_top.mem_hi0_way4,
631 `L2DAT0.quad_top_left.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
632 `L2DAT0.quad_top_left.way158_01.set_top.mem_hi1_way4,
633
634 `L2DAT0.quad_top_left.way158_01.set_bot.mem_lo0_way5,
635 `L2DAT0.quad_top_left.way158_01.set_bot.mem_hi0_way5,
636 `L2DAT0.quad_top_left.way158_01.set_bot.mem_lo1_way5,
637 `L2DAT0.quad_top_left.way158_01.set_bot.mem_hi1_way5,
638
639 `L2DAT0.quad_top_left.way158_01.set_top.mem_lo0_way5,
640 `L2DAT0.quad_top_left.way158_01.set_top.mem_hi0_way5,
641 `L2DAT0.quad_top_left.way158_01.set_top.mem_lo1_way5,
642 `L2DAT0.quad_top_left.way158_01.set_top.mem_hi1_way5,
643
644 `L2DAT0.quad_top_left.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
645 `L2DAT0.quad_top_left.way158_01.set_bot.mem_hi0_way6,
646 `L2DAT0.quad_top_left.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
647 `L2DAT0.quad_top_left.way158_01.set_bot.mem_hi1_way6,
648
649 `L2DAT0.quad_top_left.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
650 `L2DAT0.quad_top_left.way158_01.set_top.mem_hi0_way6,
651 `L2DAT0.quad_top_left.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
652 `L2DAT0.quad_top_left.way158_01.set_top.mem_hi1_way6,
653
654 `L2DAT0.quad_top_left.way158_01.set_bot.mem_lo0_way7,
655 `L2DAT0.quad_top_left.way158_01.set_bot.mem_hi0_way7,
656 `L2DAT0.quad_top_left.way158_01.set_bot.mem_lo1_way7,
657 `L2DAT0.quad_top_left.way158_01.set_bot.mem_hi1_way7,
658
659 `L2DAT0.quad_top_left.way158_01.set_top.mem_lo0_way7,
660 `L2DAT0.quad_top_left.way158_01.set_top.mem_hi0_way7,
661 `L2DAT0.quad_top_left.way158_01.set_top.mem_lo1_way7,
662 `L2DAT0.quad_top_left.way158_01.set_top.mem_hi1_way7, //256
663 //right handside
664 //bank 0 data
665 //way [7:0]
666 //[8] = 0 [255-0]
667 `L2DAT0.quad_top_right.way07_00.set_bot.mem_lo0_way0 , //arg 313
668 `L2DAT0.quad_top_right.way07_00.set_bot.mem_hi0_way0,
669 `L2DAT0.quad_top_right.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
670 `L2DAT0.quad_top_right.way07_00.set_bot.mem_hi1_way0,
671 //index [511-256]
672 `L2DAT0.quad_top_right.way07_00.set_top.mem_lo0_way0 , //arg 281
673 `L2DAT0.quad_top_right.way07_00.set_top.mem_hi0_way0,
674 `L2DAT0.quad_top_right.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
675 `L2DAT0.quad_top_right.way07_00.set_top.mem_hi1_way0,
676
677 `L2DAT0.quad_top_right.way07_00.set_bot.mem_lo0_way1,
678 `L2DAT0.quad_top_right.way07_00.set_bot.mem_hi0_way1,
679 `L2DAT0.quad_top_right.way07_00.set_bot.mem_lo1_way1,
680 `L2DAT0.quad_top_right.way07_00.set_bot.mem_hi1_way1,
681
682 `L2DAT0.quad_top_right.way07_00.set_top.mem_lo0_way1,
683 `L2DAT0.quad_top_right.way07_00.set_top.mem_hi0_way1,
684 `L2DAT0.quad_top_right.way07_00.set_top.mem_lo1_way1,
685 `L2DAT0.quad_top_right.way07_00.set_top.mem_hi1_way1,
686
687 `L2DAT0.quad_top_right.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
688 `L2DAT0.quad_top_right.way07_00.set_bot.mem_hi0_way2,
689 `L2DAT0.quad_top_right.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
690 `L2DAT0.quad_top_right.way07_00.set_bot.mem_hi1_way2,
691
692 `L2DAT0.quad_top_right.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
693 `L2DAT0.quad_top_right.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
694 `L2DAT0.quad_top_right.way07_00.set_top.mem_lo1_way2,
695 `L2DAT0.quad_top_right.way07_00.set_top.mem_hi1_way2,
696
697 `L2DAT0.quad_top_right.way07_00.set_bot.mem_lo0_way3,
698 `L2DAT0.quad_top_right.way07_00.set_bot.mem_hi0_way3,
699 `L2DAT0.quad_top_right.way07_00.set_bot.mem_lo1_way3,
700 `L2DAT0.quad_top_right.way07_00.set_bot.mem_hi1_way3,
701
702 `L2DAT0.quad_top_right.way07_00.set_top.mem_lo0_way3,
703 `L2DAT0.quad_top_right.way07_00.set_top.mem_hi0_way3,
704 `L2DAT0.quad_top_right.way07_00.set_top.mem_lo1_way3,
705 `L2DAT0.quad_top_right.way07_00.set_top.mem_hi1_way3,
706
707 `L2DAT0.quad_top_right.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
708 `L2DAT0.quad_top_right.way07_00.set_bot.mem_hi0_way4,
709 `L2DAT0.quad_top_right.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
710 `L2DAT0.quad_top_right.way07_00.set_bot.mem_hi1_way4,
711
712 `L2DAT0.quad_top_right.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
713 `L2DAT0.quad_top_right.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
714 `L2DAT0.quad_top_right.way07_00.set_top.mem_lo1_way4,
715 `L2DAT0.quad_top_right.way07_00.set_top.mem_hi1_way4,
716
717 `L2DAT0.quad_top_right.way07_00.set_bot.mem_lo0_way5,
718 `L2DAT0.quad_top_right.way07_00.set_bot.mem_hi0_way5,
719 `L2DAT0.quad_top_right.way07_00.set_bot.mem_lo1_way5,
720 `L2DAT0.quad_top_right.way07_00.set_bot.mem_hi1_way5,
721
722 `L2DAT0.quad_top_right.way07_00.set_top.mem_lo0_way5,
723 `L2DAT0.quad_top_right.way07_00.set_top.mem_hi0_way5,
724 `L2DAT0.quad_top_right.way07_00.set_top.mem_lo1_way5,
725 `L2DAT0.quad_top_right.way07_00.set_top.mem_hi1_way5,
726
727 `L2DAT0.quad_top_right.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
728 `L2DAT0.quad_top_right.way07_00.set_bot.mem_hi0_way6,
729 `L2DAT0.quad_top_right.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
730 `L2DAT0.quad_top_right.way07_00.set_bot.mem_hi1_way6,
731
732 `L2DAT0.quad_top_right.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
733 `L2DAT0.quad_top_right.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
734 `L2DAT0.quad_top_right.way07_00.set_top.mem_lo1_way6,
735 `L2DAT0.quad_top_right.way07_00.set_top.mem_hi1_way6,
736
737 `L2DAT0.quad_top_right.way07_00.set_bot.mem_lo0_way7,
738 `L2DAT0.quad_top_right.way07_00.set_bot.mem_hi0_way7,
739 `L2DAT0.quad_top_right.way07_00.set_bot.mem_lo1_way7,
740 `L2DAT0.quad_top_right.way07_00.set_bot.mem_hi1_way7,
741
742 `L2DAT0.quad_top_right.way07_00.set_top.mem_lo0_way7,
743 `L2DAT0.quad_top_right.way07_00.set_top.mem_hi0_way7,
744 `L2DAT0.quad_top_right.way07_00.set_top.mem_lo1_way7,
745 `L2DAT0.quad_top_right.way07_00.set_top.mem_hi1_way7, //toatl arg 64
746
747 //way158_00 top way [15:8]
748 //index[255:0]
749
750 `L2DAT0.quad_top_right.way158_00.set_bot.mem_lo0_way0 , //arg 441
751 `L2DAT0.quad_top_right.way158_00.set_bot.mem_hi0_way0,
752 `L2DAT0.quad_top_right.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
753 `L2DAT0.quad_top_right.way158_00.set_bot.mem_hi1_way0,
754 //index[511:256]
755 `L2DAT0.quad_top_right.way158_00.set_top.mem_lo0_way0 , //arg 409
756 `L2DAT0.quad_top_right.way158_00.set_top.mem_hi0_way0,
757 `L2DAT0.quad_top_right.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
758 `L2DAT0.quad_top_right.way158_00.set_top.mem_hi1_way0,
759
760 `L2DAT0.quad_top_right.way158_00.set_bot.mem_lo0_way1,
761 `L2DAT0.quad_top_right.way158_00.set_bot.mem_hi0_way1,
762 `L2DAT0.quad_top_right.way158_00.set_bot.mem_lo1_way1,
763 `L2DAT0.quad_top_right.way158_00.set_bot.mem_hi1_way1,
764
765 `L2DAT0.quad_top_right.way158_00.set_top.mem_lo0_way1,
766 `L2DAT0.quad_top_right.way158_00.set_top.mem_hi0_way1,
767 `L2DAT0.quad_top_right.way158_00.set_top.mem_lo1_way1,
768 `L2DAT0.quad_top_right.way158_00.set_top.mem_hi1_way1,
769
770 `L2DAT0.quad_top_right.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
771 `L2DAT0.quad_top_right.way158_00.set_bot.mem_hi0_way2,
772 `L2DAT0.quad_top_right.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
773 `L2DAT0.quad_top_right.way158_00.set_bot.mem_hi1_way2,
774
775 `L2DAT0.quad_top_right.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
776 `L2DAT0.quad_top_right.way158_00.set_top.mem_hi0_way2,
777 `L2DAT0.quad_top_right.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
778 `L2DAT0.quad_top_right.way158_00.set_top.mem_hi1_way2,
779
780 `L2DAT0.quad_top_right.way158_00.set_bot.mem_lo0_way3,
781 `L2DAT0.quad_top_right.way158_00.set_bot.mem_hi0_way3,
782 `L2DAT0.quad_top_right.way158_00.set_bot.mem_lo1_way3,
783 `L2DAT0.quad_top_right.way158_00.set_bot.mem_hi1_way3,
784
785 `L2DAT0.quad_top_right.way158_00.set_top.mem_lo0_way3,
786 `L2DAT0.quad_top_right.way158_00.set_top.mem_hi0_way3,
787 `L2DAT0.quad_top_right.way158_00.set_top.mem_lo1_way3,
788 `L2DAT0.quad_top_right.way158_00.set_top.mem_hi1_way3,
789
790 `L2DAT0.quad_top_right.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
791 `L2DAT0.quad_top_right.way158_00.set_bot.mem_hi0_way4,
792 `L2DAT0.quad_top_right.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
793 `L2DAT0.quad_top_right.way158_00.set_bot.mem_hi1_way4,
794
795 `L2DAT0.quad_top_right.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
796 `L2DAT0.quad_top_right.way158_00.set_top.mem_hi0_way4,
797 `L2DAT0.quad_top_right.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
798 `L2DAT0.quad_top_right.way158_00.set_top.mem_hi1_way4,
799
800 `L2DAT0.quad_top_right.way158_00.set_bot.mem_lo0_way5,
801 `L2DAT0.quad_top_right.way158_00.set_bot.mem_hi0_way5,
802 `L2DAT0.quad_top_right.way158_00.set_bot.mem_lo1_way5,
803 `L2DAT0.quad_top_right.way158_00.set_bot.mem_hi1_way5,
804
805 `L2DAT0.quad_top_right.way158_00.set_top.mem_lo0_way5,
806 `L2DAT0.quad_top_right.way158_00.set_top.mem_hi0_way5,
807 `L2DAT0.quad_top_right.way158_00.set_top.mem_lo1_way5,
808 `L2DAT0.quad_top_right.way158_00.set_top.mem_hi1_way5,
809
810 `L2DAT0.quad_top_right.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
811 `L2DAT0.quad_top_right.way158_00.set_bot.mem_hi0_way6,
812 `L2DAT0.quad_top_right.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
813 `L2DAT0.quad_top_right.way158_00.set_bot.mem_hi1_way6,
814
815 `L2DAT0.quad_top_right.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
816 `L2DAT0.quad_top_right.way158_00.set_top.mem_hi0_way6,
817 `L2DAT0.quad_top_right.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
818 `L2DAT0.quad_top_right.way158_00.set_top.mem_hi1_way6,
819
820 `L2DAT0.quad_top_right.way158_00.set_bot.mem_lo0_way7,
821 `L2DAT0.quad_top_right.way158_00.set_bot.mem_hi0_way7,
822 `L2DAT0.quad_top_right.way158_00.set_bot.mem_lo1_way7,
823 `L2DAT0.quad_top_right.way158_00.set_bot.mem_hi1_way7,
824
825 `L2DAT0.quad_top_right.way158_00.set_top.mem_lo0_way7,
826 `L2DAT0.quad_top_right.way158_00.set_top.mem_hi0_way7,
827 `L2DAT0.quad_top_right.way158_00.set_top.mem_lo1_way7,
828 `L2DAT0.quad_top_right.way158_00.set_top.mem_hi1_way7, //128
829
830 //way70_01 bottom
831 //bottom
832 `L2DAT0.quad_top_right.way70_01.set_bot.mem_lo0_way0 , //[623:604]
833 `L2DAT0.quad_top_right.way70_01.set_bot.mem_hi0_way0,
834 `L2DAT0.quad_top_right.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
835 `L2DAT0.quad_top_right.way70_01.set_bot.mem_hi1_way0,
836
837 `L2DAT0.quad_top_right.way70_01.set_top.mem_lo0_way0 , //arg 345
838 `L2DAT0.quad_top_right.way70_01.set_top.mem_hi0_way0,
839 `L2DAT0.quad_top_right.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
840 `L2DAT0.quad_top_right.way70_01.set_top.mem_hi1_way0,
841
842 `L2DAT0.quad_top_right.way70_01.set_bot.mem_lo0_way1,
843 `L2DAT0.quad_top_right.way70_01.set_bot.mem_hi0_way1,
844 `L2DAT0.quad_top_right.way70_01.set_bot.mem_lo1_way1,
845 `L2DAT0.quad_top_right.way70_01.set_bot.mem_hi1_way1,
846
847 `L2DAT0.quad_top_right.way70_01.set_top.mem_lo0_way1,
848 `L2DAT0.quad_top_right.way70_01.set_top.mem_hi0_way1,
849 `L2DAT0.quad_top_right.way70_01.set_top.mem_lo1_way1,
850 `L2DAT0.quad_top_right.way70_01.set_top.mem_hi1_way1,
851
852 `L2DAT0.quad_top_right.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
853 `L2DAT0.quad_top_right.way70_01.set_bot.mem_hi0_way2,
854 `L2DAT0.quad_top_right.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
855 `L2DAT0.quad_top_right.way70_01.set_bot.mem_hi1_way2,
856
857 `L2DAT0.quad_top_right.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
858 `L2DAT0.quad_top_right.way70_01.set_top.mem_hi0_way2,
859 `L2DAT0.quad_top_right.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
860 `L2DAT0.quad_top_right.way70_01.set_top.mem_hi1_way2,
861
862 `L2DAT0.quad_top_right.way70_01.set_bot.mem_lo0_way3,
863 `L2DAT0.quad_top_right.way70_01.set_bot.mem_hi0_way3,
864 `L2DAT0.quad_top_right.way70_01.set_bot.mem_lo1_way3,
865 `L2DAT0.quad_top_right.way70_01.set_bot.mem_hi1_way3,
866
867 `L2DAT0.quad_top_right.way70_01.set_top.mem_lo0_way3,
868 `L2DAT0.quad_top_right.way70_01.set_top.mem_hi0_way3,
869 `L2DAT0.quad_top_right.way70_01.set_top.mem_lo1_way3,
870 `L2DAT0.quad_top_right.way70_01.set_top.mem_hi1_way3,
871
872 `L2DAT0.quad_top_right.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
873 `L2DAT0.quad_top_right.way70_01.set_bot.mem_hi0_way4,
874 `L2DAT0.quad_top_right.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
875 `L2DAT0.quad_top_right.way70_01.set_bot.mem_hi1_way4,
876
877 `L2DAT0.quad_top_right.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
878 `L2DAT0.quad_top_right.way70_01.set_top.mem_hi0_way4,
879 `L2DAT0.quad_top_right.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
880 `L2DAT0.quad_top_right.way70_01.set_top.mem_hi1_way4,
881
882 `L2DAT0.quad_top_right.way70_01.set_bot.mem_lo0_way5,
883 `L2DAT0.quad_top_right.way70_01.set_bot.mem_hi0_way5,
884 `L2DAT0.quad_top_right.way70_01.set_bot.mem_lo1_way5,
885 `L2DAT0.quad_top_right.way70_01.set_bot.mem_hi1_way5,
886
887 `L2DAT0.quad_top_right.way70_01.set_top.mem_lo0_way5,
888 `L2DAT0.quad_top_right.way70_01.set_top.mem_hi0_way5 ,
889 `L2DAT0.quad_top_right.way70_01.set_top.mem_lo1_way5,
890 `L2DAT0.quad_top_right.way70_01.set_top.mem_hi1_way5,
891
892 `L2DAT0.quad_top_right.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
893 `L2DAT0.quad_top_right.way70_01.set_bot.mem_hi0_way6,
894 `L2DAT0.quad_top_right.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
895 `L2DAT0.quad_top_right.way70_01.set_bot.mem_hi1_way6,
896
897 `L2DAT0.quad_top_right.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
898 `L2DAT0.quad_top_right.way70_01.set_top.mem_hi0_way6,
899 `L2DAT0.quad_top_right.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
900 `L2DAT0.quad_top_right.way70_01.set_top.mem_hi1_way6,
901
902 `L2DAT0.quad_top_right.way70_01.set_bot.mem_lo0_way7,
903 `L2DAT0.quad_top_right.way70_01.set_bot.mem_hi0_way7 ,
904 `L2DAT0.quad_top_right.way70_01.set_bot.mem_lo1_way7,
905 `L2DAT0.quad_top_right.way70_01.set_bot.mem_hi1_way7,
906
907 `L2DAT0.quad_top_right.way70_01.set_top.mem_lo0_way7,
908 `L2DAT0.quad_top_right.way70_01.set_top.mem_hi0_way7 ,
909 `L2DAT0.quad_top_right.way70_01.set_top.mem_lo1_way7,
910 `L2DAT0.quad_top_right.way70_01.set_top.mem_hi1_way7, //192
911
912 //way [15:8]
913 `L2DAT0.quad_top_right.way158_01.set_bot.mem_lo0_way0 , //arg 505
914 `L2DAT0.quad_top_right.way158_01.set_bot.mem_hi0_way0,
915 `L2DAT0.quad_top_right.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
916 `L2DAT0.quad_top_right.way158_01.set_bot.mem_hi1_way0,
917 //index [8]
918 `L2DAT0.quad_top_right.way158_01.set_top.mem_lo0_way0 , //arg 473
919 `L2DAT0.quad_top_right.way158_01.set_top.mem_hi0_way0,
920 `L2DAT0.quad_top_right.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
921 `L2DAT0.quad_top_right.way158_01.set_top.mem_hi1_way0,
922
923 `L2DAT0.quad_top_right.way158_01.set_bot.mem_lo0_way1,
924 `L2DAT0.quad_top_right.way158_01.set_bot.mem_hi0_way1,
925 `L2DAT0.quad_top_right.way158_01.set_bot.mem_lo1_way1,
926 `L2DAT0.quad_top_right.way158_01.set_bot.mem_hi1_way1,
927
928 `L2DAT0.quad_top_right.way158_01.set_top.mem_lo0_way1,
929 `L2DAT0.quad_top_right.way158_01.set_top.mem_hi0_way1,
930 `L2DAT0.quad_top_right.way158_01.set_top.mem_lo1_way1,
931 `L2DAT0.quad_top_right.way158_01.set_top.mem_hi1_way1,
932
933 `L2DAT0.quad_top_right.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
934 `L2DAT0.quad_top_right.way158_01.set_bot.mem_hi0_way2,
935 `L2DAT0.quad_top_right.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
936 `L2DAT0.quad_top_right.way158_01.set_bot.mem_hi1_way2,
937
938 `L2DAT0.quad_top_right.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
939 `L2DAT0.quad_top_right.way158_01.set_top.mem_hi0_way2,
940 `L2DAT0.quad_top_right.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
941 `L2DAT0.quad_top_right.way158_01.set_top.mem_hi1_way2,
942
943 `L2DAT0.quad_top_right.way158_01.set_bot.mem_lo0_way3,
944 `L2DAT0.quad_top_right.way158_01.set_bot.mem_hi0_way3,
945 `L2DAT0.quad_top_right.way158_01.set_bot.mem_lo1_way3,
946 `L2DAT0.quad_top_right.way158_01.set_bot.mem_hi1_way3,
947
948 `L2DAT0.quad_top_right.way158_01.set_top.mem_lo0_way3,
949 `L2DAT0.quad_top_right.way158_01.set_top.mem_hi0_way3,
950 `L2DAT0.quad_top_right.way158_01.set_top.mem_lo1_way3,
951 `L2DAT0.quad_top_right.way158_01.set_top.mem_hi1_way3,
952
953 `L2DAT0.quad_top_right.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
954 `L2DAT0.quad_top_right.way158_01.set_bot.mem_hi0_way4,
955 `L2DAT0.quad_top_right.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
956 `L2DAT0.quad_top_right.way158_01.set_bot.mem_hi1_way4,
957
958 `L2DAT0.quad_top_right.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
959 `L2DAT0.quad_top_right.way158_01.set_top.mem_hi0_way4,
960 `L2DAT0.quad_top_right.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
961 `L2DAT0.quad_top_right.way158_01.set_top.mem_hi1_way4,
962
963 `L2DAT0.quad_top_right.way158_01.set_bot.mem_lo0_way5,
964 `L2DAT0.quad_top_right.way158_01.set_bot.mem_hi0_way5,
965 `L2DAT0.quad_top_right.way158_01.set_bot.mem_lo1_way5,
966 `L2DAT0.quad_top_right.way158_01.set_bot.mem_hi1_way5,
967
968 `L2DAT0.quad_top_right.way158_01.set_top.mem_lo0_way5,
969 `L2DAT0.quad_top_right.way158_01.set_top.mem_hi0_way5,
970 `L2DAT0.quad_top_right.way158_01.set_top.mem_lo1_way5,
971 `L2DAT0.quad_top_right.way158_01.set_top.mem_hi1_way5,
972
973 `L2DAT0.quad_top_right.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
974 `L2DAT0.quad_top_right.way158_01.set_bot.mem_hi0_way6,
975 `L2DAT0.quad_top_right.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
976 `L2DAT0.quad_top_right.way158_01.set_bot.mem_hi1_way6,
977
978 `L2DAT0.quad_top_right.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
979 `L2DAT0.quad_top_right.way158_01.set_top.mem_hi0_way6,
980 `L2DAT0.quad_top_right.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
981 `L2DAT0.quad_top_right.way158_01.set_top.mem_hi1_way6,
982
983 `L2DAT0.quad_top_right.way158_01.set_bot.mem_lo0_way7,
984 `L2DAT0.quad_top_right.way158_01.set_bot.mem_hi0_way7,
985 `L2DAT0.quad_top_right.way158_01.set_bot.mem_lo1_way7,
986 `L2DAT0.quad_top_right.way158_01.set_bot.mem_hi1_way7,
987
988 `L2DAT0.quad_top_right.way158_01.set_top.mem_lo0_way7,
989 `L2DAT0.quad_top_right.way158_01.set_top.mem_hi0_way7,
990 `L2DAT0.quad_top_right.way158_01.set_top.mem_lo1_way7,
991 `L2DAT0.quad_top_right.way158_01.set_top.mem_hi1_way7, //512
992 //bottom part
993 //bank 0 data
994 //way [7:0]
995 //[8] = 0 [255-0]
996 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_lo0_way0 , //arg 313
997 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_hi0_way0,
998 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
999 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_hi1_way0,
1000 //index [511-256]
1001 `L2DAT0.quad_bot_left.way07_00.set_top.mem_lo0_way0 , //arg 281
1002 `L2DAT0.quad_bot_left.way07_00.set_top.mem_hi0_way0,
1003 `L2DAT0.quad_bot_left.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1004 `L2DAT0.quad_bot_left.way07_00.set_top.mem_hi1_way0,
1005
1006 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_lo0_way1,
1007 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_hi0_way1,
1008 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_lo1_way1,
1009 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_hi1_way1,
1010
1011 `L2DAT0.quad_bot_left.way07_00.set_top.mem_lo0_way1,
1012 `L2DAT0.quad_bot_left.way07_00.set_top.mem_hi0_way1,
1013 `L2DAT0.quad_bot_left.way07_00.set_top.mem_lo1_way1,
1014 `L2DAT0.quad_bot_left.way07_00.set_top.mem_hi1_way1,
1015
1016 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1017 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_hi0_way2,
1018 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1019 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_hi1_way2,
1020
1021 `L2DAT0.quad_bot_left.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1022 `L2DAT0.quad_bot_left.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
1023 `L2DAT0.quad_bot_left.way07_00.set_top.mem_lo1_way2,
1024 `L2DAT0.quad_bot_left.way07_00.set_top.mem_hi1_way2,
1025
1026 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_lo0_way3,
1027 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_hi0_way3,
1028 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_lo1_way3,
1029 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_hi1_way3,
1030
1031 `L2DAT0.quad_bot_left.way07_00.set_top.mem_lo0_way3,
1032 `L2DAT0.quad_bot_left.way07_00.set_top.mem_hi0_way3,
1033 `L2DAT0.quad_bot_left.way07_00.set_top.mem_lo1_way3,
1034 `L2DAT0.quad_bot_left.way07_00.set_top.mem_hi1_way3,
1035
1036 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1037 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_hi0_way4,
1038 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1039 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_hi1_way4,
1040
1041 `L2DAT0.quad_bot_left.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1042 `L2DAT0.quad_bot_left.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
1043 `L2DAT0.quad_bot_left.way07_00.set_top.mem_lo1_way4,
1044 `L2DAT0.quad_bot_left.way07_00.set_top.mem_hi1_way4,
1045
1046 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_lo0_way5,
1047 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_hi0_way5,
1048 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_lo1_way5,
1049 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_hi1_way5,
1050
1051 `L2DAT0.quad_bot_left.way07_00.set_top.mem_lo0_way5,
1052 `L2DAT0.quad_bot_left.way07_00.set_top.mem_hi0_way5,
1053 `L2DAT0.quad_bot_left.way07_00.set_top.mem_lo1_way5,
1054 `L2DAT0.quad_bot_left.way07_00.set_top.mem_hi1_way5,
1055
1056 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1057 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_hi0_way6,
1058 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1059 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_hi1_way6,
1060
1061 `L2DAT0.quad_bot_left.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1062 `L2DAT0.quad_bot_left.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
1063 `L2DAT0.quad_bot_left.way07_00.set_top.mem_lo1_way6,
1064 `L2DAT0.quad_bot_left.way07_00.set_top.mem_hi1_way6,
1065
1066 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_lo0_way7,
1067 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_hi0_way7,
1068 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_lo1_way7,
1069 `L2DAT0.quad_bot_left.way07_00.set_bot.mem_hi1_way7,
1070
1071 `L2DAT0.quad_bot_left.way07_00.set_top.mem_lo0_way7,
1072 `L2DAT0.quad_bot_left.way07_00.set_top.mem_hi0_way7,
1073 `L2DAT0.quad_bot_left.way07_00.set_top.mem_lo1_way7,
1074 `L2DAT0.quad_bot_left.way07_00.set_top.mem_hi1_way7, //toatl arg 64
1075
1076 //way158_00 top way [15:8]
1077 //index[255:0]
1078
1079 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_lo0_way0 , //arg 441
1080 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_hi0_way0,
1081 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1082 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_hi1_way0,
1083 //index[511:256]
1084 `L2DAT0.quad_bot_left.way158_00.set_top.mem_lo0_way0 , //arg 409
1085 `L2DAT0.quad_bot_left.way158_00.set_top.mem_hi0_way0,
1086 `L2DAT0.quad_bot_left.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1087 `L2DAT0.quad_bot_left.way158_00.set_top.mem_hi1_way0,
1088
1089 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_lo0_way1,
1090 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_hi0_way1,
1091 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_lo1_way1,
1092 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_hi1_way1,
1093
1094 `L2DAT0.quad_bot_left.way158_00.set_top.mem_lo0_way1,
1095 `L2DAT0.quad_bot_left.way158_00.set_top.mem_hi0_way1,
1096 `L2DAT0.quad_bot_left.way158_00.set_top.mem_lo1_way1,
1097 `L2DAT0.quad_bot_left.way158_00.set_top.mem_hi1_way1,
1098
1099 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1100 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_hi0_way2,
1101 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1102 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_hi1_way2,
1103
1104 `L2DAT0.quad_bot_left.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1105 `L2DAT0.quad_bot_left.way158_00.set_top.mem_hi0_way2,
1106 `L2DAT0.quad_bot_left.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1107 `L2DAT0.quad_bot_left.way158_00.set_top.mem_hi1_way2,
1108
1109 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_lo0_way3,
1110 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_hi0_way3,
1111 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_lo1_way3,
1112 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_hi1_way3,
1113
1114 `L2DAT0.quad_bot_left.way158_00.set_top.mem_lo0_way3,
1115 `L2DAT0.quad_bot_left.way158_00.set_top.mem_hi0_way3,
1116 `L2DAT0.quad_bot_left.way158_00.set_top.mem_lo1_way3,
1117 `L2DAT0.quad_bot_left.way158_00.set_top.mem_hi1_way3,
1118
1119 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1120 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_hi0_way4,
1121 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1122 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_hi1_way4,
1123
1124 `L2DAT0.quad_bot_left.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1125 `L2DAT0.quad_bot_left.way158_00.set_top.mem_hi0_way4,
1126 `L2DAT0.quad_bot_left.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1127 `L2DAT0.quad_bot_left.way158_00.set_top.mem_hi1_way4,
1128
1129 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_lo0_way5,
1130 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_hi0_way5,
1131 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_lo1_way5,
1132 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_hi1_way5,
1133
1134 `L2DAT0.quad_bot_left.way158_00.set_top.mem_lo0_way5,
1135 `L2DAT0.quad_bot_left.way158_00.set_top.mem_hi0_way5,
1136 `L2DAT0.quad_bot_left.way158_00.set_top.mem_lo1_way5,
1137 `L2DAT0.quad_bot_left.way158_00.set_top.mem_hi1_way5,
1138
1139 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1140 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_hi0_way6,
1141 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1142 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_hi1_way6,
1143
1144 `L2DAT0.quad_bot_left.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1145 `L2DAT0.quad_bot_left.way158_00.set_top.mem_hi0_way6,
1146 `L2DAT0.quad_bot_left.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1147 `L2DAT0.quad_bot_left.way158_00.set_top.mem_hi1_way6,
1148
1149 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_lo0_way7,
1150 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_hi0_way7,
1151 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_lo1_way7,
1152 `L2DAT0.quad_bot_left.way158_00.set_bot.mem_hi1_way7,
1153
1154 `L2DAT0.quad_bot_left.way158_00.set_top.mem_lo0_way7,
1155 `L2DAT0.quad_bot_left.way158_00.set_top.mem_hi0_way7,
1156 `L2DAT0.quad_bot_left.way158_00.set_top.mem_lo1_way7,
1157 `L2DAT0.quad_bot_left.way158_00.set_top.mem_hi1_way7, //128
1158
1159 //way70_01 bottom
1160 //bottom
1161 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_lo0_way0 , //[623:604]
1162 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_hi0_way0,
1163 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1164 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_hi1_way0,
1165
1166 `L2DAT0.quad_bot_left.way70_01.set_top.mem_lo0_way0 , //arg 345
1167 `L2DAT0.quad_bot_left.way70_01.set_top.mem_hi0_way0,
1168 `L2DAT0.quad_bot_left.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1169 `L2DAT0.quad_bot_left.way70_01.set_top.mem_hi1_way0,
1170
1171 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_lo0_way1,
1172 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_hi0_way1,
1173 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_lo1_way1,
1174 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_hi1_way1,
1175
1176 `L2DAT0.quad_bot_left.way70_01.set_top.mem_lo0_way1,
1177 `L2DAT0.quad_bot_left.way70_01.set_top.mem_hi0_way1,
1178 `L2DAT0.quad_bot_left.way70_01.set_top.mem_lo1_way1,
1179 `L2DAT0.quad_bot_left.way70_01.set_top.mem_hi1_way1,
1180
1181 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1182 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_hi0_way2,
1183 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1184 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_hi1_way2,
1185
1186 `L2DAT0.quad_bot_left.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1187 `L2DAT0.quad_bot_left.way70_01.set_top.mem_hi0_way2,
1188 `L2DAT0.quad_bot_left.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1189 `L2DAT0.quad_bot_left.way70_01.set_top.mem_hi1_way2,
1190
1191 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_lo0_way3,
1192 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_hi0_way3,
1193 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_lo1_way3,
1194 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_hi1_way3,
1195
1196 `L2DAT0.quad_bot_left.way70_01.set_top.mem_lo0_way3,
1197 `L2DAT0.quad_bot_left.way70_01.set_top.mem_hi0_way3,
1198 `L2DAT0.quad_bot_left.way70_01.set_top.mem_lo1_way3,
1199 `L2DAT0.quad_bot_left.way70_01.set_top.mem_hi1_way3,
1200
1201 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1202 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_hi0_way4,
1203 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1204 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_hi1_way4,
1205
1206 `L2DAT0.quad_bot_left.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1207 `L2DAT0.quad_bot_left.way70_01.set_top.mem_hi0_way4,
1208 `L2DAT0.quad_bot_left.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1209 `L2DAT0.quad_bot_left.way70_01.set_top.mem_hi1_way4,
1210
1211 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_lo0_way5,
1212 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_hi0_way5,
1213 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_lo1_way5,
1214 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_hi1_way5,
1215
1216 `L2DAT0.quad_bot_left.way70_01.set_top.mem_lo0_way5,
1217 `L2DAT0.quad_bot_left.way70_01.set_top.mem_hi0_way5 ,
1218 `L2DAT0.quad_bot_left.way70_01.set_top.mem_lo1_way5,
1219 `L2DAT0.quad_bot_left.way70_01.set_top.mem_hi1_way5,
1220
1221 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1222 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_hi0_way6,
1223 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1224 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_hi1_way6,
1225
1226 `L2DAT0.quad_bot_left.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1227 `L2DAT0.quad_bot_left.way70_01.set_top.mem_hi0_way6,
1228 `L2DAT0.quad_bot_left.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1229 `L2DAT0.quad_bot_left.way70_01.set_top.mem_hi1_way6,
1230
1231 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_lo0_way7,
1232 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_hi0_way7 ,
1233 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_lo1_way7,
1234 `L2DAT0.quad_bot_left.way70_01.set_bot.mem_hi1_way7,
1235
1236 `L2DAT0.quad_bot_left.way70_01.set_top.mem_lo0_way7,
1237 `L2DAT0.quad_bot_left.way70_01.set_top.mem_hi0_way7 ,
1238 `L2DAT0.quad_bot_left.way70_01.set_top.mem_lo1_way7,
1239 `L2DAT0.quad_bot_left.way70_01.set_top.mem_hi1_way7, //192
1240
1241 //way [15:8]
1242 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_lo0_way0 , //arg 505
1243 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_hi0_way0,
1244 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1245 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_hi1_way0,
1246 //index [8]
1247 `L2DAT0.quad_bot_left.way158_01.set_top.mem_lo0_way0 , //arg 473
1248 `L2DAT0.quad_bot_left.way158_01.set_top.mem_hi0_way0,
1249 `L2DAT0.quad_bot_left.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1250 `L2DAT0.quad_bot_left.way158_01.set_top.mem_hi1_way0,
1251
1252 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_lo0_way1,
1253 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_hi0_way1,
1254 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_lo1_way1,
1255 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_hi1_way1,
1256
1257 `L2DAT0.quad_bot_left.way158_01.set_top.mem_lo0_way1,
1258 `L2DAT0.quad_bot_left.way158_01.set_top.mem_hi0_way1,
1259 `L2DAT0.quad_bot_left.way158_01.set_top.mem_lo1_way1,
1260 `L2DAT0.quad_bot_left.way158_01.set_top.mem_hi1_way1,
1261
1262 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1263 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_hi0_way2,
1264 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1265 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_hi1_way2,
1266
1267 `L2DAT0.quad_bot_left.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1268 `L2DAT0.quad_bot_left.way158_01.set_top.mem_hi0_way2,
1269 `L2DAT0.quad_bot_left.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1270 `L2DAT0.quad_bot_left.way158_01.set_top.mem_hi1_way2,
1271
1272 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_lo0_way3,
1273 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_hi0_way3,
1274 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_lo1_way3,
1275 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_hi1_way3,
1276
1277 `L2DAT0.quad_bot_left.way158_01.set_top.mem_lo0_way3,
1278 `L2DAT0.quad_bot_left.way158_01.set_top.mem_hi0_way3,
1279 `L2DAT0.quad_bot_left.way158_01.set_top.mem_lo1_way3,
1280 `L2DAT0.quad_bot_left.way158_01.set_top.mem_hi1_way3,
1281
1282 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1283 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_hi0_way4,
1284 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1285 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_hi1_way4,
1286
1287 `L2DAT0.quad_bot_left.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1288 `L2DAT0.quad_bot_left.way158_01.set_top.mem_hi0_way4,
1289 `L2DAT0.quad_bot_left.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1290 `L2DAT0.quad_bot_left.way158_01.set_top.mem_hi1_way4,
1291
1292 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_lo0_way5,
1293 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_hi0_way5,
1294 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_lo1_way5,
1295 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_hi1_way5,
1296
1297 `L2DAT0.quad_bot_left.way158_01.set_top.mem_lo0_way5,
1298 `L2DAT0.quad_bot_left.way158_01.set_top.mem_hi0_way5,
1299 `L2DAT0.quad_bot_left.way158_01.set_top.mem_lo1_way5,
1300 `L2DAT0.quad_bot_left.way158_01.set_top.mem_hi1_way5,
1301
1302 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1303 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_hi0_way6,
1304 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1305 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_hi1_way6,
1306
1307 `L2DAT0.quad_bot_left.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1308 `L2DAT0.quad_bot_left.way158_01.set_top.mem_hi0_way6,
1309 `L2DAT0.quad_bot_left.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1310 `L2DAT0.quad_bot_left.way158_01.set_top.mem_hi1_way6,
1311
1312 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_lo0_way7,
1313 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_hi0_way7,
1314 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_lo1_way7,
1315 `L2DAT0.quad_bot_left.way158_01.set_bot.mem_hi1_way7,
1316
1317 `L2DAT0.quad_bot_left.way158_01.set_top.mem_lo0_way7,
1318 `L2DAT0.quad_bot_left.way158_01.set_top.mem_hi0_way7,
1319 `L2DAT0.quad_bot_left.way158_01.set_top.mem_lo1_way7,
1320 `L2DAT0.quad_bot_left.way158_01.set_top.mem_hi1_way7, //256
1321 //right handside
1322 //bank 0 data
1323 //way [7:0]
1324 //[8] = 0 [255-0]
1325 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_lo0_way0 , //arg 313
1326 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_hi0_way0,
1327 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1328 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_hi1_way0,
1329 //index [511-256]
1330 `L2DAT0.quad_bot_right.way07_00.set_top.mem_lo0_way0 , //arg 281
1331 `L2DAT0.quad_bot_right.way07_00.set_top.mem_hi0_way0,
1332 `L2DAT0.quad_bot_right.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1333 `L2DAT0.quad_bot_right.way07_00.set_top.mem_hi1_way0,
1334
1335 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_lo0_way1,
1336 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_hi0_way1,
1337 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_lo1_way1,
1338 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_hi1_way1,
1339
1340 `L2DAT0.quad_bot_right.way07_00.set_top.mem_lo0_way1,
1341 `L2DAT0.quad_bot_right.way07_00.set_top.mem_hi0_way1,
1342 `L2DAT0.quad_bot_right.way07_00.set_top.mem_lo1_way1,
1343 `L2DAT0.quad_bot_right.way07_00.set_top.mem_hi1_way1,
1344
1345 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1346 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_hi0_way2,
1347 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1348 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_hi1_way2,
1349
1350 `L2DAT0.quad_bot_right.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1351 `L2DAT0.quad_bot_right.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
1352 `L2DAT0.quad_bot_right.way07_00.set_top.mem_lo1_way2,
1353 `L2DAT0.quad_bot_right.way07_00.set_top.mem_hi1_way2,
1354
1355 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_lo0_way3,
1356 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_hi0_way3,
1357 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_lo1_way3,
1358 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_hi1_way3,
1359
1360 `L2DAT0.quad_bot_right.way07_00.set_top.mem_lo0_way3,
1361 `L2DAT0.quad_bot_right.way07_00.set_top.mem_hi0_way3,
1362 `L2DAT0.quad_bot_right.way07_00.set_top.mem_lo1_way3,
1363 `L2DAT0.quad_bot_right.way07_00.set_top.mem_hi1_way3,
1364
1365 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1366 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_hi0_way4,
1367 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1368 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_hi1_way4,
1369
1370 `L2DAT0.quad_bot_right.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1371 `L2DAT0.quad_bot_right.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
1372 `L2DAT0.quad_bot_right.way07_00.set_top.mem_lo1_way4,
1373 `L2DAT0.quad_bot_right.way07_00.set_top.mem_hi1_way4,
1374
1375 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_lo0_way5,
1376 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_hi0_way5,
1377 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_lo1_way5,
1378 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_hi1_way5,
1379
1380 `L2DAT0.quad_bot_right.way07_00.set_top.mem_lo0_way5,
1381 `L2DAT0.quad_bot_right.way07_00.set_top.mem_hi0_way5,
1382 `L2DAT0.quad_bot_right.way07_00.set_top.mem_lo1_way5,
1383 `L2DAT0.quad_bot_right.way07_00.set_top.mem_hi1_way5,
1384
1385 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1386 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_hi0_way6,
1387 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1388 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_hi1_way6,
1389
1390 `L2DAT0.quad_bot_right.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1391 `L2DAT0.quad_bot_right.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
1392 `L2DAT0.quad_bot_right.way07_00.set_top.mem_lo1_way6,
1393 `L2DAT0.quad_bot_right.way07_00.set_top.mem_hi1_way6,
1394
1395 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_lo0_way7,
1396 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_hi0_way7,
1397 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_lo1_way7,
1398 `L2DAT0.quad_bot_right.way07_00.set_bot.mem_hi1_way7,
1399
1400 `L2DAT0.quad_bot_right.way07_00.set_top.mem_lo0_way7,
1401 `L2DAT0.quad_bot_right.way07_00.set_top.mem_hi0_way7,
1402 `L2DAT0.quad_bot_right.way07_00.set_top.mem_lo1_way7,
1403 `L2DAT0.quad_bot_right.way07_00.set_top.mem_hi1_way7, //toatl arg 64
1404
1405 //way158_00 top way [15:8]
1406 //index[255:0]
1407
1408 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_lo0_way0 , //arg 441
1409 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_hi0_way0,
1410 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1411 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_hi1_way0,
1412 //index[511:256]
1413 `L2DAT0.quad_bot_right.way158_00.set_top.mem_lo0_way0 , //arg 409
1414 `L2DAT0.quad_bot_right.way158_00.set_top.mem_hi0_way0,
1415 `L2DAT0.quad_bot_right.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1416 `L2DAT0.quad_bot_right.way158_00.set_top.mem_hi1_way0,
1417
1418 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_lo0_way1,
1419 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_hi0_way1,
1420 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_lo1_way1,
1421 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_hi1_way1,
1422
1423 `L2DAT0.quad_bot_right.way158_00.set_top.mem_lo0_way1,
1424 `L2DAT0.quad_bot_right.way158_00.set_top.mem_hi0_way1,
1425 `L2DAT0.quad_bot_right.way158_00.set_top.mem_lo1_way1,
1426 `L2DAT0.quad_bot_right.way158_00.set_top.mem_hi1_way1,
1427
1428 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1429 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_hi0_way2,
1430 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1431 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_hi1_way2,
1432
1433 `L2DAT0.quad_bot_right.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1434 `L2DAT0.quad_bot_right.way158_00.set_top.mem_hi0_way2,
1435 `L2DAT0.quad_bot_right.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1436 `L2DAT0.quad_bot_right.way158_00.set_top.mem_hi1_way2,
1437
1438 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_lo0_way3,
1439 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_hi0_way3,
1440 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_lo1_way3,
1441 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_hi1_way3,
1442
1443 `L2DAT0.quad_bot_right.way158_00.set_top.mem_lo0_way3,
1444 `L2DAT0.quad_bot_right.way158_00.set_top.mem_hi0_way3,
1445 `L2DAT0.quad_bot_right.way158_00.set_top.mem_lo1_way3,
1446 `L2DAT0.quad_bot_right.way158_00.set_top.mem_hi1_way3,
1447
1448 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1449 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_hi0_way4,
1450 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1451 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_hi1_way4,
1452
1453 `L2DAT0.quad_bot_right.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1454 `L2DAT0.quad_bot_right.way158_00.set_top.mem_hi0_way4,
1455 `L2DAT0.quad_bot_right.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1456 `L2DAT0.quad_bot_right.way158_00.set_top.mem_hi1_way4,
1457
1458 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_lo0_way5,
1459 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_hi0_way5,
1460 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_lo1_way5,
1461 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_hi1_way5,
1462
1463 `L2DAT0.quad_bot_right.way158_00.set_top.mem_lo0_way5,
1464 `L2DAT0.quad_bot_right.way158_00.set_top.mem_hi0_way5,
1465 `L2DAT0.quad_bot_right.way158_00.set_top.mem_lo1_way5,
1466 `L2DAT0.quad_bot_right.way158_00.set_top.mem_hi1_way5,
1467
1468 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1469 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_hi0_way6,
1470 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1471 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_hi1_way6,
1472
1473 `L2DAT0.quad_bot_right.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1474 `L2DAT0.quad_bot_right.way158_00.set_top.mem_hi0_way6,
1475 `L2DAT0.quad_bot_right.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1476 `L2DAT0.quad_bot_right.way158_00.set_top.mem_hi1_way6,
1477
1478 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_lo0_way7,
1479 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_hi0_way7,
1480 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_lo1_way7,
1481 `L2DAT0.quad_bot_right.way158_00.set_bot.mem_hi1_way7,
1482
1483 `L2DAT0.quad_bot_right.way158_00.set_top.mem_lo0_way7,
1484 `L2DAT0.quad_bot_right.way158_00.set_top.mem_hi0_way7,
1485 `L2DAT0.quad_bot_right.way158_00.set_top.mem_lo1_way7,
1486 `L2DAT0.quad_bot_right.way158_00.set_top.mem_hi1_way7, //128
1487
1488 //way70_01 bottom
1489 //bottom
1490 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_lo0_way0 , //[623:604]
1491 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_hi0_way0,
1492 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1493 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_hi1_way0,
1494
1495 `L2DAT0.quad_bot_right.way70_01.set_top.mem_lo0_way0 , //arg 345
1496 `L2DAT0.quad_bot_right.way70_01.set_top.mem_hi0_way0,
1497 `L2DAT0.quad_bot_right.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1498 `L2DAT0.quad_bot_right.way70_01.set_top.mem_hi1_way0,
1499
1500 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_lo0_way1,
1501 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_hi0_way1,
1502 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_lo1_way1,
1503 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_hi1_way1,
1504
1505 `L2DAT0.quad_bot_right.way70_01.set_top.mem_lo0_way1,
1506 `L2DAT0.quad_bot_right.way70_01.set_top.mem_hi0_way1,
1507 `L2DAT0.quad_bot_right.way70_01.set_top.mem_lo1_way1,
1508 `L2DAT0.quad_bot_right.way70_01.set_top.mem_hi1_way1,
1509
1510 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1511 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_hi0_way2,
1512 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1513 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_hi1_way2,
1514
1515 `L2DAT0.quad_bot_right.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1516 `L2DAT0.quad_bot_right.way70_01.set_top.mem_hi0_way2,
1517 `L2DAT0.quad_bot_right.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1518 `L2DAT0.quad_bot_right.way70_01.set_top.mem_hi1_way2,
1519
1520 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_lo0_way3,
1521 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_hi0_way3,
1522 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_lo1_way3,
1523 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_hi1_way3,
1524
1525 `L2DAT0.quad_bot_right.way70_01.set_top.mem_lo0_way3,
1526 `L2DAT0.quad_bot_right.way70_01.set_top.mem_hi0_way3,
1527 `L2DAT0.quad_bot_right.way70_01.set_top.mem_lo1_way3,
1528 `L2DAT0.quad_bot_right.way70_01.set_top.mem_hi1_way3,
1529
1530 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1531 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_hi0_way4,
1532 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1533 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_hi1_way4,
1534
1535 `L2DAT0.quad_bot_right.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1536 `L2DAT0.quad_bot_right.way70_01.set_top.mem_hi0_way4,
1537 `L2DAT0.quad_bot_right.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1538 `L2DAT0.quad_bot_right.way70_01.set_top.mem_hi1_way4,
1539
1540 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_lo0_way5,
1541 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_hi0_way5,
1542 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_lo1_way5,
1543 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_hi1_way5,
1544
1545 `L2DAT0.quad_bot_right.way70_01.set_top.mem_lo0_way5,
1546 `L2DAT0.quad_bot_right.way70_01.set_top.mem_hi0_way5 ,
1547 `L2DAT0.quad_bot_right.way70_01.set_top.mem_lo1_way5,
1548 `L2DAT0.quad_bot_right.way70_01.set_top.mem_hi1_way5,
1549
1550 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1551 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_hi0_way6,
1552 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1553 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_hi1_way6,
1554
1555 `L2DAT0.quad_bot_right.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1556 `L2DAT0.quad_bot_right.way70_01.set_top.mem_hi0_way6,
1557 `L2DAT0.quad_bot_right.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1558 `L2DAT0.quad_bot_right.way70_01.set_top.mem_hi1_way6,
1559
1560 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_lo0_way7,
1561 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_hi0_way7 ,
1562 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_lo1_way7,
1563 `L2DAT0.quad_bot_right.way70_01.set_bot.mem_hi1_way7,
1564
1565 `L2DAT0.quad_bot_right.way70_01.set_top.mem_lo0_way7,
1566 `L2DAT0.quad_bot_right.way70_01.set_top.mem_hi0_way7 ,
1567 `L2DAT0.quad_bot_right.way70_01.set_top.mem_lo1_way7,
1568 `L2DAT0.quad_bot_right.way70_01.set_top.mem_hi1_way7, //192
1569
1570 //way [15:8]
1571 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_lo0_way0 , //arg 505
1572 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_hi0_way0,
1573 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1574 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_hi1_way0,
1575 //index [8]
1576 `L2DAT0.quad_bot_right.way158_01.set_top.mem_lo0_way0 , //arg 473
1577 `L2DAT0.quad_bot_right.way158_01.set_top.mem_hi0_way0,
1578 `L2DAT0.quad_bot_right.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1579 `L2DAT0.quad_bot_right.way158_01.set_top.mem_hi1_way0,
1580
1581 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_lo0_way1,
1582 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_hi0_way1,
1583 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_lo1_way1,
1584 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_hi1_way1,
1585
1586 `L2DAT0.quad_bot_right.way158_01.set_top.mem_lo0_way1,
1587 `L2DAT0.quad_bot_right.way158_01.set_top.mem_hi0_way1,
1588 `L2DAT0.quad_bot_right.way158_01.set_top.mem_lo1_way1,
1589 `L2DAT0.quad_bot_right.way158_01.set_top.mem_hi1_way1,
1590
1591 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1592 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_hi0_way2,
1593 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1594 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_hi1_way2,
1595
1596 `L2DAT0.quad_bot_right.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1597 `L2DAT0.quad_bot_right.way158_01.set_top.mem_hi0_way2,
1598 `L2DAT0.quad_bot_right.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1599 `L2DAT0.quad_bot_right.way158_01.set_top.mem_hi1_way2,
1600
1601 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_lo0_way3,
1602 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_hi0_way3,
1603 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_lo1_way3,
1604 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_hi1_way3,
1605
1606 `L2DAT0.quad_bot_right.way158_01.set_top.mem_lo0_way3,
1607 `L2DAT0.quad_bot_right.way158_01.set_top.mem_hi0_way3,
1608 `L2DAT0.quad_bot_right.way158_01.set_top.mem_lo1_way3,
1609 `L2DAT0.quad_bot_right.way158_01.set_top.mem_hi1_way3,
1610
1611 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1612 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_hi0_way4,
1613 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1614 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_hi1_way4,
1615
1616 `L2DAT0.quad_bot_right.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1617 `L2DAT0.quad_bot_right.way158_01.set_top.mem_hi0_way4,
1618 `L2DAT0.quad_bot_right.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1619 `L2DAT0.quad_bot_right.way158_01.set_top.mem_hi1_way4,
1620
1621 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_lo0_way5,
1622 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_hi0_way5,
1623 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_lo1_way5,
1624 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_hi1_way5,
1625
1626 `L2DAT0.quad_bot_right.way158_01.set_top.mem_lo0_way5,
1627 `L2DAT0.quad_bot_right.way158_01.set_top.mem_hi0_way5,
1628 `L2DAT0.quad_bot_right.way158_01.set_top.mem_lo1_way5,
1629 `L2DAT0.quad_bot_right.way158_01.set_top.mem_hi1_way5,
1630
1631 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1632 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_hi0_way6,
1633 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1634 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_hi1_way6,
1635
1636 `L2DAT0.quad_bot_right.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1637 `L2DAT0.quad_bot_right.way158_01.set_top.mem_hi0_way6,
1638 `L2DAT0.quad_bot_right.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1639 `L2DAT0.quad_bot_right.way158_01.set_top.mem_hi1_way6,
1640
1641 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_lo0_way7,
1642 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_hi0_way7,
1643 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_lo1_way7,
1644 `L2DAT0.quad_bot_right.way158_01.set_bot.mem_hi1_way7,
1645
1646 `L2DAT0.quad_bot_right.way158_01.set_top.mem_lo0_way7,
1647 `L2DAT0.quad_bot_right.way158_01.set_top.mem_hi0_way7,
1648 `L2DAT0.quad_bot_right.way158_01.set_top.mem_lo1_way7,
1649 `L2DAT0.quad_bot_right.way158_01.set_top.mem_hi1_way7, //512
1650//Bank 1 define
1651 `L2TAG1.tag.quad0.bank0.l2t_array.mem_lft, //1 reg [`L2T_ARR_D_WIDTH + 1:0] way0[`L2T_ARR_DEPTH - 1 :0];
1652 `L2TAG1.tag.quad0.bank0.l2t_array.mem_rgt, //`define L2T_ARR_D_WIDTH 28 `define L2T_ARR_DEPTH 2
1653
1654 `L2TAG1.tag.quad0.bank1.l2t_array.mem_lft,
1655 `L2TAG1.tag.quad0.bank1.l2t_array.mem_rgt,
1656
1657 `L2TAG1.tag.quad1.bank0.l2t_array.mem_lft,
1658 `L2TAG1.tag.quad1.bank0.l2t_array.mem_rgt,
1659
1660 `L2TAG1.tag.quad1.bank1.l2t_array.mem_lft,
1661 `L2TAG1.tag.quad1.bank1.l2t_array.mem_rgt,
1662
1663 `L2TAG1.tag.quad2.bank0.l2t_array.mem_lft,
1664 `L2TAG1.tag.quad2.bank0.l2t_array.mem_rgt,
1665
1666 `L2TAG1.tag.quad2.bank1.l2t_array.mem_lft,
1667 `L2TAG1.tag.quad2.bank1.l2t_array.mem_rgt,
1668
1669 `L2TAG1.tag.quad3.bank0.l2t_array.mem_lft,
1670 `L2TAG1.tag.quad3.bank0.l2t_array.mem_rgt,
1671
1672 `L2TAG1.tag.quad3.bank1.l2t_array.mem_lft,
1673 `L2TAG1.tag.quad3.bank1.l2t_array.mem_rgt, //16
1674
1675 `L2TAG1.subarray_0.array.inq_ary, // arg 17: reg [159:0] inq_ary [31:0];
1676 `L2TAG1.subarray_1.array.inq_ary,
1677 `L2TAG1.subarray_2.array.inq_ary,
1678 `L2TAG1.subarray_3.array.inq_ary,
1679 `L2TAG1.subarray_8.array.inq_ary,
1680 `L2TAG1.subarray_9.array.inq_ary,
1681 `L2TAG1.subarray_10.array.inq_ary,
1682 `L2TAG1.subarray_11.array.inq_ary, //24
1683
1684 //bank 0 data
1685 //way [7:0]
1686 //[8] = 0 [255-0]
1687 `L2DAT1.quad_top_left.way07_00.set_bot.mem_lo0_way0 , //arg 313
1688 `L2DAT1.quad_top_left.way07_00.set_bot.mem_hi0_way0,
1689 `L2DAT1.quad_top_left.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1690 `L2DAT1.quad_top_left.way07_00.set_bot.mem_hi1_way0,
1691 //index [511-256]
1692 `L2DAT1.quad_top_left.way07_00.set_top.mem_lo0_way0 , //arg 281
1693 `L2DAT1.quad_top_left.way07_00.set_top.mem_hi0_way0,
1694 `L2DAT1.quad_top_left.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1695 `L2DAT1.quad_top_left.way07_00.set_top.mem_hi1_way0,
1696
1697 `L2DAT1.quad_top_left.way07_00.set_bot.mem_lo0_way1,
1698 `L2DAT1.quad_top_left.way07_00.set_bot.mem_hi0_way1,
1699 `L2DAT1.quad_top_left.way07_00.set_bot.mem_lo1_way1,
1700 `L2DAT1.quad_top_left.way07_00.set_bot.mem_hi1_way1,
1701
1702 `L2DAT1.quad_top_left.way07_00.set_top.mem_lo0_way1,
1703 `L2DAT1.quad_top_left.way07_00.set_top.mem_hi0_way1,
1704 `L2DAT1.quad_top_left.way07_00.set_top.mem_lo1_way1,
1705 `L2DAT1.quad_top_left.way07_00.set_top.mem_hi1_way1,
1706
1707 `L2DAT1.quad_top_left.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1708 `L2DAT1.quad_top_left.way07_00.set_bot.mem_hi0_way2,
1709 `L2DAT1.quad_top_left.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1710 `L2DAT1.quad_top_left.way07_00.set_bot.mem_hi1_way2,
1711
1712 `L2DAT1.quad_top_left.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1713 `L2DAT1.quad_top_left.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
1714 `L2DAT1.quad_top_left.way07_00.set_top.mem_lo1_way2,
1715 `L2DAT1.quad_top_left.way07_00.set_top.mem_hi1_way2,
1716
1717 `L2DAT1.quad_top_left.way07_00.set_bot.mem_lo0_way3,
1718 `L2DAT1.quad_top_left.way07_00.set_bot.mem_hi0_way3,
1719 `L2DAT1.quad_top_left.way07_00.set_bot.mem_lo1_way3,
1720 `L2DAT1.quad_top_left.way07_00.set_bot.mem_hi1_way3,
1721
1722 `L2DAT1.quad_top_left.way07_00.set_top.mem_lo0_way3,
1723 `L2DAT1.quad_top_left.way07_00.set_top.mem_hi0_way3,
1724 `L2DAT1.quad_top_left.way07_00.set_top.mem_lo1_way3,
1725 `L2DAT1.quad_top_left.way07_00.set_top.mem_hi1_way3,
1726
1727 `L2DAT1.quad_top_left.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1728 `L2DAT1.quad_top_left.way07_00.set_bot.mem_hi0_way4,
1729 `L2DAT1.quad_top_left.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1730 `L2DAT1.quad_top_left.way07_00.set_bot.mem_hi1_way4,
1731
1732 `L2DAT1.quad_top_left.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1733 `L2DAT1.quad_top_left.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
1734 `L2DAT1.quad_top_left.way07_00.set_top.mem_lo1_way4,
1735 `L2DAT1.quad_top_left.way07_00.set_top.mem_hi1_way4,
1736
1737 `L2DAT1.quad_top_left.way07_00.set_bot.mem_lo0_way5,
1738 `L2DAT1.quad_top_left.way07_00.set_bot.mem_hi0_way5,
1739 `L2DAT1.quad_top_left.way07_00.set_bot.mem_lo1_way5,
1740 `L2DAT1.quad_top_left.way07_00.set_bot.mem_hi1_way5,
1741
1742 `L2DAT1.quad_top_left.way07_00.set_top.mem_lo0_way5,
1743 `L2DAT1.quad_top_left.way07_00.set_top.mem_hi0_way5,
1744 `L2DAT1.quad_top_left.way07_00.set_top.mem_lo1_way5,
1745 `L2DAT1.quad_top_left.way07_00.set_top.mem_hi1_way5,
1746
1747 `L2DAT1.quad_top_left.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1748 `L2DAT1.quad_top_left.way07_00.set_bot.mem_hi0_way6,
1749 `L2DAT1.quad_top_left.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1750 `L2DAT1.quad_top_left.way07_00.set_bot.mem_hi1_way6,
1751
1752 `L2DAT1.quad_top_left.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1753 `L2DAT1.quad_top_left.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
1754 `L2DAT1.quad_top_left.way07_00.set_top.mem_lo1_way6,
1755 `L2DAT1.quad_top_left.way07_00.set_top.mem_hi1_way6,
1756
1757 `L2DAT1.quad_top_left.way07_00.set_bot.mem_lo0_way7,
1758 `L2DAT1.quad_top_left.way07_00.set_bot.mem_hi0_way7,
1759 `L2DAT1.quad_top_left.way07_00.set_bot.mem_lo1_way7,
1760 `L2DAT1.quad_top_left.way07_00.set_bot.mem_hi1_way7,
1761
1762 `L2DAT1.quad_top_left.way07_00.set_top.mem_lo0_way7,
1763 `L2DAT1.quad_top_left.way07_00.set_top.mem_hi0_way7,
1764 `L2DAT1.quad_top_left.way07_00.set_top.mem_lo1_way7,
1765 `L2DAT1.quad_top_left.way07_00.set_top.mem_hi1_way7, //toatl arg 64
1766
1767 //way158_00 top way [15:8]
1768 //index[255:0]
1769
1770 `L2DAT1.quad_top_left.way158_00.set_bot.mem_lo0_way0 , //arg 441
1771 `L2DAT1.quad_top_left.way158_00.set_bot.mem_hi0_way0,
1772 `L2DAT1.quad_top_left.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1773 `L2DAT1.quad_top_left.way158_00.set_bot.mem_hi1_way0,
1774 //index[511:256]
1775 `L2DAT1.quad_top_left.way158_00.set_top.mem_lo0_way0 , //arg 409
1776 `L2DAT1.quad_top_left.way158_00.set_top.mem_hi0_way0,
1777 `L2DAT1.quad_top_left.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1778 `L2DAT1.quad_top_left.way158_00.set_top.mem_hi1_way0,
1779
1780 `L2DAT1.quad_top_left.way158_00.set_bot.mem_lo0_way1,
1781 `L2DAT1.quad_top_left.way158_00.set_bot.mem_hi0_way1,
1782 `L2DAT1.quad_top_left.way158_00.set_bot.mem_lo1_way1,
1783 `L2DAT1.quad_top_left.way158_00.set_bot.mem_hi1_way1,
1784
1785 `L2DAT1.quad_top_left.way158_00.set_top.mem_lo0_way1,
1786 `L2DAT1.quad_top_left.way158_00.set_top.mem_hi0_way1,
1787 `L2DAT1.quad_top_left.way158_00.set_top.mem_lo1_way1,
1788 `L2DAT1.quad_top_left.way158_00.set_top.mem_hi1_way1,
1789
1790 `L2DAT1.quad_top_left.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1791 `L2DAT1.quad_top_left.way158_00.set_bot.mem_hi0_way2,
1792 `L2DAT1.quad_top_left.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1793 `L2DAT1.quad_top_left.way158_00.set_bot.mem_hi1_way2,
1794
1795 `L2DAT1.quad_top_left.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1796 `L2DAT1.quad_top_left.way158_00.set_top.mem_hi0_way2,
1797 `L2DAT1.quad_top_left.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1798 `L2DAT1.quad_top_left.way158_00.set_top.mem_hi1_way2,
1799
1800 `L2DAT1.quad_top_left.way158_00.set_bot.mem_lo0_way3,
1801 `L2DAT1.quad_top_left.way158_00.set_bot.mem_hi0_way3,
1802 `L2DAT1.quad_top_left.way158_00.set_bot.mem_lo1_way3,
1803 `L2DAT1.quad_top_left.way158_00.set_bot.mem_hi1_way3,
1804
1805 `L2DAT1.quad_top_left.way158_00.set_top.mem_lo0_way3,
1806 `L2DAT1.quad_top_left.way158_00.set_top.mem_hi0_way3,
1807 `L2DAT1.quad_top_left.way158_00.set_top.mem_lo1_way3,
1808 `L2DAT1.quad_top_left.way158_00.set_top.mem_hi1_way3,
1809
1810 `L2DAT1.quad_top_left.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1811 `L2DAT1.quad_top_left.way158_00.set_bot.mem_hi0_way4,
1812 `L2DAT1.quad_top_left.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1813 `L2DAT1.quad_top_left.way158_00.set_bot.mem_hi1_way4,
1814
1815 `L2DAT1.quad_top_left.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1816 `L2DAT1.quad_top_left.way158_00.set_top.mem_hi0_way4,
1817 `L2DAT1.quad_top_left.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1818 `L2DAT1.quad_top_left.way158_00.set_top.mem_hi1_way4,
1819
1820 `L2DAT1.quad_top_left.way158_00.set_bot.mem_lo0_way5,
1821 `L2DAT1.quad_top_left.way158_00.set_bot.mem_hi0_way5,
1822 `L2DAT1.quad_top_left.way158_00.set_bot.mem_lo1_way5,
1823 `L2DAT1.quad_top_left.way158_00.set_bot.mem_hi1_way5,
1824
1825 `L2DAT1.quad_top_left.way158_00.set_top.mem_lo0_way5,
1826 `L2DAT1.quad_top_left.way158_00.set_top.mem_hi0_way5,
1827 `L2DAT1.quad_top_left.way158_00.set_top.mem_lo1_way5,
1828 `L2DAT1.quad_top_left.way158_00.set_top.mem_hi1_way5,
1829
1830 `L2DAT1.quad_top_left.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1831 `L2DAT1.quad_top_left.way158_00.set_bot.mem_hi0_way6,
1832 `L2DAT1.quad_top_left.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1833 `L2DAT1.quad_top_left.way158_00.set_bot.mem_hi1_way6,
1834
1835 `L2DAT1.quad_top_left.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1836 `L2DAT1.quad_top_left.way158_00.set_top.mem_hi0_way6,
1837 `L2DAT1.quad_top_left.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1838 `L2DAT1.quad_top_left.way158_00.set_top.mem_hi1_way6,
1839
1840 `L2DAT1.quad_top_left.way158_00.set_bot.mem_lo0_way7,
1841 `L2DAT1.quad_top_left.way158_00.set_bot.mem_hi0_way7,
1842 `L2DAT1.quad_top_left.way158_00.set_bot.mem_lo1_way7,
1843 `L2DAT1.quad_top_left.way158_00.set_bot.mem_hi1_way7,
1844
1845 `L2DAT1.quad_top_left.way158_00.set_top.mem_lo0_way7,
1846 `L2DAT1.quad_top_left.way158_00.set_top.mem_hi0_way7,
1847 `L2DAT1.quad_top_left.way158_00.set_top.mem_lo1_way7,
1848 `L2DAT1.quad_top_left.way158_00.set_top.mem_hi1_way7, //128
1849
1850 //way70_01 bottom
1851 //bottom
1852 `L2DAT1.quad_top_left.way70_01.set_bot.mem_lo0_way0 , //[623:604]
1853 `L2DAT1.quad_top_left.way70_01.set_bot.mem_hi0_way0,
1854 `L2DAT1.quad_top_left.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1855 `L2DAT1.quad_top_left.way70_01.set_bot.mem_hi1_way0,
1856
1857 `L2DAT1.quad_top_left.way70_01.set_top.mem_lo0_way0 , //arg 345
1858 `L2DAT1.quad_top_left.way70_01.set_top.mem_hi0_way0,
1859 `L2DAT1.quad_top_left.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1860 `L2DAT1.quad_top_left.way70_01.set_top.mem_hi1_way0,
1861
1862 `L2DAT1.quad_top_left.way70_01.set_bot.mem_lo0_way1,
1863 `L2DAT1.quad_top_left.way70_01.set_bot.mem_hi0_way1,
1864 `L2DAT1.quad_top_left.way70_01.set_bot.mem_lo1_way1,
1865 `L2DAT1.quad_top_left.way70_01.set_bot.mem_hi1_way1,
1866
1867 `L2DAT1.quad_top_left.way70_01.set_top.mem_lo0_way1,
1868 `L2DAT1.quad_top_left.way70_01.set_top.mem_hi0_way1,
1869 `L2DAT1.quad_top_left.way70_01.set_top.mem_lo1_way1,
1870 `L2DAT1.quad_top_left.way70_01.set_top.mem_hi1_way1,
1871
1872 `L2DAT1.quad_top_left.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1873 `L2DAT1.quad_top_left.way70_01.set_bot.mem_hi0_way2,
1874 `L2DAT1.quad_top_left.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1875 `L2DAT1.quad_top_left.way70_01.set_bot.mem_hi1_way2,
1876
1877 `L2DAT1.quad_top_left.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1878 `L2DAT1.quad_top_left.way70_01.set_top.mem_hi0_way2,
1879 `L2DAT1.quad_top_left.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1880 `L2DAT1.quad_top_left.way70_01.set_top.mem_hi1_way2,
1881
1882 `L2DAT1.quad_top_left.way70_01.set_bot.mem_lo0_way3,
1883 `L2DAT1.quad_top_left.way70_01.set_bot.mem_hi0_way3,
1884 `L2DAT1.quad_top_left.way70_01.set_bot.mem_lo1_way3,
1885 `L2DAT1.quad_top_left.way70_01.set_bot.mem_hi1_way3,
1886
1887 `L2DAT1.quad_top_left.way70_01.set_top.mem_lo0_way3,
1888 `L2DAT1.quad_top_left.way70_01.set_top.mem_hi0_way3,
1889 `L2DAT1.quad_top_left.way70_01.set_top.mem_lo1_way3,
1890 `L2DAT1.quad_top_left.way70_01.set_top.mem_hi1_way3,
1891
1892 `L2DAT1.quad_top_left.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1893 `L2DAT1.quad_top_left.way70_01.set_bot.mem_hi0_way4,
1894 `L2DAT1.quad_top_left.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1895 `L2DAT1.quad_top_left.way70_01.set_bot.mem_hi1_way4,
1896
1897 `L2DAT1.quad_top_left.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1898 `L2DAT1.quad_top_left.way70_01.set_top.mem_hi0_way4,
1899 `L2DAT1.quad_top_left.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1900 `L2DAT1.quad_top_left.way70_01.set_top.mem_hi1_way4,
1901
1902 `L2DAT1.quad_top_left.way70_01.set_bot.mem_lo0_way5,
1903 `L2DAT1.quad_top_left.way70_01.set_bot.mem_hi0_way5,
1904 `L2DAT1.quad_top_left.way70_01.set_bot.mem_lo1_way5,
1905 `L2DAT1.quad_top_left.way70_01.set_bot.mem_hi1_way5,
1906
1907 `L2DAT1.quad_top_left.way70_01.set_top.mem_lo0_way5,
1908 `L2DAT1.quad_top_left.way70_01.set_top.mem_hi0_way5 ,
1909 `L2DAT1.quad_top_left.way70_01.set_top.mem_lo1_way5,
1910 `L2DAT1.quad_top_left.way70_01.set_top.mem_hi1_way5,
1911
1912 `L2DAT1.quad_top_left.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1913 `L2DAT1.quad_top_left.way70_01.set_bot.mem_hi0_way6,
1914 `L2DAT1.quad_top_left.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1915 `L2DAT1.quad_top_left.way70_01.set_bot.mem_hi1_way6,
1916
1917 `L2DAT1.quad_top_left.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1918 `L2DAT1.quad_top_left.way70_01.set_top.mem_hi0_way6,
1919 `L2DAT1.quad_top_left.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1920 `L2DAT1.quad_top_left.way70_01.set_top.mem_hi1_way6,
1921
1922 `L2DAT1.quad_top_left.way70_01.set_bot.mem_lo0_way7,
1923 `L2DAT1.quad_top_left.way70_01.set_bot.mem_hi0_way7 ,
1924 `L2DAT1.quad_top_left.way70_01.set_bot.mem_lo1_way7,
1925 `L2DAT1.quad_top_left.way70_01.set_bot.mem_hi1_way7,
1926
1927 `L2DAT1.quad_top_left.way70_01.set_top.mem_lo0_way7,
1928 `L2DAT1.quad_top_left.way70_01.set_top.mem_hi0_way7 ,
1929 `L2DAT1.quad_top_left.way70_01.set_top.mem_lo1_way7,
1930 `L2DAT1.quad_top_left.way70_01.set_top.mem_hi1_way7, //192
1931
1932 //way [15:8]
1933 `L2DAT1.quad_top_left.way158_01.set_bot.mem_lo0_way0 , //arg 505
1934 `L2DAT1.quad_top_left.way158_01.set_bot.mem_hi0_way0,
1935 `L2DAT1.quad_top_left.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1936 `L2DAT1.quad_top_left.way158_01.set_bot.mem_hi1_way0,
1937 //index [8]
1938 `L2DAT1.quad_top_left.way158_01.set_top.mem_lo0_way0 , //arg 473
1939 `L2DAT1.quad_top_left.way158_01.set_top.mem_hi0_way0,
1940 `L2DAT1.quad_top_left.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
1941 `L2DAT1.quad_top_left.way158_01.set_top.mem_hi1_way0,
1942
1943 `L2DAT1.quad_top_left.way158_01.set_bot.mem_lo0_way1,
1944 `L2DAT1.quad_top_left.way158_01.set_bot.mem_hi0_way1,
1945 `L2DAT1.quad_top_left.way158_01.set_bot.mem_lo1_way1,
1946 `L2DAT1.quad_top_left.way158_01.set_bot.mem_hi1_way1,
1947
1948 `L2DAT1.quad_top_left.way158_01.set_top.mem_lo0_way1,
1949 `L2DAT1.quad_top_left.way158_01.set_top.mem_hi0_way1,
1950 `L2DAT1.quad_top_left.way158_01.set_top.mem_lo1_way1,
1951 `L2DAT1.quad_top_left.way158_01.set_top.mem_hi1_way1,
1952
1953 `L2DAT1.quad_top_left.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1954 `L2DAT1.quad_top_left.way158_01.set_bot.mem_hi0_way2,
1955 `L2DAT1.quad_top_left.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1956 `L2DAT1.quad_top_left.way158_01.set_bot.mem_hi1_way2,
1957
1958 `L2DAT1.quad_top_left.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
1959 `L2DAT1.quad_top_left.way158_01.set_top.mem_hi0_way2,
1960 `L2DAT1.quad_top_left.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
1961 `L2DAT1.quad_top_left.way158_01.set_top.mem_hi1_way2,
1962
1963 `L2DAT1.quad_top_left.way158_01.set_bot.mem_lo0_way3,
1964 `L2DAT1.quad_top_left.way158_01.set_bot.mem_hi0_way3,
1965 `L2DAT1.quad_top_left.way158_01.set_bot.mem_lo1_way3,
1966 `L2DAT1.quad_top_left.way158_01.set_bot.mem_hi1_way3,
1967
1968 `L2DAT1.quad_top_left.way158_01.set_top.mem_lo0_way3,
1969 `L2DAT1.quad_top_left.way158_01.set_top.mem_hi0_way3,
1970 `L2DAT1.quad_top_left.way158_01.set_top.mem_lo1_way3,
1971 `L2DAT1.quad_top_left.way158_01.set_top.mem_hi1_way3,
1972
1973 `L2DAT1.quad_top_left.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1974 `L2DAT1.quad_top_left.way158_01.set_bot.mem_hi0_way4,
1975 `L2DAT1.quad_top_left.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1976 `L2DAT1.quad_top_left.way158_01.set_bot.mem_hi1_way4,
1977
1978 `L2DAT1.quad_top_left.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
1979 `L2DAT1.quad_top_left.way158_01.set_top.mem_hi0_way4,
1980 `L2DAT1.quad_top_left.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
1981 `L2DAT1.quad_top_left.way158_01.set_top.mem_hi1_way4,
1982
1983 `L2DAT1.quad_top_left.way158_01.set_bot.mem_lo0_way5,
1984 `L2DAT1.quad_top_left.way158_01.set_bot.mem_hi0_way5,
1985 `L2DAT1.quad_top_left.way158_01.set_bot.mem_lo1_way5,
1986 `L2DAT1.quad_top_left.way158_01.set_bot.mem_hi1_way5,
1987
1988 `L2DAT1.quad_top_left.way158_01.set_top.mem_lo0_way5,
1989 `L2DAT1.quad_top_left.way158_01.set_top.mem_hi0_way5,
1990 `L2DAT1.quad_top_left.way158_01.set_top.mem_lo1_way5,
1991 `L2DAT1.quad_top_left.way158_01.set_top.mem_hi1_way5,
1992
1993 `L2DAT1.quad_top_left.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1994 `L2DAT1.quad_top_left.way158_01.set_bot.mem_hi0_way6,
1995 `L2DAT1.quad_top_left.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
1996 `L2DAT1.quad_top_left.way158_01.set_bot.mem_hi1_way6,
1997
1998 `L2DAT1.quad_top_left.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
1999 `L2DAT1.quad_top_left.way158_01.set_top.mem_hi0_way6,
2000 `L2DAT1.quad_top_left.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2001 `L2DAT1.quad_top_left.way158_01.set_top.mem_hi1_way6,
2002
2003 `L2DAT1.quad_top_left.way158_01.set_bot.mem_lo0_way7,
2004 `L2DAT1.quad_top_left.way158_01.set_bot.mem_hi0_way7,
2005 `L2DAT1.quad_top_left.way158_01.set_bot.mem_lo1_way7,
2006 `L2DAT1.quad_top_left.way158_01.set_bot.mem_hi1_way7,
2007
2008 `L2DAT1.quad_top_left.way158_01.set_top.mem_lo0_way7,
2009 `L2DAT1.quad_top_left.way158_01.set_top.mem_hi0_way7,
2010 `L2DAT1.quad_top_left.way158_01.set_top.mem_lo1_way7,
2011 `L2DAT1.quad_top_left.way158_01.set_top.mem_hi1_way7, //256
2012 //right handside
2013 //bank 0 data
2014 //way [7:0]
2015 //[8] = 0 [255-0]
2016 `L2DAT1.quad_top_right.way07_00.set_bot.mem_lo0_way0 , //arg 313
2017 `L2DAT1.quad_top_right.way07_00.set_bot.mem_hi0_way0,
2018 `L2DAT1.quad_top_right.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2019 `L2DAT1.quad_top_right.way07_00.set_bot.mem_hi1_way0,
2020 //index [511-256]
2021 `L2DAT1.quad_top_right.way07_00.set_top.mem_lo0_way0 , //arg 281
2022 `L2DAT1.quad_top_right.way07_00.set_top.mem_hi0_way0,
2023 `L2DAT1.quad_top_right.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2024 `L2DAT1.quad_top_right.way07_00.set_top.mem_hi1_way0,
2025
2026 `L2DAT1.quad_top_right.way07_00.set_bot.mem_lo0_way1,
2027 `L2DAT1.quad_top_right.way07_00.set_bot.mem_hi0_way1,
2028 `L2DAT1.quad_top_right.way07_00.set_bot.mem_lo1_way1,
2029 `L2DAT1.quad_top_right.way07_00.set_bot.mem_hi1_way1,
2030
2031 `L2DAT1.quad_top_right.way07_00.set_top.mem_lo0_way1,
2032 `L2DAT1.quad_top_right.way07_00.set_top.mem_hi0_way1,
2033 `L2DAT1.quad_top_right.way07_00.set_top.mem_lo1_way1,
2034 `L2DAT1.quad_top_right.way07_00.set_top.mem_hi1_way1,
2035
2036 `L2DAT1.quad_top_right.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2037 `L2DAT1.quad_top_right.way07_00.set_bot.mem_hi0_way2,
2038 `L2DAT1.quad_top_right.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2039 `L2DAT1.quad_top_right.way07_00.set_bot.mem_hi1_way2,
2040
2041 `L2DAT1.quad_top_right.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2042 `L2DAT1.quad_top_right.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
2043 `L2DAT1.quad_top_right.way07_00.set_top.mem_lo1_way2,
2044 `L2DAT1.quad_top_right.way07_00.set_top.mem_hi1_way2,
2045
2046 `L2DAT1.quad_top_right.way07_00.set_bot.mem_lo0_way3,
2047 `L2DAT1.quad_top_right.way07_00.set_bot.mem_hi0_way3,
2048 `L2DAT1.quad_top_right.way07_00.set_bot.mem_lo1_way3,
2049 `L2DAT1.quad_top_right.way07_00.set_bot.mem_hi1_way3,
2050
2051 `L2DAT1.quad_top_right.way07_00.set_top.mem_lo0_way3,
2052 `L2DAT1.quad_top_right.way07_00.set_top.mem_hi0_way3,
2053 `L2DAT1.quad_top_right.way07_00.set_top.mem_lo1_way3,
2054 `L2DAT1.quad_top_right.way07_00.set_top.mem_hi1_way3,
2055
2056 `L2DAT1.quad_top_right.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2057 `L2DAT1.quad_top_right.way07_00.set_bot.mem_hi0_way4,
2058 `L2DAT1.quad_top_right.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2059 `L2DAT1.quad_top_right.way07_00.set_bot.mem_hi1_way4,
2060
2061 `L2DAT1.quad_top_right.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2062 `L2DAT1.quad_top_right.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
2063 `L2DAT1.quad_top_right.way07_00.set_top.mem_lo1_way4,
2064 `L2DAT1.quad_top_right.way07_00.set_top.mem_hi1_way4,
2065
2066 `L2DAT1.quad_top_right.way07_00.set_bot.mem_lo0_way5,
2067 `L2DAT1.quad_top_right.way07_00.set_bot.mem_hi0_way5,
2068 `L2DAT1.quad_top_right.way07_00.set_bot.mem_lo1_way5,
2069 `L2DAT1.quad_top_right.way07_00.set_bot.mem_hi1_way5,
2070
2071 `L2DAT1.quad_top_right.way07_00.set_top.mem_lo0_way5,
2072 `L2DAT1.quad_top_right.way07_00.set_top.mem_hi0_way5,
2073 `L2DAT1.quad_top_right.way07_00.set_top.mem_lo1_way5,
2074 `L2DAT1.quad_top_right.way07_00.set_top.mem_hi1_way5,
2075
2076 `L2DAT1.quad_top_right.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2077 `L2DAT1.quad_top_right.way07_00.set_bot.mem_hi0_way6,
2078 `L2DAT1.quad_top_right.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2079 `L2DAT1.quad_top_right.way07_00.set_bot.mem_hi1_way6,
2080
2081 `L2DAT1.quad_top_right.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2082 `L2DAT1.quad_top_right.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
2083 `L2DAT1.quad_top_right.way07_00.set_top.mem_lo1_way6,
2084 `L2DAT1.quad_top_right.way07_00.set_top.mem_hi1_way6,
2085
2086 `L2DAT1.quad_top_right.way07_00.set_bot.mem_lo0_way7,
2087 `L2DAT1.quad_top_right.way07_00.set_bot.mem_hi0_way7,
2088 `L2DAT1.quad_top_right.way07_00.set_bot.mem_lo1_way7,
2089 `L2DAT1.quad_top_right.way07_00.set_bot.mem_hi1_way7,
2090
2091 `L2DAT1.quad_top_right.way07_00.set_top.mem_lo0_way7,
2092 `L2DAT1.quad_top_right.way07_00.set_top.mem_hi0_way7,
2093 `L2DAT1.quad_top_right.way07_00.set_top.mem_lo1_way7,
2094 `L2DAT1.quad_top_right.way07_00.set_top.mem_hi1_way7, //toatl arg 64
2095
2096 //way158_00 top way [15:8]
2097 //index[255:0]
2098
2099 `L2DAT1.quad_top_right.way158_00.set_bot.mem_lo0_way0 , //arg 441
2100 `L2DAT1.quad_top_right.way158_00.set_bot.mem_hi0_way0,
2101 `L2DAT1.quad_top_right.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2102 `L2DAT1.quad_top_right.way158_00.set_bot.mem_hi1_way0,
2103 //index[511:256]
2104 `L2DAT1.quad_top_right.way158_00.set_top.mem_lo0_way0 , //arg 409
2105 `L2DAT1.quad_top_right.way158_00.set_top.mem_hi0_way0,
2106 `L2DAT1.quad_top_right.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2107 `L2DAT1.quad_top_right.way158_00.set_top.mem_hi1_way0,
2108
2109 `L2DAT1.quad_top_right.way158_00.set_bot.mem_lo0_way1,
2110 `L2DAT1.quad_top_right.way158_00.set_bot.mem_hi0_way1,
2111 `L2DAT1.quad_top_right.way158_00.set_bot.mem_lo1_way1,
2112 `L2DAT1.quad_top_right.way158_00.set_bot.mem_hi1_way1,
2113
2114 `L2DAT1.quad_top_right.way158_00.set_top.mem_lo0_way1,
2115 `L2DAT1.quad_top_right.way158_00.set_top.mem_hi0_way1,
2116 `L2DAT1.quad_top_right.way158_00.set_top.mem_lo1_way1,
2117 `L2DAT1.quad_top_right.way158_00.set_top.mem_hi1_way1,
2118
2119 `L2DAT1.quad_top_right.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2120 `L2DAT1.quad_top_right.way158_00.set_bot.mem_hi0_way2,
2121 `L2DAT1.quad_top_right.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2122 `L2DAT1.quad_top_right.way158_00.set_bot.mem_hi1_way2,
2123
2124 `L2DAT1.quad_top_right.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2125 `L2DAT1.quad_top_right.way158_00.set_top.mem_hi0_way2,
2126 `L2DAT1.quad_top_right.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2127 `L2DAT1.quad_top_right.way158_00.set_top.mem_hi1_way2,
2128
2129 `L2DAT1.quad_top_right.way158_00.set_bot.mem_lo0_way3,
2130 `L2DAT1.quad_top_right.way158_00.set_bot.mem_hi0_way3,
2131 `L2DAT1.quad_top_right.way158_00.set_bot.mem_lo1_way3,
2132 `L2DAT1.quad_top_right.way158_00.set_bot.mem_hi1_way3,
2133
2134 `L2DAT1.quad_top_right.way158_00.set_top.mem_lo0_way3,
2135 `L2DAT1.quad_top_right.way158_00.set_top.mem_hi0_way3,
2136 `L2DAT1.quad_top_right.way158_00.set_top.mem_lo1_way3,
2137 `L2DAT1.quad_top_right.way158_00.set_top.mem_hi1_way3,
2138
2139 `L2DAT1.quad_top_right.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2140 `L2DAT1.quad_top_right.way158_00.set_bot.mem_hi0_way4,
2141 `L2DAT1.quad_top_right.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2142 `L2DAT1.quad_top_right.way158_00.set_bot.mem_hi1_way4,
2143
2144 `L2DAT1.quad_top_right.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2145 `L2DAT1.quad_top_right.way158_00.set_top.mem_hi0_way4,
2146 `L2DAT1.quad_top_right.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2147 `L2DAT1.quad_top_right.way158_00.set_top.mem_hi1_way4,
2148
2149 `L2DAT1.quad_top_right.way158_00.set_bot.mem_lo0_way5,
2150 `L2DAT1.quad_top_right.way158_00.set_bot.mem_hi0_way5,
2151 `L2DAT1.quad_top_right.way158_00.set_bot.mem_lo1_way5,
2152 `L2DAT1.quad_top_right.way158_00.set_bot.mem_hi1_way5,
2153
2154 `L2DAT1.quad_top_right.way158_00.set_top.mem_lo0_way5,
2155 `L2DAT1.quad_top_right.way158_00.set_top.mem_hi0_way5,
2156 `L2DAT1.quad_top_right.way158_00.set_top.mem_lo1_way5,
2157 `L2DAT1.quad_top_right.way158_00.set_top.mem_hi1_way5,
2158
2159 `L2DAT1.quad_top_right.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2160 `L2DAT1.quad_top_right.way158_00.set_bot.mem_hi0_way6,
2161 `L2DAT1.quad_top_right.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2162 `L2DAT1.quad_top_right.way158_00.set_bot.mem_hi1_way6,
2163
2164 `L2DAT1.quad_top_right.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2165 `L2DAT1.quad_top_right.way158_00.set_top.mem_hi0_way6,
2166 `L2DAT1.quad_top_right.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2167 `L2DAT1.quad_top_right.way158_00.set_top.mem_hi1_way6,
2168
2169 `L2DAT1.quad_top_right.way158_00.set_bot.mem_lo0_way7,
2170 `L2DAT1.quad_top_right.way158_00.set_bot.mem_hi0_way7,
2171 `L2DAT1.quad_top_right.way158_00.set_bot.mem_lo1_way7,
2172 `L2DAT1.quad_top_right.way158_00.set_bot.mem_hi1_way7,
2173
2174 `L2DAT1.quad_top_right.way158_00.set_top.mem_lo0_way7,
2175 `L2DAT1.quad_top_right.way158_00.set_top.mem_hi0_way7,
2176 `L2DAT1.quad_top_right.way158_00.set_top.mem_lo1_way7,
2177 `L2DAT1.quad_top_right.way158_00.set_top.mem_hi1_way7, //128
2178
2179 //way70_01 bottom
2180 //bottom
2181 `L2DAT1.quad_top_right.way70_01.set_bot.mem_lo0_way0 , //[623:604]
2182 `L2DAT1.quad_top_right.way70_01.set_bot.mem_hi0_way0,
2183 `L2DAT1.quad_top_right.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2184 `L2DAT1.quad_top_right.way70_01.set_bot.mem_hi1_way0,
2185
2186 `L2DAT1.quad_top_right.way70_01.set_top.mem_lo0_way0 , //arg 345
2187 `L2DAT1.quad_top_right.way70_01.set_top.mem_hi0_way0,
2188 `L2DAT1.quad_top_right.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2189 `L2DAT1.quad_top_right.way70_01.set_top.mem_hi1_way0,
2190
2191 `L2DAT1.quad_top_right.way70_01.set_bot.mem_lo0_way1,
2192 `L2DAT1.quad_top_right.way70_01.set_bot.mem_hi0_way1,
2193 `L2DAT1.quad_top_right.way70_01.set_bot.mem_lo1_way1,
2194 `L2DAT1.quad_top_right.way70_01.set_bot.mem_hi1_way1,
2195
2196 `L2DAT1.quad_top_right.way70_01.set_top.mem_lo0_way1,
2197 `L2DAT1.quad_top_right.way70_01.set_top.mem_hi0_way1,
2198 `L2DAT1.quad_top_right.way70_01.set_top.mem_lo1_way1,
2199 `L2DAT1.quad_top_right.way70_01.set_top.mem_hi1_way1,
2200
2201 `L2DAT1.quad_top_right.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2202 `L2DAT1.quad_top_right.way70_01.set_bot.mem_hi0_way2,
2203 `L2DAT1.quad_top_right.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2204 `L2DAT1.quad_top_right.way70_01.set_bot.mem_hi1_way2,
2205
2206 `L2DAT1.quad_top_right.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2207 `L2DAT1.quad_top_right.way70_01.set_top.mem_hi0_way2,
2208 `L2DAT1.quad_top_right.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2209 `L2DAT1.quad_top_right.way70_01.set_top.mem_hi1_way2,
2210
2211 `L2DAT1.quad_top_right.way70_01.set_bot.mem_lo0_way3,
2212 `L2DAT1.quad_top_right.way70_01.set_bot.mem_hi0_way3,
2213 `L2DAT1.quad_top_right.way70_01.set_bot.mem_lo1_way3,
2214 `L2DAT1.quad_top_right.way70_01.set_bot.mem_hi1_way3,
2215
2216 `L2DAT1.quad_top_right.way70_01.set_top.mem_lo0_way3,
2217 `L2DAT1.quad_top_right.way70_01.set_top.mem_hi0_way3,
2218 `L2DAT1.quad_top_right.way70_01.set_top.mem_lo1_way3,
2219 `L2DAT1.quad_top_right.way70_01.set_top.mem_hi1_way3,
2220
2221 `L2DAT1.quad_top_right.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2222 `L2DAT1.quad_top_right.way70_01.set_bot.mem_hi0_way4,
2223 `L2DAT1.quad_top_right.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2224 `L2DAT1.quad_top_right.way70_01.set_bot.mem_hi1_way4,
2225
2226 `L2DAT1.quad_top_right.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2227 `L2DAT1.quad_top_right.way70_01.set_top.mem_hi0_way4,
2228 `L2DAT1.quad_top_right.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2229 `L2DAT1.quad_top_right.way70_01.set_top.mem_hi1_way4,
2230
2231 `L2DAT1.quad_top_right.way70_01.set_bot.mem_lo0_way5,
2232 `L2DAT1.quad_top_right.way70_01.set_bot.mem_hi0_way5,
2233 `L2DAT1.quad_top_right.way70_01.set_bot.mem_lo1_way5,
2234 `L2DAT1.quad_top_right.way70_01.set_bot.mem_hi1_way5,
2235
2236 `L2DAT1.quad_top_right.way70_01.set_top.mem_lo0_way5,
2237 `L2DAT1.quad_top_right.way70_01.set_top.mem_hi0_way5 ,
2238 `L2DAT1.quad_top_right.way70_01.set_top.mem_lo1_way5,
2239 `L2DAT1.quad_top_right.way70_01.set_top.mem_hi1_way5,
2240
2241 `L2DAT1.quad_top_right.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2242 `L2DAT1.quad_top_right.way70_01.set_bot.mem_hi0_way6,
2243 `L2DAT1.quad_top_right.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2244 `L2DAT1.quad_top_right.way70_01.set_bot.mem_hi1_way6,
2245
2246 `L2DAT1.quad_top_right.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2247 `L2DAT1.quad_top_right.way70_01.set_top.mem_hi0_way6,
2248 `L2DAT1.quad_top_right.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2249 `L2DAT1.quad_top_right.way70_01.set_top.mem_hi1_way6,
2250
2251 `L2DAT1.quad_top_right.way70_01.set_bot.mem_lo0_way7,
2252 `L2DAT1.quad_top_right.way70_01.set_bot.mem_hi0_way7 ,
2253 `L2DAT1.quad_top_right.way70_01.set_bot.mem_lo1_way7,
2254 `L2DAT1.quad_top_right.way70_01.set_bot.mem_hi1_way7,
2255
2256 `L2DAT1.quad_top_right.way70_01.set_top.mem_lo0_way7,
2257 `L2DAT1.quad_top_right.way70_01.set_top.mem_hi0_way7 ,
2258 `L2DAT1.quad_top_right.way70_01.set_top.mem_lo1_way7,
2259 `L2DAT1.quad_top_right.way70_01.set_top.mem_hi1_way7, //192
2260
2261 //way [15:8]
2262 `L2DAT1.quad_top_right.way158_01.set_bot.mem_lo0_way0 , //arg 505
2263 `L2DAT1.quad_top_right.way158_01.set_bot.mem_hi0_way0,
2264 `L2DAT1.quad_top_right.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2265 `L2DAT1.quad_top_right.way158_01.set_bot.mem_hi1_way0,
2266 //index [8]
2267 `L2DAT1.quad_top_right.way158_01.set_top.mem_lo0_way0 , //arg 473
2268 `L2DAT1.quad_top_right.way158_01.set_top.mem_hi0_way0,
2269 `L2DAT1.quad_top_right.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2270 `L2DAT1.quad_top_right.way158_01.set_top.mem_hi1_way0,
2271
2272 `L2DAT1.quad_top_right.way158_01.set_bot.mem_lo0_way1,
2273 `L2DAT1.quad_top_right.way158_01.set_bot.mem_hi0_way1,
2274 `L2DAT1.quad_top_right.way158_01.set_bot.mem_lo1_way1,
2275 `L2DAT1.quad_top_right.way158_01.set_bot.mem_hi1_way1,
2276
2277 `L2DAT1.quad_top_right.way158_01.set_top.mem_lo0_way1,
2278 `L2DAT1.quad_top_right.way158_01.set_top.mem_hi0_way1,
2279 `L2DAT1.quad_top_right.way158_01.set_top.mem_lo1_way1,
2280 `L2DAT1.quad_top_right.way158_01.set_top.mem_hi1_way1,
2281
2282 `L2DAT1.quad_top_right.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2283 `L2DAT1.quad_top_right.way158_01.set_bot.mem_hi0_way2,
2284 `L2DAT1.quad_top_right.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2285 `L2DAT1.quad_top_right.way158_01.set_bot.mem_hi1_way2,
2286
2287 `L2DAT1.quad_top_right.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2288 `L2DAT1.quad_top_right.way158_01.set_top.mem_hi0_way2,
2289 `L2DAT1.quad_top_right.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2290 `L2DAT1.quad_top_right.way158_01.set_top.mem_hi1_way2,
2291
2292 `L2DAT1.quad_top_right.way158_01.set_bot.mem_lo0_way3,
2293 `L2DAT1.quad_top_right.way158_01.set_bot.mem_hi0_way3,
2294 `L2DAT1.quad_top_right.way158_01.set_bot.mem_lo1_way3,
2295 `L2DAT1.quad_top_right.way158_01.set_bot.mem_hi1_way3,
2296
2297 `L2DAT1.quad_top_right.way158_01.set_top.mem_lo0_way3,
2298 `L2DAT1.quad_top_right.way158_01.set_top.mem_hi0_way3,
2299 `L2DAT1.quad_top_right.way158_01.set_top.mem_lo1_way3,
2300 `L2DAT1.quad_top_right.way158_01.set_top.mem_hi1_way3,
2301
2302 `L2DAT1.quad_top_right.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2303 `L2DAT1.quad_top_right.way158_01.set_bot.mem_hi0_way4,
2304 `L2DAT1.quad_top_right.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2305 `L2DAT1.quad_top_right.way158_01.set_bot.mem_hi1_way4,
2306
2307 `L2DAT1.quad_top_right.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2308 `L2DAT1.quad_top_right.way158_01.set_top.mem_hi0_way4,
2309 `L2DAT1.quad_top_right.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2310 `L2DAT1.quad_top_right.way158_01.set_top.mem_hi1_way4,
2311
2312 `L2DAT1.quad_top_right.way158_01.set_bot.mem_lo0_way5,
2313 `L2DAT1.quad_top_right.way158_01.set_bot.mem_hi0_way5,
2314 `L2DAT1.quad_top_right.way158_01.set_bot.mem_lo1_way5,
2315 `L2DAT1.quad_top_right.way158_01.set_bot.mem_hi1_way5,
2316
2317 `L2DAT1.quad_top_right.way158_01.set_top.mem_lo0_way5,
2318 `L2DAT1.quad_top_right.way158_01.set_top.mem_hi0_way5,
2319 `L2DAT1.quad_top_right.way158_01.set_top.mem_lo1_way5,
2320 `L2DAT1.quad_top_right.way158_01.set_top.mem_hi1_way5,
2321
2322 `L2DAT1.quad_top_right.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2323 `L2DAT1.quad_top_right.way158_01.set_bot.mem_hi0_way6,
2324 `L2DAT1.quad_top_right.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2325 `L2DAT1.quad_top_right.way158_01.set_bot.mem_hi1_way6,
2326
2327 `L2DAT1.quad_top_right.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2328 `L2DAT1.quad_top_right.way158_01.set_top.mem_hi0_way6,
2329 `L2DAT1.quad_top_right.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2330 `L2DAT1.quad_top_right.way158_01.set_top.mem_hi1_way6,
2331
2332 `L2DAT1.quad_top_right.way158_01.set_bot.mem_lo0_way7,
2333 `L2DAT1.quad_top_right.way158_01.set_bot.mem_hi0_way7,
2334 `L2DAT1.quad_top_right.way158_01.set_bot.mem_lo1_way7,
2335 `L2DAT1.quad_top_right.way158_01.set_bot.mem_hi1_way7,
2336
2337 `L2DAT1.quad_top_right.way158_01.set_top.mem_lo0_way7,
2338 `L2DAT1.quad_top_right.way158_01.set_top.mem_hi0_way7,
2339 `L2DAT1.quad_top_right.way158_01.set_top.mem_lo1_way7,
2340 `L2DAT1.quad_top_right.way158_01.set_top.mem_hi1_way7, //512
2341 //bottom part
2342 //bank 0 data
2343 //way [7:0]
2344 //[8] = 0 [255-0]
2345 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_lo0_way0 , //arg 313
2346 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_hi0_way0,
2347 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2348 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_hi1_way0,
2349 //index [511-256]
2350 `L2DAT1.quad_bot_left.way07_00.set_top.mem_lo0_way0 , //arg 281
2351 `L2DAT1.quad_bot_left.way07_00.set_top.mem_hi0_way0,
2352 `L2DAT1.quad_bot_left.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2353 `L2DAT1.quad_bot_left.way07_00.set_top.mem_hi1_way0,
2354
2355 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_lo0_way1,
2356 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_hi0_way1,
2357 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_lo1_way1,
2358 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_hi1_way1,
2359
2360 `L2DAT1.quad_bot_left.way07_00.set_top.mem_lo0_way1,
2361 `L2DAT1.quad_bot_left.way07_00.set_top.mem_hi0_way1,
2362 `L2DAT1.quad_bot_left.way07_00.set_top.mem_lo1_way1,
2363 `L2DAT1.quad_bot_left.way07_00.set_top.mem_hi1_way1,
2364
2365 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2366 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_hi0_way2,
2367 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2368 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_hi1_way2,
2369
2370 `L2DAT1.quad_bot_left.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2371 `L2DAT1.quad_bot_left.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
2372 `L2DAT1.quad_bot_left.way07_00.set_top.mem_lo1_way2,
2373 `L2DAT1.quad_bot_left.way07_00.set_top.mem_hi1_way2,
2374
2375 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_lo0_way3,
2376 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_hi0_way3,
2377 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_lo1_way3,
2378 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_hi1_way3,
2379
2380 `L2DAT1.quad_bot_left.way07_00.set_top.mem_lo0_way3,
2381 `L2DAT1.quad_bot_left.way07_00.set_top.mem_hi0_way3,
2382 `L2DAT1.quad_bot_left.way07_00.set_top.mem_lo1_way3,
2383 `L2DAT1.quad_bot_left.way07_00.set_top.mem_hi1_way3,
2384
2385 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2386 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_hi0_way4,
2387 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2388 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_hi1_way4,
2389
2390 `L2DAT1.quad_bot_left.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2391 `L2DAT1.quad_bot_left.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
2392 `L2DAT1.quad_bot_left.way07_00.set_top.mem_lo1_way4,
2393 `L2DAT1.quad_bot_left.way07_00.set_top.mem_hi1_way4,
2394
2395 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_lo0_way5,
2396 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_hi0_way5,
2397 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_lo1_way5,
2398 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_hi1_way5,
2399
2400 `L2DAT1.quad_bot_left.way07_00.set_top.mem_lo0_way5,
2401 `L2DAT1.quad_bot_left.way07_00.set_top.mem_hi0_way5,
2402 `L2DAT1.quad_bot_left.way07_00.set_top.mem_lo1_way5,
2403 `L2DAT1.quad_bot_left.way07_00.set_top.mem_hi1_way5,
2404
2405 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2406 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_hi0_way6,
2407 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2408 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_hi1_way6,
2409
2410 `L2DAT1.quad_bot_left.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2411 `L2DAT1.quad_bot_left.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
2412 `L2DAT1.quad_bot_left.way07_00.set_top.mem_lo1_way6,
2413 `L2DAT1.quad_bot_left.way07_00.set_top.mem_hi1_way6,
2414
2415 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_lo0_way7,
2416 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_hi0_way7,
2417 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_lo1_way7,
2418 `L2DAT1.quad_bot_left.way07_00.set_bot.mem_hi1_way7,
2419
2420 `L2DAT1.quad_bot_left.way07_00.set_top.mem_lo0_way7,
2421 `L2DAT1.quad_bot_left.way07_00.set_top.mem_hi0_way7,
2422 `L2DAT1.quad_bot_left.way07_00.set_top.mem_lo1_way7,
2423 `L2DAT1.quad_bot_left.way07_00.set_top.mem_hi1_way7, //toatl arg 64
2424
2425 //way158_00 top way [15:8]
2426 //index[255:0]
2427
2428 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_lo0_way0 , //arg 441
2429 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_hi0_way0,
2430 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2431 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_hi1_way0,
2432 //index[511:256]
2433 `L2DAT1.quad_bot_left.way158_00.set_top.mem_lo0_way0 , //arg 409
2434 `L2DAT1.quad_bot_left.way158_00.set_top.mem_hi0_way0,
2435 `L2DAT1.quad_bot_left.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2436 `L2DAT1.quad_bot_left.way158_00.set_top.mem_hi1_way0,
2437
2438 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_lo0_way1,
2439 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_hi0_way1,
2440 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_lo1_way1,
2441 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_hi1_way1,
2442
2443 `L2DAT1.quad_bot_left.way158_00.set_top.mem_lo0_way1,
2444 `L2DAT1.quad_bot_left.way158_00.set_top.mem_hi0_way1,
2445 `L2DAT1.quad_bot_left.way158_00.set_top.mem_lo1_way1,
2446 `L2DAT1.quad_bot_left.way158_00.set_top.mem_hi1_way1,
2447
2448 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2449 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_hi0_way2,
2450 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2451 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_hi1_way2,
2452
2453 `L2DAT1.quad_bot_left.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2454 `L2DAT1.quad_bot_left.way158_00.set_top.mem_hi0_way2,
2455 `L2DAT1.quad_bot_left.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2456 `L2DAT1.quad_bot_left.way158_00.set_top.mem_hi1_way2,
2457
2458 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_lo0_way3,
2459 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_hi0_way3,
2460 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_lo1_way3,
2461 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_hi1_way3,
2462
2463 `L2DAT1.quad_bot_left.way158_00.set_top.mem_lo0_way3,
2464 `L2DAT1.quad_bot_left.way158_00.set_top.mem_hi0_way3,
2465 `L2DAT1.quad_bot_left.way158_00.set_top.mem_lo1_way3,
2466 `L2DAT1.quad_bot_left.way158_00.set_top.mem_hi1_way3,
2467
2468 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2469 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_hi0_way4,
2470 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2471 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_hi1_way4,
2472
2473 `L2DAT1.quad_bot_left.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2474 `L2DAT1.quad_bot_left.way158_00.set_top.mem_hi0_way4,
2475 `L2DAT1.quad_bot_left.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2476 `L2DAT1.quad_bot_left.way158_00.set_top.mem_hi1_way4,
2477
2478 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_lo0_way5,
2479 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_hi0_way5,
2480 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_lo1_way5,
2481 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_hi1_way5,
2482
2483 `L2DAT1.quad_bot_left.way158_00.set_top.mem_lo0_way5,
2484 `L2DAT1.quad_bot_left.way158_00.set_top.mem_hi0_way5,
2485 `L2DAT1.quad_bot_left.way158_00.set_top.mem_lo1_way5,
2486 `L2DAT1.quad_bot_left.way158_00.set_top.mem_hi1_way5,
2487
2488 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2489 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_hi0_way6,
2490 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2491 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_hi1_way6,
2492
2493 `L2DAT1.quad_bot_left.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2494 `L2DAT1.quad_bot_left.way158_00.set_top.mem_hi0_way6,
2495 `L2DAT1.quad_bot_left.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2496 `L2DAT1.quad_bot_left.way158_00.set_top.mem_hi1_way6,
2497
2498 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_lo0_way7,
2499 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_hi0_way7,
2500 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_lo1_way7,
2501 `L2DAT1.quad_bot_left.way158_00.set_bot.mem_hi1_way7,
2502
2503 `L2DAT1.quad_bot_left.way158_00.set_top.mem_lo0_way7,
2504 `L2DAT1.quad_bot_left.way158_00.set_top.mem_hi0_way7,
2505 `L2DAT1.quad_bot_left.way158_00.set_top.mem_lo1_way7,
2506 `L2DAT1.quad_bot_left.way158_00.set_top.mem_hi1_way7, //128
2507
2508 //way70_01 bottom
2509 //bottom
2510 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_lo0_way0 , //[623:604]
2511 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_hi0_way0,
2512 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2513 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_hi1_way0,
2514
2515 `L2DAT1.quad_bot_left.way70_01.set_top.mem_lo0_way0 , //arg 345
2516 `L2DAT1.quad_bot_left.way70_01.set_top.mem_hi0_way0,
2517 `L2DAT1.quad_bot_left.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2518 `L2DAT1.quad_bot_left.way70_01.set_top.mem_hi1_way0,
2519
2520 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_lo0_way1,
2521 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_hi0_way1,
2522 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_lo1_way1,
2523 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_hi1_way1,
2524
2525 `L2DAT1.quad_bot_left.way70_01.set_top.mem_lo0_way1,
2526 `L2DAT1.quad_bot_left.way70_01.set_top.mem_hi0_way1,
2527 `L2DAT1.quad_bot_left.way70_01.set_top.mem_lo1_way1,
2528 `L2DAT1.quad_bot_left.way70_01.set_top.mem_hi1_way1,
2529
2530 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2531 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_hi0_way2,
2532 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2533 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_hi1_way2,
2534
2535 `L2DAT1.quad_bot_left.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2536 `L2DAT1.quad_bot_left.way70_01.set_top.mem_hi0_way2,
2537 `L2DAT1.quad_bot_left.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2538 `L2DAT1.quad_bot_left.way70_01.set_top.mem_hi1_way2,
2539
2540 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_lo0_way3,
2541 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_hi0_way3,
2542 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_lo1_way3,
2543 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_hi1_way3,
2544
2545 `L2DAT1.quad_bot_left.way70_01.set_top.mem_lo0_way3,
2546 `L2DAT1.quad_bot_left.way70_01.set_top.mem_hi0_way3,
2547 `L2DAT1.quad_bot_left.way70_01.set_top.mem_lo1_way3,
2548 `L2DAT1.quad_bot_left.way70_01.set_top.mem_hi1_way3,
2549
2550 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2551 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_hi0_way4,
2552 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2553 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_hi1_way4,
2554
2555 `L2DAT1.quad_bot_left.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2556 `L2DAT1.quad_bot_left.way70_01.set_top.mem_hi0_way4,
2557 `L2DAT1.quad_bot_left.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2558 `L2DAT1.quad_bot_left.way70_01.set_top.mem_hi1_way4,
2559
2560 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_lo0_way5,
2561 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_hi0_way5,
2562 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_lo1_way5,
2563 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_hi1_way5,
2564
2565 `L2DAT1.quad_bot_left.way70_01.set_top.mem_lo0_way5,
2566 `L2DAT1.quad_bot_left.way70_01.set_top.mem_hi0_way5 ,
2567 `L2DAT1.quad_bot_left.way70_01.set_top.mem_lo1_way5,
2568 `L2DAT1.quad_bot_left.way70_01.set_top.mem_hi1_way5,
2569
2570 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2571 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_hi0_way6,
2572 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2573 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_hi1_way6,
2574
2575 `L2DAT1.quad_bot_left.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2576 `L2DAT1.quad_bot_left.way70_01.set_top.mem_hi0_way6,
2577 `L2DAT1.quad_bot_left.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2578 `L2DAT1.quad_bot_left.way70_01.set_top.mem_hi1_way6,
2579
2580 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_lo0_way7,
2581 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_hi0_way7 ,
2582 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_lo1_way7,
2583 `L2DAT1.quad_bot_left.way70_01.set_bot.mem_hi1_way7,
2584
2585 `L2DAT1.quad_bot_left.way70_01.set_top.mem_lo0_way7,
2586 `L2DAT1.quad_bot_left.way70_01.set_top.mem_hi0_way7 ,
2587 `L2DAT1.quad_bot_left.way70_01.set_top.mem_lo1_way7,
2588 `L2DAT1.quad_bot_left.way70_01.set_top.mem_hi1_way7, //192
2589
2590 //way [15:8]
2591 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_lo0_way0 , //arg 505
2592 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_hi0_way0,
2593 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2594 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_hi1_way0,
2595 //index [8]
2596 `L2DAT1.quad_bot_left.way158_01.set_top.mem_lo0_way0 , //arg 473
2597 `L2DAT1.quad_bot_left.way158_01.set_top.mem_hi0_way0,
2598 `L2DAT1.quad_bot_left.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2599 `L2DAT1.quad_bot_left.way158_01.set_top.mem_hi1_way0,
2600
2601 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_lo0_way1,
2602 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_hi0_way1,
2603 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_lo1_way1,
2604 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_hi1_way1,
2605
2606 `L2DAT1.quad_bot_left.way158_01.set_top.mem_lo0_way1,
2607 `L2DAT1.quad_bot_left.way158_01.set_top.mem_hi0_way1,
2608 `L2DAT1.quad_bot_left.way158_01.set_top.mem_lo1_way1,
2609 `L2DAT1.quad_bot_left.way158_01.set_top.mem_hi1_way1,
2610
2611 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2612 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_hi0_way2,
2613 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2614 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_hi1_way2,
2615
2616 `L2DAT1.quad_bot_left.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2617 `L2DAT1.quad_bot_left.way158_01.set_top.mem_hi0_way2,
2618 `L2DAT1.quad_bot_left.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2619 `L2DAT1.quad_bot_left.way158_01.set_top.mem_hi1_way2,
2620
2621 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_lo0_way3,
2622 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_hi0_way3,
2623 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_lo1_way3,
2624 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_hi1_way3,
2625
2626 `L2DAT1.quad_bot_left.way158_01.set_top.mem_lo0_way3,
2627 `L2DAT1.quad_bot_left.way158_01.set_top.mem_hi0_way3,
2628 `L2DAT1.quad_bot_left.way158_01.set_top.mem_lo1_way3,
2629 `L2DAT1.quad_bot_left.way158_01.set_top.mem_hi1_way3,
2630
2631 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2632 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_hi0_way4,
2633 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2634 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_hi1_way4,
2635
2636 `L2DAT1.quad_bot_left.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2637 `L2DAT1.quad_bot_left.way158_01.set_top.mem_hi0_way4,
2638 `L2DAT1.quad_bot_left.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2639 `L2DAT1.quad_bot_left.way158_01.set_top.mem_hi1_way4,
2640
2641 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_lo0_way5,
2642 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_hi0_way5,
2643 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_lo1_way5,
2644 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_hi1_way5,
2645
2646 `L2DAT1.quad_bot_left.way158_01.set_top.mem_lo0_way5,
2647 `L2DAT1.quad_bot_left.way158_01.set_top.mem_hi0_way5,
2648 `L2DAT1.quad_bot_left.way158_01.set_top.mem_lo1_way5,
2649 `L2DAT1.quad_bot_left.way158_01.set_top.mem_hi1_way5,
2650
2651 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2652 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_hi0_way6,
2653 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2654 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_hi1_way6,
2655
2656 `L2DAT1.quad_bot_left.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2657 `L2DAT1.quad_bot_left.way158_01.set_top.mem_hi0_way6,
2658 `L2DAT1.quad_bot_left.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2659 `L2DAT1.quad_bot_left.way158_01.set_top.mem_hi1_way6,
2660
2661 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_lo0_way7,
2662 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_hi0_way7,
2663 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_lo1_way7,
2664 `L2DAT1.quad_bot_left.way158_01.set_bot.mem_hi1_way7,
2665
2666 `L2DAT1.quad_bot_left.way158_01.set_top.mem_lo0_way7,
2667 `L2DAT1.quad_bot_left.way158_01.set_top.mem_hi0_way7,
2668 `L2DAT1.quad_bot_left.way158_01.set_top.mem_lo1_way7,
2669 `L2DAT1.quad_bot_left.way158_01.set_top.mem_hi1_way7, //256
2670 //right handside
2671 //bank 0 data
2672 //way [7:0]
2673 //[8] = 0 [255-0]
2674 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_lo0_way0 , //arg 313
2675 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_hi0_way0,
2676 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2677 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_hi1_way0,
2678 //index [511-256]
2679 `L2DAT1.quad_bot_right.way07_00.set_top.mem_lo0_way0 , //arg 281
2680 `L2DAT1.quad_bot_right.way07_00.set_top.mem_hi0_way0,
2681 `L2DAT1.quad_bot_right.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2682 `L2DAT1.quad_bot_right.way07_00.set_top.mem_hi1_way0,
2683
2684 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_lo0_way1,
2685 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_hi0_way1,
2686 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_lo1_way1,
2687 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_hi1_way1,
2688
2689 `L2DAT1.quad_bot_right.way07_00.set_top.mem_lo0_way1,
2690 `L2DAT1.quad_bot_right.way07_00.set_top.mem_hi0_way1,
2691 `L2DAT1.quad_bot_right.way07_00.set_top.mem_lo1_way1,
2692 `L2DAT1.quad_bot_right.way07_00.set_top.mem_hi1_way1,
2693
2694 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2695 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_hi0_way2,
2696 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2697 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_hi1_way2,
2698
2699 `L2DAT1.quad_bot_right.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2700 `L2DAT1.quad_bot_right.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
2701 `L2DAT1.quad_bot_right.way07_00.set_top.mem_lo1_way2,
2702 `L2DAT1.quad_bot_right.way07_00.set_top.mem_hi1_way2,
2703
2704 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_lo0_way3,
2705 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_hi0_way3,
2706 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_lo1_way3,
2707 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_hi1_way3,
2708
2709 `L2DAT1.quad_bot_right.way07_00.set_top.mem_lo0_way3,
2710 `L2DAT1.quad_bot_right.way07_00.set_top.mem_hi0_way3,
2711 `L2DAT1.quad_bot_right.way07_00.set_top.mem_lo1_way3,
2712 `L2DAT1.quad_bot_right.way07_00.set_top.mem_hi1_way3,
2713
2714 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2715 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_hi0_way4,
2716 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2717 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_hi1_way4,
2718
2719 `L2DAT1.quad_bot_right.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2720 `L2DAT1.quad_bot_right.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
2721 `L2DAT1.quad_bot_right.way07_00.set_top.mem_lo1_way4,
2722 `L2DAT1.quad_bot_right.way07_00.set_top.mem_hi1_way4,
2723
2724 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_lo0_way5,
2725 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_hi0_way5,
2726 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_lo1_way5,
2727 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_hi1_way5,
2728
2729 `L2DAT1.quad_bot_right.way07_00.set_top.mem_lo0_way5,
2730 `L2DAT1.quad_bot_right.way07_00.set_top.mem_hi0_way5,
2731 `L2DAT1.quad_bot_right.way07_00.set_top.mem_lo1_way5,
2732 `L2DAT1.quad_bot_right.way07_00.set_top.mem_hi1_way5,
2733
2734 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2735 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_hi0_way6,
2736 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2737 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_hi1_way6,
2738
2739 `L2DAT1.quad_bot_right.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2740 `L2DAT1.quad_bot_right.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
2741 `L2DAT1.quad_bot_right.way07_00.set_top.mem_lo1_way6,
2742 `L2DAT1.quad_bot_right.way07_00.set_top.mem_hi1_way6,
2743
2744 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_lo0_way7,
2745 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_hi0_way7,
2746 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_lo1_way7,
2747 `L2DAT1.quad_bot_right.way07_00.set_bot.mem_hi1_way7,
2748
2749 `L2DAT1.quad_bot_right.way07_00.set_top.mem_lo0_way7,
2750 `L2DAT1.quad_bot_right.way07_00.set_top.mem_hi0_way7,
2751 `L2DAT1.quad_bot_right.way07_00.set_top.mem_lo1_way7,
2752 `L2DAT1.quad_bot_right.way07_00.set_top.mem_hi1_way7, //toatl arg 64
2753
2754 //way158_00 top way [15:8]
2755 //index[255:0]
2756
2757 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_lo0_way0 , //arg 441
2758 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_hi0_way0,
2759 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2760 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_hi1_way0,
2761 //index[511:256]
2762 `L2DAT1.quad_bot_right.way158_00.set_top.mem_lo0_way0 , //arg 409
2763 `L2DAT1.quad_bot_right.way158_00.set_top.mem_hi0_way0,
2764 `L2DAT1.quad_bot_right.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2765 `L2DAT1.quad_bot_right.way158_00.set_top.mem_hi1_way0,
2766
2767 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_lo0_way1,
2768 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_hi0_way1,
2769 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_lo1_way1,
2770 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_hi1_way1,
2771
2772 `L2DAT1.quad_bot_right.way158_00.set_top.mem_lo0_way1,
2773 `L2DAT1.quad_bot_right.way158_00.set_top.mem_hi0_way1,
2774 `L2DAT1.quad_bot_right.way158_00.set_top.mem_lo1_way1,
2775 `L2DAT1.quad_bot_right.way158_00.set_top.mem_hi1_way1,
2776
2777 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2778 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_hi0_way2,
2779 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2780 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_hi1_way2,
2781
2782 `L2DAT1.quad_bot_right.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2783 `L2DAT1.quad_bot_right.way158_00.set_top.mem_hi0_way2,
2784 `L2DAT1.quad_bot_right.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2785 `L2DAT1.quad_bot_right.way158_00.set_top.mem_hi1_way2,
2786
2787 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_lo0_way3,
2788 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_hi0_way3,
2789 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_lo1_way3,
2790 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_hi1_way3,
2791
2792 `L2DAT1.quad_bot_right.way158_00.set_top.mem_lo0_way3,
2793 `L2DAT1.quad_bot_right.way158_00.set_top.mem_hi0_way3,
2794 `L2DAT1.quad_bot_right.way158_00.set_top.mem_lo1_way3,
2795 `L2DAT1.quad_bot_right.way158_00.set_top.mem_hi1_way3,
2796
2797 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2798 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_hi0_way4,
2799 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2800 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_hi1_way4,
2801
2802 `L2DAT1.quad_bot_right.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2803 `L2DAT1.quad_bot_right.way158_00.set_top.mem_hi0_way4,
2804 `L2DAT1.quad_bot_right.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2805 `L2DAT1.quad_bot_right.way158_00.set_top.mem_hi1_way4,
2806
2807 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_lo0_way5,
2808 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_hi0_way5,
2809 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_lo1_way5,
2810 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_hi1_way5,
2811
2812 `L2DAT1.quad_bot_right.way158_00.set_top.mem_lo0_way5,
2813 `L2DAT1.quad_bot_right.way158_00.set_top.mem_hi0_way5,
2814 `L2DAT1.quad_bot_right.way158_00.set_top.mem_lo1_way5,
2815 `L2DAT1.quad_bot_right.way158_00.set_top.mem_hi1_way5,
2816
2817 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2818 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_hi0_way6,
2819 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2820 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_hi1_way6,
2821
2822 `L2DAT1.quad_bot_right.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2823 `L2DAT1.quad_bot_right.way158_00.set_top.mem_hi0_way6,
2824 `L2DAT1.quad_bot_right.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2825 `L2DAT1.quad_bot_right.way158_00.set_top.mem_hi1_way6,
2826
2827 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_lo0_way7,
2828 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_hi0_way7,
2829 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_lo1_way7,
2830 `L2DAT1.quad_bot_right.way158_00.set_bot.mem_hi1_way7,
2831
2832 `L2DAT1.quad_bot_right.way158_00.set_top.mem_lo0_way7,
2833 `L2DAT1.quad_bot_right.way158_00.set_top.mem_hi0_way7,
2834 `L2DAT1.quad_bot_right.way158_00.set_top.mem_lo1_way7,
2835 `L2DAT1.quad_bot_right.way158_00.set_top.mem_hi1_way7, //128
2836
2837 //way70_01 bottom
2838 //bottom
2839 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_lo0_way0 , //[623:604]
2840 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_hi0_way0,
2841 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2842 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_hi1_way0,
2843
2844 `L2DAT1.quad_bot_right.way70_01.set_top.mem_lo0_way0 , //arg 345
2845 `L2DAT1.quad_bot_right.way70_01.set_top.mem_hi0_way0,
2846 `L2DAT1.quad_bot_right.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2847 `L2DAT1.quad_bot_right.way70_01.set_top.mem_hi1_way0,
2848
2849 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_lo0_way1,
2850 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_hi0_way1,
2851 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_lo1_way1,
2852 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_hi1_way1,
2853
2854 `L2DAT1.quad_bot_right.way70_01.set_top.mem_lo0_way1,
2855 `L2DAT1.quad_bot_right.way70_01.set_top.mem_hi0_way1,
2856 `L2DAT1.quad_bot_right.way70_01.set_top.mem_lo1_way1,
2857 `L2DAT1.quad_bot_right.way70_01.set_top.mem_hi1_way1,
2858
2859 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2860 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_hi0_way2,
2861 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2862 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_hi1_way2,
2863
2864 `L2DAT1.quad_bot_right.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2865 `L2DAT1.quad_bot_right.way70_01.set_top.mem_hi0_way2,
2866 `L2DAT1.quad_bot_right.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2867 `L2DAT1.quad_bot_right.way70_01.set_top.mem_hi1_way2,
2868
2869 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_lo0_way3,
2870 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_hi0_way3,
2871 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_lo1_way3,
2872 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_hi1_way3,
2873
2874 `L2DAT1.quad_bot_right.way70_01.set_top.mem_lo0_way3,
2875 `L2DAT1.quad_bot_right.way70_01.set_top.mem_hi0_way3,
2876 `L2DAT1.quad_bot_right.way70_01.set_top.mem_lo1_way3,
2877 `L2DAT1.quad_bot_right.way70_01.set_top.mem_hi1_way3,
2878
2879 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2880 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_hi0_way4,
2881 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2882 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_hi1_way4,
2883
2884 `L2DAT1.quad_bot_right.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2885 `L2DAT1.quad_bot_right.way70_01.set_top.mem_hi0_way4,
2886 `L2DAT1.quad_bot_right.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2887 `L2DAT1.quad_bot_right.way70_01.set_top.mem_hi1_way4,
2888
2889 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_lo0_way5,
2890 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_hi0_way5,
2891 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_lo1_way5,
2892 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_hi1_way5,
2893
2894 `L2DAT1.quad_bot_right.way70_01.set_top.mem_lo0_way5,
2895 `L2DAT1.quad_bot_right.way70_01.set_top.mem_hi0_way5 ,
2896 `L2DAT1.quad_bot_right.way70_01.set_top.mem_lo1_way5,
2897 `L2DAT1.quad_bot_right.way70_01.set_top.mem_hi1_way5,
2898
2899 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2900 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_hi0_way6,
2901 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2902 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_hi1_way6,
2903
2904 `L2DAT1.quad_bot_right.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2905 `L2DAT1.quad_bot_right.way70_01.set_top.mem_hi0_way6,
2906 `L2DAT1.quad_bot_right.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2907 `L2DAT1.quad_bot_right.way70_01.set_top.mem_hi1_way6,
2908
2909 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_lo0_way7,
2910 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_hi0_way7 ,
2911 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_lo1_way7,
2912 `L2DAT1.quad_bot_right.way70_01.set_bot.mem_hi1_way7,
2913
2914 `L2DAT1.quad_bot_right.way70_01.set_top.mem_lo0_way7,
2915 `L2DAT1.quad_bot_right.way70_01.set_top.mem_hi0_way7 ,
2916 `L2DAT1.quad_bot_right.way70_01.set_top.mem_lo1_way7,
2917 `L2DAT1.quad_bot_right.way70_01.set_top.mem_hi1_way7, //192
2918
2919 //way [15:8]
2920 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_lo0_way0 , //arg 505
2921 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_hi0_way0,
2922 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2923 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_hi1_way0,
2924 //index [8]
2925 `L2DAT1.quad_bot_right.way158_01.set_top.mem_lo0_way0 , //arg 473
2926 `L2DAT1.quad_bot_right.way158_01.set_top.mem_hi0_way0,
2927 `L2DAT1.quad_bot_right.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
2928 `L2DAT1.quad_bot_right.way158_01.set_top.mem_hi1_way0,
2929
2930 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_lo0_way1,
2931 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_hi0_way1,
2932 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_lo1_way1,
2933 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_hi1_way1,
2934
2935 `L2DAT1.quad_bot_right.way158_01.set_top.mem_lo0_way1,
2936 `L2DAT1.quad_bot_right.way158_01.set_top.mem_hi0_way1,
2937 `L2DAT1.quad_bot_right.way158_01.set_top.mem_lo1_way1,
2938 `L2DAT1.quad_bot_right.way158_01.set_top.mem_hi1_way1,
2939
2940 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2941 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_hi0_way2,
2942 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2943 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_hi1_way2,
2944
2945 `L2DAT1.quad_bot_right.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
2946 `L2DAT1.quad_bot_right.way158_01.set_top.mem_hi0_way2,
2947 `L2DAT1.quad_bot_right.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
2948 `L2DAT1.quad_bot_right.way158_01.set_top.mem_hi1_way2,
2949
2950 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_lo0_way3,
2951 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_hi0_way3,
2952 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_lo1_way3,
2953 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_hi1_way3,
2954
2955 `L2DAT1.quad_bot_right.way158_01.set_top.mem_lo0_way3,
2956 `L2DAT1.quad_bot_right.way158_01.set_top.mem_hi0_way3,
2957 `L2DAT1.quad_bot_right.way158_01.set_top.mem_lo1_way3,
2958 `L2DAT1.quad_bot_right.way158_01.set_top.mem_hi1_way3,
2959
2960 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2961 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_hi0_way4,
2962 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2963 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_hi1_way4,
2964
2965 `L2DAT1.quad_bot_right.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
2966 `L2DAT1.quad_bot_right.way158_01.set_top.mem_hi0_way4,
2967 `L2DAT1.quad_bot_right.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
2968 `L2DAT1.quad_bot_right.way158_01.set_top.mem_hi1_way4,
2969
2970 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_lo0_way5,
2971 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_hi0_way5,
2972 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_lo1_way5,
2973 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_hi1_way5,
2974
2975 `L2DAT1.quad_bot_right.way158_01.set_top.mem_lo0_way5,
2976 `L2DAT1.quad_bot_right.way158_01.set_top.mem_hi0_way5,
2977 `L2DAT1.quad_bot_right.way158_01.set_top.mem_lo1_way5,
2978 `L2DAT1.quad_bot_right.way158_01.set_top.mem_hi1_way5,
2979
2980 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2981 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_hi0_way6,
2982 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2983 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_hi1_way6,
2984
2985 `L2DAT1.quad_bot_right.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
2986 `L2DAT1.quad_bot_right.way158_01.set_top.mem_hi0_way6,
2987 `L2DAT1.quad_bot_right.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
2988 `L2DAT1.quad_bot_right.way158_01.set_top.mem_hi1_way6,
2989
2990 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_lo0_way7,
2991 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_hi0_way7,
2992 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_lo1_way7,
2993 `L2DAT1.quad_bot_right.way158_01.set_bot.mem_hi1_way7,
2994
2995 `L2DAT1.quad_bot_right.way158_01.set_top.mem_lo0_way7,
2996 `L2DAT1.quad_bot_right.way158_01.set_top.mem_hi0_way7,
2997 `L2DAT1.quad_bot_right.way158_01.set_top.mem_lo1_way7,
2998 `L2DAT1.quad_bot_right.way158_01.set_top.mem_hi1_way7, //512
2999//Bank 2 define
3000 `L2TAG2.tag.quad0.bank0.l2t_array.mem_lft, //1 reg [`L2T_ARR_D_WIDTH + 1:0] way0[`L2T_ARR_DEPTH - 1 :0];
3001 `L2TAG2.tag.quad0.bank0.l2t_array.mem_rgt, //`define L2T_ARR_D_WIDTH 28 `define L2T_ARR_DEPTH 2
3002
3003 `L2TAG2.tag.quad0.bank1.l2t_array.mem_lft,
3004 `L2TAG2.tag.quad0.bank1.l2t_array.mem_rgt,
3005
3006 `L2TAG2.tag.quad1.bank0.l2t_array.mem_lft,
3007 `L2TAG2.tag.quad1.bank0.l2t_array.mem_rgt,
3008
3009 `L2TAG2.tag.quad1.bank1.l2t_array.mem_lft,
3010 `L2TAG2.tag.quad1.bank1.l2t_array.mem_rgt,
3011
3012 `L2TAG2.tag.quad2.bank0.l2t_array.mem_lft,
3013 `L2TAG2.tag.quad2.bank0.l2t_array.mem_rgt,
3014
3015 `L2TAG2.tag.quad2.bank1.l2t_array.mem_lft,
3016 `L2TAG2.tag.quad2.bank1.l2t_array.mem_rgt,
3017
3018 `L2TAG2.tag.quad3.bank0.l2t_array.mem_lft,
3019 `L2TAG2.tag.quad3.bank0.l2t_array.mem_rgt,
3020
3021 `L2TAG2.tag.quad3.bank1.l2t_array.mem_lft,
3022 `L2TAG2.tag.quad3.bank1.l2t_array.mem_rgt, //16
3023
3024 `L2TAG2.subarray_0.array.inq_ary, // arg 17: reg [159:0] inq_ary [31:0];
3025 `L2TAG2.subarray_1.array.inq_ary,
3026 `L2TAG2.subarray_2.array.inq_ary,
3027 `L2TAG2.subarray_3.array.inq_ary,
3028 `L2TAG2.subarray_8.array.inq_ary,
3029 `L2TAG2.subarray_9.array.inq_ary,
3030 `L2TAG2.subarray_10.array.inq_ary,
3031 `L2TAG2.subarray_11.array.inq_ary, //24
3032
3033 //bank 0 data
3034 //way [7:0]
3035 //[8] = 0 [255-0]
3036 `L2DAT2.quad_top_left.way07_00.set_bot.mem_lo0_way0 , //arg 313
3037 `L2DAT2.quad_top_left.way07_00.set_bot.mem_hi0_way0,
3038 `L2DAT2.quad_top_left.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3039 `L2DAT2.quad_top_left.way07_00.set_bot.mem_hi1_way0,
3040 //index [511-256]
3041 `L2DAT2.quad_top_left.way07_00.set_top.mem_lo0_way0 , //arg 281
3042 `L2DAT2.quad_top_left.way07_00.set_top.mem_hi0_way0,
3043 `L2DAT2.quad_top_left.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3044 `L2DAT2.quad_top_left.way07_00.set_top.mem_hi1_way0,
3045
3046 `L2DAT2.quad_top_left.way07_00.set_bot.mem_lo0_way1,
3047 `L2DAT2.quad_top_left.way07_00.set_bot.mem_hi0_way1,
3048 `L2DAT2.quad_top_left.way07_00.set_bot.mem_lo1_way1,
3049 `L2DAT2.quad_top_left.way07_00.set_bot.mem_hi1_way1,
3050
3051 `L2DAT2.quad_top_left.way07_00.set_top.mem_lo0_way1,
3052 `L2DAT2.quad_top_left.way07_00.set_top.mem_hi0_way1,
3053 `L2DAT2.quad_top_left.way07_00.set_top.mem_lo1_way1,
3054 `L2DAT2.quad_top_left.way07_00.set_top.mem_hi1_way1,
3055
3056 `L2DAT2.quad_top_left.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3057 `L2DAT2.quad_top_left.way07_00.set_bot.mem_hi0_way2,
3058 `L2DAT2.quad_top_left.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3059 `L2DAT2.quad_top_left.way07_00.set_bot.mem_hi1_way2,
3060
3061 `L2DAT2.quad_top_left.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3062 `L2DAT2.quad_top_left.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
3063 `L2DAT2.quad_top_left.way07_00.set_top.mem_lo1_way2,
3064 `L2DAT2.quad_top_left.way07_00.set_top.mem_hi1_way2,
3065
3066 `L2DAT2.quad_top_left.way07_00.set_bot.mem_lo0_way3,
3067 `L2DAT2.quad_top_left.way07_00.set_bot.mem_hi0_way3,
3068 `L2DAT2.quad_top_left.way07_00.set_bot.mem_lo1_way3,
3069 `L2DAT2.quad_top_left.way07_00.set_bot.mem_hi1_way3,
3070
3071 `L2DAT2.quad_top_left.way07_00.set_top.mem_lo0_way3,
3072 `L2DAT2.quad_top_left.way07_00.set_top.mem_hi0_way3,
3073 `L2DAT2.quad_top_left.way07_00.set_top.mem_lo1_way3,
3074 `L2DAT2.quad_top_left.way07_00.set_top.mem_hi1_way3,
3075
3076 `L2DAT2.quad_top_left.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3077 `L2DAT2.quad_top_left.way07_00.set_bot.mem_hi0_way4,
3078 `L2DAT2.quad_top_left.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3079 `L2DAT2.quad_top_left.way07_00.set_bot.mem_hi1_way4,
3080
3081 `L2DAT2.quad_top_left.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3082 `L2DAT2.quad_top_left.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
3083 `L2DAT2.quad_top_left.way07_00.set_top.mem_lo1_way4,
3084 `L2DAT2.quad_top_left.way07_00.set_top.mem_hi1_way4,
3085
3086 `L2DAT2.quad_top_left.way07_00.set_bot.mem_lo0_way5,
3087 `L2DAT2.quad_top_left.way07_00.set_bot.mem_hi0_way5,
3088 `L2DAT2.quad_top_left.way07_00.set_bot.mem_lo1_way5,
3089 `L2DAT2.quad_top_left.way07_00.set_bot.mem_hi1_way5,
3090
3091 `L2DAT2.quad_top_left.way07_00.set_top.mem_lo0_way5,
3092 `L2DAT2.quad_top_left.way07_00.set_top.mem_hi0_way5,
3093 `L2DAT2.quad_top_left.way07_00.set_top.mem_lo1_way5,
3094 `L2DAT2.quad_top_left.way07_00.set_top.mem_hi1_way5,
3095
3096 `L2DAT2.quad_top_left.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3097 `L2DAT2.quad_top_left.way07_00.set_bot.mem_hi0_way6,
3098 `L2DAT2.quad_top_left.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3099 `L2DAT2.quad_top_left.way07_00.set_bot.mem_hi1_way6,
3100
3101 `L2DAT2.quad_top_left.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3102 `L2DAT2.quad_top_left.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
3103 `L2DAT2.quad_top_left.way07_00.set_top.mem_lo1_way6,
3104 `L2DAT2.quad_top_left.way07_00.set_top.mem_hi1_way6,
3105
3106 `L2DAT2.quad_top_left.way07_00.set_bot.mem_lo0_way7,
3107 `L2DAT2.quad_top_left.way07_00.set_bot.mem_hi0_way7,
3108 `L2DAT2.quad_top_left.way07_00.set_bot.mem_lo1_way7,
3109 `L2DAT2.quad_top_left.way07_00.set_bot.mem_hi1_way7,
3110
3111 `L2DAT2.quad_top_left.way07_00.set_top.mem_lo0_way7,
3112 `L2DAT2.quad_top_left.way07_00.set_top.mem_hi0_way7,
3113 `L2DAT2.quad_top_left.way07_00.set_top.mem_lo1_way7,
3114 `L2DAT2.quad_top_left.way07_00.set_top.mem_hi1_way7, //toatl arg 64
3115
3116 //way158_00 top way [15:8]
3117 //index[255:0]
3118
3119 `L2DAT2.quad_top_left.way158_00.set_bot.mem_lo0_way0 , //arg 441
3120 `L2DAT2.quad_top_left.way158_00.set_bot.mem_hi0_way0,
3121 `L2DAT2.quad_top_left.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3122 `L2DAT2.quad_top_left.way158_00.set_bot.mem_hi1_way0,
3123 //index[511:256]
3124 `L2DAT2.quad_top_left.way158_00.set_top.mem_lo0_way0 , //arg 409
3125 `L2DAT2.quad_top_left.way158_00.set_top.mem_hi0_way0,
3126 `L2DAT2.quad_top_left.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3127 `L2DAT2.quad_top_left.way158_00.set_top.mem_hi1_way0,
3128
3129 `L2DAT2.quad_top_left.way158_00.set_bot.mem_lo0_way1,
3130 `L2DAT2.quad_top_left.way158_00.set_bot.mem_hi0_way1,
3131 `L2DAT2.quad_top_left.way158_00.set_bot.mem_lo1_way1,
3132 `L2DAT2.quad_top_left.way158_00.set_bot.mem_hi1_way1,
3133
3134 `L2DAT2.quad_top_left.way158_00.set_top.mem_lo0_way1,
3135 `L2DAT2.quad_top_left.way158_00.set_top.mem_hi0_way1,
3136 `L2DAT2.quad_top_left.way158_00.set_top.mem_lo1_way1,
3137 `L2DAT2.quad_top_left.way158_00.set_top.mem_hi1_way1,
3138
3139 `L2DAT2.quad_top_left.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3140 `L2DAT2.quad_top_left.way158_00.set_bot.mem_hi0_way2,
3141 `L2DAT2.quad_top_left.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3142 `L2DAT2.quad_top_left.way158_00.set_bot.mem_hi1_way2,
3143
3144 `L2DAT2.quad_top_left.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3145 `L2DAT2.quad_top_left.way158_00.set_top.mem_hi0_way2,
3146 `L2DAT2.quad_top_left.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3147 `L2DAT2.quad_top_left.way158_00.set_top.mem_hi1_way2,
3148
3149 `L2DAT2.quad_top_left.way158_00.set_bot.mem_lo0_way3,
3150 `L2DAT2.quad_top_left.way158_00.set_bot.mem_hi0_way3,
3151 `L2DAT2.quad_top_left.way158_00.set_bot.mem_lo1_way3,
3152 `L2DAT2.quad_top_left.way158_00.set_bot.mem_hi1_way3,
3153
3154 `L2DAT2.quad_top_left.way158_00.set_top.mem_lo0_way3,
3155 `L2DAT2.quad_top_left.way158_00.set_top.mem_hi0_way3,
3156 `L2DAT2.quad_top_left.way158_00.set_top.mem_lo1_way3,
3157 `L2DAT2.quad_top_left.way158_00.set_top.mem_hi1_way3,
3158
3159 `L2DAT2.quad_top_left.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3160 `L2DAT2.quad_top_left.way158_00.set_bot.mem_hi0_way4,
3161 `L2DAT2.quad_top_left.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3162 `L2DAT2.quad_top_left.way158_00.set_bot.mem_hi1_way4,
3163
3164 `L2DAT2.quad_top_left.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3165 `L2DAT2.quad_top_left.way158_00.set_top.mem_hi0_way4,
3166 `L2DAT2.quad_top_left.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3167 `L2DAT2.quad_top_left.way158_00.set_top.mem_hi1_way4,
3168
3169 `L2DAT2.quad_top_left.way158_00.set_bot.mem_lo0_way5,
3170 `L2DAT2.quad_top_left.way158_00.set_bot.mem_hi0_way5,
3171 `L2DAT2.quad_top_left.way158_00.set_bot.mem_lo1_way5,
3172 `L2DAT2.quad_top_left.way158_00.set_bot.mem_hi1_way5,
3173
3174 `L2DAT2.quad_top_left.way158_00.set_top.mem_lo0_way5,
3175 `L2DAT2.quad_top_left.way158_00.set_top.mem_hi0_way5,
3176 `L2DAT2.quad_top_left.way158_00.set_top.mem_lo1_way5,
3177 `L2DAT2.quad_top_left.way158_00.set_top.mem_hi1_way5,
3178
3179 `L2DAT2.quad_top_left.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3180 `L2DAT2.quad_top_left.way158_00.set_bot.mem_hi0_way6,
3181 `L2DAT2.quad_top_left.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3182 `L2DAT2.quad_top_left.way158_00.set_bot.mem_hi1_way6,
3183
3184 `L2DAT2.quad_top_left.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3185 `L2DAT2.quad_top_left.way158_00.set_top.mem_hi0_way6,
3186 `L2DAT2.quad_top_left.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3187 `L2DAT2.quad_top_left.way158_00.set_top.mem_hi1_way6,
3188
3189 `L2DAT2.quad_top_left.way158_00.set_bot.mem_lo0_way7,
3190 `L2DAT2.quad_top_left.way158_00.set_bot.mem_hi0_way7,
3191 `L2DAT2.quad_top_left.way158_00.set_bot.mem_lo1_way7,
3192 `L2DAT2.quad_top_left.way158_00.set_bot.mem_hi1_way7,
3193
3194 `L2DAT2.quad_top_left.way158_00.set_top.mem_lo0_way7,
3195 `L2DAT2.quad_top_left.way158_00.set_top.mem_hi0_way7,
3196 `L2DAT2.quad_top_left.way158_00.set_top.mem_lo1_way7,
3197 `L2DAT2.quad_top_left.way158_00.set_top.mem_hi1_way7, //128
3198
3199 //way70_01 bottom
3200 //bottom
3201 `L2DAT2.quad_top_left.way70_01.set_bot.mem_lo0_way0 , //[623:604]
3202 `L2DAT2.quad_top_left.way70_01.set_bot.mem_hi0_way0,
3203 `L2DAT2.quad_top_left.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3204 `L2DAT2.quad_top_left.way70_01.set_bot.mem_hi1_way0,
3205
3206 `L2DAT2.quad_top_left.way70_01.set_top.mem_lo0_way0 , //arg 345
3207 `L2DAT2.quad_top_left.way70_01.set_top.mem_hi0_way0,
3208 `L2DAT2.quad_top_left.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3209 `L2DAT2.quad_top_left.way70_01.set_top.mem_hi1_way0,
3210
3211 `L2DAT2.quad_top_left.way70_01.set_bot.mem_lo0_way1,
3212 `L2DAT2.quad_top_left.way70_01.set_bot.mem_hi0_way1,
3213 `L2DAT2.quad_top_left.way70_01.set_bot.mem_lo1_way1,
3214 `L2DAT2.quad_top_left.way70_01.set_bot.mem_hi1_way1,
3215
3216 `L2DAT2.quad_top_left.way70_01.set_top.mem_lo0_way1,
3217 `L2DAT2.quad_top_left.way70_01.set_top.mem_hi0_way1,
3218 `L2DAT2.quad_top_left.way70_01.set_top.mem_lo1_way1,
3219 `L2DAT2.quad_top_left.way70_01.set_top.mem_hi1_way1,
3220
3221 `L2DAT2.quad_top_left.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3222 `L2DAT2.quad_top_left.way70_01.set_bot.mem_hi0_way2,
3223 `L2DAT2.quad_top_left.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3224 `L2DAT2.quad_top_left.way70_01.set_bot.mem_hi1_way2,
3225
3226 `L2DAT2.quad_top_left.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3227 `L2DAT2.quad_top_left.way70_01.set_top.mem_hi0_way2,
3228 `L2DAT2.quad_top_left.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3229 `L2DAT2.quad_top_left.way70_01.set_top.mem_hi1_way2,
3230
3231 `L2DAT2.quad_top_left.way70_01.set_bot.mem_lo0_way3,
3232 `L2DAT2.quad_top_left.way70_01.set_bot.mem_hi0_way3,
3233 `L2DAT2.quad_top_left.way70_01.set_bot.mem_lo1_way3,
3234 `L2DAT2.quad_top_left.way70_01.set_bot.mem_hi1_way3,
3235
3236 `L2DAT2.quad_top_left.way70_01.set_top.mem_lo0_way3,
3237 `L2DAT2.quad_top_left.way70_01.set_top.mem_hi0_way3,
3238 `L2DAT2.quad_top_left.way70_01.set_top.mem_lo1_way3,
3239 `L2DAT2.quad_top_left.way70_01.set_top.mem_hi1_way3,
3240
3241 `L2DAT2.quad_top_left.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3242 `L2DAT2.quad_top_left.way70_01.set_bot.mem_hi0_way4,
3243 `L2DAT2.quad_top_left.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3244 `L2DAT2.quad_top_left.way70_01.set_bot.mem_hi1_way4,
3245
3246 `L2DAT2.quad_top_left.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3247 `L2DAT2.quad_top_left.way70_01.set_top.mem_hi0_way4,
3248 `L2DAT2.quad_top_left.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3249 `L2DAT2.quad_top_left.way70_01.set_top.mem_hi1_way4,
3250
3251 `L2DAT2.quad_top_left.way70_01.set_bot.mem_lo0_way5,
3252 `L2DAT2.quad_top_left.way70_01.set_bot.mem_hi0_way5,
3253 `L2DAT2.quad_top_left.way70_01.set_bot.mem_lo1_way5,
3254 `L2DAT2.quad_top_left.way70_01.set_bot.mem_hi1_way5,
3255
3256 `L2DAT2.quad_top_left.way70_01.set_top.mem_lo0_way5,
3257 `L2DAT2.quad_top_left.way70_01.set_top.mem_hi0_way5 ,
3258 `L2DAT2.quad_top_left.way70_01.set_top.mem_lo1_way5,
3259 `L2DAT2.quad_top_left.way70_01.set_top.mem_hi1_way5,
3260
3261 `L2DAT2.quad_top_left.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3262 `L2DAT2.quad_top_left.way70_01.set_bot.mem_hi0_way6,
3263 `L2DAT2.quad_top_left.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3264 `L2DAT2.quad_top_left.way70_01.set_bot.mem_hi1_way6,
3265
3266 `L2DAT2.quad_top_left.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3267 `L2DAT2.quad_top_left.way70_01.set_top.mem_hi0_way6,
3268 `L2DAT2.quad_top_left.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3269 `L2DAT2.quad_top_left.way70_01.set_top.mem_hi1_way6,
3270
3271 `L2DAT2.quad_top_left.way70_01.set_bot.mem_lo0_way7,
3272 `L2DAT2.quad_top_left.way70_01.set_bot.mem_hi0_way7 ,
3273 `L2DAT2.quad_top_left.way70_01.set_bot.mem_lo1_way7,
3274 `L2DAT2.quad_top_left.way70_01.set_bot.mem_hi1_way7,
3275
3276 `L2DAT2.quad_top_left.way70_01.set_top.mem_lo0_way7,
3277 `L2DAT2.quad_top_left.way70_01.set_top.mem_hi0_way7 ,
3278 `L2DAT2.quad_top_left.way70_01.set_top.mem_lo1_way7,
3279 `L2DAT2.quad_top_left.way70_01.set_top.mem_hi1_way7, //192
3280
3281 //way [15:8]
3282 `L2DAT2.quad_top_left.way158_01.set_bot.mem_lo0_way0 , //arg 505
3283 `L2DAT2.quad_top_left.way158_01.set_bot.mem_hi0_way0,
3284 `L2DAT2.quad_top_left.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3285 `L2DAT2.quad_top_left.way158_01.set_bot.mem_hi1_way0,
3286 //index [8]
3287 `L2DAT2.quad_top_left.way158_01.set_top.mem_lo0_way0 , //arg 473
3288 `L2DAT2.quad_top_left.way158_01.set_top.mem_hi0_way0,
3289 `L2DAT2.quad_top_left.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3290 `L2DAT2.quad_top_left.way158_01.set_top.mem_hi1_way0,
3291
3292 `L2DAT2.quad_top_left.way158_01.set_bot.mem_lo0_way1,
3293 `L2DAT2.quad_top_left.way158_01.set_bot.mem_hi0_way1,
3294 `L2DAT2.quad_top_left.way158_01.set_bot.mem_lo1_way1,
3295 `L2DAT2.quad_top_left.way158_01.set_bot.mem_hi1_way1,
3296
3297 `L2DAT2.quad_top_left.way158_01.set_top.mem_lo0_way1,
3298 `L2DAT2.quad_top_left.way158_01.set_top.mem_hi0_way1,
3299 `L2DAT2.quad_top_left.way158_01.set_top.mem_lo1_way1,
3300 `L2DAT2.quad_top_left.way158_01.set_top.mem_hi1_way1,
3301
3302 `L2DAT2.quad_top_left.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3303 `L2DAT2.quad_top_left.way158_01.set_bot.mem_hi0_way2,
3304 `L2DAT2.quad_top_left.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3305 `L2DAT2.quad_top_left.way158_01.set_bot.mem_hi1_way2,
3306
3307 `L2DAT2.quad_top_left.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3308 `L2DAT2.quad_top_left.way158_01.set_top.mem_hi0_way2,
3309 `L2DAT2.quad_top_left.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3310 `L2DAT2.quad_top_left.way158_01.set_top.mem_hi1_way2,
3311
3312 `L2DAT2.quad_top_left.way158_01.set_bot.mem_lo0_way3,
3313 `L2DAT2.quad_top_left.way158_01.set_bot.mem_hi0_way3,
3314 `L2DAT2.quad_top_left.way158_01.set_bot.mem_lo1_way3,
3315 `L2DAT2.quad_top_left.way158_01.set_bot.mem_hi1_way3,
3316
3317 `L2DAT2.quad_top_left.way158_01.set_top.mem_lo0_way3,
3318 `L2DAT2.quad_top_left.way158_01.set_top.mem_hi0_way3,
3319 `L2DAT2.quad_top_left.way158_01.set_top.mem_lo1_way3,
3320 `L2DAT2.quad_top_left.way158_01.set_top.mem_hi1_way3,
3321
3322 `L2DAT2.quad_top_left.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3323 `L2DAT2.quad_top_left.way158_01.set_bot.mem_hi0_way4,
3324 `L2DAT2.quad_top_left.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3325 `L2DAT2.quad_top_left.way158_01.set_bot.mem_hi1_way4,
3326
3327 `L2DAT2.quad_top_left.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3328 `L2DAT2.quad_top_left.way158_01.set_top.mem_hi0_way4,
3329 `L2DAT2.quad_top_left.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3330 `L2DAT2.quad_top_left.way158_01.set_top.mem_hi1_way4,
3331
3332 `L2DAT2.quad_top_left.way158_01.set_bot.mem_lo0_way5,
3333 `L2DAT2.quad_top_left.way158_01.set_bot.mem_hi0_way5,
3334 `L2DAT2.quad_top_left.way158_01.set_bot.mem_lo1_way5,
3335 `L2DAT2.quad_top_left.way158_01.set_bot.mem_hi1_way5,
3336
3337 `L2DAT2.quad_top_left.way158_01.set_top.mem_lo0_way5,
3338 `L2DAT2.quad_top_left.way158_01.set_top.mem_hi0_way5,
3339 `L2DAT2.quad_top_left.way158_01.set_top.mem_lo1_way5,
3340 `L2DAT2.quad_top_left.way158_01.set_top.mem_hi1_way5,
3341
3342 `L2DAT2.quad_top_left.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3343 `L2DAT2.quad_top_left.way158_01.set_bot.mem_hi0_way6,
3344 `L2DAT2.quad_top_left.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3345 `L2DAT2.quad_top_left.way158_01.set_bot.mem_hi1_way6,
3346
3347 `L2DAT2.quad_top_left.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3348 `L2DAT2.quad_top_left.way158_01.set_top.mem_hi0_way6,
3349 `L2DAT2.quad_top_left.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3350 `L2DAT2.quad_top_left.way158_01.set_top.mem_hi1_way6,
3351
3352 `L2DAT2.quad_top_left.way158_01.set_bot.mem_lo0_way7,
3353 `L2DAT2.quad_top_left.way158_01.set_bot.mem_hi0_way7,
3354 `L2DAT2.quad_top_left.way158_01.set_bot.mem_lo1_way7,
3355 `L2DAT2.quad_top_left.way158_01.set_bot.mem_hi1_way7,
3356
3357 `L2DAT2.quad_top_left.way158_01.set_top.mem_lo0_way7,
3358 `L2DAT2.quad_top_left.way158_01.set_top.mem_hi0_way7,
3359 `L2DAT2.quad_top_left.way158_01.set_top.mem_lo1_way7,
3360 `L2DAT2.quad_top_left.way158_01.set_top.mem_hi1_way7, //256
3361 //right handside
3362 //bank 0 data
3363 //way [7:0]
3364 //[8] = 0 [255-0]
3365 `L2DAT2.quad_top_right.way07_00.set_bot.mem_lo0_way0 , //arg 313
3366 `L2DAT2.quad_top_right.way07_00.set_bot.mem_hi0_way0,
3367 `L2DAT2.quad_top_right.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3368 `L2DAT2.quad_top_right.way07_00.set_bot.mem_hi1_way0,
3369 //index [511-256]
3370 `L2DAT2.quad_top_right.way07_00.set_top.mem_lo0_way0 , //arg 281
3371 `L2DAT2.quad_top_right.way07_00.set_top.mem_hi0_way0,
3372 `L2DAT2.quad_top_right.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3373 `L2DAT2.quad_top_right.way07_00.set_top.mem_hi1_way0,
3374
3375 `L2DAT2.quad_top_right.way07_00.set_bot.mem_lo0_way1,
3376 `L2DAT2.quad_top_right.way07_00.set_bot.mem_hi0_way1,
3377 `L2DAT2.quad_top_right.way07_00.set_bot.mem_lo1_way1,
3378 `L2DAT2.quad_top_right.way07_00.set_bot.mem_hi1_way1,
3379
3380 `L2DAT2.quad_top_right.way07_00.set_top.mem_lo0_way1,
3381 `L2DAT2.quad_top_right.way07_00.set_top.mem_hi0_way1,
3382 `L2DAT2.quad_top_right.way07_00.set_top.mem_lo1_way1,
3383 `L2DAT2.quad_top_right.way07_00.set_top.mem_hi1_way1,
3384
3385 `L2DAT2.quad_top_right.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3386 `L2DAT2.quad_top_right.way07_00.set_bot.mem_hi0_way2,
3387 `L2DAT2.quad_top_right.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3388 `L2DAT2.quad_top_right.way07_00.set_bot.mem_hi1_way2,
3389
3390 `L2DAT2.quad_top_right.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3391 `L2DAT2.quad_top_right.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
3392 `L2DAT2.quad_top_right.way07_00.set_top.mem_lo1_way2,
3393 `L2DAT2.quad_top_right.way07_00.set_top.mem_hi1_way2,
3394
3395 `L2DAT2.quad_top_right.way07_00.set_bot.mem_lo0_way3,
3396 `L2DAT2.quad_top_right.way07_00.set_bot.mem_hi0_way3,
3397 `L2DAT2.quad_top_right.way07_00.set_bot.mem_lo1_way3,
3398 `L2DAT2.quad_top_right.way07_00.set_bot.mem_hi1_way3,
3399
3400 `L2DAT2.quad_top_right.way07_00.set_top.mem_lo0_way3,
3401 `L2DAT2.quad_top_right.way07_00.set_top.mem_hi0_way3,
3402 `L2DAT2.quad_top_right.way07_00.set_top.mem_lo1_way3,
3403 `L2DAT2.quad_top_right.way07_00.set_top.mem_hi1_way3,
3404
3405 `L2DAT2.quad_top_right.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3406 `L2DAT2.quad_top_right.way07_00.set_bot.mem_hi0_way4,
3407 `L2DAT2.quad_top_right.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3408 `L2DAT2.quad_top_right.way07_00.set_bot.mem_hi1_way4,
3409
3410 `L2DAT2.quad_top_right.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3411 `L2DAT2.quad_top_right.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
3412 `L2DAT2.quad_top_right.way07_00.set_top.mem_lo1_way4,
3413 `L2DAT2.quad_top_right.way07_00.set_top.mem_hi1_way4,
3414
3415 `L2DAT2.quad_top_right.way07_00.set_bot.mem_lo0_way5,
3416 `L2DAT2.quad_top_right.way07_00.set_bot.mem_hi0_way5,
3417 `L2DAT2.quad_top_right.way07_00.set_bot.mem_lo1_way5,
3418 `L2DAT2.quad_top_right.way07_00.set_bot.mem_hi1_way5,
3419
3420 `L2DAT2.quad_top_right.way07_00.set_top.mem_lo0_way5,
3421 `L2DAT2.quad_top_right.way07_00.set_top.mem_hi0_way5,
3422 `L2DAT2.quad_top_right.way07_00.set_top.mem_lo1_way5,
3423 `L2DAT2.quad_top_right.way07_00.set_top.mem_hi1_way5,
3424
3425 `L2DAT2.quad_top_right.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3426 `L2DAT2.quad_top_right.way07_00.set_bot.mem_hi0_way6,
3427 `L2DAT2.quad_top_right.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3428 `L2DAT2.quad_top_right.way07_00.set_bot.mem_hi1_way6,
3429
3430 `L2DAT2.quad_top_right.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3431 `L2DAT2.quad_top_right.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
3432 `L2DAT2.quad_top_right.way07_00.set_top.mem_lo1_way6,
3433 `L2DAT2.quad_top_right.way07_00.set_top.mem_hi1_way6,
3434
3435 `L2DAT2.quad_top_right.way07_00.set_bot.mem_lo0_way7,
3436 `L2DAT2.quad_top_right.way07_00.set_bot.mem_hi0_way7,
3437 `L2DAT2.quad_top_right.way07_00.set_bot.mem_lo1_way7,
3438 `L2DAT2.quad_top_right.way07_00.set_bot.mem_hi1_way7,
3439
3440 `L2DAT2.quad_top_right.way07_00.set_top.mem_lo0_way7,
3441 `L2DAT2.quad_top_right.way07_00.set_top.mem_hi0_way7,
3442 `L2DAT2.quad_top_right.way07_00.set_top.mem_lo1_way7,
3443 `L2DAT2.quad_top_right.way07_00.set_top.mem_hi1_way7, //toatl arg 64
3444
3445 //way158_00 top way [15:8]
3446 //index[255:0]
3447
3448 `L2DAT2.quad_top_right.way158_00.set_bot.mem_lo0_way0 , //arg 441
3449 `L2DAT2.quad_top_right.way158_00.set_bot.mem_hi0_way0,
3450 `L2DAT2.quad_top_right.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3451 `L2DAT2.quad_top_right.way158_00.set_bot.mem_hi1_way0,
3452 //index[511:256]
3453 `L2DAT2.quad_top_right.way158_00.set_top.mem_lo0_way0 , //arg 409
3454 `L2DAT2.quad_top_right.way158_00.set_top.mem_hi0_way0,
3455 `L2DAT2.quad_top_right.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3456 `L2DAT2.quad_top_right.way158_00.set_top.mem_hi1_way0,
3457
3458 `L2DAT2.quad_top_right.way158_00.set_bot.mem_lo0_way1,
3459 `L2DAT2.quad_top_right.way158_00.set_bot.mem_hi0_way1,
3460 `L2DAT2.quad_top_right.way158_00.set_bot.mem_lo1_way1,
3461 `L2DAT2.quad_top_right.way158_00.set_bot.mem_hi1_way1,
3462
3463 `L2DAT2.quad_top_right.way158_00.set_top.mem_lo0_way1,
3464 `L2DAT2.quad_top_right.way158_00.set_top.mem_hi0_way1,
3465 `L2DAT2.quad_top_right.way158_00.set_top.mem_lo1_way1,
3466 `L2DAT2.quad_top_right.way158_00.set_top.mem_hi1_way1,
3467
3468 `L2DAT2.quad_top_right.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3469 `L2DAT2.quad_top_right.way158_00.set_bot.mem_hi0_way2,
3470 `L2DAT2.quad_top_right.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3471 `L2DAT2.quad_top_right.way158_00.set_bot.mem_hi1_way2,
3472
3473 `L2DAT2.quad_top_right.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3474 `L2DAT2.quad_top_right.way158_00.set_top.mem_hi0_way2,
3475 `L2DAT2.quad_top_right.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3476 `L2DAT2.quad_top_right.way158_00.set_top.mem_hi1_way2,
3477
3478 `L2DAT2.quad_top_right.way158_00.set_bot.mem_lo0_way3,
3479 `L2DAT2.quad_top_right.way158_00.set_bot.mem_hi0_way3,
3480 `L2DAT2.quad_top_right.way158_00.set_bot.mem_lo1_way3,
3481 `L2DAT2.quad_top_right.way158_00.set_bot.mem_hi1_way3,
3482
3483 `L2DAT2.quad_top_right.way158_00.set_top.mem_lo0_way3,
3484 `L2DAT2.quad_top_right.way158_00.set_top.mem_hi0_way3,
3485 `L2DAT2.quad_top_right.way158_00.set_top.mem_lo1_way3,
3486 `L2DAT2.quad_top_right.way158_00.set_top.mem_hi1_way3,
3487
3488 `L2DAT2.quad_top_right.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3489 `L2DAT2.quad_top_right.way158_00.set_bot.mem_hi0_way4,
3490 `L2DAT2.quad_top_right.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3491 `L2DAT2.quad_top_right.way158_00.set_bot.mem_hi1_way4,
3492
3493 `L2DAT2.quad_top_right.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3494 `L2DAT2.quad_top_right.way158_00.set_top.mem_hi0_way4,
3495 `L2DAT2.quad_top_right.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3496 `L2DAT2.quad_top_right.way158_00.set_top.mem_hi1_way4,
3497
3498 `L2DAT2.quad_top_right.way158_00.set_bot.mem_lo0_way5,
3499 `L2DAT2.quad_top_right.way158_00.set_bot.mem_hi0_way5,
3500 `L2DAT2.quad_top_right.way158_00.set_bot.mem_lo1_way5,
3501 `L2DAT2.quad_top_right.way158_00.set_bot.mem_hi1_way5,
3502
3503 `L2DAT2.quad_top_right.way158_00.set_top.mem_lo0_way5,
3504 `L2DAT2.quad_top_right.way158_00.set_top.mem_hi0_way5,
3505 `L2DAT2.quad_top_right.way158_00.set_top.mem_lo1_way5,
3506 `L2DAT2.quad_top_right.way158_00.set_top.mem_hi1_way5,
3507
3508 `L2DAT2.quad_top_right.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3509 `L2DAT2.quad_top_right.way158_00.set_bot.mem_hi0_way6,
3510 `L2DAT2.quad_top_right.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3511 `L2DAT2.quad_top_right.way158_00.set_bot.mem_hi1_way6,
3512
3513 `L2DAT2.quad_top_right.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3514 `L2DAT2.quad_top_right.way158_00.set_top.mem_hi0_way6,
3515 `L2DAT2.quad_top_right.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3516 `L2DAT2.quad_top_right.way158_00.set_top.mem_hi1_way6,
3517
3518 `L2DAT2.quad_top_right.way158_00.set_bot.mem_lo0_way7,
3519 `L2DAT2.quad_top_right.way158_00.set_bot.mem_hi0_way7,
3520 `L2DAT2.quad_top_right.way158_00.set_bot.mem_lo1_way7,
3521 `L2DAT2.quad_top_right.way158_00.set_bot.mem_hi1_way7,
3522
3523 `L2DAT2.quad_top_right.way158_00.set_top.mem_lo0_way7,
3524 `L2DAT2.quad_top_right.way158_00.set_top.mem_hi0_way7,
3525 `L2DAT2.quad_top_right.way158_00.set_top.mem_lo1_way7,
3526 `L2DAT2.quad_top_right.way158_00.set_top.mem_hi1_way7, //128
3527
3528 //way70_01 bottom
3529 //bottom
3530 `L2DAT2.quad_top_right.way70_01.set_bot.mem_lo0_way0 , //[623:604]
3531 `L2DAT2.quad_top_right.way70_01.set_bot.mem_hi0_way0,
3532 `L2DAT2.quad_top_right.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3533 `L2DAT2.quad_top_right.way70_01.set_bot.mem_hi1_way0,
3534
3535 `L2DAT2.quad_top_right.way70_01.set_top.mem_lo0_way0 , //arg 345
3536 `L2DAT2.quad_top_right.way70_01.set_top.mem_hi0_way0,
3537 `L2DAT2.quad_top_right.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3538 `L2DAT2.quad_top_right.way70_01.set_top.mem_hi1_way0,
3539
3540 `L2DAT2.quad_top_right.way70_01.set_bot.mem_lo0_way1,
3541 `L2DAT2.quad_top_right.way70_01.set_bot.mem_hi0_way1,
3542 `L2DAT2.quad_top_right.way70_01.set_bot.mem_lo1_way1,
3543 `L2DAT2.quad_top_right.way70_01.set_bot.mem_hi1_way1,
3544
3545 `L2DAT2.quad_top_right.way70_01.set_top.mem_lo0_way1,
3546 `L2DAT2.quad_top_right.way70_01.set_top.mem_hi0_way1,
3547 `L2DAT2.quad_top_right.way70_01.set_top.mem_lo1_way1,
3548 `L2DAT2.quad_top_right.way70_01.set_top.mem_hi1_way1,
3549
3550 `L2DAT2.quad_top_right.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3551 `L2DAT2.quad_top_right.way70_01.set_bot.mem_hi0_way2,
3552 `L2DAT2.quad_top_right.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3553 `L2DAT2.quad_top_right.way70_01.set_bot.mem_hi1_way2,
3554
3555 `L2DAT2.quad_top_right.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3556 `L2DAT2.quad_top_right.way70_01.set_top.mem_hi0_way2,
3557 `L2DAT2.quad_top_right.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3558 `L2DAT2.quad_top_right.way70_01.set_top.mem_hi1_way2,
3559
3560 `L2DAT2.quad_top_right.way70_01.set_bot.mem_lo0_way3,
3561 `L2DAT2.quad_top_right.way70_01.set_bot.mem_hi0_way3,
3562 `L2DAT2.quad_top_right.way70_01.set_bot.mem_lo1_way3,
3563 `L2DAT2.quad_top_right.way70_01.set_bot.mem_hi1_way3,
3564
3565 `L2DAT2.quad_top_right.way70_01.set_top.mem_lo0_way3,
3566 `L2DAT2.quad_top_right.way70_01.set_top.mem_hi0_way3,
3567 `L2DAT2.quad_top_right.way70_01.set_top.mem_lo1_way3,
3568 `L2DAT2.quad_top_right.way70_01.set_top.mem_hi1_way3,
3569
3570 `L2DAT2.quad_top_right.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3571 `L2DAT2.quad_top_right.way70_01.set_bot.mem_hi0_way4,
3572 `L2DAT2.quad_top_right.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3573 `L2DAT2.quad_top_right.way70_01.set_bot.mem_hi1_way4,
3574
3575 `L2DAT2.quad_top_right.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3576 `L2DAT2.quad_top_right.way70_01.set_top.mem_hi0_way4,
3577 `L2DAT2.quad_top_right.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3578 `L2DAT2.quad_top_right.way70_01.set_top.mem_hi1_way4,
3579
3580 `L2DAT2.quad_top_right.way70_01.set_bot.mem_lo0_way5,
3581 `L2DAT2.quad_top_right.way70_01.set_bot.mem_hi0_way5,
3582 `L2DAT2.quad_top_right.way70_01.set_bot.mem_lo1_way5,
3583 `L2DAT2.quad_top_right.way70_01.set_bot.mem_hi1_way5,
3584
3585 `L2DAT2.quad_top_right.way70_01.set_top.mem_lo0_way5,
3586 `L2DAT2.quad_top_right.way70_01.set_top.mem_hi0_way5 ,
3587 `L2DAT2.quad_top_right.way70_01.set_top.mem_lo1_way5,
3588 `L2DAT2.quad_top_right.way70_01.set_top.mem_hi1_way5,
3589
3590 `L2DAT2.quad_top_right.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3591 `L2DAT2.quad_top_right.way70_01.set_bot.mem_hi0_way6,
3592 `L2DAT2.quad_top_right.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3593 `L2DAT2.quad_top_right.way70_01.set_bot.mem_hi1_way6,
3594
3595 `L2DAT2.quad_top_right.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3596 `L2DAT2.quad_top_right.way70_01.set_top.mem_hi0_way6,
3597 `L2DAT2.quad_top_right.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3598 `L2DAT2.quad_top_right.way70_01.set_top.mem_hi1_way6,
3599
3600 `L2DAT2.quad_top_right.way70_01.set_bot.mem_lo0_way7,
3601 `L2DAT2.quad_top_right.way70_01.set_bot.mem_hi0_way7 ,
3602 `L2DAT2.quad_top_right.way70_01.set_bot.mem_lo1_way7,
3603 `L2DAT2.quad_top_right.way70_01.set_bot.mem_hi1_way7,
3604
3605 `L2DAT2.quad_top_right.way70_01.set_top.mem_lo0_way7,
3606 `L2DAT2.quad_top_right.way70_01.set_top.mem_hi0_way7 ,
3607 `L2DAT2.quad_top_right.way70_01.set_top.mem_lo1_way7,
3608 `L2DAT2.quad_top_right.way70_01.set_top.mem_hi1_way7, //192
3609
3610 //way [15:8]
3611 `L2DAT2.quad_top_right.way158_01.set_bot.mem_lo0_way0 , //arg 505
3612 `L2DAT2.quad_top_right.way158_01.set_bot.mem_hi0_way0,
3613 `L2DAT2.quad_top_right.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3614 `L2DAT2.quad_top_right.way158_01.set_bot.mem_hi1_way0,
3615 //index [8]
3616 `L2DAT2.quad_top_right.way158_01.set_top.mem_lo0_way0 , //arg 473
3617 `L2DAT2.quad_top_right.way158_01.set_top.mem_hi0_way0,
3618 `L2DAT2.quad_top_right.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3619 `L2DAT2.quad_top_right.way158_01.set_top.mem_hi1_way0,
3620
3621 `L2DAT2.quad_top_right.way158_01.set_bot.mem_lo0_way1,
3622 `L2DAT2.quad_top_right.way158_01.set_bot.mem_hi0_way1,
3623 `L2DAT2.quad_top_right.way158_01.set_bot.mem_lo1_way1,
3624 `L2DAT2.quad_top_right.way158_01.set_bot.mem_hi1_way1,
3625
3626 `L2DAT2.quad_top_right.way158_01.set_top.mem_lo0_way1,
3627 `L2DAT2.quad_top_right.way158_01.set_top.mem_hi0_way1,
3628 `L2DAT2.quad_top_right.way158_01.set_top.mem_lo1_way1,
3629 `L2DAT2.quad_top_right.way158_01.set_top.mem_hi1_way1,
3630
3631 `L2DAT2.quad_top_right.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3632 `L2DAT2.quad_top_right.way158_01.set_bot.mem_hi0_way2,
3633 `L2DAT2.quad_top_right.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3634 `L2DAT2.quad_top_right.way158_01.set_bot.mem_hi1_way2,
3635
3636 `L2DAT2.quad_top_right.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3637 `L2DAT2.quad_top_right.way158_01.set_top.mem_hi0_way2,
3638 `L2DAT2.quad_top_right.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3639 `L2DAT2.quad_top_right.way158_01.set_top.mem_hi1_way2,
3640
3641 `L2DAT2.quad_top_right.way158_01.set_bot.mem_lo0_way3,
3642 `L2DAT2.quad_top_right.way158_01.set_bot.mem_hi0_way3,
3643 `L2DAT2.quad_top_right.way158_01.set_bot.mem_lo1_way3,
3644 `L2DAT2.quad_top_right.way158_01.set_bot.mem_hi1_way3,
3645
3646 `L2DAT2.quad_top_right.way158_01.set_top.mem_lo0_way3,
3647 `L2DAT2.quad_top_right.way158_01.set_top.mem_hi0_way3,
3648 `L2DAT2.quad_top_right.way158_01.set_top.mem_lo1_way3,
3649 `L2DAT2.quad_top_right.way158_01.set_top.mem_hi1_way3,
3650
3651 `L2DAT2.quad_top_right.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3652 `L2DAT2.quad_top_right.way158_01.set_bot.mem_hi0_way4,
3653 `L2DAT2.quad_top_right.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3654 `L2DAT2.quad_top_right.way158_01.set_bot.mem_hi1_way4,
3655
3656 `L2DAT2.quad_top_right.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3657 `L2DAT2.quad_top_right.way158_01.set_top.mem_hi0_way4,
3658 `L2DAT2.quad_top_right.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3659 `L2DAT2.quad_top_right.way158_01.set_top.mem_hi1_way4,
3660
3661 `L2DAT2.quad_top_right.way158_01.set_bot.mem_lo0_way5,
3662 `L2DAT2.quad_top_right.way158_01.set_bot.mem_hi0_way5,
3663 `L2DAT2.quad_top_right.way158_01.set_bot.mem_lo1_way5,
3664 `L2DAT2.quad_top_right.way158_01.set_bot.mem_hi1_way5,
3665
3666 `L2DAT2.quad_top_right.way158_01.set_top.mem_lo0_way5,
3667 `L2DAT2.quad_top_right.way158_01.set_top.mem_hi0_way5,
3668 `L2DAT2.quad_top_right.way158_01.set_top.mem_lo1_way5,
3669 `L2DAT2.quad_top_right.way158_01.set_top.mem_hi1_way5,
3670
3671 `L2DAT2.quad_top_right.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3672 `L2DAT2.quad_top_right.way158_01.set_bot.mem_hi0_way6,
3673 `L2DAT2.quad_top_right.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3674 `L2DAT2.quad_top_right.way158_01.set_bot.mem_hi1_way6,
3675
3676 `L2DAT2.quad_top_right.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3677 `L2DAT2.quad_top_right.way158_01.set_top.mem_hi0_way6,
3678 `L2DAT2.quad_top_right.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3679 `L2DAT2.quad_top_right.way158_01.set_top.mem_hi1_way6,
3680
3681 `L2DAT2.quad_top_right.way158_01.set_bot.mem_lo0_way7,
3682 `L2DAT2.quad_top_right.way158_01.set_bot.mem_hi0_way7,
3683 `L2DAT2.quad_top_right.way158_01.set_bot.mem_lo1_way7,
3684 `L2DAT2.quad_top_right.way158_01.set_bot.mem_hi1_way7,
3685
3686 `L2DAT2.quad_top_right.way158_01.set_top.mem_lo0_way7,
3687 `L2DAT2.quad_top_right.way158_01.set_top.mem_hi0_way7,
3688 `L2DAT2.quad_top_right.way158_01.set_top.mem_lo1_way7,
3689 `L2DAT2.quad_top_right.way158_01.set_top.mem_hi1_way7, //512
3690 //bottom part
3691 //bank 0 data
3692 //way [7:0]
3693 //[8] = 0 [255-0]
3694 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_lo0_way0 , //arg 313
3695 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_hi0_way0,
3696 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3697 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_hi1_way0,
3698 //index [511-256]
3699 `L2DAT2.quad_bot_left.way07_00.set_top.mem_lo0_way0 , //arg 281
3700 `L2DAT2.quad_bot_left.way07_00.set_top.mem_hi0_way0,
3701 `L2DAT2.quad_bot_left.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3702 `L2DAT2.quad_bot_left.way07_00.set_top.mem_hi1_way0,
3703
3704 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_lo0_way1,
3705 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_hi0_way1,
3706 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_lo1_way1,
3707 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_hi1_way1,
3708
3709 `L2DAT2.quad_bot_left.way07_00.set_top.mem_lo0_way1,
3710 `L2DAT2.quad_bot_left.way07_00.set_top.mem_hi0_way1,
3711 `L2DAT2.quad_bot_left.way07_00.set_top.mem_lo1_way1,
3712 `L2DAT2.quad_bot_left.way07_00.set_top.mem_hi1_way1,
3713
3714 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3715 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_hi0_way2,
3716 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3717 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_hi1_way2,
3718
3719 `L2DAT2.quad_bot_left.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3720 `L2DAT2.quad_bot_left.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
3721 `L2DAT2.quad_bot_left.way07_00.set_top.mem_lo1_way2,
3722 `L2DAT2.quad_bot_left.way07_00.set_top.mem_hi1_way2,
3723
3724 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_lo0_way3,
3725 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_hi0_way3,
3726 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_lo1_way3,
3727 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_hi1_way3,
3728
3729 `L2DAT2.quad_bot_left.way07_00.set_top.mem_lo0_way3,
3730 `L2DAT2.quad_bot_left.way07_00.set_top.mem_hi0_way3,
3731 `L2DAT2.quad_bot_left.way07_00.set_top.mem_lo1_way3,
3732 `L2DAT2.quad_bot_left.way07_00.set_top.mem_hi1_way3,
3733
3734 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3735 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_hi0_way4,
3736 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3737 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_hi1_way4,
3738
3739 `L2DAT2.quad_bot_left.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3740 `L2DAT2.quad_bot_left.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
3741 `L2DAT2.quad_bot_left.way07_00.set_top.mem_lo1_way4,
3742 `L2DAT2.quad_bot_left.way07_00.set_top.mem_hi1_way4,
3743
3744 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_lo0_way5,
3745 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_hi0_way5,
3746 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_lo1_way5,
3747 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_hi1_way5,
3748
3749 `L2DAT2.quad_bot_left.way07_00.set_top.mem_lo0_way5,
3750 `L2DAT2.quad_bot_left.way07_00.set_top.mem_hi0_way5,
3751 `L2DAT2.quad_bot_left.way07_00.set_top.mem_lo1_way5,
3752 `L2DAT2.quad_bot_left.way07_00.set_top.mem_hi1_way5,
3753
3754 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3755 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_hi0_way6,
3756 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3757 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_hi1_way6,
3758
3759 `L2DAT2.quad_bot_left.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3760 `L2DAT2.quad_bot_left.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
3761 `L2DAT2.quad_bot_left.way07_00.set_top.mem_lo1_way6,
3762 `L2DAT2.quad_bot_left.way07_00.set_top.mem_hi1_way6,
3763
3764 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_lo0_way7,
3765 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_hi0_way7,
3766 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_lo1_way7,
3767 `L2DAT2.quad_bot_left.way07_00.set_bot.mem_hi1_way7,
3768
3769 `L2DAT2.quad_bot_left.way07_00.set_top.mem_lo0_way7,
3770 `L2DAT2.quad_bot_left.way07_00.set_top.mem_hi0_way7,
3771 `L2DAT2.quad_bot_left.way07_00.set_top.mem_lo1_way7,
3772 `L2DAT2.quad_bot_left.way07_00.set_top.mem_hi1_way7, //toatl arg 64
3773
3774 //way158_00 top way [15:8]
3775 //index[255:0]
3776
3777 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_lo0_way0 , //arg 441
3778 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_hi0_way0,
3779 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3780 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_hi1_way0,
3781 //index[511:256]
3782 `L2DAT2.quad_bot_left.way158_00.set_top.mem_lo0_way0 , //arg 409
3783 `L2DAT2.quad_bot_left.way158_00.set_top.mem_hi0_way0,
3784 `L2DAT2.quad_bot_left.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3785 `L2DAT2.quad_bot_left.way158_00.set_top.mem_hi1_way0,
3786
3787 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_lo0_way1,
3788 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_hi0_way1,
3789 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_lo1_way1,
3790 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_hi1_way1,
3791
3792 `L2DAT2.quad_bot_left.way158_00.set_top.mem_lo0_way1,
3793 `L2DAT2.quad_bot_left.way158_00.set_top.mem_hi0_way1,
3794 `L2DAT2.quad_bot_left.way158_00.set_top.mem_lo1_way1,
3795 `L2DAT2.quad_bot_left.way158_00.set_top.mem_hi1_way1,
3796
3797 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3798 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_hi0_way2,
3799 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3800 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_hi1_way2,
3801
3802 `L2DAT2.quad_bot_left.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3803 `L2DAT2.quad_bot_left.way158_00.set_top.mem_hi0_way2,
3804 `L2DAT2.quad_bot_left.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3805 `L2DAT2.quad_bot_left.way158_00.set_top.mem_hi1_way2,
3806
3807 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_lo0_way3,
3808 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_hi0_way3,
3809 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_lo1_way3,
3810 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_hi1_way3,
3811
3812 `L2DAT2.quad_bot_left.way158_00.set_top.mem_lo0_way3,
3813 `L2DAT2.quad_bot_left.way158_00.set_top.mem_hi0_way3,
3814 `L2DAT2.quad_bot_left.way158_00.set_top.mem_lo1_way3,
3815 `L2DAT2.quad_bot_left.way158_00.set_top.mem_hi1_way3,
3816
3817 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3818 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_hi0_way4,
3819 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3820 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_hi1_way4,
3821
3822 `L2DAT2.quad_bot_left.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3823 `L2DAT2.quad_bot_left.way158_00.set_top.mem_hi0_way4,
3824 `L2DAT2.quad_bot_left.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3825 `L2DAT2.quad_bot_left.way158_00.set_top.mem_hi1_way4,
3826
3827 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_lo0_way5,
3828 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_hi0_way5,
3829 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_lo1_way5,
3830 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_hi1_way5,
3831
3832 `L2DAT2.quad_bot_left.way158_00.set_top.mem_lo0_way5,
3833 `L2DAT2.quad_bot_left.way158_00.set_top.mem_hi0_way5,
3834 `L2DAT2.quad_bot_left.way158_00.set_top.mem_lo1_way5,
3835 `L2DAT2.quad_bot_left.way158_00.set_top.mem_hi1_way5,
3836
3837 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3838 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_hi0_way6,
3839 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3840 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_hi1_way6,
3841
3842 `L2DAT2.quad_bot_left.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3843 `L2DAT2.quad_bot_left.way158_00.set_top.mem_hi0_way6,
3844 `L2DAT2.quad_bot_left.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3845 `L2DAT2.quad_bot_left.way158_00.set_top.mem_hi1_way6,
3846
3847 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_lo0_way7,
3848 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_hi0_way7,
3849 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_lo1_way7,
3850 `L2DAT2.quad_bot_left.way158_00.set_bot.mem_hi1_way7,
3851
3852 `L2DAT2.quad_bot_left.way158_00.set_top.mem_lo0_way7,
3853 `L2DAT2.quad_bot_left.way158_00.set_top.mem_hi0_way7,
3854 `L2DAT2.quad_bot_left.way158_00.set_top.mem_lo1_way7,
3855 `L2DAT2.quad_bot_left.way158_00.set_top.mem_hi1_way7, //128
3856
3857 //way70_01 bottom
3858 //bottom
3859 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_lo0_way0 , //[623:604]
3860 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_hi0_way0,
3861 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3862 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_hi1_way0,
3863
3864 `L2DAT2.quad_bot_left.way70_01.set_top.mem_lo0_way0 , //arg 345
3865 `L2DAT2.quad_bot_left.way70_01.set_top.mem_hi0_way0,
3866 `L2DAT2.quad_bot_left.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3867 `L2DAT2.quad_bot_left.way70_01.set_top.mem_hi1_way0,
3868
3869 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_lo0_way1,
3870 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_hi0_way1,
3871 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_lo1_way1,
3872 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_hi1_way1,
3873
3874 `L2DAT2.quad_bot_left.way70_01.set_top.mem_lo0_way1,
3875 `L2DAT2.quad_bot_left.way70_01.set_top.mem_hi0_way1,
3876 `L2DAT2.quad_bot_left.way70_01.set_top.mem_lo1_way1,
3877 `L2DAT2.quad_bot_left.way70_01.set_top.mem_hi1_way1,
3878
3879 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3880 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_hi0_way2,
3881 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3882 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_hi1_way2,
3883
3884 `L2DAT2.quad_bot_left.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3885 `L2DAT2.quad_bot_left.way70_01.set_top.mem_hi0_way2,
3886 `L2DAT2.quad_bot_left.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3887 `L2DAT2.quad_bot_left.way70_01.set_top.mem_hi1_way2,
3888
3889 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_lo0_way3,
3890 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_hi0_way3,
3891 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_lo1_way3,
3892 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_hi1_way3,
3893
3894 `L2DAT2.quad_bot_left.way70_01.set_top.mem_lo0_way3,
3895 `L2DAT2.quad_bot_left.way70_01.set_top.mem_hi0_way3,
3896 `L2DAT2.quad_bot_left.way70_01.set_top.mem_lo1_way3,
3897 `L2DAT2.quad_bot_left.way70_01.set_top.mem_hi1_way3,
3898
3899 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3900 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_hi0_way4,
3901 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3902 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_hi1_way4,
3903
3904 `L2DAT2.quad_bot_left.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3905 `L2DAT2.quad_bot_left.way70_01.set_top.mem_hi0_way4,
3906 `L2DAT2.quad_bot_left.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3907 `L2DAT2.quad_bot_left.way70_01.set_top.mem_hi1_way4,
3908
3909 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_lo0_way5,
3910 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_hi0_way5,
3911 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_lo1_way5,
3912 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_hi1_way5,
3913
3914 `L2DAT2.quad_bot_left.way70_01.set_top.mem_lo0_way5,
3915 `L2DAT2.quad_bot_left.way70_01.set_top.mem_hi0_way5 ,
3916 `L2DAT2.quad_bot_left.way70_01.set_top.mem_lo1_way5,
3917 `L2DAT2.quad_bot_left.way70_01.set_top.mem_hi1_way5,
3918
3919 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3920 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_hi0_way6,
3921 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3922 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_hi1_way6,
3923
3924 `L2DAT2.quad_bot_left.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
3925 `L2DAT2.quad_bot_left.way70_01.set_top.mem_hi0_way6,
3926 `L2DAT2.quad_bot_left.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
3927 `L2DAT2.quad_bot_left.way70_01.set_top.mem_hi1_way6,
3928
3929 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_lo0_way7,
3930 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_hi0_way7 ,
3931 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_lo1_way7,
3932 `L2DAT2.quad_bot_left.way70_01.set_bot.mem_hi1_way7,
3933
3934 `L2DAT2.quad_bot_left.way70_01.set_top.mem_lo0_way7,
3935 `L2DAT2.quad_bot_left.way70_01.set_top.mem_hi0_way7 ,
3936 `L2DAT2.quad_bot_left.way70_01.set_top.mem_lo1_way7,
3937 `L2DAT2.quad_bot_left.way70_01.set_top.mem_hi1_way7, //192
3938
3939 //way [15:8]
3940 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_lo0_way0 , //arg 505
3941 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_hi0_way0,
3942 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3943 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_hi1_way0,
3944 //index [8]
3945 `L2DAT2.quad_bot_left.way158_01.set_top.mem_lo0_way0 , //arg 473
3946 `L2DAT2.quad_bot_left.way158_01.set_top.mem_hi0_way0,
3947 `L2DAT2.quad_bot_left.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
3948 `L2DAT2.quad_bot_left.way158_01.set_top.mem_hi1_way0,
3949
3950 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_lo0_way1,
3951 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_hi0_way1,
3952 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_lo1_way1,
3953 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_hi1_way1,
3954
3955 `L2DAT2.quad_bot_left.way158_01.set_top.mem_lo0_way1,
3956 `L2DAT2.quad_bot_left.way158_01.set_top.mem_hi0_way1,
3957 `L2DAT2.quad_bot_left.way158_01.set_top.mem_lo1_way1,
3958 `L2DAT2.quad_bot_left.way158_01.set_top.mem_hi1_way1,
3959
3960 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3961 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_hi0_way2,
3962 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3963 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_hi1_way2,
3964
3965 `L2DAT2.quad_bot_left.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
3966 `L2DAT2.quad_bot_left.way158_01.set_top.mem_hi0_way2,
3967 `L2DAT2.quad_bot_left.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
3968 `L2DAT2.quad_bot_left.way158_01.set_top.mem_hi1_way2,
3969
3970 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_lo0_way3,
3971 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_hi0_way3,
3972 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_lo1_way3,
3973 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_hi1_way3,
3974
3975 `L2DAT2.quad_bot_left.way158_01.set_top.mem_lo0_way3,
3976 `L2DAT2.quad_bot_left.way158_01.set_top.mem_hi0_way3,
3977 `L2DAT2.quad_bot_left.way158_01.set_top.mem_lo1_way3,
3978 `L2DAT2.quad_bot_left.way158_01.set_top.mem_hi1_way3,
3979
3980 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3981 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_hi0_way4,
3982 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3983 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_hi1_way4,
3984
3985 `L2DAT2.quad_bot_left.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
3986 `L2DAT2.quad_bot_left.way158_01.set_top.mem_hi0_way4,
3987 `L2DAT2.quad_bot_left.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
3988 `L2DAT2.quad_bot_left.way158_01.set_top.mem_hi1_way4,
3989
3990 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_lo0_way5,
3991 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_hi0_way5,
3992 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_lo1_way5,
3993 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_hi1_way5,
3994
3995 `L2DAT2.quad_bot_left.way158_01.set_top.mem_lo0_way5,
3996 `L2DAT2.quad_bot_left.way158_01.set_top.mem_hi0_way5,
3997 `L2DAT2.quad_bot_left.way158_01.set_top.mem_lo1_way5,
3998 `L2DAT2.quad_bot_left.way158_01.set_top.mem_hi1_way5,
3999
4000 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4001 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_hi0_way6,
4002 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4003 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_hi1_way6,
4004
4005 `L2DAT2.quad_bot_left.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4006 `L2DAT2.quad_bot_left.way158_01.set_top.mem_hi0_way6,
4007 `L2DAT2.quad_bot_left.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4008 `L2DAT2.quad_bot_left.way158_01.set_top.mem_hi1_way6,
4009
4010 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_lo0_way7,
4011 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_hi0_way7,
4012 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_lo1_way7,
4013 `L2DAT2.quad_bot_left.way158_01.set_bot.mem_hi1_way7,
4014
4015 `L2DAT2.quad_bot_left.way158_01.set_top.mem_lo0_way7,
4016 `L2DAT2.quad_bot_left.way158_01.set_top.mem_hi0_way7,
4017 `L2DAT2.quad_bot_left.way158_01.set_top.mem_lo1_way7,
4018 `L2DAT2.quad_bot_left.way158_01.set_top.mem_hi1_way7, //256
4019 //right handside
4020 //bank 0 data
4021 //way [7:0]
4022 //[8] = 0 [255-0]
4023 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_lo0_way0 , //arg 313
4024 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_hi0_way0,
4025 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4026 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_hi1_way0,
4027 //index [511-256]
4028 `L2DAT2.quad_bot_right.way07_00.set_top.mem_lo0_way0 , //arg 281
4029 `L2DAT2.quad_bot_right.way07_00.set_top.mem_hi0_way0,
4030 `L2DAT2.quad_bot_right.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4031 `L2DAT2.quad_bot_right.way07_00.set_top.mem_hi1_way0,
4032
4033 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_lo0_way1,
4034 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_hi0_way1,
4035 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_lo1_way1,
4036 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_hi1_way1,
4037
4038 `L2DAT2.quad_bot_right.way07_00.set_top.mem_lo0_way1,
4039 `L2DAT2.quad_bot_right.way07_00.set_top.mem_hi0_way1,
4040 `L2DAT2.quad_bot_right.way07_00.set_top.mem_lo1_way1,
4041 `L2DAT2.quad_bot_right.way07_00.set_top.mem_hi1_way1,
4042
4043 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4044 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_hi0_way2,
4045 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4046 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_hi1_way2,
4047
4048 `L2DAT2.quad_bot_right.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4049 `L2DAT2.quad_bot_right.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
4050 `L2DAT2.quad_bot_right.way07_00.set_top.mem_lo1_way2,
4051 `L2DAT2.quad_bot_right.way07_00.set_top.mem_hi1_way2,
4052
4053 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_lo0_way3,
4054 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_hi0_way3,
4055 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_lo1_way3,
4056 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_hi1_way3,
4057
4058 `L2DAT2.quad_bot_right.way07_00.set_top.mem_lo0_way3,
4059 `L2DAT2.quad_bot_right.way07_00.set_top.mem_hi0_way3,
4060 `L2DAT2.quad_bot_right.way07_00.set_top.mem_lo1_way3,
4061 `L2DAT2.quad_bot_right.way07_00.set_top.mem_hi1_way3,
4062
4063 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4064 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_hi0_way4,
4065 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4066 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_hi1_way4,
4067
4068 `L2DAT2.quad_bot_right.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4069 `L2DAT2.quad_bot_right.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
4070 `L2DAT2.quad_bot_right.way07_00.set_top.mem_lo1_way4,
4071 `L2DAT2.quad_bot_right.way07_00.set_top.mem_hi1_way4,
4072
4073 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_lo0_way5,
4074 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_hi0_way5,
4075 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_lo1_way5,
4076 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_hi1_way5,
4077
4078 `L2DAT2.quad_bot_right.way07_00.set_top.mem_lo0_way5,
4079 `L2DAT2.quad_bot_right.way07_00.set_top.mem_hi0_way5,
4080 `L2DAT2.quad_bot_right.way07_00.set_top.mem_lo1_way5,
4081 `L2DAT2.quad_bot_right.way07_00.set_top.mem_hi1_way5,
4082
4083 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4084 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_hi0_way6,
4085 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4086 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_hi1_way6,
4087
4088 `L2DAT2.quad_bot_right.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4089 `L2DAT2.quad_bot_right.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
4090 `L2DAT2.quad_bot_right.way07_00.set_top.mem_lo1_way6,
4091 `L2DAT2.quad_bot_right.way07_00.set_top.mem_hi1_way6,
4092
4093 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_lo0_way7,
4094 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_hi0_way7,
4095 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_lo1_way7,
4096 `L2DAT2.quad_bot_right.way07_00.set_bot.mem_hi1_way7,
4097
4098 `L2DAT2.quad_bot_right.way07_00.set_top.mem_lo0_way7,
4099 `L2DAT2.quad_bot_right.way07_00.set_top.mem_hi0_way7,
4100 `L2DAT2.quad_bot_right.way07_00.set_top.mem_lo1_way7,
4101 `L2DAT2.quad_bot_right.way07_00.set_top.mem_hi1_way7, //toatl arg 64
4102
4103 //way158_00 top way [15:8]
4104 //index[255:0]
4105
4106 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_lo0_way0 , //arg 441
4107 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_hi0_way0,
4108 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4109 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_hi1_way0,
4110 //index[511:256]
4111 `L2DAT2.quad_bot_right.way158_00.set_top.mem_lo0_way0 , //arg 409
4112 `L2DAT2.quad_bot_right.way158_00.set_top.mem_hi0_way0,
4113 `L2DAT2.quad_bot_right.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4114 `L2DAT2.quad_bot_right.way158_00.set_top.mem_hi1_way0,
4115
4116 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_lo0_way1,
4117 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_hi0_way1,
4118 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_lo1_way1,
4119 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_hi1_way1,
4120
4121 `L2DAT2.quad_bot_right.way158_00.set_top.mem_lo0_way1,
4122 `L2DAT2.quad_bot_right.way158_00.set_top.mem_hi0_way1,
4123 `L2DAT2.quad_bot_right.way158_00.set_top.mem_lo1_way1,
4124 `L2DAT2.quad_bot_right.way158_00.set_top.mem_hi1_way1,
4125
4126 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4127 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_hi0_way2,
4128 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4129 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_hi1_way2,
4130
4131 `L2DAT2.quad_bot_right.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4132 `L2DAT2.quad_bot_right.way158_00.set_top.mem_hi0_way2,
4133 `L2DAT2.quad_bot_right.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4134 `L2DAT2.quad_bot_right.way158_00.set_top.mem_hi1_way2,
4135
4136 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_lo0_way3,
4137 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_hi0_way3,
4138 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_lo1_way3,
4139 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_hi1_way3,
4140
4141 `L2DAT2.quad_bot_right.way158_00.set_top.mem_lo0_way3,
4142 `L2DAT2.quad_bot_right.way158_00.set_top.mem_hi0_way3,
4143 `L2DAT2.quad_bot_right.way158_00.set_top.mem_lo1_way3,
4144 `L2DAT2.quad_bot_right.way158_00.set_top.mem_hi1_way3,
4145
4146 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4147 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_hi0_way4,
4148 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4149 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_hi1_way4,
4150
4151 `L2DAT2.quad_bot_right.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4152 `L2DAT2.quad_bot_right.way158_00.set_top.mem_hi0_way4,
4153 `L2DAT2.quad_bot_right.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4154 `L2DAT2.quad_bot_right.way158_00.set_top.mem_hi1_way4,
4155
4156 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_lo0_way5,
4157 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_hi0_way5,
4158 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_lo1_way5,
4159 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_hi1_way5,
4160
4161 `L2DAT2.quad_bot_right.way158_00.set_top.mem_lo0_way5,
4162 `L2DAT2.quad_bot_right.way158_00.set_top.mem_hi0_way5,
4163 `L2DAT2.quad_bot_right.way158_00.set_top.mem_lo1_way5,
4164 `L2DAT2.quad_bot_right.way158_00.set_top.mem_hi1_way5,
4165
4166 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4167 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_hi0_way6,
4168 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4169 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_hi1_way6,
4170
4171 `L2DAT2.quad_bot_right.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4172 `L2DAT2.quad_bot_right.way158_00.set_top.mem_hi0_way6,
4173 `L2DAT2.quad_bot_right.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4174 `L2DAT2.quad_bot_right.way158_00.set_top.mem_hi1_way6,
4175
4176 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_lo0_way7,
4177 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_hi0_way7,
4178 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_lo1_way7,
4179 `L2DAT2.quad_bot_right.way158_00.set_bot.mem_hi1_way7,
4180
4181 `L2DAT2.quad_bot_right.way158_00.set_top.mem_lo0_way7,
4182 `L2DAT2.quad_bot_right.way158_00.set_top.mem_hi0_way7,
4183 `L2DAT2.quad_bot_right.way158_00.set_top.mem_lo1_way7,
4184 `L2DAT2.quad_bot_right.way158_00.set_top.mem_hi1_way7, //128
4185
4186 //way70_01 bottom
4187 //bottom
4188 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_lo0_way0 , //[623:604]
4189 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_hi0_way0,
4190 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4191 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_hi1_way0,
4192
4193 `L2DAT2.quad_bot_right.way70_01.set_top.mem_lo0_way0 , //arg 345
4194 `L2DAT2.quad_bot_right.way70_01.set_top.mem_hi0_way0,
4195 `L2DAT2.quad_bot_right.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4196 `L2DAT2.quad_bot_right.way70_01.set_top.mem_hi1_way0,
4197
4198 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_lo0_way1,
4199 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_hi0_way1,
4200 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_lo1_way1,
4201 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_hi1_way1,
4202
4203 `L2DAT2.quad_bot_right.way70_01.set_top.mem_lo0_way1,
4204 `L2DAT2.quad_bot_right.way70_01.set_top.mem_hi0_way1,
4205 `L2DAT2.quad_bot_right.way70_01.set_top.mem_lo1_way1,
4206 `L2DAT2.quad_bot_right.way70_01.set_top.mem_hi1_way1,
4207
4208 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4209 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_hi0_way2,
4210 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4211 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_hi1_way2,
4212
4213 `L2DAT2.quad_bot_right.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4214 `L2DAT2.quad_bot_right.way70_01.set_top.mem_hi0_way2,
4215 `L2DAT2.quad_bot_right.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4216 `L2DAT2.quad_bot_right.way70_01.set_top.mem_hi1_way2,
4217
4218 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_lo0_way3,
4219 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_hi0_way3,
4220 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_lo1_way3,
4221 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_hi1_way3,
4222
4223 `L2DAT2.quad_bot_right.way70_01.set_top.mem_lo0_way3,
4224 `L2DAT2.quad_bot_right.way70_01.set_top.mem_hi0_way3,
4225 `L2DAT2.quad_bot_right.way70_01.set_top.mem_lo1_way3,
4226 `L2DAT2.quad_bot_right.way70_01.set_top.mem_hi1_way3,
4227
4228 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4229 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_hi0_way4,
4230 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4231 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_hi1_way4,
4232
4233 `L2DAT2.quad_bot_right.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4234 `L2DAT2.quad_bot_right.way70_01.set_top.mem_hi0_way4,
4235 `L2DAT2.quad_bot_right.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4236 `L2DAT2.quad_bot_right.way70_01.set_top.mem_hi1_way4,
4237
4238 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_lo0_way5,
4239 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_hi0_way5,
4240 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_lo1_way5,
4241 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_hi1_way5,
4242
4243 `L2DAT2.quad_bot_right.way70_01.set_top.mem_lo0_way5,
4244 `L2DAT2.quad_bot_right.way70_01.set_top.mem_hi0_way5 ,
4245 `L2DAT2.quad_bot_right.way70_01.set_top.mem_lo1_way5,
4246 `L2DAT2.quad_bot_right.way70_01.set_top.mem_hi1_way5,
4247
4248 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4249 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_hi0_way6,
4250 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4251 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_hi1_way6,
4252
4253 `L2DAT2.quad_bot_right.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4254 `L2DAT2.quad_bot_right.way70_01.set_top.mem_hi0_way6,
4255 `L2DAT2.quad_bot_right.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4256 `L2DAT2.quad_bot_right.way70_01.set_top.mem_hi1_way6,
4257
4258 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_lo0_way7,
4259 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_hi0_way7 ,
4260 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_lo1_way7,
4261 `L2DAT2.quad_bot_right.way70_01.set_bot.mem_hi1_way7,
4262
4263 `L2DAT2.quad_bot_right.way70_01.set_top.mem_lo0_way7,
4264 `L2DAT2.quad_bot_right.way70_01.set_top.mem_hi0_way7 ,
4265 `L2DAT2.quad_bot_right.way70_01.set_top.mem_lo1_way7,
4266 `L2DAT2.quad_bot_right.way70_01.set_top.mem_hi1_way7, //192
4267
4268 //way [15:8]
4269 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_lo0_way0 , //arg 505
4270 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_hi0_way0,
4271 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4272 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_hi1_way0,
4273 //index [8]
4274 `L2DAT2.quad_bot_right.way158_01.set_top.mem_lo0_way0 , //arg 473
4275 `L2DAT2.quad_bot_right.way158_01.set_top.mem_hi0_way0,
4276 `L2DAT2.quad_bot_right.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4277 `L2DAT2.quad_bot_right.way158_01.set_top.mem_hi1_way0,
4278
4279 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_lo0_way1,
4280 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_hi0_way1,
4281 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_lo1_way1,
4282 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_hi1_way1,
4283
4284 `L2DAT2.quad_bot_right.way158_01.set_top.mem_lo0_way1,
4285 `L2DAT2.quad_bot_right.way158_01.set_top.mem_hi0_way1,
4286 `L2DAT2.quad_bot_right.way158_01.set_top.mem_lo1_way1,
4287 `L2DAT2.quad_bot_right.way158_01.set_top.mem_hi1_way1,
4288
4289 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4290 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_hi0_way2,
4291 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4292 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_hi1_way2,
4293
4294 `L2DAT2.quad_bot_right.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4295 `L2DAT2.quad_bot_right.way158_01.set_top.mem_hi0_way2,
4296 `L2DAT2.quad_bot_right.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4297 `L2DAT2.quad_bot_right.way158_01.set_top.mem_hi1_way2,
4298
4299 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_lo0_way3,
4300 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_hi0_way3,
4301 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_lo1_way3,
4302 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_hi1_way3,
4303
4304 `L2DAT2.quad_bot_right.way158_01.set_top.mem_lo0_way3,
4305 `L2DAT2.quad_bot_right.way158_01.set_top.mem_hi0_way3,
4306 `L2DAT2.quad_bot_right.way158_01.set_top.mem_lo1_way3,
4307 `L2DAT2.quad_bot_right.way158_01.set_top.mem_hi1_way3,
4308
4309 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4310 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_hi0_way4,
4311 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4312 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_hi1_way4,
4313
4314 `L2DAT2.quad_bot_right.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4315 `L2DAT2.quad_bot_right.way158_01.set_top.mem_hi0_way4,
4316 `L2DAT2.quad_bot_right.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4317 `L2DAT2.quad_bot_right.way158_01.set_top.mem_hi1_way4,
4318
4319 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_lo0_way5,
4320 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_hi0_way5,
4321 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_lo1_way5,
4322 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_hi1_way5,
4323
4324 `L2DAT2.quad_bot_right.way158_01.set_top.mem_lo0_way5,
4325 `L2DAT2.quad_bot_right.way158_01.set_top.mem_hi0_way5,
4326 `L2DAT2.quad_bot_right.way158_01.set_top.mem_lo1_way5,
4327 `L2DAT2.quad_bot_right.way158_01.set_top.mem_hi1_way5,
4328
4329 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4330 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_hi0_way6,
4331 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4332 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_hi1_way6,
4333
4334 `L2DAT2.quad_bot_right.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4335 `L2DAT2.quad_bot_right.way158_01.set_top.mem_hi0_way6,
4336 `L2DAT2.quad_bot_right.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4337 `L2DAT2.quad_bot_right.way158_01.set_top.mem_hi1_way6,
4338
4339 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_lo0_way7,
4340 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_hi0_way7,
4341 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_lo1_way7,
4342 `L2DAT2.quad_bot_right.way158_01.set_bot.mem_hi1_way7,
4343
4344 `L2DAT2.quad_bot_right.way158_01.set_top.mem_lo0_way7,
4345 `L2DAT2.quad_bot_right.way158_01.set_top.mem_hi0_way7,
4346 `L2DAT2.quad_bot_right.way158_01.set_top.mem_lo1_way7,
4347 `L2DAT2.quad_bot_right.way158_01.set_top.mem_hi1_way7, //512
4348//Bank 3 define
4349 `L2TAG3.tag.quad0.bank0.l2t_array.mem_lft, //1 reg [`L2T_ARR_D_WIDTH + 1:0] way0[`L2T_ARR_DEPTH - 1 :0];
4350 `L2TAG3.tag.quad0.bank0.l2t_array.mem_rgt, //`define L2T_ARR_D_WIDTH 28 `define L2T_ARR_DEPTH 2
4351
4352 `L2TAG3.tag.quad0.bank1.l2t_array.mem_lft,
4353 `L2TAG3.tag.quad0.bank1.l2t_array.mem_rgt,
4354
4355 `L2TAG3.tag.quad1.bank0.l2t_array.mem_lft,
4356 `L2TAG3.tag.quad1.bank0.l2t_array.mem_rgt,
4357
4358 `L2TAG3.tag.quad1.bank1.l2t_array.mem_lft,
4359 `L2TAG3.tag.quad1.bank1.l2t_array.mem_rgt,
4360
4361 `L2TAG3.tag.quad2.bank0.l2t_array.mem_lft,
4362 `L2TAG3.tag.quad2.bank0.l2t_array.mem_rgt,
4363
4364 `L2TAG3.tag.quad2.bank1.l2t_array.mem_lft,
4365 `L2TAG3.tag.quad2.bank1.l2t_array.mem_rgt,
4366
4367 `L2TAG3.tag.quad3.bank0.l2t_array.mem_lft,
4368 `L2TAG3.tag.quad3.bank0.l2t_array.mem_rgt,
4369
4370 `L2TAG3.tag.quad3.bank1.l2t_array.mem_lft,
4371 `L2TAG3.tag.quad3.bank1.l2t_array.mem_rgt, //16
4372
4373 `L2TAG3.subarray_0.array.inq_ary, // arg 17: reg [159:0] inq_ary [31:0];
4374 `L2TAG3.subarray_1.array.inq_ary,
4375 `L2TAG3.subarray_2.array.inq_ary,
4376 `L2TAG3.subarray_3.array.inq_ary,
4377 `L2TAG3.subarray_8.array.inq_ary,
4378 `L2TAG3.subarray_9.array.inq_ary,
4379 `L2TAG3.subarray_10.array.inq_ary,
4380 `L2TAG3.subarray_11.array.inq_ary, //24
4381
4382 //bank 0 data
4383 //way [7:0]
4384 //[8] = 0 [255-0]
4385 `L2DAT3.quad_top_left.way07_00.set_bot.mem_lo0_way0 , //arg 313
4386 `L2DAT3.quad_top_left.way07_00.set_bot.mem_hi0_way0,
4387 `L2DAT3.quad_top_left.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4388 `L2DAT3.quad_top_left.way07_00.set_bot.mem_hi1_way0,
4389 //index [511-256]
4390 `L2DAT3.quad_top_left.way07_00.set_top.mem_lo0_way0 , //arg 281
4391 `L2DAT3.quad_top_left.way07_00.set_top.mem_hi0_way0,
4392 `L2DAT3.quad_top_left.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4393 `L2DAT3.quad_top_left.way07_00.set_top.mem_hi1_way0,
4394
4395 `L2DAT3.quad_top_left.way07_00.set_bot.mem_lo0_way1,
4396 `L2DAT3.quad_top_left.way07_00.set_bot.mem_hi0_way1,
4397 `L2DAT3.quad_top_left.way07_00.set_bot.mem_lo1_way1,
4398 `L2DAT3.quad_top_left.way07_00.set_bot.mem_hi1_way1,
4399
4400 `L2DAT3.quad_top_left.way07_00.set_top.mem_lo0_way1,
4401 `L2DAT3.quad_top_left.way07_00.set_top.mem_hi0_way1,
4402 `L2DAT3.quad_top_left.way07_00.set_top.mem_lo1_way1,
4403 `L2DAT3.quad_top_left.way07_00.set_top.mem_hi1_way1,
4404
4405 `L2DAT3.quad_top_left.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4406 `L2DAT3.quad_top_left.way07_00.set_bot.mem_hi0_way2,
4407 `L2DAT3.quad_top_left.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4408 `L2DAT3.quad_top_left.way07_00.set_bot.mem_hi1_way2,
4409
4410 `L2DAT3.quad_top_left.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4411 `L2DAT3.quad_top_left.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
4412 `L2DAT3.quad_top_left.way07_00.set_top.mem_lo1_way2,
4413 `L2DAT3.quad_top_left.way07_00.set_top.mem_hi1_way2,
4414
4415 `L2DAT3.quad_top_left.way07_00.set_bot.mem_lo0_way3,
4416 `L2DAT3.quad_top_left.way07_00.set_bot.mem_hi0_way3,
4417 `L2DAT3.quad_top_left.way07_00.set_bot.mem_lo1_way3,
4418 `L2DAT3.quad_top_left.way07_00.set_bot.mem_hi1_way3,
4419
4420 `L2DAT3.quad_top_left.way07_00.set_top.mem_lo0_way3,
4421 `L2DAT3.quad_top_left.way07_00.set_top.mem_hi0_way3,
4422 `L2DAT3.quad_top_left.way07_00.set_top.mem_lo1_way3,
4423 `L2DAT3.quad_top_left.way07_00.set_top.mem_hi1_way3,
4424
4425 `L2DAT3.quad_top_left.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4426 `L2DAT3.quad_top_left.way07_00.set_bot.mem_hi0_way4,
4427 `L2DAT3.quad_top_left.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4428 `L2DAT3.quad_top_left.way07_00.set_bot.mem_hi1_way4,
4429
4430 `L2DAT3.quad_top_left.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4431 `L2DAT3.quad_top_left.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
4432 `L2DAT3.quad_top_left.way07_00.set_top.mem_lo1_way4,
4433 `L2DAT3.quad_top_left.way07_00.set_top.mem_hi1_way4,
4434
4435 `L2DAT3.quad_top_left.way07_00.set_bot.mem_lo0_way5,
4436 `L2DAT3.quad_top_left.way07_00.set_bot.mem_hi0_way5,
4437 `L2DAT3.quad_top_left.way07_00.set_bot.mem_lo1_way5,
4438 `L2DAT3.quad_top_left.way07_00.set_bot.mem_hi1_way5,
4439
4440 `L2DAT3.quad_top_left.way07_00.set_top.mem_lo0_way5,
4441 `L2DAT3.quad_top_left.way07_00.set_top.mem_hi0_way5,
4442 `L2DAT3.quad_top_left.way07_00.set_top.mem_lo1_way5,
4443 `L2DAT3.quad_top_left.way07_00.set_top.mem_hi1_way5,
4444
4445 `L2DAT3.quad_top_left.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4446 `L2DAT3.quad_top_left.way07_00.set_bot.mem_hi0_way6,
4447 `L2DAT3.quad_top_left.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4448 `L2DAT3.quad_top_left.way07_00.set_bot.mem_hi1_way6,
4449
4450 `L2DAT3.quad_top_left.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4451 `L2DAT3.quad_top_left.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
4452 `L2DAT3.quad_top_left.way07_00.set_top.mem_lo1_way6,
4453 `L2DAT3.quad_top_left.way07_00.set_top.mem_hi1_way6,
4454
4455 `L2DAT3.quad_top_left.way07_00.set_bot.mem_lo0_way7,
4456 `L2DAT3.quad_top_left.way07_00.set_bot.mem_hi0_way7,
4457 `L2DAT3.quad_top_left.way07_00.set_bot.mem_lo1_way7,
4458 `L2DAT3.quad_top_left.way07_00.set_bot.mem_hi1_way7,
4459
4460 `L2DAT3.quad_top_left.way07_00.set_top.mem_lo0_way7,
4461 `L2DAT3.quad_top_left.way07_00.set_top.mem_hi0_way7,
4462 `L2DAT3.quad_top_left.way07_00.set_top.mem_lo1_way7,
4463 `L2DAT3.quad_top_left.way07_00.set_top.mem_hi1_way7, //toatl arg 64
4464
4465 //way158_00 top way [15:8]
4466 //index[255:0]
4467
4468 `L2DAT3.quad_top_left.way158_00.set_bot.mem_lo0_way0 , //arg 441
4469 `L2DAT3.quad_top_left.way158_00.set_bot.mem_hi0_way0,
4470 `L2DAT3.quad_top_left.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4471 `L2DAT3.quad_top_left.way158_00.set_bot.mem_hi1_way0,
4472 //index[511:256]
4473 `L2DAT3.quad_top_left.way158_00.set_top.mem_lo0_way0 , //arg 409
4474 `L2DAT3.quad_top_left.way158_00.set_top.mem_hi0_way0,
4475 `L2DAT3.quad_top_left.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4476 `L2DAT3.quad_top_left.way158_00.set_top.mem_hi1_way0,
4477
4478 `L2DAT3.quad_top_left.way158_00.set_bot.mem_lo0_way1,
4479 `L2DAT3.quad_top_left.way158_00.set_bot.mem_hi0_way1,
4480 `L2DAT3.quad_top_left.way158_00.set_bot.mem_lo1_way1,
4481 `L2DAT3.quad_top_left.way158_00.set_bot.mem_hi1_way1,
4482
4483 `L2DAT3.quad_top_left.way158_00.set_top.mem_lo0_way1,
4484 `L2DAT3.quad_top_left.way158_00.set_top.mem_hi0_way1,
4485 `L2DAT3.quad_top_left.way158_00.set_top.mem_lo1_way1,
4486 `L2DAT3.quad_top_left.way158_00.set_top.mem_hi1_way1,
4487
4488 `L2DAT3.quad_top_left.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4489 `L2DAT3.quad_top_left.way158_00.set_bot.mem_hi0_way2,
4490 `L2DAT3.quad_top_left.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4491 `L2DAT3.quad_top_left.way158_00.set_bot.mem_hi1_way2,
4492
4493 `L2DAT3.quad_top_left.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4494 `L2DAT3.quad_top_left.way158_00.set_top.mem_hi0_way2,
4495 `L2DAT3.quad_top_left.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4496 `L2DAT3.quad_top_left.way158_00.set_top.mem_hi1_way2,
4497
4498 `L2DAT3.quad_top_left.way158_00.set_bot.mem_lo0_way3,
4499 `L2DAT3.quad_top_left.way158_00.set_bot.mem_hi0_way3,
4500 `L2DAT3.quad_top_left.way158_00.set_bot.mem_lo1_way3,
4501 `L2DAT3.quad_top_left.way158_00.set_bot.mem_hi1_way3,
4502
4503 `L2DAT3.quad_top_left.way158_00.set_top.mem_lo0_way3,
4504 `L2DAT3.quad_top_left.way158_00.set_top.mem_hi0_way3,
4505 `L2DAT3.quad_top_left.way158_00.set_top.mem_lo1_way3,
4506 `L2DAT3.quad_top_left.way158_00.set_top.mem_hi1_way3,
4507
4508 `L2DAT3.quad_top_left.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4509 `L2DAT3.quad_top_left.way158_00.set_bot.mem_hi0_way4,
4510 `L2DAT3.quad_top_left.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4511 `L2DAT3.quad_top_left.way158_00.set_bot.mem_hi1_way4,
4512
4513 `L2DAT3.quad_top_left.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4514 `L2DAT3.quad_top_left.way158_00.set_top.mem_hi0_way4,
4515 `L2DAT3.quad_top_left.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4516 `L2DAT3.quad_top_left.way158_00.set_top.mem_hi1_way4,
4517
4518 `L2DAT3.quad_top_left.way158_00.set_bot.mem_lo0_way5,
4519 `L2DAT3.quad_top_left.way158_00.set_bot.mem_hi0_way5,
4520 `L2DAT3.quad_top_left.way158_00.set_bot.mem_lo1_way5,
4521 `L2DAT3.quad_top_left.way158_00.set_bot.mem_hi1_way5,
4522
4523 `L2DAT3.quad_top_left.way158_00.set_top.mem_lo0_way5,
4524 `L2DAT3.quad_top_left.way158_00.set_top.mem_hi0_way5,
4525 `L2DAT3.quad_top_left.way158_00.set_top.mem_lo1_way5,
4526 `L2DAT3.quad_top_left.way158_00.set_top.mem_hi1_way5,
4527
4528 `L2DAT3.quad_top_left.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4529 `L2DAT3.quad_top_left.way158_00.set_bot.mem_hi0_way6,
4530 `L2DAT3.quad_top_left.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4531 `L2DAT3.quad_top_left.way158_00.set_bot.mem_hi1_way6,
4532
4533 `L2DAT3.quad_top_left.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4534 `L2DAT3.quad_top_left.way158_00.set_top.mem_hi0_way6,
4535 `L2DAT3.quad_top_left.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4536 `L2DAT3.quad_top_left.way158_00.set_top.mem_hi1_way6,
4537
4538 `L2DAT3.quad_top_left.way158_00.set_bot.mem_lo0_way7,
4539 `L2DAT3.quad_top_left.way158_00.set_bot.mem_hi0_way7,
4540 `L2DAT3.quad_top_left.way158_00.set_bot.mem_lo1_way7,
4541 `L2DAT3.quad_top_left.way158_00.set_bot.mem_hi1_way7,
4542
4543 `L2DAT3.quad_top_left.way158_00.set_top.mem_lo0_way7,
4544 `L2DAT3.quad_top_left.way158_00.set_top.mem_hi0_way7,
4545 `L2DAT3.quad_top_left.way158_00.set_top.mem_lo1_way7,
4546 `L2DAT3.quad_top_left.way158_00.set_top.mem_hi1_way7, //128
4547
4548 //way70_01 bottom
4549 //bottom
4550 `L2DAT3.quad_top_left.way70_01.set_bot.mem_lo0_way0 , //[623:604]
4551 `L2DAT3.quad_top_left.way70_01.set_bot.mem_hi0_way0,
4552 `L2DAT3.quad_top_left.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4553 `L2DAT3.quad_top_left.way70_01.set_bot.mem_hi1_way0,
4554
4555 `L2DAT3.quad_top_left.way70_01.set_top.mem_lo0_way0 , //arg 345
4556 `L2DAT3.quad_top_left.way70_01.set_top.mem_hi0_way0,
4557 `L2DAT3.quad_top_left.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4558 `L2DAT3.quad_top_left.way70_01.set_top.mem_hi1_way0,
4559
4560 `L2DAT3.quad_top_left.way70_01.set_bot.mem_lo0_way1,
4561 `L2DAT3.quad_top_left.way70_01.set_bot.mem_hi0_way1,
4562 `L2DAT3.quad_top_left.way70_01.set_bot.mem_lo1_way1,
4563 `L2DAT3.quad_top_left.way70_01.set_bot.mem_hi1_way1,
4564
4565 `L2DAT3.quad_top_left.way70_01.set_top.mem_lo0_way1,
4566 `L2DAT3.quad_top_left.way70_01.set_top.mem_hi0_way1,
4567 `L2DAT3.quad_top_left.way70_01.set_top.mem_lo1_way1,
4568 `L2DAT3.quad_top_left.way70_01.set_top.mem_hi1_way1,
4569
4570 `L2DAT3.quad_top_left.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4571 `L2DAT3.quad_top_left.way70_01.set_bot.mem_hi0_way2,
4572 `L2DAT3.quad_top_left.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4573 `L2DAT3.quad_top_left.way70_01.set_bot.mem_hi1_way2,
4574
4575 `L2DAT3.quad_top_left.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4576 `L2DAT3.quad_top_left.way70_01.set_top.mem_hi0_way2,
4577 `L2DAT3.quad_top_left.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4578 `L2DAT3.quad_top_left.way70_01.set_top.mem_hi1_way2,
4579
4580 `L2DAT3.quad_top_left.way70_01.set_bot.mem_lo0_way3,
4581 `L2DAT3.quad_top_left.way70_01.set_bot.mem_hi0_way3,
4582 `L2DAT3.quad_top_left.way70_01.set_bot.mem_lo1_way3,
4583 `L2DAT3.quad_top_left.way70_01.set_bot.mem_hi1_way3,
4584
4585 `L2DAT3.quad_top_left.way70_01.set_top.mem_lo0_way3,
4586 `L2DAT3.quad_top_left.way70_01.set_top.mem_hi0_way3,
4587 `L2DAT3.quad_top_left.way70_01.set_top.mem_lo1_way3,
4588 `L2DAT3.quad_top_left.way70_01.set_top.mem_hi1_way3,
4589
4590 `L2DAT3.quad_top_left.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4591 `L2DAT3.quad_top_left.way70_01.set_bot.mem_hi0_way4,
4592 `L2DAT3.quad_top_left.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4593 `L2DAT3.quad_top_left.way70_01.set_bot.mem_hi1_way4,
4594
4595 `L2DAT3.quad_top_left.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4596 `L2DAT3.quad_top_left.way70_01.set_top.mem_hi0_way4,
4597 `L2DAT3.quad_top_left.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4598 `L2DAT3.quad_top_left.way70_01.set_top.mem_hi1_way4,
4599
4600 `L2DAT3.quad_top_left.way70_01.set_bot.mem_lo0_way5,
4601 `L2DAT3.quad_top_left.way70_01.set_bot.mem_hi0_way5,
4602 `L2DAT3.quad_top_left.way70_01.set_bot.mem_lo1_way5,
4603 `L2DAT3.quad_top_left.way70_01.set_bot.mem_hi1_way5,
4604
4605 `L2DAT3.quad_top_left.way70_01.set_top.mem_lo0_way5,
4606 `L2DAT3.quad_top_left.way70_01.set_top.mem_hi0_way5 ,
4607 `L2DAT3.quad_top_left.way70_01.set_top.mem_lo1_way5,
4608 `L2DAT3.quad_top_left.way70_01.set_top.mem_hi1_way5,
4609
4610 `L2DAT3.quad_top_left.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4611 `L2DAT3.quad_top_left.way70_01.set_bot.mem_hi0_way6,
4612 `L2DAT3.quad_top_left.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4613 `L2DAT3.quad_top_left.way70_01.set_bot.mem_hi1_way6,
4614
4615 `L2DAT3.quad_top_left.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4616 `L2DAT3.quad_top_left.way70_01.set_top.mem_hi0_way6,
4617 `L2DAT3.quad_top_left.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4618 `L2DAT3.quad_top_left.way70_01.set_top.mem_hi1_way6,
4619
4620 `L2DAT3.quad_top_left.way70_01.set_bot.mem_lo0_way7,
4621 `L2DAT3.quad_top_left.way70_01.set_bot.mem_hi0_way7 ,
4622 `L2DAT3.quad_top_left.way70_01.set_bot.mem_lo1_way7,
4623 `L2DAT3.quad_top_left.way70_01.set_bot.mem_hi1_way7,
4624
4625 `L2DAT3.quad_top_left.way70_01.set_top.mem_lo0_way7,
4626 `L2DAT3.quad_top_left.way70_01.set_top.mem_hi0_way7 ,
4627 `L2DAT3.quad_top_left.way70_01.set_top.mem_lo1_way7,
4628 `L2DAT3.quad_top_left.way70_01.set_top.mem_hi1_way7, //192
4629
4630 //way [15:8]
4631 `L2DAT3.quad_top_left.way158_01.set_bot.mem_lo0_way0 , //arg 505
4632 `L2DAT3.quad_top_left.way158_01.set_bot.mem_hi0_way0,
4633 `L2DAT3.quad_top_left.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4634 `L2DAT3.quad_top_left.way158_01.set_bot.mem_hi1_way0,
4635 //index [8]
4636 `L2DAT3.quad_top_left.way158_01.set_top.mem_lo0_way0 , //arg 473
4637 `L2DAT3.quad_top_left.way158_01.set_top.mem_hi0_way0,
4638 `L2DAT3.quad_top_left.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4639 `L2DAT3.quad_top_left.way158_01.set_top.mem_hi1_way0,
4640
4641 `L2DAT3.quad_top_left.way158_01.set_bot.mem_lo0_way1,
4642 `L2DAT3.quad_top_left.way158_01.set_bot.mem_hi0_way1,
4643 `L2DAT3.quad_top_left.way158_01.set_bot.mem_lo1_way1,
4644 `L2DAT3.quad_top_left.way158_01.set_bot.mem_hi1_way1,
4645
4646 `L2DAT3.quad_top_left.way158_01.set_top.mem_lo0_way1,
4647 `L2DAT3.quad_top_left.way158_01.set_top.mem_hi0_way1,
4648 `L2DAT3.quad_top_left.way158_01.set_top.mem_lo1_way1,
4649 `L2DAT3.quad_top_left.way158_01.set_top.mem_hi1_way1,
4650
4651 `L2DAT3.quad_top_left.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4652 `L2DAT3.quad_top_left.way158_01.set_bot.mem_hi0_way2,
4653 `L2DAT3.quad_top_left.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4654 `L2DAT3.quad_top_left.way158_01.set_bot.mem_hi1_way2,
4655
4656 `L2DAT3.quad_top_left.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4657 `L2DAT3.quad_top_left.way158_01.set_top.mem_hi0_way2,
4658 `L2DAT3.quad_top_left.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4659 `L2DAT3.quad_top_left.way158_01.set_top.mem_hi1_way2,
4660
4661 `L2DAT3.quad_top_left.way158_01.set_bot.mem_lo0_way3,
4662 `L2DAT3.quad_top_left.way158_01.set_bot.mem_hi0_way3,
4663 `L2DAT3.quad_top_left.way158_01.set_bot.mem_lo1_way3,
4664 `L2DAT3.quad_top_left.way158_01.set_bot.mem_hi1_way3,
4665
4666 `L2DAT3.quad_top_left.way158_01.set_top.mem_lo0_way3,
4667 `L2DAT3.quad_top_left.way158_01.set_top.mem_hi0_way3,
4668 `L2DAT3.quad_top_left.way158_01.set_top.mem_lo1_way3,
4669 `L2DAT3.quad_top_left.way158_01.set_top.mem_hi1_way3,
4670
4671 `L2DAT3.quad_top_left.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4672 `L2DAT3.quad_top_left.way158_01.set_bot.mem_hi0_way4,
4673 `L2DAT3.quad_top_left.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4674 `L2DAT3.quad_top_left.way158_01.set_bot.mem_hi1_way4,
4675
4676 `L2DAT3.quad_top_left.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4677 `L2DAT3.quad_top_left.way158_01.set_top.mem_hi0_way4,
4678 `L2DAT3.quad_top_left.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4679 `L2DAT3.quad_top_left.way158_01.set_top.mem_hi1_way4,
4680
4681 `L2DAT3.quad_top_left.way158_01.set_bot.mem_lo0_way5,
4682 `L2DAT3.quad_top_left.way158_01.set_bot.mem_hi0_way5,
4683 `L2DAT3.quad_top_left.way158_01.set_bot.mem_lo1_way5,
4684 `L2DAT3.quad_top_left.way158_01.set_bot.mem_hi1_way5,
4685
4686 `L2DAT3.quad_top_left.way158_01.set_top.mem_lo0_way5,
4687 `L2DAT3.quad_top_left.way158_01.set_top.mem_hi0_way5,
4688 `L2DAT3.quad_top_left.way158_01.set_top.mem_lo1_way5,
4689 `L2DAT3.quad_top_left.way158_01.set_top.mem_hi1_way5,
4690
4691 `L2DAT3.quad_top_left.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4692 `L2DAT3.quad_top_left.way158_01.set_bot.mem_hi0_way6,
4693 `L2DAT3.quad_top_left.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4694 `L2DAT3.quad_top_left.way158_01.set_bot.mem_hi1_way6,
4695
4696 `L2DAT3.quad_top_left.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4697 `L2DAT3.quad_top_left.way158_01.set_top.mem_hi0_way6,
4698 `L2DAT3.quad_top_left.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4699 `L2DAT3.quad_top_left.way158_01.set_top.mem_hi1_way6,
4700
4701 `L2DAT3.quad_top_left.way158_01.set_bot.mem_lo0_way7,
4702 `L2DAT3.quad_top_left.way158_01.set_bot.mem_hi0_way7,
4703 `L2DAT3.quad_top_left.way158_01.set_bot.mem_lo1_way7,
4704 `L2DAT3.quad_top_left.way158_01.set_bot.mem_hi1_way7,
4705
4706 `L2DAT3.quad_top_left.way158_01.set_top.mem_lo0_way7,
4707 `L2DAT3.quad_top_left.way158_01.set_top.mem_hi0_way7,
4708 `L2DAT3.quad_top_left.way158_01.set_top.mem_lo1_way7,
4709 `L2DAT3.quad_top_left.way158_01.set_top.mem_hi1_way7, //256
4710 //right handside
4711 //bank 0 data
4712 //way [7:0]
4713 //[8] = 0 [255-0]
4714 `L2DAT3.quad_top_right.way07_00.set_bot.mem_lo0_way0 , //arg 313
4715 `L2DAT3.quad_top_right.way07_00.set_bot.mem_hi0_way0,
4716 `L2DAT3.quad_top_right.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4717 `L2DAT3.quad_top_right.way07_00.set_bot.mem_hi1_way0,
4718 //index [511-256]
4719 `L2DAT3.quad_top_right.way07_00.set_top.mem_lo0_way0 , //arg 281
4720 `L2DAT3.quad_top_right.way07_00.set_top.mem_hi0_way0,
4721 `L2DAT3.quad_top_right.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4722 `L2DAT3.quad_top_right.way07_00.set_top.mem_hi1_way0,
4723
4724 `L2DAT3.quad_top_right.way07_00.set_bot.mem_lo0_way1,
4725 `L2DAT3.quad_top_right.way07_00.set_bot.mem_hi0_way1,
4726 `L2DAT3.quad_top_right.way07_00.set_bot.mem_lo1_way1,
4727 `L2DAT3.quad_top_right.way07_00.set_bot.mem_hi1_way1,
4728
4729 `L2DAT3.quad_top_right.way07_00.set_top.mem_lo0_way1,
4730 `L2DAT3.quad_top_right.way07_00.set_top.mem_hi0_way1,
4731 `L2DAT3.quad_top_right.way07_00.set_top.mem_lo1_way1,
4732 `L2DAT3.quad_top_right.way07_00.set_top.mem_hi1_way1,
4733
4734 `L2DAT3.quad_top_right.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4735 `L2DAT3.quad_top_right.way07_00.set_bot.mem_hi0_way2,
4736 `L2DAT3.quad_top_right.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4737 `L2DAT3.quad_top_right.way07_00.set_bot.mem_hi1_way2,
4738
4739 `L2DAT3.quad_top_right.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4740 `L2DAT3.quad_top_right.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
4741 `L2DAT3.quad_top_right.way07_00.set_top.mem_lo1_way2,
4742 `L2DAT3.quad_top_right.way07_00.set_top.mem_hi1_way2,
4743
4744 `L2DAT3.quad_top_right.way07_00.set_bot.mem_lo0_way3,
4745 `L2DAT3.quad_top_right.way07_00.set_bot.mem_hi0_way3,
4746 `L2DAT3.quad_top_right.way07_00.set_bot.mem_lo1_way3,
4747 `L2DAT3.quad_top_right.way07_00.set_bot.mem_hi1_way3,
4748
4749 `L2DAT3.quad_top_right.way07_00.set_top.mem_lo0_way3,
4750 `L2DAT3.quad_top_right.way07_00.set_top.mem_hi0_way3,
4751 `L2DAT3.quad_top_right.way07_00.set_top.mem_lo1_way3,
4752 `L2DAT3.quad_top_right.way07_00.set_top.mem_hi1_way3,
4753
4754 `L2DAT3.quad_top_right.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4755 `L2DAT3.quad_top_right.way07_00.set_bot.mem_hi0_way4,
4756 `L2DAT3.quad_top_right.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4757 `L2DAT3.quad_top_right.way07_00.set_bot.mem_hi1_way4,
4758
4759 `L2DAT3.quad_top_right.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4760 `L2DAT3.quad_top_right.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
4761 `L2DAT3.quad_top_right.way07_00.set_top.mem_lo1_way4,
4762 `L2DAT3.quad_top_right.way07_00.set_top.mem_hi1_way4,
4763
4764 `L2DAT3.quad_top_right.way07_00.set_bot.mem_lo0_way5,
4765 `L2DAT3.quad_top_right.way07_00.set_bot.mem_hi0_way5,
4766 `L2DAT3.quad_top_right.way07_00.set_bot.mem_lo1_way5,
4767 `L2DAT3.quad_top_right.way07_00.set_bot.mem_hi1_way5,
4768
4769 `L2DAT3.quad_top_right.way07_00.set_top.mem_lo0_way5,
4770 `L2DAT3.quad_top_right.way07_00.set_top.mem_hi0_way5,
4771 `L2DAT3.quad_top_right.way07_00.set_top.mem_lo1_way5,
4772 `L2DAT3.quad_top_right.way07_00.set_top.mem_hi1_way5,
4773
4774 `L2DAT3.quad_top_right.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4775 `L2DAT3.quad_top_right.way07_00.set_bot.mem_hi0_way6,
4776 `L2DAT3.quad_top_right.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4777 `L2DAT3.quad_top_right.way07_00.set_bot.mem_hi1_way6,
4778
4779 `L2DAT3.quad_top_right.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4780 `L2DAT3.quad_top_right.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
4781 `L2DAT3.quad_top_right.way07_00.set_top.mem_lo1_way6,
4782 `L2DAT3.quad_top_right.way07_00.set_top.mem_hi1_way6,
4783
4784 `L2DAT3.quad_top_right.way07_00.set_bot.mem_lo0_way7,
4785 `L2DAT3.quad_top_right.way07_00.set_bot.mem_hi0_way7,
4786 `L2DAT3.quad_top_right.way07_00.set_bot.mem_lo1_way7,
4787 `L2DAT3.quad_top_right.way07_00.set_bot.mem_hi1_way7,
4788
4789 `L2DAT3.quad_top_right.way07_00.set_top.mem_lo0_way7,
4790 `L2DAT3.quad_top_right.way07_00.set_top.mem_hi0_way7,
4791 `L2DAT3.quad_top_right.way07_00.set_top.mem_lo1_way7,
4792 `L2DAT3.quad_top_right.way07_00.set_top.mem_hi1_way7, //toatl arg 64
4793
4794 //way158_00 top way [15:8]
4795 //index[255:0]
4796
4797 `L2DAT3.quad_top_right.way158_00.set_bot.mem_lo0_way0 , //arg 441
4798 `L2DAT3.quad_top_right.way158_00.set_bot.mem_hi0_way0,
4799 `L2DAT3.quad_top_right.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4800 `L2DAT3.quad_top_right.way158_00.set_bot.mem_hi1_way0,
4801 //index[511:256]
4802 `L2DAT3.quad_top_right.way158_00.set_top.mem_lo0_way0 , //arg 409
4803 `L2DAT3.quad_top_right.way158_00.set_top.mem_hi0_way0,
4804 `L2DAT3.quad_top_right.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4805 `L2DAT3.quad_top_right.way158_00.set_top.mem_hi1_way0,
4806
4807 `L2DAT3.quad_top_right.way158_00.set_bot.mem_lo0_way1,
4808 `L2DAT3.quad_top_right.way158_00.set_bot.mem_hi0_way1,
4809 `L2DAT3.quad_top_right.way158_00.set_bot.mem_lo1_way1,
4810 `L2DAT3.quad_top_right.way158_00.set_bot.mem_hi1_way1,
4811
4812 `L2DAT3.quad_top_right.way158_00.set_top.mem_lo0_way1,
4813 `L2DAT3.quad_top_right.way158_00.set_top.mem_hi0_way1,
4814 `L2DAT3.quad_top_right.way158_00.set_top.mem_lo1_way1,
4815 `L2DAT3.quad_top_right.way158_00.set_top.mem_hi1_way1,
4816
4817 `L2DAT3.quad_top_right.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4818 `L2DAT3.quad_top_right.way158_00.set_bot.mem_hi0_way2,
4819 `L2DAT3.quad_top_right.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4820 `L2DAT3.quad_top_right.way158_00.set_bot.mem_hi1_way2,
4821
4822 `L2DAT3.quad_top_right.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4823 `L2DAT3.quad_top_right.way158_00.set_top.mem_hi0_way2,
4824 `L2DAT3.quad_top_right.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4825 `L2DAT3.quad_top_right.way158_00.set_top.mem_hi1_way2,
4826
4827 `L2DAT3.quad_top_right.way158_00.set_bot.mem_lo0_way3,
4828 `L2DAT3.quad_top_right.way158_00.set_bot.mem_hi0_way3,
4829 `L2DAT3.quad_top_right.way158_00.set_bot.mem_lo1_way3,
4830 `L2DAT3.quad_top_right.way158_00.set_bot.mem_hi1_way3,
4831
4832 `L2DAT3.quad_top_right.way158_00.set_top.mem_lo0_way3,
4833 `L2DAT3.quad_top_right.way158_00.set_top.mem_hi0_way3,
4834 `L2DAT3.quad_top_right.way158_00.set_top.mem_lo1_way3,
4835 `L2DAT3.quad_top_right.way158_00.set_top.mem_hi1_way3,
4836
4837 `L2DAT3.quad_top_right.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4838 `L2DAT3.quad_top_right.way158_00.set_bot.mem_hi0_way4,
4839 `L2DAT3.quad_top_right.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4840 `L2DAT3.quad_top_right.way158_00.set_bot.mem_hi1_way4,
4841
4842 `L2DAT3.quad_top_right.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4843 `L2DAT3.quad_top_right.way158_00.set_top.mem_hi0_way4,
4844 `L2DAT3.quad_top_right.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4845 `L2DAT3.quad_top_right.way158_00.set_top.mem_hi1_way4,
4846
4847 `L2DAT3.quad_top_right.way158_00.set_bot.mem_lo0_way5,
4848 `L2DAT3.quad_top_right.way158_00.set_bot.mem_hi0_way5,
4849 `L2DAT3.quad_top_right.way158_00.set_bot.mem_lo1_way5,
4850 `L2DAT3.quad_top_right.way158_00.set_bot.mem_hi1_way5,
4851
4852 `L2DAT3.quad_top_right.way158_00.set_top.mem_lo0_way5,
4853 `L2DAT3.quad_top_right.way158_00.set_top.mem_hi0_way5,
4854 `L2DAT3.quad_top_right.way158_00.set_top.mem_lo1_way5,
4855 `L2DAT3.quad_top_right.way158_00.set_top.mem_hi1_way5,
4856
4857 `L2DAT3.quad_top_right.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4858 `L2DAT3.quad_top_right.way158_00.set_bot.mem_hi0_way6,
4859 `L2DAT3.quad_top_right.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4860 `L2DAT3.quad_top_right.way158_00.set_bot.mem_hi1_way6,
4861
4862 `L2DAT3.quad_top_right.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4863 `L2DAT3.quad_top_right.way158_00.set_top.mem_hi0_way6,
4864 `L2DAT3.quad_top_right.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4865 `L2DAT3.quad_top_right.way158_00.set_top.mem_hi1_way6,
4866
4867 `L2DAT3.quad_top_right.way158_00.set_bot.mem_lo0_way7,
4868 `L2DAT3.quad_top_right.way158_00.set_bot.mem_hi0_way7,
4869 `L2DAT3.quad_top_right.way158_00.set_bot.mem_lo1_way7,
4870 `L2DAT3.quad_top_right.way158_00.set_bot.mem_hi1_way7,
4871
4872 `L2DAT3.quad_top_right.way158_00.set_top.mem_lo0_way7,
4873 `L2DAT3.quad_top_right.way158_00.set_top.mem_hi0_way7,
4874 `L2DAT3.quad_top_right.way158_00.set_top.mem_lo1_way7,
4875 `L2DAT3.quad_top_right.way158_00.set_top.mem_hi1_way7, //128
4876
4877 //way70_01 bottom
4878 //bottom
4879 `L2DAT3.quad_top_right.way70_01.set_bot.mem_lo0_way0 , //[623:604]
4880 `L2DAT3.quad_top_right.way70_01.set_bot.mem_hi0_way0,
4881 `L2DAT3.quad_top_right.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4882 `L2DAT3.quad_top_right.way70_01.set_bot.mem_hi1_way0,
4883
4884 `L2DAT3.quad_top_right.way70_01.set_top.mem_lo0_way0 , //arg 345
4885 `L2DAT3.quad_top_right.way70_01.set_top.mem_hi0_way0,
4886 `L2DAT3.quad_top_right.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4887 `L2DAT3.quad_top_right.way70_01.set_top.mem_hi1_way0,
4888
4889 `L2DAT3.quad_top_right.way70_01.set_bot.mem_lo0_way1,
4890 `L2DAT3.quad_top_right.way70_01.set_bot.mem_hi0_way1,
4891 `L2DAT3.quad_top_right.way70_01.set_bot.mem_lo1_way1,
4892 `L2DAT3.quad_top_right.way70_01.set_bot.mem_hi1_way1,
4893
4894 `L2DAT3.quad_top_right.way70_01.set_top.mem_lo0_way1,
4895 `L2DAT3.quad_top_right.way70_01.set_top.mem_hi0_way1,
4896 `L2DAT3.quad_top_right.way70_01.set_top.mem_lo1_way1,
4897 `L2DAT3.quad_top_right.way70_01.set_top.mem_hi1_way1,
4898
4899 `L2DAT3.quad_top_right.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4900 `L2DAT3.quad_top_right.way70_01.set_bot.mem_hi0_way2,
4901 `L2DAT3.quad_top_right.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4902 `L2DAT3.quad_top_right.way70_01.set_bot.mem_hi1_way2,
4903
4904 `L2DAT3.quad_top_right.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4905 `L2DAT3.quad_top_right.way70_01.set_top.mem_hi0_way2,
4906 `L2DAT3.quad_top_right.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4907 `L2DAT3.quad_top_right.way70_01.set_top.mem_hi1_way2,
4908
4909 `L2DAT3.quad_top_right.way70_01.set_bot.mem_lo0_way3,
4910 `L2DAT3.quad_top_right.way70_01.set_bot.mem_hi0_way3,
4911 `L2DAT3.quad_top_right.way70_01.set_bot.mem_lo1_way3,
4912 `L2DAT3.quad_top_right.way70_01.set_bot.mem_hi1_way3,
4913
4914 `L2DAT3.quad_top_right.way70_01.set_top.mem_lo0_way3,
4915 `L2DAT3.quad_top_right.way70_01.set_top.mem_hi0_way3,
4916 `L2DAT3.quad_top_right.way70_01.set_top.mem_lo1_way3,
4917 `L2DAT3.quad_top_right.way70_01.set_top.mem_hi1_way3,
4918
4919 `L2DAT3.quad_top_right.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4920 `L2DAT3.quad_top_right.way70_01.set_bot.mem_hi0_way4,
4921 `L2DAT3.quad_top_right.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4922 `L2DAT3.quad_top_right.way70_01.set_bot.mem_hi1_way4,
4923
4924 `L2DAT3.quad_top_right.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
4925 `L2DAT3.quad_top_right.way70_01.set_top.mem_hi0_way4,
4926 `L2DAT3.quad_top_right.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
4927 `L2DAT3.quad_top_right.way70_01.set_top.mem_hi1_way4,
4928
4929 `L2DAT3.quad_top_right.way70_01.set_bot.mem_lo0_way5,
4930 `L2DAT3.quad_top_right.way70_01.set_bot.mem_hi0_way5,
4931 `L2DAT3.quad_top_right.way70_01.set_bot.mem_lo1_way5,
4932 `L2DAT3.quad_top_right.way70_01.set_bot.mem_hi1_way5,
4933
4934 `L2DAT3.quad_top_right.way70_01.set_top.mem_lo0_way5,
4935 `L2DAT3.quad_top_right.way70_01.set_top.mem_hi0_way5 ,
4936 `L2DAT3.quad_top_right.way70_01.set_top.mem_lo1_way5,
4937 `L2DAT3.quad_top_right.way70_01.set_top.mem_hi1_way5,
4938
4939 `L2DAT3.quad_top_right.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4940 `L2DAT3.quad_top_right.way70_01.set_bot.mem_hi0_way6,
4941 `L2DAT3.quad_top_right.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4942 `L2DAT3.quad_top_right.way70_01.set_bot.mem_hi1_way6,
4943
4944 `L2DAT3.quad_top_right.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
4945 `L2DAT3.quad_top_right.way70_01.set_top.mem_hi0_way6,
4946 `L2DAT3.quad_top_right.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
4947 `L2DAT3.quad_top_right.way70_01.set_top.mem_hi1_way6,
4948
4949 `L2DAT3.quad_top_right.way70_01.set_bot.mem_lo0_way7,
4950 `L2DAT3.quad_top_right.way70_01.set_bot.mem_hi0_way7 ,
4951 `L2DAT3.quad_top_right.way70_01.set_bot.mem_lo1_way7,
4952 `L2DAT3.quad_top_right.way70_01.set_bot.mem_hi1_way7,
4953
4954 `L2DAT3.quad_top_right.way70_01.set_top.mem_lo0_way7,
4955 `L2DAT3.quad_top_right.way70_01.set_top.mem_hi0_way7 ,
4956 `L2DAT3.quad_top_right.way70_01.set_top.mem_lo1_way7,
4957 `L2DAT3.quad_top_right.way70_01.set_top.mem_hi1_way7, //192
4958
4959 //way [15:8]
4960 `L2DAT3.quad_top_right.way158_01.set_bot.mem_lo0_way0 , //arg 505
4961 `L2DAT3.quad_top_right.way158_01.set_bot.mem_hi0_way0,
4962 `L2DAT3.quad_top_right.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4963 `L2DAT3.quad_top_right.way158_01.set_bot.mem_hi1_way0,
4964 //index [8]
4965 `L2DAT3.quad_top_right.way158_01.set_top.mem_lo0_way0 , //arg 473
4966 `L2DAT3.quad_top_right.way158_01.set_top.mem_hi0_way0,
4967 `L2DAT3.quad_top_right.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
4968 `L2DAT3.quad_top_right.way158_01.set_top.mem_hi1_way0,
4969
4970 `L2DAT3.quad_top_right.way158_01.set_bot.mem_lo0_way1,
4971 `L2DAT3.quad_top_right.way158_01.set_bot.mem_hi0_way1,
4972 `L2DAT3.quad_top_right.way158_01.set_bot.mem_lo1_way1,
4973 `L2DAT3.quad_top_right.way158_01.set_bot.mem_hi1_way1,
4974
4975 `L2DAT3.quad_top_right.way158_01.set_top.mem_lo0_way1,
4976 `L2DAT3.quad_top_right.way158_01.set_top.mem_hi0_way1,
4977 `L2DAT3.quad_top_right.way158_01.set_top.mem_lo1_way1,
4978 `L2DAT3.quad_top_right.way158_01.set_top.mem_hi1_way1,
4979
4980 `L2DAT3.quad_top_right.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4981 `L2DAT3.quad_top_right.way158_01.set_bot.mem_hi0_way2,
4982 `L2DAT3.quad_top_right.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4983 `L2DAT3.quad_top_right.way158_01.set_bot.mem_hi1_way2,
4984
4985 `L2DAT3.quad_top_right.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
4986 `L2DAT3.quad_top_right.way158_01.set_top.mem_hi0_way2,
4987 `L2DAT3.quad_top_right.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
4988 `L2DAT3.quad_top_right.way158_01.set_top.mem_hi1_way2,
4989
4990 `L2DAT3.quad_top_right.way158_01.set_bot.mem_lo0_way3,
4991 `L2DAT3.quad_top_right.way158_01.set_bot.mem_hi0_way3,
4992 `L2DAT3.quad_top_right.way158_01.set_bot.mem_lo1_way3,
4993 `L2DAT3.quad_top_right.way158_01.set_bot.mem_hi1_way3,
4994
4995 `L2DAT3.quad_top_right.way158_01.set_top.mem_lo0_way3,
4996 `L2DAT3.quad_top_right.way158_01.set_top.mem_hi0_way3,
4997 `L2DAT3.quad_top_right.way158_01.set_top.mem_lo1_way3,
4998 `L2DAT3.quad_top_right.way158_01.set_top.mem_hi1_way3,
4999
5000 `L2DAT3.quad_top_right.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5001 `L2DAT3.quad_top_right.way158_01.set_bot.mem_hi0_way4,
5002 `L2DAT3.quad_top_right.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5003 `L2DAT3.quad_top_right.way158_01.set_bot.mem_hi1_way4,
5004
5005 `L2DAT3.quad_top_right.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5006 `L2DAT3.quad_top_right.way158_01.set_top.mem_hi0_way4,
5007 `L2DAT3.quad_top_right.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5008 `L2DAT3.quad_top_right.way158_01.set_top.mem_hi1_way4,
5009
5010 `L2DAT3.quad_top_right.way158_01.set_bot.mem_lo0_way5,
5011 `L2DAT3.quad_top_right.way158_01.set_bot.mem_hi0_way5,
5012 `L2DAT3.quad_top_right.way158_01.set_bot.mem_lo1_way5,
5013 `L2DAT3.quad_top_right.way158_01.set_bot.mem_hi1_way5,
5014
5015 `L2DAT3.quad_top_right.way158_01.set_top.mem_lo0_way5,
5016 `L2DAT3.quad_top_right.way158_01.set_top.mem_hi0_way5,
5017 `L2DAT3.quad_top_right.way158_01.set_top.mem_lo1_way5,
5018 `L2DAT3.quad_top_right.way158_01.set_top.mem_hi1_way5,
5019
5020 `L2DAT3.quad_top_right.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5021 `L2DAT3.quad_top_right.way158_01.set_bot.mem_hi0_way6,
5022 `L2DAT3.quad_top_right.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5023 `L2DAT3.quad_top_right.way158_01.set_bot.mem_hi1_way6,
5024
5025 `L2DAT3.quad_top_right.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5026 `L2DAT3.quad_top_right.way158_01.set_top.mem_hi0_way6,
5027 `L2DAT3.quad_top_right.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5028 `L2DAT3.quad_top_right.way158_01.set_top.mem_hi1_way6,
5029
5030 `L2DAT3.quad_top_right.way158_01.set_bot.mem_lo0_way7,
5031 `L2DAT3.quad_top_right.way158_01.set_bot.mem_hi0_way7,
5032 `L2DAT3.quad_top_right.way158_01.set_bot.mem_lo1_way7,
5033 `L2DAT3.quad_top_right.way158_01.set_bot.mem_hi1_way7,
5034
5035 `L2DAT3.quad_top_right.way158_01.set_top.mem_lo0_way7,
5036 `L2DAT3.quad_top_right.way158_01.set_top.mem_hi0_way7,
5037 `L2DAT3.quad_top_right.way158_01.set_top.mem_lo1_way7,
5038 `L2DAT3.quad_top_right.way158_01.set_top.mem_hi1_way7, //512
5039 //bottom part
5040 //bank 0 data
5041 //way [7:0]
5042 //[8] = 0 [255-0]
5043 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_lo0_way0 , //arg 313
5044 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_hi0_way0,
5045 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5046 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_hi1_way0,
5047 //index [511-256]
5048 `L2DAT3.quad_bot_left.way07_00.set_top.mem_lo0_way0 , //arg 281
5049 `L2DAT3.quad_bot_left.way07_00.set_top.mem_hi0_way0,
5050 `L2DAT3.quad_bot_left.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5051 `L2DAT3.quad_bot_left.way07_00.set_top.mem_hi1_way0,
5052
5053 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_lo0_way1,
5054 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_hi0_way1,
5055 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_lo1_way1,
5056 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_hi1_way1,
5057
5058 `L2DAT3.quad_bot_left.way07_00.set_top.mem_lo0_way1,
5059 `L2DAT3.quad_bot_left.way07_00.set_top.mem_hi0_way1,
5060 `L2DAT3.quad_bot_left.way07_00.set_top.mem_lo1_way1,
5061 `L2DAT3.quad_bot_left.way07_00.set_top.mem_hi1_way1,
5062
5063 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5064 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_hi0_way2,
5065 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5066 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_hi1_way2,
5067
5068 `L2DAT3.quad_bot_left.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5069 `L2DAT3.quad_bot_left.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
5070 `L2DAT3.quad_bot_left.way07_00.set_top.mem_lo1_way2,
5071 `L2DAT3.quad_bot_left.way07_00.set_top.mem_hi1_way2,
5072
5073 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_lo0_way3,
5074 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_hi0_way3,
5075 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_lo1_way3,
5076 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_hi1_way3,
5077
5078 `L2DAT3.quad_bot_left.way07_00.set_top.mem_lo0_way3,
5079 `L2DAT3.quad_bot_left.way07_00.set_top.mem_hi0_way3,
5080 `L2DAT3.quad_bot_left.way07_00.set_top.mem_lo1_way3,
5081 `L2DAT3.quad_bot_left.way07_00.set_top.mem_hi1_way3,
5082
5083 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5084 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_hi0_way4,
5085 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5086 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_hi1_way4,
5087
5088 `L2DAT3.quad_bot_left.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5089 `L2DAT3.quad_bot_left.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
5090 `L2DAT3.quad_bot_left.way07_00.set_top.mem_lo1_way4,
5091 `L2DAT3.quad_bot_left.way07_00.set_top.mem_hi1_way4,
5092
5093 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_lo0_way5,
5094 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_hi0_way5,
5095 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_lo1_way5,
5096 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_hi1_way5,
5097
5098 `L2DAT3.quad_bot_left.way07_00.set_top.mem_lo0_way5,
5099 `L2DAT3.quad_bot_left.way07_00.set_top.mem_hi0_way5,
5100 `L2DAT3.quad_bot_left.way07_00.set_top.mem_lo1_way5,
5101 `L2DAT3.quad_bot_left.way07_00.set_top.mem_hi1_way5,
5102
5103 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5104 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_hi0_way6,
5105 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5106 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_hi1_way6,
5107
5108 `L2DAT3.quad_bot_left.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5109 `L2DAT3.quad_bot_left.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
5110 `L2DAT3.quad_bot_left.way07_00.set_top.mem_lo1_way6,
5111 `L2DAT3.quad_bot_left.way07_00.set_top.mem_hi1_way6,
5112
5113 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_lo0_way7,
5114 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_hi0_way7,
5115 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_lo1_way7,
5116 `L2DAT3.quad_bot_left.way07_00.set_bot.mem_hi1_way7,
5117
5118 `L2DAT3.quad_bot_left.way07_00.set_top.mem_lo0_way7,
5119 `L2DAT3.quad_bot_left.way07_00.set_top.mem_hi0_way7,
5120 `L2DAT3.quad_bot_left.way07_00.set_top.mem_lo1_way7,
5121 `L2DAT3.quad_bot_left.way07_00.set_top.mem_hi1_way7, //toatl arg 64
5122
5123 //way158_00 top way [15:8]
5124 //index[255:0]
5125
5126 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_lo0_way0 , //arg 441
5127 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_hi0_way0,
5128 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5129 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_hi1_way0,
5130 //index[511:256]
5131 `L2DAT3.quad_bot_left.way158_00.set_top.mem_lo0_way0 , //arg 409
5132 `L2DAT3.quad_bot_left.way158_00.set_top.mem_hi0_way0,
5133 `L2DAT3.quad_bot_left.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5134 `L2DAT3.quad_bot_left.way158_00.set_top.mem_hi1_way0,
5135
5136 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_lo0_way1,
5137 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_hi0_way1,
5138 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_lo1_way1,
5139 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_hi1_way1,
5140
5141 `L2DAT3.quad_bot_left.way158_00.set_top.mem_lo0_way1,
5142 `L2DAT3.quad_bot_left.way158_00.set_top.mem_hi0_way1,
5143 `L2DAT3.quad_bot_left.way158_00.set_top.mem_lo1_way1,
5144 `L2DAT3.quad_bot_left.way158_00.set_top.mem_hi1_way1,
5145
5146 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5147 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_hi0_way2,
5148 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5149 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_hi1_way2,
5150
5151 `L2DAT3.quad_bot_left.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5152 `L2DAT3.quad_bot_left.way158_00.set_top.mem_hi0_way2,
5153 `L2DAT3.quad_bot_left.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5154 `L2DAT3.quad_bot_left.way158_00.set_top.mem_hi1_way2,
5155
5156 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_lo0_way3,
5157 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_hi0_way3,
5158 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_lo1_way3,
5159 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_hi1_way3,
5160
5161 `L2DAT3.quad_bot_left.way158_00.set_top.mem_lo0_way3,
5162 `L2DAT3.quad_bot_left.way158_00.set_top.mem_hi0_way3,
5163 `L2DAT3.quad_bot_left.way158_00.set_top.mem_lo1_way3,
5164 `L2DAT3.quad_bot_left.way158_00.set_top.mem_hi1_way3,
5165
5166 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5167 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_hi0_way4,
5168 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5169 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_hi1_way4,
5170
5171 `L2DAT3.quad_bot_left.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5172 `L2DAT3.quad_bot_left.way158_00.set_top.mem_hi0_way4,
5173 `L2DAT3.quad_bot_left.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5174 `L2DAT3.quad_bot_left.way158_00.set_top.mem_hi1_way4,
5175
5176 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_lo0_way5,
5177 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_hi0_way5,
5178 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_lo1_way5,
5179 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_hi1_way5,
5180
5181 `L2DAT3.quad_bot_left.way158_00.set_top.mem_lo0_way5,
5182 `L2DAT3.quad_bot_left.way158_00.set_top.mem_hi0_way5,
5183 `L2DAT3.quad_bot_left.way158_00.set_top.mem_lo1_way5,
5184 `L2DAT3.quad_bot_left.way158_00.set_top.mem_hi1_way5,
5185
5186 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5187 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_hi0_way6,
5188 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5189 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_hi1_way6,
5190
5191 `L2DAT3.quad_bot_left.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5192 `L2DAT3.quad_bot_left.way158_00.set_top.mem_hi0_way6,
5193 `L2DAT3.quad_bot_left.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5194 `L2DAT3.quad_bot_left.way158_00.set_top.mem_hi1_way6,
5195
5196 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_lo0_way7,
5197 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_hi0_way7,
5198 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_lo1_way7,
5199 `L2DAT3.quad_bot_left.way158_00.set_bot.mem_hi1_way7,
5200
5201 `L2DAT3.quad_bot_left.way158_00.set_top.mem_lo0_way7,
5202 `L2DAT3.quad_bot_left.way158_00.set_top.mem_hi0_way7,
5203 `L2DAT3.quad_bot_left.way158_00.set_top.mem_lo1_way7,
5204 `L2DAT3.quad_bot_left.way158_00.set_top.mem_hi1_way7, //128
5205
5206 //way70_01 bottom
5207 //bottom
5208 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_lo0_way0 , //[623:604]
5209 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_hi0_way0,
5210 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5211 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_hi1_way0,
5212
5213 `L2DAT3.quad_bot_left.way70_01.set_top.mem_lo0_way0 , //arg 345
5214 `L2DAT3.quad_bot_left.way70_01.set_top.mem_hi0_way0,
5215 `L2DAT3.quad_bot_left.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5216 `L2DAT3.quad_bot_left.way70_01.set_top.mem_hi1_way0,
5217
5218 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_lo0_way1,
5219 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_hi0_way1,
5220 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_lo1_way1,
5221 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_hi1_way1,
5222
5223 `L2DAT3.quad_bot_left.way70_01.set_top.mem_lo0_way1,
5224 `L2DAT3.quad_bot_left.way70_01.set_top.mem_hi0_way1,
5225 `L2DAT3.quad_bot_left.way70_01.set_top.mem_lo1_way1,
5226 `L2DAT3.quad_bot_left.way70_01.set_top.mem_hi1_way1,
5227
5228 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5229 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_hi0_way2,
5230 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5231 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_hi1_way2,
5232
5233 `L2DAT3.quad_bot_left.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5234 `L2DAT3.quad_bot_left.way70_01.set_top.mem_hi0_way2,
5235 `L2DAT3.quad_bot_left.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5236 `L2DAT3.quad_bot_left.way70_01.set_top.mem_hi1_way2,
5237
5238 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_lo0_way3,
5239 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_hi0_way3,
5240 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_lo1_way3,
5241 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_hi1_way3,
5242
5243 `L2DAT3.quad_bot_left.way70_01.set_top.mem_lo0_way3,
5244 `L2DAT3.quad_bot_left.way70_01.set_top.mem_hi0_way3,
5245 `L2DAT3.quad_bot_left.way70_01.set_top.mem_lo1_way3,
5246 `L2DAT3.quad_bot_left.way70_01.set_top.mem_hi1_way3,
5247
5248 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5249 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_hi0_way4,
5250 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5251 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_hi1_way4,
5252
5253 `L2DAT3.quad_bot_left.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5254 `L2DAT3.quad_bot_left.way70_01.set_top.mem_hi0_way4,
5255 `L2DAT3.quad_bot_left.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5256 `L2DAT3.quad_bot_left.way70_01.set_top.mem_hi1_way4,
5257
5258 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_lo0_way5,
5259 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_hi0_way5,
5260 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_lo1_way5,
5261 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_hi1_way5,
5262
5263 `L2DAT3.quad_bot_left.way70_01.set_top.mem_lo0_way5,
5264 `L2DAT3.quad_bot_left.way70_01.set_top.mem_hi0_way5 ,
5265 `L2DAT3.quad_bot_left.way70_01.set_top.mem_lo1_way5,
5266 `L2DAT3.quad_bot_left.way70_01.set_top.mem_hi1_way5,
5267
5268 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5269 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_hi0_way6,
5270 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5271 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_hi1_way6,
5272
5273 `L2DAT3.quad_bot_left.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5274 `L2DAT3.quad_bot_left.way70_01.set_top.mem_hi0_way6,
5275 `L2DAT3.quad_bot_left.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5276 `L2DAT3.quad_bot_left.way70_01.set_top.mem_hi1_way6,
5277
5278 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_lo0_way7,
5279 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_hi0_way7 ,
5280 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_lo1_way7,
5281 `L2DAT3.quad_bot_left.way70_01.set_bot.mem_hi1_way7,
5282
5283 `L2DAT3.quad_bot_left.way70_01.set_top.mem_lo0_way7,
5284 `L2DAT3.quad_bot_left.way70_01.set_top.mem_hi0_way7 ,
5285 `L2DAT3.quad_bot_left.way70_01.set_top.mem_lo1_way7,
5286 `L2DAT3.quad_bot_left.way70_01.set_top.mem_hi1_way7, //192
5287
5288 //way [15:8]
5289 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_lo0_way0 , //arg 505
5290 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_hi0_way0,
5291 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5292 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_hi1_way0,
5293 //index [8]
5294 `L2DAT3.quad_bot_left.way158_01.set_top.mem_lo0_way0 , //arg 473
5295 `L2DAT3.quad_bot_left.way158_01.set_top.mem_hi0_way0,
5296 `L2DAT3.quad_bot_left.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5297 `L2DAT3.quad_bot_left.way158_01.set_top.mem_hi1_way0,
5298
5299 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_lo0_way1,
5300 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_hi0_way1,
5301 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_lo1_way1,
5302 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_hi1_way1,
5303
5304 `L2DAT3.quad_bot_left.way158_01.set_top.mem_lo0_way1,
5305 `L2DAT3.quad_bot_left.way158_01.set_top.mem_hi0_way1,
5306 `L2DAT3.quad_bot_left.way158_01.set_top.mem_lo1_way1,
5307 `L2DAT3.quad_bot_left.way158_01.set_top.mem_hi1_way1,
5308
5309 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5310 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_hi0_way2,
5311 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5312 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_hi1_way2,
5313
5314 `L2DAT3.quad_bot_left.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5315 `L2DAT3.quad_bot_left.way158_01.set_top.mem_hi0_way2,
5316 `L2DAT3.quad_bot_left.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5317 `L2DAT3.quad_bot_left.way158_01.set_top.mem_hi1_way2,
5318
5319 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_lo0_way3,
5320 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_hi0_way3,
5321 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_lo1_way3,
5322 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_hi1_way3,
5323
5324 `L2DAT3.quad_bot_left.way158_01.set_top.mem_lo0_way3,
5325 `L2DAT3.quad_bot_left.way158_01.set_top.mem_hi0_way3,
5326 `L2DAT3.quad_bot_left.way158_01.set_top.mem_lo1_way3,
5327 `L2DAT3.quad_bot_left.way158_01.set_top.mem_hi1_way3,
5328
5329 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5330 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_hi0_way4,
5331 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5332 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_hi1_way4,
5333
5334 `L2DAT3.quad_bot_left.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5335 `L2DAT3.quad_bot_left.way158_01.set_top.mem_hi0_way4,
5336 `L2DAT3.quad_bot_left.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5337 `L2DAT3.quad_bot_left.way158_01.set_top.mem_hi1_way4,
5338
5339 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_lo0_way5,
5340 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_hi0_way5,
5341 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_lo1_way5,
5342 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_hi1_way5,
5343
5344 `L2DAT3.quad_bot_left.way158_01.set_top.mem_lo0_way5,
5345 `L2DAT3.quad_bot_left.way158_01.set_top.mem_hi0_way5,
5346 `L2DAT3.quad_bot_left.way158_01.set_top.mem_lo1_way5,
5347 `L2DAT3.quad_bot_left.way158_01.set_top.mem_hi1_way5,
5348
5349 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5350 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_hi0_way6,
5351 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5352 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_hi1_way6,
5353
5354 `L2DAT3.quad_bot_left.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5355 `L2DAT3.quad_bot_left.way158_01.set_top.mem_hi0_way6,
5356 `L2DAT3.quad_bot_left.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5357 `L2DAT3.quad_bot_left.way158_01.set_top.mem_hi1_way6,
5358
5359 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_lo0_way7,
5360 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_hi0_way7,
5361 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_lo1_way7,
5362 `L2DAT3.quad_bot_left.way158_01.set_bot.mem_hi1_way7,
5363
5364 `L2DAT3.quad_bot_left.way158_01.set_top.mem_lo0_way7,
5365 `L2DAT3.quad_bot_left.way158_01.set_top.mem_hi0_way7,
5366 `L2DAT3.quad_bot_left.way158_01.set_top.mem_lo1_way7,
5367 `L2DAT3.quad_bot_left.way158_01.set_top.mem_hi1_way7, //256
5368 //right handside
5369 //bank 0 data
5370 //way [7:0]
5371 //[8] = 0 [255-0]
5372 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_lo0_way0 , //arg 313
5373 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_hi0_way0,
5374 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5375 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_hi1_way0,
5376 //index [511-256]
5377 `L2DAT3.quad_bot_right.way07_00.set_top.mem_lo0_way0 , //arg 281
5378 `L2DAT3.quad_bot_right.way07_00.set_top.mem_hi0_way0,
5379 `L2DAT3.quad_bot_right.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5380 `L2DAT3.quad_bot_right.way07_00.set_top.mem_hi1_way0,
5381
5382 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_lo0_way1,
5383 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_hi0_way1,
5384 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_lo1_way1,
5385 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_hi1_way1,
5386
5387 `L2DAT3.quad_bot_right.way07_00.set_top.mem_lo0_way1,
5388 `L2DAT3.quad_bot_right.way07_00.set_top.mem_hi0_way1,
5389 `L2DAT3.quad_bot_right.way07_00.set_top.mem_lo1_way1,
5390 `L2DAT3.quad_bot_right.way07_00.set_top.mem_hi1_way1,
5391
5392 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5393 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_hi0_way2,
5394 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5395 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_hi1_way2,
5396
5397 `L2DAT3.quad_bot_right.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5398 `L2DAT3.quad_bot_right.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
5399 `L2DAT3.quad_bot_right.way07_00.set_top.mem_lo1_way2,
5400 `L2DAT3.quad_bot_right.way07_00.set_top.mem_hi1_way2,
5401
5402 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_lo0_way3,
5403 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_hi0_way3,
5404 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_lo1_way3,
5405 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_hi1_way3,
5406
5407 `L2DAT3.quad_bot_right.way07_00.set_top.mem_lo0_way3,
5408 `L2DAT3.quad_bot_right.way07_00.set_top.mem_hi0_way3,
5409 `L2DAT3.quad_bot_right.way07_00.set_top.mem_lo1_way3,
5410 `L2DAT3.quad_bot_right.way07_00.set_top.mem_hi1_way3,
5411
5412 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5413 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_hi0_way4,
5414 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5415 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_hi1_way4,
5416
5417 `L2DAT3.quad_bot_right.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5418 `L2DAT3.quad_bot_right.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
5419 `L2DAT3.quad_bot_right.way07_00.set_top.mem_lo1_way4,
5420 `L2DAT3.quad_bot_right.way07_00.set_top.mem_hi1_way4,
5421
5422 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_lo0_way5,
5423 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_hi0_way5,
5424 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_lo1_way5,
5425 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_hi1_way5,
5426
5427 `L2DAT3.quad_bot_right.way07_00.set_top.mem_lo0_way5,
5428 `L2DAT3.quad_bot_right.way07_00.set_top.mem_hi0_way5,
5429 `L2DAT3.quad_bot_right.way07_00.set_top.mem_lo1_way5,
5430 `L2DAT3.quad_bot_right.way07_00.set_top.mem_hi1_way5,
5431
5432 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5433 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_hi0_way6,
5434 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5435 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_hi1_way6,
5436
5437 `L2DAT3.quad_bot_right.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5438 `L2DAT3.quad_bot_right.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
5439 `L2DAT3.quad_bot_right.way07_00.set_top.mem_lo1_way6,
5440 `L2DAT3.quad_bot_right.way07_00.set_top.mem_hi1_way6,
5441
5442 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_lo0_way7,
5443 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_hi0_way7,
5444 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_lo1_way7,
5445 `L2DAT3.quad_bot_right.way07_00.set_bot.mem_hi1_way7,
5446
5447 `L2DAT3.quad_bot_right.way07_00.set_top.mem_lo0_way7,
5448 `L2DAT3.quad_bot_right.way07_00.set_top.mem_hi0_way7,
5449 `L2DAT3.quad_bot_right.way07_00.set_top.mem_lo1_way7,
5450 `L2DAT3.quad_bot_right.way07_00.set_top.mem_hi1_way7, //toatl arg 64
5451
5452 //way158_00 top way [15:8]
5453 //index[255:0]
5454
5455 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_lo0_way0 , //arg 441
5456 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_hi0_way0,
5457 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5458 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_hi1_way0,
5459 //index[511:256]
5460 `L2DAT3.quad_bot_right.way158_00.set_top.mem_lo0_way0 , //arg 409
5461 `L2DAT3.quad_bot_right.way158_00.set_top.mem_hi0_way0,
5462 `L2DAT3.quad_bot_right.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5463 `L2DAT3.quad_bot_right.way158_00.set_top.mem_hi1_way0,
5464
5465 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_lo0_way1,
5466 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_hi0_way1,
5467 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_lo1_way1,
5468 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_hi1_way1,
5469
5470 `L2DAT3.quad_bot_right.way158_00.set_top.mem_lo0_way1,
5471 `L2DAT3.quad_bot_right.way158_00.set_top.mem_hi0_way1,
5472 `L2DAT3.quad_bot_right.way158_00.set_top.mem_lo1_way1,
5473 `L2DAT3.quad_bot_right.way158_00.set_top.mem_hi1_way1,
5474
5475 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5476 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_hi0_way2,
5477 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5478 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_hi1_way2,
5479
5480 `L2DAT3.quad_bot_right.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5481 `L2DAT3.quad_bot_right.way158_00.set_top.mem_hi0_way2,
5482 `L2DAT3.quad_bot_right.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5483 `L2DAT3.quad_bot_right.way158_00.set_top.mem_hi1_way2,
5484
5485 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_lo0_way3,
5486 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_hi0_way3,
5487 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_lo1_way3,
5488 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_hi1_way3,
5489
5490 `L2DAT3.quad_bot_right.way158_00.set_top.mem_lo0_way3,
5491 `L2DAT3.quad_bot_right.way158_00.set_top.mem_hi0_way3,
5492 `L2DAT3.quad_bot_right.way158_00.set_top.mem_lo1_way3,
5493 `L2DAT3.quad_bot_right.way158_00.set_top.mem_hi1_way3,
5494
5495 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5496 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_hi0_way4,
5497 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5498 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_hi1_way4,
5499
5500 `L2DAT3.quad_bot_right.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5501 `L2DAT3.quad_bot_right.way158_00.set_top.mem_hi0_way4,
5502 `L2DAT3.quad_bot_right.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5503 `L2DAT3.quad_bot_right.way158_00.set_top.mem_hi1_way4,
5504
5505 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_lo0_way5,
5506 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_hi0_way5,
5507 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_lo1_way5,
5508 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_hi1_way5,
5509
5510 `L2DAT3.quad_bot_right.way158_00.set_top.mem_lo0_way5,
5511 `L2DAT3.quad_bot_right.way158_00.set_top.mem_hi0_way5,
5512 `L2DAT3.quad_bot_right.way158_00.set_top.mem_lo1_way5,
5513 `L2DAT3.quad_bot_right.way158_00.set_top.mem_hi1_way5,
5514
5515 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5516 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_hi0_way6,
5517 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5518 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_hi1_way6,
5519
5520 `L2DAT3.quad_bot_right.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5521 `L2DAT3.quad_bot_right.way158_00.set_top.mem_hi0_way6,
5522 `L2DAT3.quad_bot_right.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5523 `L2DAT3.quad_bot_right.way158_00.set_top.mem_hi1_way6,
5524
5525 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_lo0_way7,
5526 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_hi0_way7,
5527 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_lo1_way7,
5528 `L2DAT3.quad_bot_right.way158_00.set_bot.mem_hi1_way7,
5529
5530 `L2DAT3.quad_bot_right.way158_00.set_top.mem_lo0_way7,
5531 `L2DAT3.quad_bot_right.way158_00.set_top.mem_hi0_way7,
5532 `L2DAT3.quad_bot_right.way158_00.set_top.mem_lo1_way7,
5533 `L2DAT3.quad_bot_right.way158_00.set_top.mem_hi1_way7, //128
5534
5535 //way70_01 bottom
5536 //bottom
5537 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_lo0_way0 , //[623:604]
5538 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_hi0_way0,
5539 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5540 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_hi1_way0,
5541
5542 `L2DAT3.quad_bot_right.way70_01.set_top.mem_lo0_way0 , //arg 345
5543 `L2DAT3.quad_bot_right.way70_01.set_top.mem_hi0_way0,
5544 `L2DAT3.quad_bot_right.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5545 `L2DAT3.quad_bot_right.way70_01.set_top.mem_hi1_way0,
5546
5547 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_lo0_way1,
5548 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_hi0_way1,
5549 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_lo1_way1,
5550 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_hi1_way1,
5551
5552 `L2DAT3.quad_bot_right.way70_01.set_top.mem_lo0_way1,
5553 `L2DAT3.quad_bot_right.way70_01.set_top.mem_hi0_way1,
5554 `L2DAT3.quad_bot_right.way70_01.set_top.mem_lo1_way1,
5555 `L2DAT3.quad_bot_right.way70_01.set_top.mem_hi1_way1,
5556
5557 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5558 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_hi0_way2,
5559 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5560 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_hi1_way2,
5561
5562 `L2DAT3.quad_bot_right.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5563 `L2DAT3.quad_bot_right.way70_01.set_top.mem_hi0_way2,
5564 `L2DAT3.quad_bot_right.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5565 `L2DAT3.quad_bot_right.way70_01.set_top.mem_hi1_way2,
5566
5567 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_lo0_way3,
5568 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_hi0_way3,
5569 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_lo1_way3,
5570 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_hi1_way3,
5571
5572 `L2DAT3.quad_bot_right.way70_01.set_top.mem_lo0_way3,
5573 `L2DAT3.quad_bot_right.way70_01.set_top.mem_hi0_way3,
5574 `L2DAT3.quad_bot_right.way70_01.set_top.mem_lo1_way3,
5575 `L2DAT3.quad_bot_right.way70_01.set_top.mem_hi1_way3,
5576
5577 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5578 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_hi0_way4,
5579 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5580 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_hi1_way4,
5581
5582 `L2DAT3.quad_bot_right.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5583 `L2DAT3.quad_bot_right.way70_01.set_top.mem_hi0_way4,
5584 `L2DAT3.quad_bot_right.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5585 `L2DAT3.quad_bot_right.way70_01.set_top.mem_hi1_way4,
5586
5587 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_lo0_way5,
5588 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_hi0_way5,
5589 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_lo1_way5,
5590 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_hi1_way5,
5591
5592 `L2DAT3.quad_bot_right.way70_01.set_top.mem_lo0_way5,
5593 `L2DAT3.quad_bot_right.way70_01.set_top.mem_hi0_way5 ,
5594 `L2DAT3.quad_bot_right.way70_01.set_top.mem_lo1_way5,
5595 `L2DAT3.quad_bot_right.way70_01.set_top.mem_hi1_way5,
5596
5597 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5598 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_hi0_way6,
5599 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5600 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_hi1_way6,
5601
5602 `L2DAT3.quad_bot_right.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5603 `L2DAT3.quad_bot_right.way70_01.set_top.mem_hi0_way6,
5604 `L2DAT3.quad_bot_right.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5605 `L2DAT3.quad_bot_right.way70_01.set_top.mem_hi1_way6,
5606
5607 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_lo0_way7,
5608 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_hi0_way7 ,
5609 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_lo1_way7,
5610 `L2DAT3.quad_bot_right.way70_01.set_bot.mem_hi1_way7,
5611
5612 `L2DAT3.quad_bot_right.way70_01.set_top.mem_lo0_way7,
5613 `L2DAT3.quad_bot_right.way70_01.set_top.mem_hi0_way7 ,
5614 `L2DAT3.quad_bot_right.way70_01.set_top.mem_lo1_way7,
5615 `L2DAT3.quad_bot_right.way70_01.set_top.mem_hi1_way7, //192
5616
5617 //way [15:8]
5618 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_lo0_way0 , //arg 505
5619 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_hi0_way0,
5620 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5621 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_hi1_way0,
5622 //index [8]
5623 `L2DAT3.quad_bot_right.way158_01.set_top.mem_lo0_way0 , //arg 473
5624 `L2DAT3.quad_bot_right.way158_01.set_top.mem_hi0_way0,
5625 `L2DAT3.quad_bot_right.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5626 `L2DAT3.quad_bot_right.way158_01.set_top.mem_hi1_way0,
5627
5628 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_lo0_way1,
5629 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_hi0_way1,
5630 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_lo1_way1,
5631 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_hi1_way1,
5632
5633 `L2DAT3.quad_bot_right.way158_01.set_top.mem_lo0_way1,
5634 `L2DAT3.quad_bot_right.way158_01.set_top.mem_hi0_way1,
5635 `L2DAT3.quad_bot_right.way158_01.set_top.mem_lo1_way1,
5636 `L2DAT3.quad_bot_right.way158_01.set_top.mem_hi1_way1,
5637
5638 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5639 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_hi0_way2,
5640 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5641 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_hi1_way2,
5642
5643 `L2DAT3.quad_bot_right.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5644 `L2DAT3.quad_bot_right.way158_01.set_top.mem_hi0_way2,
5645 `L2DAT3.quad_bot_right.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5646 `L2DAT3.quad_bot_right.way158_01.set_top.mem_hi1_way2,
5647
5648 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_lo0_way3,
5649 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_hi0_way3,
5650 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_lo1_way3,
5651 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_hi1_way3,
5652
5653 `L2DAT3.quad_bot_right.way158_01.set_top.mem_lo0_way3,
5654 `L2DAT3.quad_bot_right.way158_01.set_top.mem_hi0_way3,
5655 `L2DAT3.quad_bot_right.way158_01.set_top.mem_lo1_way3,
5656 `L2DAT3.quad_bot_right.way158_01.set_top.mem_hi1_way3,
5657
5658 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5659 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_hi0_way4,
5660 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5661 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_hi1_way4,
5662
5663 `L2DAT3.quad_bot_right.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5664 `L2DAT3.quad_bot_right.way158_01.set_top.mem_hi0_way4,
5665 `L2DAT3.quad_bot_right.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5666 `L2DAT3.quad_bot_right.way158_01.set_top.mem_hi1_way4,
5667
5668 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_lo0_way5,
5669 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_hi0_way5,
5670 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_lo1_way5,
5671 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_hi1_way5,
5672
5673 `L2DAT3.quad_bot_right.way158_01.set_top.mem_lo0_way5,
5674 `L2DAT3.quad_bot_right.way158_01.set_top.mem_hi0_way5,
5675 `L2DAT3.quad_bot_right.way158_01.set_top.mem_lo1_way5,
5676 `L2DAT3.quad_bot_right.way158_01.set_top.mem_hi1_way5,
5677
5678 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5679 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_hi0_way6,
5680 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5681 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_hi1_way6,
5682
5683 `L2DAT3.quad_bot_right.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5684 `L2DAT3.quad_bot_right.way158_01.set_top.mem_hi0_way6,
5685 `L2DAT3.quad_bot_right.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5686 `L2DAT3.quad_bot_right.way158_01.set_top.mem_hi1_way6,
5687
5688 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_lo0_way7,
5689 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_hi0_way7,
5690 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_lo1_way7,
5691 `L2DAT3.quad_bot_right.way158_01.set_bot.mem_hi1_way7,
5692
5693 `L2DAT3.quad_bot_right.way158_01.set_top.mem_lo0_way7,
5694 `L2DAT3.quad_bot_right.way158_01.set_top.mem_hi0_way7,
5695 `L2DAT3.quad_bot_right.way158_01.set_top.mem_lo1_way7,
5696 `L2DAT3.quad_bot_right.way158_01.set_top.mem_hi1_way7, //512
5697//Bank 4 define
5698 `L2TAG4.tag.quad0.bank0.l2t_array.mem_lft, //1 reg [`L2T_ARR_D_WIDTH + 1:0] way0[`L2T_ARR_DEPTH - 1 :0];
5699 `L2TAG4.tag.quad0.bank0.l2t_array.mem_rgt, //`define L2T_ARR_D_WIDTH 28 `define L2T_ARR_DEPTH 2
5700
5701 `L2TAG4.tag.quad0.bank1.l2t_array.mem_lft,
5702 `L2TAG4.tag.quad0.bank1.l2t_array.mem_rgt,
5703
5704 `L2TAG4.tag.quad1.bank0.l2t_array.mem_lft,
5705 `L2TAG4.tag.quad1.bank0.l2t_array.mem_rgt,
5706
5707 `L2TAG4.tag.quad1.bank1.l2t_array.mem_lft,
5708 `L2TAG4.tag.quad1.bank1.l2t_array.mem_rgt,
5709
5710 `L2TAG4.tag.quad2.bank0.l2t_array.mem_lft,
5711 `L2TAG4.tag.quad2.bank0.l2t_array.mem_rgt,
5712
5713 `L2TAG4.tag.quad2.bank1.l2t_array.mem_lft,
5714 `L2TAG4.tag.quad2.bank1.l2t_array.mem_rgt,
5715
5716 `L2TAG4.tag.quad3.bank0.l2t_array.mem_lft,
5717 `L2TAG4.tag.quad3.bank0.l2t_array.mem_rgt,
5718
5719 `L2TAG4.tag.quad3.bank1.l2t_array.mem_lft,
5720 `L2TAG4.tag.quad3.bank1.l2t_array.mem_rgt, //16
5721
5722 `L2TAG4.subarray_0.array.inq_ary, // arg 17: reg [159:0] inq_ary [31:0];
5723 `L2TAG4.subarray_1.array.inq_ary,
5724 `L2TAG4.subarray_2.array.inq_ary,
5725 `L2TAG4.subarray_3.array.inq_ary,
5726 `L2TAG4.subarray_8.array.inq_ary,
5727 `L2TAG4.subarray_9.array.inq_ary,
5728 `L2TAG4.subarray_10.array.inq_ary,
5729 `L2TAG4.subarray_11.array.inq_ary, //24
5730
5731 //bank 0 data
5732 //way [7:0]
5733 //[8] = 0 [255-0]
5734 `L2DAT4.quad_top_left.way07_00.set_bot.mem_lo0_way0 , //arg 313
5735 `L2DAT4.quad_top_left.way07_00.set_bot.mem_hi0_way0,
5736 `L2DAT4.quad_top_left.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5737 `L2DAT4.quad_top_left.way07_00.set_bot.mem_hi1_way0,
5738 //index [511-256]
5739 `L2DAT4.quad_top_left.way07_00.set_top.mem_lo0_way0 , //arg 281
5740 `L2DAT4.quad_top_left.way07_00.set_top.mem_hi0_way0,
5741 `L2DAT4.quad_top_left.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5742 `L2DAT4.quad_top_left.way07_00.set_top.mem_hi1_way0,
5743
5744 `L2DAT4.quad_top_left.way07_00.set_bot.mem_lo0_way1,
5745 `L2DAT4.quad_top_left.way07_00.set_bot.mem_hi0_way1,
5746 `L2DAT4.quad_top_left.way07_00.set_bot.mem_lo1_way1,
5747 `L2DAT4.quad_top_left.way07_00.set_bot.mem_hi1_way1,
5748
5749 `L2DAT4.quad_top_left.way07_00.set_top.mem_lo0_way1,
5750 `L2DAT4.quad_top_left.way07_00.set_top.mem_hi0_way1,
5751 `L2DAT4.quad_top_left.way07_00.set_top.mem_lo1_way1,
5752 `L2DAT4.quad_top_left.way07_00.set_top.mem_hi1_way1,
5753
5754 `L2DAT4.quad_top_left.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5755 `L2DAT4.quad_top_left.way07_00.set_bot.mem_hi0_way2,
5756 `L2DAT4.quad_top_left.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5757 `L2DAT4.quad_top_left.way07_00.set_bot.mem_hi1_way2,
5758
5759 `L2DAT4.quad_top_left.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5760 `L2DAT4.quad_top_left.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
5761 `L2DAT4.quad_top_left.way07_00.set_top.mem_lo1_way2,
5762 `L2DAT4.quad_top_left.way07_00.set_top.mem_hi1_way2,
5763
5764 `L2DAT4.quad_top_left.way07_00.set_bot.mem_lo0_way3,
5765 `L2DAT4.quad_top_left.way07_00.set_bot.mem_hi0_way3,
5766 `L2DAT4.quad_top_left.way07_00.set_bot.mem_lo1_way3,
5767 `L2DAT4.quad_top_left.way07_00.set_bot.mem_hi1_way3,
5768
5769 `L2DAT4.quad_top_left.way07_00.set_top.mem_lo0_way3,
5770 `L2DAT4.quad_top_left.way07_00.set_top.mem_hi0_way3,
5771 `L2DAT4.quad_top_left.way07_00.set_top.mem_lo1_way3,
5772 `L2DAT4.quad_top_left.way07_00.set_top.mem_hi1_way3,
5773
5774 `L2DAT4.quad_top_left.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5775 `L2DAT4.quad_top_left.way07_00.set_bot.mem_hi0_way4,
5776 `L2DAT4.quad_top_left.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5777 `L2DAT4.quad_top_left.way07_00.set_bot.mem_hi1_way4,
5778
5779 `L2DAT4.quad_top_left.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5780 `L2DAT4.quad_top_left.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
5781 `L2DAT4.quad_top_left.way07_00.set_top.mem_lo1_way4,
5782 `L2DAT4.quad_top_left.way07_00.set_top.mem_hi1_way4,
5783
5784 `L2DAT4.quad_top_left.way07_00.set_bot.mem_lo0_way5,
5785 `L2DAT4.quad_top_left.way07_00.set_bot.mem_hi0_way5,
5786 `L2DAT4.quad_top_left.way07_00.set_bot.mem_lo1_way5,
5787 `L2DAT4.quad_top_left.way07_00.set_bot.mem_hi1_way5,
5788
5789 `L2DAT4.quad_top_left.way07_00.set_top.mem_lo0_way5,
5790 `L2DAT4.quad_top_left.way07_00.set_top.mem_hi0_way5,
5791 `L2DAT4.quad_top_left.way07_00.set_top.mem_lo1_way5,
5792 `L2DAT4.quad_top_left.way07_00.set_top.mem_hi1_way5,
5793
5794 `L2DAT4.quad_top_left.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5795 `L2DAT4.quad_top_left.way07_00.set_bot.mem_hi0_way6,
5796 `L2DAT4.quad_top_left.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5797 `L2DAT4.quad_top_left.way07_00.set_bot.mem_hi1_way6,
5798
5799 `L2DAT4.quad_top_left.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5800 `L2DAT4.quad_top_left.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
5801 `L2DAT4.quad_top_left.way07_00.set_top.mem_lo1_way6,
5802 `L2DAT4.quad_top_left.way07_00.set_top.mem_hi1_way6,
5803
5804 `L2DAT4.quad_top_left.way07_00.set_bot.mem_lo0_way7,
5805 `L2DAT4.quad_top_left.way07_00.set_bot.mem_hi0_way7,
5806 `L2DAT4.quad_top_left.way07_00.set_bot.mem_lo1_way7,
5807 `L2DAT4.quad_top_left.way07_00.set_bot.mem_hi1_way7,
5808
5809 `L2DAT4.quad_top_left.way07_00.set_top.mem_lo0_way7,
5810 `L2DAT4.quad_top_left.way07_00.set_top.mem_hi0_way7,
5811 `L2DAT4.quad_top_left.way07_00.set_top.mem_lo1_way7,
5812 `L2DAT4.quad_top_left.way07_00.set_top.mem_hi1_way7, //toatl arg 64
5813
5814 //way158_00 top way [15:8]
5815 //index[255:0]
5816
5817 `L2DAT4.quad_top_left.way158_00.set_bot.mem_lo0_way0 , //arg 441
5818 `L2DAT4.quad_top_left.way158_00.set_bot.mem_hi0_way0,
5819 `L2DAT4.quad_top_left.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5820 `L2DAT4.quad_top_left.way158_00.set_bot.mem_hi1_way0,
5821 //index[511:256]
5822 `L2DAT4.quad_top_left.way158_00.set_top.mem_lo0_way0 , //arg 409
5823 `L2DAT4.quad_top_left.way158_00.set_top.mem_hi0_way0,
5824 `L2DAT4.quad_top_left.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5825 `L2DAT4.quad_top_left.way158_00.set_top.mem_hi1_way0,
5826
5827 `L2DAT4.quad_top_left.way158_00.set_bot.mem_lo0_way1,
5828 `L2DAT4.quad_top_left.way158_00.set_bot.mem_hi0_way1,
5829 `L2DAT4.quad_top_left.way158_00.set_bot.mem_lo1_way1,
5830 `L2DAT4.quad_top_left.way158_00.set_bot.mem_hi1_way1,
5831
5832 `L2DAT4.quad_top_left.way158_00.set_top.mem_lo0_way1,
5833 `L2DAT4.quad_top_left.way158_00.set_top.mem_hi0_way1,
5834 `L2DAT4.quad_top_left.way158_00.set_top.mem_lo1_way1,
5835 `L2DAT4.quad_top_left.way158_00.set_top.mem_hi1_way1,
5836
5837 `L2DAT4.quad_top_left.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5838 `L2DAT4.quad_top_left.way158_00.set_bot.mem_hi0_way2,
5839 `L2DAT4.quad_top_left.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5840 `L2DAT4.quad_top_left.way158_00.set_bot.mem_hi1_way2,
5841
5842 `L2DAT4.quad_top_left.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5843 `L2DAT4.quad_top_left.way158_00.set_top.mem_hi0_way2,
5844 `L2DAT4.quad_top_left.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5845 `L2DAT4.quad_top_left.way158_00.set_top.mem_hi1_way2,
5846
5847 `L2DAT4.quad_top_left.way158_00.set_bot.mem_lo0_way3,
5848 `L2DAT4.quad_top_left.way158_00.set_bot.mem_hi0_way3,
5849 `L2DAT4.quad_top_left.way158_00.set_bot.mem_lo1_way3,
5850 `L2DAT4.quad_top_left.way158_00.set_bot.mem_hi1_way3,
5851
5852 `L2DAT4.quad_top_left.way158_00.set_top.mem_lo0_way3,
5853 `L2DAT4.quad_top_left.way158_00.set_top.mem_hi0_way3,
5854 `L2DAT4.quad_top_left.way158_00.set_top.mem_lo1_way3,
5855 `L2DAT4.quad_top_left.way158_00.set_top.mem_hi1_way3,
5856
5857 `L2DAT4.quad_top_left.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5858 `L2DAT4.quad_top_left.way158_00.set_bot.mem_hi0_way4,
5859 `L2DAT4.quad_top_left.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5860 `L2DAT4.quad_top_left.way158_00.set_bot.mem_hi1_way4,
5861
5862 `L2DAT4.quad_top_left.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5863 `L2DAT4.quad_top_left.way158_00.set_top.mem_hi0_way4,
5864 `L2DAT4.quad_top_left.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5865 `L2DAT4.quad_top_left.way158_00.set_top.mem_hi1_way4,
5866
5867 `L2DAT4.quad_top_left.way158_00.set_bot.mem_lo0_way5,
5868 `L2DAT4.quad_top_left.way158_00.set_bot.mem_hi0_way5,
5869 `L2DAT4.quad_top_left.way158_00.set_bot.mem_lo1_way5,
5870 `L2DAT4.quad_top_left.way158_00.set_bot.mem_hi1_way5,
5871
5872 `L2DAT4.quad_top_left.way158_00.set_top.mem_lo0_way5,
5873 `L2DAT4.quad_top_left.way158_00.set_top.mem_hi0_way5,
5874 `L2DAT4.quad_top_left.way158_00.set_top.mem_lo1_way5,
5875 `L2DAT4.quad_top_left.way158_00.set_top.mem_hi1_way5,
5876
5877 `L2DAT4.quad_top_left.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5878 `L2DAT4.quad_top_left.way158_00.set_bot.mem_hi0_way6,
5879 `L2DAT4.quad_top_left.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5880 `L2DAT4.quad_top_left.way158_00.set_bot.mem_hi1_way6,
5881
5882 `L2DAT4.quad_top_left.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5883 `L2DAT4.quad_top_left.way158_00.set_top.mem_hi0_way6,
5884 `L2DAT4.quad_top_left.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5885 `L2DAT4.quad_top_left.way158_00.set_top.mem_hi1_way6,
5886
5887 `L2DAT4.quad_top_left.way158_00.set_bot.mem_lo0_way7,
5888 `L2DAT4.quad_top_left.way158_00.set_bot.mem_hi0_way7,
5889 `L2DAT4.quad_top_left.way158_00.set_bot.mem_lo1_way7,
5890 `L2DAT4.quad_top_left.way158_00.set_bot.mem_hi1_way7,
5891
5892 `L2DAT4.quad_top_left.way158_00.set_top.mem_lo0_way7,
5893 `L2DAT4.quad_top_left.way158_00.set_top.mem_hi0_way7,
5894 `L2DAT4.quad_top_left.way158_00.set_top.mem_lo1_way7,
5895 `L2DAT4.quad_top_left.way158_00.set_top.mem_hi1_way7, //128
5896
5897 //way70_01 bottom
5898 //bottom
5899 `L2DAT4.quad_top_left.way70_01.set_bot.mem_lo0_way0 , //[623:604]
5900 `L2DAT4.quad_top_left.way70_01.set_bot.mem_hi0_way0,
5901 `L2DAT4.quad_top_left.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5902 `L2DAT4.quad_top_left.way70_01.set_bot.mem_hi1_way0,
5903
5904 `L2DAT4.quad_top_left.way70_01.set_top.mem_lo0_way0 , //arg 345
5905 `L2DAT4.quad_top_left.way70_01.set_top.mem_hi0_way0,
5906 `L2DAT4.quad_top_left.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5907 `L2DAT4.quad_top_left.way70_01.set_top.mem_hi1_way0,
5908
5909 `L2DAT4.quad_top_left.way70_01.set_bot.mem_lo0_way1,
5910 `L2DAT4.quad_top_left.way70_01.set_bot.mem_hi0_way1,
5911 `L2DAT4.quad_top_left.way70_01.set_bot.mem_lo1_way1,
5912 `L2DAT4.quad_top_left.way70_01.set_bot.mem_hi1_way1,
5913
5914 `L2DAT4.quad_top_left.way70_01.set_top.mem_lo0_way1,
5915 `L2DAT4.quad_top_left.way70_01.set_top.mem_hi0_way1,
5916 `L2DAT4.quad_top_left.way70_01.set_top.mem_lo1_way1,
5917 `L2DAT4.quad_top_left.way70_01.set_top.mem_hi1_way1,
5918
5919 `L2DAT4.quad_top_left.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5920 `L2DAT4.quad_top_left.way70_01.set_bot.mem_hi0_way2,
5921 `L2DAT4.quad_top_left.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5922 `L2DAT4.quad_top_left.way70_01.set_bot.mem_hi1_way2,
5923
5924 `L2DAT4.quad_top_left.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
5925 `L2DAT4.quad_top_left.way70_01.set_top.mem_hi0_way2,
5926 `L2DAT4.quad_top_left.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
5927 `L2DAT4.quad_top_left.way70_01.set_top.mem_hi1_way2,
5928
5929 `L2DAT4.quad_top_left.way70_01.set_bot.mem_lo0_way3,
5930 `L2DAT4.quad_top_left.way70_01.set_bot.mem_hi0_way3,
5931 `L2DAT4.quad_top_left.way70_01.set_bot.mem_lo1_way3,
5932 `L2DAT4.quad_top_left.way70_01.set_bot.mem_hi1_way3,
5933
5934 `L2DAT4.quad_top_left.way70_01.set_top.mem_lo0_way3,
5935 `L2DAT4.quad_top_left.way70_01.set_top.mem_hi0_way3,
5936 `L2DAT4.quad_top_left.way70_01.set_top.mem_lo1_way3,
5937 `L2DAT4.quad_top_left.way70_01.set_top.mem_hi1_way3,
5938
5939 `L2DAT4.quad_top_left.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5940 `L2DAT4.quad_top_left.way70_01.set_bot.mem_hi0_way4,
5941 `L2DAT4.quad_top_left.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5942 `L2DAT4.quad_top_left.way70_01.set_bot.mem_hi1_way4,
5943
5944 `L2DAT4.quad_top_left.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
5945 `L2DAT4.quad_top_left.way70_01.set_top.mem_hi0_way4,
5946 `L2DAT4.quad_top_left.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
5947 `L2DAT4.quad_top_left.way70_01.set_top.mem_hi1_way4,
5948
5949 `L2DAT4.quad_top_left.way70_01.set_bot.mem_lo0_way5,
5950 `L2DAT4.quad_top_left.way70_01.set_bot.mem_hi0_way5,
5951 `L2DAT4.quad_top_left.way70_01.set_bot.mem_lo1_way5,
5952 `L2DAT4.quad_top_left.way70_01.set_bot.mem_hi1_way5,
5953
5954 `L2DAT4.quad_top_left.way70_01.set_top.mem_lo0_way5,
5955 `L2DAT4.quad_top_left.way70_01.set_top.mem_hi0_way5 ,
5956 `L2DAT4.quad_top_left.way70_01.set_top.mem_lo1_way5,
5957 `L2DAT4.quad_top_left.way70_01.set_top.mem_hi1_way5,
5958
5959 `L2DAT4.quad_top_left.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5960 `L2DAT4.quad_top_left.way70_01.set_bot.mem_hi0_way6,
5961 `L2DAT4.quad_top_left.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5962 `L2DAT4.quad_top_left.way70_01.set_bot.mem_hi1_way6,
5963
5964 `L2DAT4.quad_top_left.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
5965 `L2DAT4.quad_top_left.way70_01.set_top.mem_hi0_way6,
5966 `L2DAT4.quad_top_left.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
5967 `L2DAT4.quad_top_left.way70_01.set_top.mem_hi1_way6,
5968
5969 `L2DAT4.quad_top_left.way70_01.set_bot.mem_lo0_way7,
5970 `L2DAT4.quad_top_left.way70_01.set_bot.mem_hi0_way7 ,
5971 `L2DAT4.quad_top_left.way70_01.set_bot.mem_lo1_way7,
5972 `L2DAT4.quad_top_left.way70_01.set_bot.mem_hi1_way7,
5973
5974 `L2DAT4.quad_top_left.way70_01.set_top.mem_lo0_way7,
5975 `L2DAT4.quad_top_left.way70_01.set_top.mem_hi0_way7 ,
5976 `L2DAT4.quad_top_left.way70_01.set_top.mem_lo1_way7,
5977 `L2DAT4.quad_top_left.way70_01.set_top.mem_hi1_way7, //192
5978
5979 //way [15:8]
5980 `L2DAT4.quad_top_left.way158_01.set_bot.mem_lo0_way0 , //arg 505
5981 `L2DAT4.quad_top_left.way158_01.set_bot.mem_hi0_way0,
5982 `L2DAT4.quad_top_left.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5983 `L2DAT4.quad_top_left.way158_01.set_bot.mem_hi1_way0,
5984 //index [8]
5985 `L2DAT4.quad_top_left.way158_01.set_top.mem_lo0_way0 , //arg 473
5986 `L2DAT4.quad_top_left.way158_01.set_top.mem_hi0_way0,
5987 `L2DAT4.quad_top_left.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
5988 `L2DAT4.quad_top_left.way158_01.set_top.mem_hi1_way0,
5989
5990 `L2DAT4.quad_top_left.way158_01.set_bot.mem_lo0_way1,
5991 `L2DAT4.quad_top_left.way158_01.set_bot.mem_hi0_way1,
5992 `L2DAT4.quad_top_left.way158_01.set_bot.mem_lo1_way1,
5993 `L2DAT4.quad_top_left.way158_01.set_bot.mem_hi1_way1,
5994
5995 `L2DAT4.quad_top_left.way158_01.set_top.mem_lo0_way1,
5996 `L2DAT4.quad_top_left.way158_01.set_top.mem_hi0_way1,
5997 `L2DAT4.quad_top_left.way158_01.set_top.mem_lo1_way1,
5998 `L2DAT4.quad_top_left.way158_01.set_top.mem_hi1_way1,
5999
6000 `L2DAT4.quad_top_left.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6001 `L2DAT4.quad_top_left.way158_01.set_bot.mem_hi0_way2,
6002 `L2DAT4.quad_top_left.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6003 `L2DAT4.quad_top_left.way158_01.set_bot.mem_hi1_way2,
6004
6005 `L2DAT4.quad_top_left.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6006 `L2DAT4.quad_top_left.way158_01.set_top.mem_hi0_way2,
6007 `L2DAT4.quad_top_left.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6008 `L2DAT4.quad_top_left.way158_01.set_top.mem_hi1_way2,
6009
6010 `L2DAT4.quad_top_left.way158_01.set_bot.mem_lo0_way3,
6011 `L2DAT4.quad_top_left.way158_01.set_bot.mem_hi0_way3,
6012 `L2DAT4.quad_top_left.way158_01.set_bot.mem_lo1_way3,
6013 `L2DAT4.quad_top_left.way158_01.set_bot.mem_hi1_way3,
6014
6015 `L2DAT4.quad_top_left.way158_01.set_top.mem_lo0_way3,
6016 `L2DAT4.quad_top_left.way158_01.set_top.mem_hi0_way3,
6017 `L2DAT4.quad_top_left.way158_01.set_top.mem_lo1_way3,
6018 `L2DAT4.quad_top_left.way158_01.set_top.mem_hi1_way3,
6019
6020 `L2DAT4.quad_top_left.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6021 `L2DAT4.quad_top_left.way158_01.set_bot.mem_hi0_way4,
6022 `L2DAT4.quad_top_left.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6023 `L2DAT4.quad_top_left.way158_01.set_bot.mem_hi1_way4,
6024
6025 `L2DAT4.quad_top_left.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6026 `L2DAT4.quad_top_left.way158_01.set_top.mem_hi0_way4,
6027 `L2DAT4.quad_top_left.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6028 `L2DAT4.quad_top_left.way158_01.set_top.mem_hi1_way4,
6029
6030 `L2DAT4.quad_top_left.way158_01.set_bot.mem_lo0_way5,
6031 `L2DAT4.quad_top_left.way158_01.set_bot.mem_hi0_way5,
6032 `L2DAT4.quad_top_left.way158_01.set_bot.mem_lo1_way5,
6033 `L2DAT4.quad_top_left.way158_01.set_bot.mem_hi1_way5,
6034
6035 `L2DAT4.quad_top_left.way158_01.set_top.mem_lo0_way5,
6036 `L2DAT4.quad_top_left.way158_01.set_top.mem_hi0_way5,
6037 `L2DAT4.quad_top_left.way158_01.set_top.mem_lo1_way5,
6038 `L2DAT4.quad_top_left.way158_01.set_top.mem_hi1_way5,
6039
6040 `L2DAT4.quad_top_left.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6041 `L2DAT4.quad_top_left.way158_01.set_bot.mem_hi0_way6,
6042 `L2DAT4.quad_top_left.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6043 `L2DAT4.quad_top_left.way158_01.set_bot.mem_hi1_way6,
6044
6045 `L2DAT4.quad_top_left.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6046 `L2DAT4.quad_top_left.way158_01.set_top.mem_hi0_way6,
6047 `L2DAT4.quad_top_left.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6048 `L2DAT4.quad_top_left.way158_01.set_top.mem_hi1_way6,
6049
6050 `L2DAT4.quad_top_left.way158_01.set_bot.mem_lo0_way7,
6051 `L2DAT4.quad_top_left.way158_01.set_bot.mem_hi0_way7,
6052 `L2DAT4.quad_top_left.way158_01.set_bot.mem_lo1_way7,
6053 `L2DAT4.quad_top_left.way158_01.set_bot.mem_hi1_way7,
6054
6055 `L2DAT4.quad_top_left.way158_01.set_top.mem_lo0_way7,
6056 `L2DAT4.quad_top_left.way158_01.set_top.mem_hi0_way7,
6057 `L2DAT4.quad_top_left.way158_01.set_top.mem_lo1_way7,
6058 `L2DAT4.quad_top_left.way158_01.set_top.mem_hi1_way7, //256
6059 //right handside
6060 //bank 0 data
6061 //way [7:0]
6062 //[8] = 0 [255-0]
6063 `L2DAT4.quad_top_right.way07_00.set_bot.mem_lo0_way0 , //arg 313
6064 `L2DAT4.quad_top_right.way07_00.set_bot.mem_hi0_way0,
6065 `L2DAT4.quad_top_right.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6066 `L2DAT4.quad_top_right.way07_00.set_bot.mem_hi1_way0,
6067 //index [511-256]
6068 `L2DAT4.quad_top_right.way07_00.set_top.mem_lo0_way0 , //arg 281
6069 `L2DAT4.quad_top_right.way07_00.set_top.mem_hi0_way0,
6070 `L2DAT4.quad_top_right.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6071 `L2DAT4.quad_top_right.way07_00.set_top.mem_hi1_way0,
6072
6073 `L2DAT4.quad_top_right.way07_00.set_bot.mem_lo0_way1,
6074 `L2DAT4.quad_top_right.way07_00.set_bot.mem_hi0_way1,
6075 `L2DAT4.quad_top_right.way07_00.set_bot.mem_lo1_way1,
6076 `L2DAT4.quad_top_right.way07_00.set_bot.mem_hi1_way1,
6077
6078 `L2DAT4.quad_top_right.way07_00.set_top.mem_lo0_way1,
6079 `L2DAT4.quad_top_right.way07_00.set_top.mem_hi0_way1,
6080 `L2DAT4.quad_top_right.way07_00.set_top.mem_lo1_way1,
6081 `L2DAT4.quad_top_right.way07_00.set_top.mem_hi1_way1,
6082
6083 `L2DAT4.quad_top_right.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6084 `L2DAT4.quad_top_right.way07_00.set_bot.mem_hi0_way2,
6085 `L2DAT4.quad_top_right.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6086 `L2DAT4.quad_top_right.way07_00.set_bot.mem_hi1_way2,
6087
6088 `L2DAT4.quad_top_right.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6089 `L2DAT4.quad_top_right.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
6090 `L2DAT4.quad_top_right.way07_00.set_top.mem_lo1_way2,
6091 `L2DAT4.quad_top_right.way07_00.set_top.mem_hi1_way2,
6092
6093 `L2DAT4.quad_top_right.way07_00.set_bot.mem_lo0_way3,
6094 `L2DAT4.quad_top_right.way07_00.set_bot.mem_hi0_way3,
6095 `L2DAT4.quad_top_right.way07_00.set_bot.mem_lo1_way3,
6096 `L2DAT4.quad_top_right.way07_00.set_bot.mem_hi1_way3,
6097
6098 `L2DAT4.quad_top_right.way07_00.set_top.mem_lo0_way3,
6099 `L2DAT4.quad_top_right.way07_00.set_top.mem_hi0_way3,
6100 `L2DAT4.quad_top_right.way07_00.set_top.mem_lo1_way3,
6101 `L2DAT4.quad_top_right.way07_00.set_top.mem_hi1_way3,
6102
6103 `L2DAT4.quad_top_right.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6104 `L2DAT4.quad_top_right.way07_00.set_bot.mem_hi0_way4,
6105 `L2DAT4.quad_top_right.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6106 `L2DAT4.quad_top_right.way07_00.set_bot.mem_hi1_way4,
6107
6108 `L2DAT4.quad_top_right.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6109 `L2DAT4.quad_top_right.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
6110 `L2DAT4.quad_top_right.way07_00.set_top.mem_lo1_way4,
6111 `L2DAT4.quad_top_right.way07_00.set_top.mem_hi1_way4,
6112
6113 `L2DAT4.quad_top_right.way07_00.set_bot.mem_lo0_way5,
6114 `L2DAT4.quad_top_right.way07_00.set_bot.mem_hi0_way5,
6115 `L2DAT4.quad_top_right.way07_00.set_bot.mem_lo1_way5,
6116 `L2DAT4.quad_top_right.way07_00.set_bot.mem_hi1_way5,
6117
6118 `L2DAT4.quad_top_right.way07_00.set_top.mem_lo0_way5,
6119 `L2DAT4.quad_top_right.way07_00.set_top.mem_hi0_way5,
6120 `L2DAT4.quad_top_right.way07_00.set_top.mem_lo1_way5,
6121 `L2DAT4.quad_top_right.way07_00.set_top.mem_hi1_way5,
6122
6123 `L2DAT4.quad_top_right.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6124 `L2DAT4.quad_top_right.way07_00.set_bot.mem_hi0_way6,
6125 `L2DAT4.quad_top_right.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6126 `L2DAT4.quad_top_right.way07_00.set_bot.mem_hi1_way6,
6127
6128 `L2DAT4.quad_top_right.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6129 `L2DAT4.quad_top_right.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
6130 `L2DAT4.quad_top_right.way07_00.set_top.mem_lo1_way6,
6131 `L2DAT4.quad_top_right.way07_00.set_top.mem_hi1_way6,
6132
6133 `L2DAT4.quad_top_right.way07_00.set_bot.mem_lo0_way7,
6134 `L2DAT4.quad_top_right.way07_00.set_bot.mem_hi0_way7,
6135 `L2DAT4.quad_top_right.way07_00.set_bot.mem_lo1_way7,
6136 `L2DAT4.quad_top_right.way07_00.set_bot.mem_hi1_way7,
6137
6138 `L2DAT4.quad_top_right.way07_00.set_top.mem_lo0_way7,
6139 `L2DAT4.quad_top_right.way07_00.set_top.mem_hi0_way7,
6140 `L2DAT4.quad_top_right.way07_00.set_top.mem_lo1_way7,
6141 `L2DAT4.quad_top_right.way07_00.set_top.mem_hi1_way7, //toatl arg 64
6142
6143 //way158_00 top way [15:8]
6144 //index[255:0]
6145
6146 `L2DAT4.quad_top_right.way158_00.set_bot.mem_lo0_way0 , //arg 441
6147 `L2DAT4.quad_top_right.way158_00.set_bot.mem_hi0_way0,
6148 `L2DAT4.quad_top_right.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6149 `L2DAT4.quad_top_right.way158_00.set_bot.mem_hi1_way0,
6150 //index[511:256]
6151 `L2DAT4.quad_top_right.way158_00.set_top.mem_lo0_way0 , //arg 409
6152 `L2DAT4.quad_top_right.way158_00.set_top.mem_hi0_way0,
6153 `L2DAT4.quad_top_right.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6154 `L2DAT4.quad_top_right.way158_00.set_top.mem_hi1_way0,
6155
6156 `L2DAT4.quad_top_right.way158_00.set_bot.mem_lo0_way1,
6157 `L2DAT4.quad_top_right.way158_00.set_bot.mem_hi0_way1,
6158 `L2DAT4.quad_top_right.way158_00.set_bot.mem_lo1_way1,
6159 `L2DAT4.quad_top_right.way158_00.set_bot.mem_hi1_way1,
6160
6161 `L2DAT4.quad_top_right.way158_00.set_top.mem_lo0_way1,
6162 `L2DAT4.quad_top_right.way158_00.set_top.mem_hi0_way1,
6163 `L2DAT4.quad_top_right.way158_00.set_top.mem_lo1_way1,
6164 `L2DAT4.quad_top_right.way158_00.set_top.mem_hi1_way1,
6165
6166 `L2DAT4.quad_top_right.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6167 `L2DAT4.quad_top_right.way158_00.set_bot.mem_hi0_way2,
6168 `L2DAT4.quad_top_right.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6169 `L2DAT4.quad_top_right.way158_00.set_bot.mem_hi1_way2,
6170
6171 `L2DAT4.quad_top_right.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6172 `L2DAT4.quad_top_right.way158_00.set_top.mem_hi0_way2,
6173 `L2DAT4.quad_top_right.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6174 `L2DAT4.quad_top_right.way158_00.set_top.mem_hi1_way2,
6175
6176 `L2DAT4.quad_top_right.way158_00.set_bot.mem_lo0_way3,
6177 `L2DAT4.quad_top_right.way158_00.set_bot.mem_hi0_way3,
6178 `L2DAT4.quad_top_right.way158_00.set_bot.mem_lo1_way3,
6179 `L2DAT4.quad_top_right.way158_00.set_bot.mem_hi1_way3,
6180
6181 `L2DAT4.quad_top_right.way158_00.set_top.mem_lo0_way3,
6182 `L2DAT4.quad_top_right.way158_00.set_top.mem_hi0_way3,
6183 `L2DAT4.quad_top_right.way158_00.set_top.mem_lo1_way3,
6184 `L2DAT4.quad_top_right.way158_00.set_top.mem_hi1_way3,
6185
6186 `L2DAT4.quad_top_right.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6187 `L2DAT4.quad_top_right.way158_00.set_bot.mem_hi0_way4,
6188 `L2DAT4.quad_top_right.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6189 `L2DAT4.quad_top_right.way158_00.set_bot.mem_hi1_way4,
6190
6191 `L2DAT4.quad_top_right.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6192 `L2DAT4.quad_top_right.way158_00.set_top.mem_hi0_way4,
6193 `L2DAT4.quad_top_right.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6194 `L2DAT4.quad_top_right.way158_00.set_top.mem_hi1_way4,
6195
6196 `L2DAT4.quad_top_right.way158_00.set_bot.mem_lo0_way5,
6197 `L2DAT4.quad_top_right.way158_00.set_bot.mem_hi0_way5,
6198 `L2DAT4.quad_top_right.way158_00.set_bot.mem_lo1_way5,
6199 `L2DAT4.quad_top_right.way158_00.set_bot.mem_hi1_way5,
6200
6201 `L2DAT4.quad_top_right.way158_00.set_top.mem_lo0_way5,
6202 `L2DAT4.quad_top_right.way158_00.set_top.mem_hi0_way5,
6203 `L2DAT4.quad_top_right.way158_00.set_top.mem_lo1_way5,
6204 `L2DAT4.quad_top_right.way158_00.set_top.mem_hi1_way5,
6205
6206 `L2DAT4.quad_top_right.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6207 `L2DAT4.quad_top_right.way158_00.set_bot.mem_hi0_way6,
6208 `L2DAT4.quad_top_right.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6209 `L2DAT4.quad_top_right.way158_00.set_bot.mem_hi1_way6,
6210
6211 `L2DAT4.quad_top_right.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6212 `L2DAT4.quad_top_right.way158_00.set_top.mem_hi0_way6,
6213 `L2DAT4.quad_top_right.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6214 `L2DAT4.quad_top_right.way158_00.set_top.mem_hi1_way6,
6215
6216 `L2DAT4.quad_top_right.way158_00.set_bot.mem_lo0_way7,
6217 `L2DAT4.quad_top_right.way158_00.set_bot.mem_hi0_way7,
6218 `L2DAT4.quad_top_right.way158_00.set_bot.mem_lo1_way7,
6219 `L2DAT4.quad_top_right.way158_00.set_bot.mem_hi1_way7,
6220
6221 `L2DAT4.quad_top_right.way158_00.set_top.mem_lo0_way7,
6222 `L2DAT4.quad_top_right.way158_00.set_top.mem_hi0_way7,
6223 `L2DAT4.quad_top_right.way158_00.set_top.mem_lo1_way7,
6224 `L2DAT4.quad_top_right.way158_00.set_top.mem_hi1_way7, //128
6225
6226 //way70_01 bottom
6227 //bottom
6228 `L2DAT4.quad_top_right.way70_01.set_bot.mem_lo0_way0 , //[623:604]
6229 `L2DAT4.quad_top_right.way70_01.set_bot.mem_hi0_way0,
6230 `L2DAT4.quad_top_right.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6231 `L2DAT4.quad_top_right.way70_01.set_bot.mem_hi1_way0,
6232
6233 `L2DAT4.quad_top_right.way70_01.set_top.mem_lo0_way0 , //arg 345
6234 `L2DAT4.quad_top_right.way70_01.set_top.mem_hi0_way0,
6235 `L2DAT4.quad_top_right.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6236 `L2DAT4.quad_top_right.way70_01.set_top.mem_hi1_way0,
6237
6238 `L2DAT4.quad_top_right.way70_01.set_bot.mem_lo0_way1,
6239 `L2DAT4.quad_top_right.way70_01.set_bot.mem_hi0_way1,
6240 `L2DAT4.quad_top_right.way70_01.set_bot.mem_lo1_way1,
6241 `L2DAT4.quad_top_right.way70_01.set_bot.mem_hi1_way1,
6242
6243 `L2DAT4.quad_top_right.way70_01.set_top.mem_lo0_way1,
6244 `L2DAT4.quad_top_right.way70_01.set_top.mem_hi0_way1,
6245 `L2DAT4.quad_top_right.way70_01.set_top.mem_lo1_way1,
6246 `L2DAT4.quad_top_right.way70_01.set_top.mem_hi1_way1,
6247
6248 `L2DAT4.quad_top_right.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6249 `L2DAT4.quad_top_right.way70_01.set_bot.mem_hi0_way2,
6250 `L2DAT4.quad_top_right.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6251 `L2DAT4.quad_top_right.way70_01.set_bot.mem_hi1_way2,
6252
6253 `L2DAT4.quad_top_right.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6254 `L2DAT4.quad_top_right.way70_01.set_top.mem_hi0_way2,
6255 `L2DAT4.quad_top_right.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6256 `L2DAT4.quad_top_right.way70_01.set_top.mem_hi1_way2,
6257
6258 `L2DAT4.quad_top_right.way70_01.set_bot.mem_lo0_way3,
6259 `L2DAT4.quad_top_right.way70_01.set_bot.mem_hi0_way3,
6260 `L2DAT4.quad_top_right.way70_01.set_bot.mem_lo1_way3,
6261 `L2DAT4.quad_top_right.way70_01.set_bot.mem_hi1_way3,
6262
6263 `L2DAT4.quad_top_right.way70_01.set_top.mem_lo0_way3,
6264 `L2DAT4.quad_top_right.way70_01.set_top.mem_hi0_way3,
6265 `L2DAT4.quad_top_right.way70_01.set_top.mem_lo1_way3,
6266 `L2DAT4.quad_top_right.way70_01.set_top.mem_hi1_way3,
6267
6268 `L2DAT4.quad_top_right.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6269 `L2DAT4.quad_top_right.way70_01.set_bot.mem_hi0_way4,
6270 `L2DAT4.quad_top_right.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6271 `L2DAT4.quad_top_right.way70_01.set_bot.mem_hi1_way4,
6272
6273 `L2DAT4.quad_top_right.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6274 `L2DAT4.quad_top_right.way70_01.set_top.mem_hi0_way4,
6275 `L2DAT4.quad_top_right.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6276 `L2DAT4.quad_top_right.way70_01.set_top.mem_hi1_way4,
6277
6278 `L2DAT4.quad_top_right.way70_01.set_bot.mem_lo0_way5,
6279 `L2DAT4.quad_top_right.way70_01.set_bot.mem_hi0_way5,
6280 `L2DAT4.quad_top_right.way70_01.set_bot.mem_lo1_way5,
6281 `L2DAT4.quad_top_right.way70_01.set_bot.mem_hi1_way5,
6282
6283 `L2DAT4.quad_top_right.way70_01.set_top.mem_lo0_way5,
6284 `L2DAT4.quad_top_right.way70_01.set_top.mem_hi0_way5 ,
6285 `L2DAT4.quad_top_right.way70_01.set_top.mem_lo1_way5,
6286 `L2DAT4.quad_top_right.way70_01.set_top.mem_hi1_way5,
6287
6288 `L2DAT4.quad_top_right.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6289 `L2DAT4.quad_top_right.way70_01.set_bot.mem_hi0_way6,
6290 `L2DAT4.quad_top_right.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6291 `L2DAT4.quad_top_right.way70_01.set_bot.mem_hi1_way6,
6292
6293 `L2DAT4.quad_top_right.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6294 `L2DAT4.quad_top_right.way70_01.set_top.mem_hi0_way6,
6295 `L2DAT4.quad_top_right.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6296 `L2DAT4.quad_top_right.way70_01.set_top.mem_hi1_way6,
6297
6298 `L2DAT4.quad_top_right.way70_01.set_bot.mem_lo0_way7,
6299 `L2DAT4.quad_top_right.way70_01.set_bot.mem_hi0_way7 ,
6300 `L2DAT4.quad_top_right.way70_01.set_bot.mem_lo1_way7,
6301 `L2DAT4.quad_top_right.way70_01.set_bot.mem_hi1_way7,
6302
6303 `L2DAT4.quad_top_right.way70_01.set_top.mem_lo0_way7,
6304 `L2DAT4.quad_top_right.way70_01.set_top.mem_hi0_way7 ,
6305 `L2DAT4.quad_top_right.way70_01.set_top.mem_lo1_way7,
6306 `L2DAT4.quad_top_right.way70_01.set_top.mem_hi1_way7, //192
6307
6308 //way [15:8]
6309 `L2DAT4.quad_top_right.way158_01.set_bot.mem_lo0_way0 , //arg 505
6310 `L2DAT4.quad_top_right.way158_01.set_bot.mem_hi0_way0,
6311 `L2DAT4.quad_top_right.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6312 `L2DAT4.quad_top_right.way158_01.set_bot.mem_hi1_way0,
6313 //index [8]
6314 `L2DAT4.quad_top_right.way158_01.set_top.mem_lo0_way0 , //arg 473
6315 `L2DAT4.quad_top_right.way158_01.set_top.mem_hi0_way0,
6316 `L2DAT4.quad_top_right.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6317 `L2DAT4.quad_top_right.way158_01.set_top.mem_hi1_way0,
6318
6319 `L2DAT4.quad_top_right.way158_01.set_bot.mem_lo0_way1,
6320 `L2DAT4.quad_top_right.way158_01.set_bot.mem_hi0_way1,
6321 `L2DAT4.quad_top_right.way158_01.set_bot.mem_lo1_way1,
6322 `L2DAT4.quad_top_right.way158_01.set_bot.mem_hi1_way1,
6323
6324 `L2DAT4.quad_top_right.way158_01.set_top.mem_lo0_way1,
6325 `L2DAT4.quad_top_right.way158_01.set_top.mem_hi0_way1,
6326 `L2DAT4.quad_top_right.way158_01.set_top.mem_lo1_way1,
6327 `L2DAT4.quad_top_right.way158_01.set_top.mem_hi1_way1,
6328
6329 `L2DAT4.quad_top_right.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6330 `L2DAT4.quad_top_right.way158_01.set_bot.mem_hi0_way2,
6331 `L2DAT4.quad_top_right.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6332 `L2DAT4.quad_top_right.way158_01.set_bot.mem_hi1_way2,
6333
6334 `L2DAT4.quad_top_right.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6335 `L2DAT4.quad_top_right.way158_01.set_top.mem_hi0_way2,
6336 `L2DAT4.quad_top_right.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6337 `L2DAT4.quad_top_right.way158_01.set_top.mem_hi1_way2,
6338
6339 `L2DAT4.quad_top_right.way158_01.set_bot.mem_lo0_way3,
6340 `L2DAT4.quad_top_right.way158_01.set_bot.mem_hi0_way3,
6341 `L2DAT4.quad_top_right.way158_01.set_bot.mem_lo1_way3,
6342 `L2DAT4.quad_top_right.way158_01.set_bot.mem_hi1_way3,
6343
6344 `L2DAT4.quad_top_right.way158_01.set_top.mem_lo0_way3,
6345 `L2DAT4.quad_top_right.way158_01.set_top.mem_hi0_way3,
6346 `L2DAT4.quad_top_right.way158_01.set_top.mem_lo1_way3,
6347 `L2DAT4.quad_top_right.way158_01.set_top.mem_hi1_way3,
6348
6349 `L2DAT4.quad_top_right.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6350 `L2DAT4.quad_top_right.way158_01.set_bot.mem_hi0_way4,
6351 `L2DAT4.quad_top_right.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6352 `L2DAT4.quad_top_right.way158_01.set_bot.mem_hi1_way4,
6353
6354 `L2DAT4.quad_top_right.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6355 `L2DAT4.quad_top_right.way158_01.set_top.mem_hi0_way4,
6356 `L2DAT4.quad_top_right.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6357 `L2DAT4.quad_top_right.way158_01.set_top.mem_hi1_way4,
6358
6359 `L2DAT4.quad_top_right.way158_01.set_bot.mem_lo0_way5,
6360 `L2DAT4.quad_top_right.way158_01.set_bot.mem_hi0_way5,
6361 `L2DAT4.quad_top_right.way158_01.set_bot.mem_lo1_way5,
6362 `L2DAT4.quad_top_right.way158_01.set_bot.mem_hi1_way5,
6363
6364 `L2DAT4.quad_top_right.way158_01.set_top.mem_lo0_way5,
6365 `L2DAT4.quad_top_right.way158_01.set_top.mem_hi0_way5,
6366 `L2DAT4.quad_top_right.way158_01.set_top.mem_lo1_way5,
6367 `L2DAT4.quad_top_right.way158_01.set_top.mem_hi1_way5,
6368
6369 `L2DAT4.quad_top_right.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6370 `L2DAT4.quad_top_right.way158_01.set_bot.mem_hi0_way6,
6371 `L2DAT4.quad_top_right.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6372 `L2DAT4.quad_top_right.way158_01.set_bot.mem_hi1_way6,
6373
6374 `L2DAT4.quad_top_right.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6375 `L2DAT4.quad_top_right.way158_01.set_top.mem_hi0_way6,
6376 `L2DAT4.quad_top_right.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6377 `L2DAT4.quad_top_right.way158_01.set_top.mem_hi1_way6,
6378
6379 `L2DAT4.quad_top_right.way158_01.set_bot.mem_lo0_way7,
6380 `L2DAT4.quad_top_right.way158_01.set_bot.mem_hi0_way7,
6381 `L2DAT4.quad_top_right.way158_01.set_bot.mem_lo1_way7,
6382 `L2DAT4.quad_top_right.way158_01.set_bot.mem_hi1_way7,
6383
6384 `L2DAT4.quad_top_right.way158_01.set_top.mem_lo0_way7,
6385 `L2DAT4.quad_top_right.way158_01.set_top.mem_hi0_way7,
6386 `L2DAT4.quad_top_right.way158_01.set_top.mem_lo1_way7,
6387 `L2DAT4.quad_top_right.way158_01.set_top.mem_hi1_way7, //512
6388 //bottom part
6389 //bank 0 data
6390 //way [7:0]
6391 //[8] = 0 [255-0]
6392 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_lo0_way0 , //arg 313
6393 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_hi0_way0,
6394 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6395 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_hi1_way0,
6396 //index [511-256]
6397 `L2DAT4.quad_bot_left.way07_00.set_top.mem_lo0_way0 , //arg 281
6398 `L2DAT4.quad_bot_left.way07_00.set_top.mem_hi0_way0,
6399 `L2DAT4.quad_bot_left.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6400 `L2DAT4.quad_bot_left.way07_00.set_top.mem_hi1_way0,
6401
6402 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_lo0_way1,
6403 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_hi0_way1,
6404 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_lo1_way1,
6405 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_hi1_way1,
6406
6407 `L2DAT4.quad_bot_left.way07_00.set_top.mem_lo0_way1,
6408 `L2DAT4.quad_bot_left.way07_00.set_top.mem_hi0_way1,
6409 `L2DAT4.quad_bot_left.way07_00.set_top.mem_lo1_way1,
6410 `L2DAT4.quad_bot_left.way07_00.set_top.mem_hi1_way1,
6411
6412 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6413 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_hi0_way2,
6414 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6415 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_hi1_way2,
6416
6417 `L2DAT4.quad_bot_left.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6418 `L2DAT4.quad_bot_left.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
6419 `L2DAT4.quad_bot_left.way07_00.set_top.mem_lo1_way2,
6420 `L2DAT4.quad_bot_left.way07_00.set_top.mem_hi1_way2,
6421
6422 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_lo0_way3,
6423 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_hi0_way3,
6424 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_lo1_way3,
6425 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_hi1_way3,
6426
6427 `L2DAT4.quad_bot_left.way07_00.set_top.mem_lo0_way3,
6428 `L2DAT4.quad_bot_left.way07_00.set_top.mem_hi0_way3,
6429 `L2DAT4.quad_bot_left.way07_00.set_top.mem_lo1_way3,
6430 `L2DAT4.quad_bot_left.way07_00.set_top.mem_hi1_way3,
6431
6432 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6433 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_hi0_way4,
6434 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6435 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_hi1_way4,
6436
6437 `L2DAT4.quad_bot_left.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6438 `L2DAT4.quad_bot_left.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
6439 `L2DAT4.quad_bot_left.way07_00.set_top.mem_lo1_way4,
6440 `L2DAT4.quad_bot_left.way07_00.set_top.mem_hi1_way4,
6441
6442 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_lo0_way5,
6443 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_hi0_way5,
6444 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_lo1_way5,
6445 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_hi1_way5,
6446
6447 `L2DAT4.quad_bot_left.way07_00.set_top.mem_lo0_way5,
6448 `L2DAT4.quad_bot_left.way07_00.set_top.mem_hi0_way5,
6449 `L2DAT4.quad_bot_left.way07_00.set_top.mem_lo1_way5,
6450 `L2DAT4.quad_bot_left.way07_00.set_top.mem_hi1_way5,
6451
6452 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6453 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_hi0_way6,
6454 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6455 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_hi1_way6,
6456
6457 `L2DAT4.quad_bot_left.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6458 `L2DAT4.quad_bot_left.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
6459 `L2DAT4.quad_bot_left.way07_00.set_top.mem_lo1_way6,
6460 `L2DAT4.quad_bot_left.way07_00.set_top.mem_hi1_way6,
6461
6462 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_lo0_way7,
6463 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_hi0_way7,
6464 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_lo1_way7,
6465 `L2DAT4.quad_bot_left.way07_00.set_bot.mem_hi1_way7,
6466
6467 `L2DAT4.quad_bot_left.way07_00.set_top.mem_lo0_way7,
6468 `L2DAT4.quad_bot_left.way07_00.set_top.mem_hi0_way7,
6469 `L2DAT4.quad_bot_left.way07_00.set_top.mem_lo1_way7,
6470 `L2DAT4.quad_bot_left.way07_00.set_top.mem_hi1_way7, //toatl arg 64
6471
6472 //way158_00 top way [15:8]
6473 //index[255:0]
6474
6475 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_lo0_way0 , //arg 441
6476 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_hi0_way0,
6477 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6478 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_hi1_way0,
6479 //index[511:256]
6480 `L2DAT4.quad_bot_left.way158_00.set_top.mem_lo0_way0 , //arg 409
6481 `L2DAT4.quad_bot_left.way158_00.set_top.mem_hi0_way0,
6482 `L2DAT4.quad_bot_left.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6483 `L2DAT4.quad_bot_left.way158_00.set_top.mem_hi1_way0,
6484
6485 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_lo0_way1,
6486 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_hi0_way1,
6487 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_lo1_way1,
6488 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_hi1_way1,
6489
6490 `L2DAT4.quad_bot_left.way158_00.set_top.mem_lo0_way1,
6491 `L2DAT4.quad_bot_left.way158_00.set_top.mem_hi0_way1,
6492 `L2DAT4.quad_bot_left.way158_00.set_top.mem_lo1_way1,
6493 `L2DAT4.quad_bot_left.way158_00.set_top.mem_hi1_way1,
6494
6495 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6496 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_hi0_way2,
6497 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6498 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_hi1_way2,
6499
6500 `L2DAT4.quad_bot_left.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6501 `L2DAT4.quad_bot_left.way158_00.set_top.mem_hi0_way2,
6502 `L2DAT4.quad_bot_left.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6503 `L2DAT4.quad_bot_left.way158_00.set_top.mem_hi1_way2,
6504
6505 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_lo0_way3,
6506 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_hi0_way3,
6507 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_lo1_way3,
6508 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_hi1_way3,
6509
6510 `L2DAT4.quad_bot_left.way158_00.set_top.mem_lo0_way3,
6511 `L2DAT4.quad_bot_left.way158_00.set_top.mem_hi0_way3,
6512 `L2DAT4.quad_bot_left.way158_00.set_top.mem_lo1_way3,
6513 `L2DAT4.quad_bot_left.way158_00.set_top.mem_hi1_way3,
6514
6515 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6516 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_hi0_way4,
6517 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6518 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_hi1_way4,
6519
6520 `L2DAT4.quad_bot_left.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6521 `L2DAT4.quad_bot_left.way158_00.set_top.mem_hi0_way4,
6522 `L2DAT4.quad_bot_left.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6523 `L2DAT4.quad_bot_left.way158_00.set_top.mem_hi1_way4,
6524
6525 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_lo0_way5,
6526 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_hi0_way5,
6527 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_lo1_way5,
6528 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_hi1_way5,
6529
6530 `L2DAT4.quad_bot_left.way158_00.set_top.mem_lo0_way5,
6531 `L2DAT4.quad_bot_left.way158_00.set_top.mem_hi0_way5,
6532 `L2DAT4.quad_bot_left.way158_00.set_top.mem_lo1_way5,
6533 `L2DAT4.quad_bot_left.way158_00.set_top.mem_hi1_way5,
6534
6535 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6536 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_hi0_way6,
6537 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6538 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_hi1_way6,
6539
6540 `L2DAT4.quad_bot_left.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6541 `L2DAT4.quad_bot_left.way158_00.set_top.mem_hi0_way6,
6542 `L2DAT4.quad_bot_left.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6543 `L2DAT4.quad_bot_left.way158_00.set_top.mem_hi1_way6,
6544
6545 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_lo0_way7,
6546 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_hi0_way7,
6547 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_lo1_way7,
6548 `L2DAT4.quad_bot_left.way158_00.set_bot.mem_hi1_way7,
6549
6550 `L2DAT4.quad_bot_left.way158_00.set_top.mem_lo0_way7,
6551 `L2DAT4.quad_bot_left.way158_00.set_top.mem_hi0_way7,
6552 `L2DAT4.quad_bot_left.way158_00.set_top.mem_lo1_way7,
6553 `L2DAT4.quad_bot_left.way158_00.set_top.mem_hi1_way7, //128
6554
6555 //way70_01 bottom
6556 //bottom
6557 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_lo0_way0 , //[623:604]
6558 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_hi0_way0,
6559 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6560 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_hi1_way0,
6561
6562 `L2DAT4.quad_bot_left.way70_01.set_top.mem_lo0_way0 , //arg 345
6563 `L2DAT4.quad_bot_left.way70_01.set_top.mem_hi0_way0,
6564 `L2DAT4.quad_bot_left.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6565 `L2DAT4.quad_bot_left.way70_01.set_top.mem_hi1_way0,
6566
6567 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_lo0_way1,
6568 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_hi0_way1,
6569 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_lo1_way1,
6570 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_hi1_way1,
6571
6572 `L2DAT4.quad_bot_left.way70_01.set_top.mem_lo0_way1,
6573 `L2DAT4.quad_bot_left.way70_01.set_top.mem_hi0_way1,
6574 `L2DAT4.quad_bot_left.way70_01.set_top.mem_lo1_way1,
6575 `L2DAT4.quad_bot_left.way70_01.set_top.mem_hi1_way1,
6576
6577 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6578 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_hi0_way2,
6579 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6580 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_hi1_way2,
6581
6582 `L2DAT4.quad_bot_left.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6583 `L2DAT4.quad_bot_left.way70_01.set_top.mem_hi0_way2,
6584 `L2DAT4.quad_bot_left.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6585 `L2DAT4.quad_bot_left.way70_01.set_top.mem_hi1_way2,
6586
6587 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_lo0_way3,
6588 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_hi0_way3,
6589 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_lo1_way3,
6590 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_hi1_way3,
6591
6592 `L2DAT4.quad_bot_left.way70_01.set_top.mem_lo0_way3,
6593 `L2DAT4.quad_bot_left.way70_01.set_top.mem_hi0_way3,
6594 `L2DAT4.quad_bot_left.way70_01.set_top.mem_lo1_way3,
6595 `L2DAT4.quad_bot_left.way70_01.set_top.mem_hi1_way3,
6596
6597 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6598 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_hi0_way4,
6599 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6600 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_hi1_way4,
6601
6602 `L2DAT4.quad_bot_left.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6603 `L2DAT4.quad_bot_left.way70_01.set_top.mem_hi0_way4,
6604 `L2DAT4.quad_bot_left.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6605 `L2DAT4.quad_bot_left.way70_01.set_top.mem_hi1_way4,
6606
6607 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_lo0_way5,
6608 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_hi0_way5,
6609 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_lo1_way5,
6610 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_hi1_way5,
6611
6612 `L2DAT4.quad_bot_left.way70_01.set_top.mem_lo0_way5,
6613 `L2DAT4.quad_bot_left.way70_01.set_top.mem_hi0_way5 ,
6614 `L2DAT4.quad_bot_left.way70_01.set_top.mem_lo1_way5,
6615 `L2DAT4.quad_bot_left.way70_01.set_top.mem_hi1_way5,
6616
6617 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6618 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_hi0_way6,
6619 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6620 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_hi1_way6,
6621
6622 `L2DAT4.quad_bot_left.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6623 `L2DAT4.quad_bot_left.way70_01.set_top.mem_hi0_way6,
6624 `L2DAT4.quad_bot_left.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6625 `L2DAT4.quad_bot_left.way70_01.set_top.mem_hi1_way6,
6626
6627 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_lo0_way7,
6628 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_hi0_way7 ,
6629 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_lo1_way7,
6630 `L2DAT4.quad_bot_left.way70_01.set_bot.mem_hi1_way7,
6631
6632 `L2DAT4.quad_bot_left.way70_01.set_top.mem_lo0_way7,
6633 `L2DAT4.quad_bot_left.way70_01.set_top.mem_hi0_way7 ,
6634 `L2DAT4.quad_bot_left.way70_01.set_top.mem_lo1_way7,
6635 `L2DAT4.quad_bot_left.way70_01.set_top.mem_hi1_way7, //192
6636
6637 //way [15:8]
6638 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_lo0_way0 , //arg 505
6639 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_hi0_way0,
6640 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6641 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_hi1_way0,
6642 //index [8]
6643 `L2DAT4.quad_bot_left.way158_01.set_top.mem_lo0_way0 , //arg 473
6644 `L2DAT4.quad_bot_left.way158_01.set_top.mem_hi0_way0,
6645 `L2DAT4.quad_bot_left.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6646 `L2DAT4.quad_bot_left.way158_01.set_top.mem_hi1_way0,
6647
6648 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_lo0_way1,
6649 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_hi0_way1,
6650 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_lo1_way1,
6651 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_hi1_way1,
6652
6653 `L2DAT4.quad_bot_left.way158_01.set_top.mem_lo0_way1,
6654 `L2DAT4.quad_bot_left.way158_01.set_top.mem_hi0_way1,
6655 `L2DAT4.quad_bot_left.way158_01.set_top.mem_lo1_way1,
6656 `L2DAT4.quad_bot_left.way158_01.set_top.mem_hi1_way1,
6657
6658 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6659 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_hi0_way2,
6660 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6661 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_hi1_way2,
6662
6663 `L2DAT4.quad_bot_left.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6664 `L2DAT4.quad_bot_left.way158_01.set_top.mem_hi0_way2,
6665 `L2DAT4.quad_bot_left.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6666 `L2DAT4.quad_bot_left.way158_01.set_top.mem_hi1_way2,
6667
6668 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_lo0_way3,
6669 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_hi0_way3,
6670 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_lo1_way3,
6671 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_hi1_way3,
6672
6673 `L2DAT4.quad_bot_left.way158_01.set_top.mem_lo0_way3,
6674 `L2DAT4.quad_bot_left.way158_01.set_top.mem_hi0_way3,
6675 `L2DAT4.quad_bot_left.way158_01.set_top.mem_lo1_way3,
6676 `L2DAT4.quad_bot_left.way158_01.set_top.mem_hi1_way3,
6677
6678 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6679 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_hi0_way4,
6680 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6681 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_hi1_way4,
6682
6683 `L2DAT4.quad_bot_left.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6684 `L2DAT4.quad_bot_left.way158_01.set_top.mem_hi0_way4,
6685 `L2DAT4.quad_bot_left.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6686 `L2DAT4.quad_bot_left.way158_01.set_top.mem_hi1_way4,
6687
6688 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_lo0_way5,
6689 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_hi0_way5,
6690 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_lo1_way5,
6691 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_hi1_way5,
6692
6693 `L2DAT4.quad_bot_left.way158_01.set_top.mem_lo0_way5,
6694 `L2DAT4.quad_bot_left.way158_01.set_top.mem_hi0_way5,
6695 `L2DAT4.quad_bot_left.way158_01.set_top.mem_lo1_way5,
6696 `L2DAT4.quad_bot_left.way158_01.set_top.mem_hi1_way5,
6697
6698 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6699 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_hi0_way6,
6700 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6701 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_hi1_way6,
6702
6703 `L2DAT4.quad_bot_left.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6704 `L2DAT4.quad_bot_left.way158_01.set_top.mem_hi0_way6,
6705 `L2DAT4.quad_bot_left.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6706 `L2DAT4.quad_bot_left.way158_01.set_top.mem_hi1_way6,
6707
6708 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_lo0_way7,
6709 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_hi0_way7,
6710 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_lo1_way7,
6711 `L2DAT4.quad_bot_left.way158_01.set_bot.mem_hi1_way7,
6712
6713 `L2DAT4.quad_bot_left.way158_01.set_top.mem_lo0_way7,
6714 `L2DAT4.quad_bot_left.way158_01.set_top.mem_hi0_way7,
6715 `L2DAT4.quad_bot_left.way158_01.set_top.mem_lo1_way7,
6716 `L2DAT4.quad_bot_left.way158_01.set_top.mem_hi1_way7, //256
6717 //right handside
6718 //bank 0 data
6719 //way [7:0]
6720 //[8] = 0 [255-0]
6721 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_lo0_way0 , //arg 313
6722 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_hi0_way0,
6723 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6724 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_hi1_way0,
6725 //index [511-256]
6726 `L2DAT4.quad_bot_right.way07_00.set_top.mem_lo0_way0 , //arg 281
6727 `L2DAT4.quad_bot_right.way07_00.set_top.mem_hi0_way0,
6728 `L2DAT4.quad_bot_right.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6729 `L2DAT4.quad_bot_right.way07_00.set_top.mem_hi1_way0,
6730
6731 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_lo0_way1,
6732 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_hi0_way1,
6733 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_lo1_way1,
6734 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_hi1_way1,
6735
6736 `L2DAT4.quad_bot_right.way07_00.set_top.mem_lo0_way1,
6737 `L2DAT4.quad_bot_right.way07_00.set_top.mem_hi0_way1,
6738 `L2DAT4.quad_bot_right.way07_00.set_top.mem_lo1_way1,
6739 `L2DAT4.quad_bot_right.way07_00.set_top.mem_hi1_way1,
6740
6741 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6742 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_hi0_way2,
6743 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6744 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_hi1_way2,
6745
6746 `L2DAT4.quad_bot_right.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6747 `L2DAT4.quad_bot_right.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
6748 `L2DAT4.quad_bot_right.way07_00.set_top.mem_lo1_way2,
6749 `L2DAT4.quad_bot_right.way07_00.set_top.mem_hi1_way2,
6750
6751 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_lo0_way3,
6752 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_hi0_way3,
6753 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_lo1_way3,
6754 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_hi1_way3,
6755
6756 `L2DAT4.quad_bot_right.way07_00.set_top.mem_lo0_way3,
6757 `L2DAT4.quad_bot_right.way07_00.set_top.mem_hi0_way3,
6758 `L2DAT4.quad_bot_right.way07_00.set_top.mem_lo1_way3,
6759 `L2DAT4.quad_bot_right.way07_00.set_top.mem_hi1_way3,
6760
6761 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6762 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_hi0_way4,
6763 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6764 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_hi1_way4,
6765
6766 `L2DAT4.quad_bot_right.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6767 `L2DAT4.quad_bot_right.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
6768 `L2DAT4.quad_bot_right.way07_00.set_top.mem_lo1_way4,
6769 `L2DAT4.quad_bot_right.way07_00.set_top.mem_hi1_way4,
6770
6771 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_lo0_way5,
6772 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_hi0_way5,
6773 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_lo1_way5,
6774 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_hi1_way5,
6775
6776 `L2DAT4.quad_bot_right.way07_00.set_top.mem_lo0_way5,
6777 `L2DAT4.quad_bot_right.way07_00.set_top.mem_hi0_way5,
6778 `L2DAT4.quad_bot_right.way07_00.set_top.mem_lo1_way5,
6779 `L2DAT4.quad_bot_right.way07_00.set_top.mem_hi1_way5,
6780
6781 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6782 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_hi0_way6,
6783 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6784 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_hi1_way6,
6785
6786 `L2DAT4.quad_bot_right.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6787 `L2DAT4.quad_bot_right.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
6788 `L2DAT4.quad_bot_right.way07_00.set_top.mem_lo1_way6,
6789 `L2DAT4.quad_bot_right.way07_00.set_top.mem_hi1_way6,
6790
6791 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_lo0_way7,
6792 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_hi0_way7,
6793 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_lo1_way7,
6794 `L2DAT4.quad_bot_right.way07_00.set_bot.mem_hi1_way7,
6795
6796 `L2DAT4.quad_bot_right.way07_00.set_top.mem_lo0_way7,
6797 `L2DAT4.quad_bot_right.way07_00.set_top.mem_hi0_way7,
6798 `L2DAT4.quad_bot_right.way07_00.set_top.mem_lo1_way7,
6799 `L2DAT4.quad_bot_right.way07_00.set_top.mem_hi1_way7, //toatl arg 64
6800
6801 //way158_00 top way [15:8]
6802 //index[255:0]
6803
6804 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_lo0_way0 , //arg 441
6805 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_hi0_way0,
6806 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6807 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_hi1_way0,
6808 //index[511:256]
6809 `L2DAT4.quad_bot_right.way158_00.set_top.mem_lo0_way0 , //arg 409
6810 `L2DAT4.quad_bot_right.way158_00.set_top.mem_hi0_way0,
6811 `L2DAT4.quad_bot_right.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6812 `L2DAT4.quad_bot_right.way158_00.set_top.mem_hi1_way0,
6813
6814 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_lo0_way1,
6815 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_hi0_way1,
6816 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_lo1_way1,
6817 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_hi1_way1,
6818
6819 `L2DAT4.quad_bot_right.way158_00.set_top.mem_lo0_way1,
6820 `L2DAT4.quad_bot_right.way158_00.set_top.mem_hi0_way1,
6821 `L2DAT4.quad_bot_right.way158_00.set_top.mem_lo1_way1,
6822 `L2DAT4.quad_bot_right.way158_00.set_top.mem_hi1_way1,
6823
6824 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6825 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_hi0_way2,
6826 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6827 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_hi1_way2,
6828
6829 `L2DAT4.quad_bot_right.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6830 `L2DAT4.quad_bot_right.way158_00.set_top.mem_hi0_way2,
6831 `L2DAT4.quad_bot_right.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6832 `L2DAT4.quad_bot_right.way158_00.set_top.mem_hi1_way2,
6833
6834 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_lo0_way3,
6835 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_hi0_way3,
6836 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_lo1_way3,
6837 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_hi1_way3,
6838
6839 `L2DAT4.quad_bot_right.way158_00.set_top.mem_lo0_way3,
6840 `L2DAT4.quad_bot_right.way158_00.set_top.mem_hi0_way3,
6841 `L2DAT4.quad_bot_right.way158_00.set_top.mem_lo1_way3,
6842 `L2DAT4.quad_bot_right.way158_00.set_top.mem_hi1_way3,
6843
6844 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6845 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_hi0_way4,
6846 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6847 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_hi1_way4,
6848
6849 `L2DAT4.quad_bot_right.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6850 `L2DAT4.quad_bot_right.way158_00.set_top.mem_hi0_way4,
6851 `L2DAT4.quad_bot_right.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6852 `L2DAT4.quad_bot_right.way158_00.set_top.mem_hi1_way4,
6853
6854 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_lo0_way5,
6855 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_hi0_way5,
6856 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_lo1_way5,
6857 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_hi1_way5,
6858
6859 `L2DAT4.quad_bot_right.way158_00.set_top.mem_lo0_way5,
6860 `L2DAT4.quad_bot_right.way158_00.set_top.mem_hi0_way5,
6861 `L2DAT4.quad_bot_right.way158_00.set_top.mem_lo1_way5,
6862 `L2DAT4.quad_bot_right.way158_00.set_top.mem_hi1_way5,
6863
6864 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6865 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_hi0_way6,
6866 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6867 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_hi1_way6,
6868
6869 `L2DAT4.quad_bot_right.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6870 `L2DAT4.quad_bot_right.way158_00.set_top.mem_hi0_way6,
6871 `L2DAT4.quad_bot_right.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6872 `L2DAT4.quad_bot_right.way158_00.set_top.mem_hi1_way6,
6873
6874 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_lo0_way7,
6875 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_hi0_way7,
6876 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_lo1_way7,
6877 `L2DAT4.quad_bot_right.way158_00.set_bot.mem_hi1_way7,
6878
6879 `L2DAT4.quad_bot_right.way158_00.set_top.mem_lo0_way7,
6880 `L2DAT4.quad_bot_right.way158_00.set_top.mem_hi0_way7,
6881 `L2DAT4.quad_bot_right.way158_00.set_top.mem_lo1_way7,
6882 `L2DAT4.quad_bot_right.way158_00.set_top.mem_hi1_way7, //128
6883
6884 //way70_01 bottom
6885 //bottom
6886 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_lo0_way0 , //[623:604]
6887 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_hi0_way0,
6888 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6889 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_hi1_way0,
6890
6891 `L2DAT4.quad_bot_right.way70_01.set_top.mem_lo0_way0 , //arg 345
6892 `L2DAT4.quad_bot_right.way70_01.set_top.mem_hi0_way0,
6893 `L2DAT4.quad_bot_right.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6894 `L2DAT4.quad_bot_right.way70_01.set_top.mem_hi1_way0,
6895
6896 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_lo0_way1,
6897 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_hi0_way1,
6898 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_lo1_way1,
6899 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_hi1_way1,
6900
6901 `L2DAT4.quad_bot_right.way70_01.set_top.mem_lo0_way1,
6902 `L2DAT4.quad_bot_right.way70_01.set_top.mem_hi0_way1,
6903 `L2DAT4.quad_bot_right.way70_01.set_top.mem_lo1_way1,
6904 `L2DAT4.quad_bot_right.way70_01.set_top.mem_hi1_way1,
6905
6906 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6907 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_hi0_way2,
6908 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6909 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_hi1_way2,
6910
6911 `L2DAT4.quad_bot_right.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6912 `L2DAT4.quad_bot_right.way70_01.set_top.mem_hi0_way2,
6913 `L2DAT4.quad_bot_right.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6914 `L2DAT4.quad_bot_right.way70_01.set_top.mem_hi1_way2,
6915
6916 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_lo0_way3,
6917 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_hi0_way3,
6918 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_lo1_way3,
6919 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_hi1_way3,
6920
6921 `L2DAT4.quad_bot_right.way70_01.set_top.mem_lo0_way3,
6922 `L2DAT4.quad_bot_right.way70_01.set_top.mem_hi0_way3,
6923 `L2DAT4.quad_bot_right.way70_01.set_top.mem_lo1_way3,
6924 `L2DAT4.quad_bot_right.way70_01.set_top.mem_hi1_way3,
6925
6926 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6927 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_hi0_way4,
6928 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6929 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_hi1_way4,
6930
6931 `L2DAT4.quad_bot_right.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
6932 `L2DAT4.quad_bot_right.way70_01.set_top.mem_hi0_way4,
6933 `L2DAT4.quad_bot_right.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
6934 `L2DAT4.quad_bot_right.way70_01.set_top.mem_hi1_way4,
6935
6936 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_lo0_way5,
6937 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_hi0_way5,
6938 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_lo1_way5,
6939 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_hi1_way5,
6940
6941 `L2DAT4.quad_bot_right.way70_01.set_top.mem_lo0_way5,
6942 `L2DAT4.quad_bot_right.way70_01.set_top.mem_hi0_way5 ,
6943 `L2DAT4.quad_bot_right.way70_01.set_top.mem_lo1_way5,
6944 `L2DAT4.quad_bot_right.way70_01.set_top.mem_hi1_way5,
6945
6946 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6947 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_hi0_way6,
6948 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6949 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_hi1_way6,
6950
6951 `L2DAT4.quad_bot_right.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
6952 `L2DAT4.quad_bot_right.way70_01.set_top.mem_hi0_way6,
6953 `L2DAT4.quad_bot_right.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
6954 `L2DAT4.quad_bot_right.way70_01.set_top.mem_hi1_way6,
6955
6956 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_lo0_way7,
6957 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_hi0_way7 ,
6958 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_lo1_way7,
6959 `L2DAT4.quad_bot_right.way70_01.set_bot.mem_hi1_way7,
6960
6961 `L2DAT4.quad_bot_right.way70_01.set_top.mem_lo0_way7,
6962 `L2DAT4.quad_bot_right.way70_01.set_top.mem_hi0_way7 ,
6963 `L2DAT4.quad_bot_right.way70_01.set_top.mem_lo1_way7,
6964 `L2DAT4.quad_bot_right.way70_01.set_top.mem_hi1_way7, //192
6965
6966 //way [15:8]
6967 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_lo0_way0 , //arg 505
6968 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_hi0_way0,
6969 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6970 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_hi1_way0,
6971 //index [8]
6972 `L2DAT4.quad_bot_right.way158_01.set_top.mem_lo0_way0 , //arg 473
6973 `L2DAT4.quad_bot_right.way158_01.set_top.mem_hi0_way0,
6974 `L2DAT4.quad_bot_right.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
6975 `L2DAT4.quad_bot_right.way158_01.set_top.mem_hi1_way0,
6976
6977 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_lo0_way1,
6978 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_hi0_way1,
6979 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_lo1_way1,
6980 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_hi1_way1,
6981
6982 `L2DAT4.quad_bot_right.way158_01.set_top.mem_lo0_way1,
6983 `L2DAT4.quad_bot_right.way158_01.set_top.mem_hi0_way1,
6984 `L2DAT4.quad_bot_right.way158_01.set_top.mem_lo1_way1,
6985 `L2DAT4.quad_bot_right.way158_01.set_top.mem_hi1_way1,
6986
6987 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6988 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_hi0_way2,
6989 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6990 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_hi1_way2,
6991
6992 `L2DAT4.quad_bot_right.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
6993 `L2DAT4.quad_bot_right.way158_01.set_top.mem_hi0_way2,
6994 `L2DAT4.quad_bot_right.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
6995 `L2DAT4.quad_bot_right.way158_01.set_top.mem_hi1_way2,
6996
6997 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_lo0_way3,
6998 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_hi0_way3,
6999 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_lo1_way3,
7000 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_hi1_way3,
7001
7002 `L2DAT4.quad_bot_right.way158_01.set_top.mem_lo0_way3,
7003 `L2DAT4.quad_bot_right.way158_01.set_top.mem_hi0_way3,
7004 `L2DAT4.quad_bot_right.way158_01.set_top.mem_lo1_way3,
7005 `L2DAT4.quad_bot_right.way158_01.set_top.mem_hi1_way3,
7006
7007 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7008 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_hi0_way4,
7009 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7010 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_hi1_way4,
7011
7012 `L2DAT4.quad_bot_right.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7013 `L2DAT4.quad_bot_right.way158_01.set_top.mem_hi0_way4,
7014 `L2DAT4.quad_bot_right.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7015 `L2DAT4.quad_bot_right.way158_01.set_top.mem_hi1_way4,
7016
7017 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_lo0_way5,
7018 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_hi0_way5,
7019 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_lo1_way5,
7020 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_hi1_way5,
7021
7022 `L2DAT4.quad_bot_right.way158_01.set_top.mem_lo0_way5,
7023 `L2DAT4.quad_bot_right.way158_01.set_top.mem_hi0_way5,
7024 `L2DAT4.quad_bot_right.way158_01.set_top.mem_lo1_way5,
7025 `L2DAT4.quad_bot_right.way158_01.set_top.mem_hi1_way5,
7026
7027 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7028 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_hi0_way6,
7029 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7030 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_hi1_way6,
7031
7032 `L2DAT4.quad_bot_right.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7033 `L2DAT4.quad_bot_right.way158_01.set_top.mem_hi0_way6,
7034 `L2DAT4.quad_bot_right.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7035 `L2DAT4.quad_bot_right.way158_01.set_top.mem_hi1_way6,
7036
7037 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_lo0_way7,
7038 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_hi0_way7,
7039 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_lo1_way7,
7040 `L2DAT4.quad_bot_right.way158_01.set_bot.mem_hi1_way7,
7041
7042 `L2DAT4.quad_bot_right.way158_01.set_top.mem_lo0_way7,
7043 `L2DAT4.quad_bot_right.way158_01.set_top.mem_hi0_way7,
7044 `L2DAT4.quad_bot_right.way158_01.set_top.mem_lo1_way7,
7045 `L2DAT4.quad_bot_right.way158_01.set_top.mem_hi1_way7, //512
7046//Bank 5 define
7047 `L2TAG5.tag.quad0.bank0.l2t_array.mem_lft, //1 reg [`L2T_ARR_D_WIDTH + 1:0] way0[`L2T_ARR_DEPTH - 1 :0];
7048 `L2TAG5.tag.quad0.bank0.l2t_array.mem_rgt, //`define L2T_ARR_D_WIDTH 28 `define L2T_ARR_DEPTH 2
7049
7050 `L2TAG5.tag.quad0.bank1.l2t_array.mem_lft,
7051 `L2TAG5.tag.quad0.bank1.l2t_array.mem_rgt,
7052
7053 `L2TAG5.tag.quad1.bank0.l2t_array.mem_lft,
7054 `L2TAG5.tag.quad1.bank0.l2t_array.mem_rgt,
7055
7056 `L2TAG5.tag.quad1.bank1.l2t_array.mem_lft,
7057 `L2TAG5.tag.quad1.bank1.l2t_array.mem_rgt,
7058
7059 `L2TAG5.tag.quad2.bank0.l2t_array.mem_lft,
7060 `L2TAG5.tag.quad2.bank0.l2t_array.mem_rgt,
7061
7062 `L2TAG5.tag.quad2.bank1.l2t_array.mem_lft,
7063 `L2TAG5.tag.quad2.bank1.l2t_array.mem_rgt,
7064
7065 `L2TAG5.tag.quad3.bank0.l2t_array.mem_lft,
7066 `L2TAG5.tag.quad3.bank0.l2t_array.mem_rgt,
7067
7068 `L2TAG5.tag.quad3.bank1.l2t_array.mem_lft,
7069 `L2TAG5.tag.quad3.bank1.l2t_array.mem_rgt, //16
7070
7071 `L2TAG5.subarray_0.array.inq_ary, // arg 17: reg [159:0] inq_ary [31:0];
7072 `L2TAG5.subarray_1.array.inq_ary,
7073 `L2TAG5.subarray_2.array.inq_ary,
7074 `L2TAG5.subarray_3.array.inq_ary,
7075 `L2TAG5.subarray_8.array.inq_ary,
7076 `L2TAG5.subarray_9.array.inq_ary,
7077 `L2TAG5.subarray_10.array.inq_ary,
7078 `L2TAG5.subarray_11.array.inq_ary, //24
7079
7080 //bank 0 data
7081 //way [7:0]
7082 //[8] = 0 [255-0]
7083 `L2DAT5.quad_top_left.way07_00.set_bot.mem_lo0_way0 , //arg 313
7084 `L2DAT5.quad_top_left.way07_00.set_bot.mem_hi0_way0,
7085 `L2DAT5.quad_top_left.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7086 `L2DAT5.quad_top_left.way07_00.set_bot.mem_hi1_way0,
7087 //index [511-256]
7088 `L2DAT5.quad_top_left.way07_00.set_top.mem_lo0_way0 , //arg 281
7089 `L2DAT5.quad_top_left.way07_00.set_top.mem_hi0_way0,
7090 `L2DAT5.quad_top_left.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7091 `L2DAT5.quad_top_left.way07_00.set_top.mem_hi1_way0,
7092
7093 `L2DAT5.quad_top_left.way07_00.set_bot.mem_lo0_way1,
7094 `L2DAT5.quad_top_left.way07_00.set_bot.mem_hi0_way1,
7095 `L2DAT5.quad_top_left.way07_00.set_bot.mem_lo1_way1,
7096 `L2DAT5.quad_top_left.way07_00.set_bot.mem_hi1_way1,
7097
7098 `L2DAT5.quad_top_left.way07_00.set_top.mem_lo0_way1,
7099 `L2DAT5.quad_top_left.way07_00.set_top.mem_hi0_way1,
7100 `L2DAT5.quad_top_left.way07_00.set_top.mem_lo1_way1,
7101 `L2DAT5.quad_top_left.way07_00.set_top.mem_hi1_way1,
7102
7103 `L2DAT5.quad_top_left.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7104 `L2DAT5.quad_top_left.way07_00.set_bot.mem_hi0_way2,
7105 `L2DAT5.quad_top_left.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7106 `L2DAT5.quad_top_left.way07_00.set_bot.mem_hi1_way2,
7107
7108 `L2DAT5.quad_top_left.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7109 `L2DAT5.quad_top_left.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
7110 `L2DAT5.quad_top_left.way07_00.set_top.mem_lo1_way2,
7111 `L2DAT5.quad_top_left.way07_00.set_top.mem_hi1_way2,
7112
7113 `L2DAT5.quad_top_left.way07_00.set_bot.mem_lo0_way3,
7114 `L2DAT5.quad_top_left.way07_00.set_bot.mem_hi0_way3,
7115 `L2DAT5.quad_top_left.way07_00.set_bot.mem_lo1_way3,
7116 `L2DAT5.quad_top_left.way07_00.set_bot.mem_hi1_way3,
7117
7118 `L2DAT5.quad_top_left.way07_00.set_top.mem_lo0_way3,
7119 `L2DAT5.quad_top_left.way07_00.set_top.mem_hi0_way3,
7120 `L2DAT5.quad_top_left.way07_00.set_top.mem_lo1_way3,
7121 `L2DAT5.quad_top_left.way07_00.set_top.mem_hi1_way3,
7122
7123 `L2DAT5.quad_top_left.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7124 `L2DAT5.quad_top_left.way07_00.set_bot.mem_hi0_way4,
7125 `L2DAT5.quad_top_left.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7126 `L2DAT5.quad_top_left.way07_00.set_bot.mem_hi1_way4,
7127
7128 `L2DAT5.quad_top_left.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7129 `L2DAT5.quad_top_left.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
7130 `L2DAT5.quad_top_left.way07_00.set_top.mem_lo1_way4,
7131 `L2DAT5.quad_top_left.way07_00.set_top.mem_hi1_way4,
7132
7133 `L2DAT5.quad_top_left.way07_00.set_bot.mem_lo0_way5,
7134 `L2DAT5.quad_top_left.way07_00.set_bot.mem_hi0_way5,
7135 `L2DAT5.quad_top_left.way07_00.set_bot.mem_lo1_way5,
7136 `L2DAT5.quad_top_left.way07_00.set_bot.mem_hi1_way5,
7137
7138 `L2DAT5.quad_top_left.way07_00.set_top.mem_lo0_way5,
7139 `L2DAT5.quad_top_left.way07_00.set_top.mem_hi0_way5,
7140 `L2DAT5.quad_top_left.way07_00.set_top.mem_lo1_way5,
7141 `L2DAT5.quad_top_left.way07_00.set_top.mem_hi1_way5,
7142
7143 `L2DAT5.quad_top_left.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7144 `L2DAT5.quad_top_left.way07_00.set_bot.mem_hi0_way6,
7145 `L2DAT5.quad_top_left.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7146 `L2DAT5.quad_top_left.way07_00.set_bot.mem_hi1_way6,
7147
7148 `L2DAT5.quad_top_left.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7149 `L2DAT5.quad_top_left.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
7150 `L2DAT5.quad_top_left.way07_00.set_top.mem_lo1_way6,
7151 `L2DAT5.quad_top_left.way07_00.set_top.mem_hi1_way6,
7152
7153 `L2DAT5.quad_top_left.way07_00.set_bot.mem_lo0_way7,
7154 `L2DAT5.quad_top_left.way07_00.set_bot.mem_hi0_way7,
7155 `L2DAT5.quad_top_left.way07_00.set_bot.mem_lo1_way7,
7156 `L2DAT5.quad_top_left.way07_00.set_bot.mem_hi1_way7,
7157
7158 `L2DAT5.quad_top_left.way07_00.set_top.mem_lo0_way7,
7159 `L2DAT5.quad_top_left.way07_00.set_top.mem_hi0_way7,
7160 `L2DAT5.quad_top_left.way07_00.set_top.mem_lo1_way7,
7161 `L2DAT5.quad_top_left.way07_00.set_top.mem_hi1_way7, //toatl arg 64
7162
7163 //way158_00 top way [15:8]
7164 //index[255:0]
7165
7166 `L2DAT5.quad_top_left.way158_00.set_bot.mem_lo0_way0 , //arg 441
7167 `L2DAT5.quad_top_left.way158_00.set_bot.mem_hi0_way0,
7168 `L2DAT5.quad_top_left.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7169 `L2DAT5.quad_top_left.way158_00.set_bot.mem_hi1_way0,
7170 //index[511:256]
7171 `L2DAT5.quad_top_left.way158_00.set_top.mem_lo0_way0 , //arg 409
7172 `L2DAT5.quad_top_left.way158_00.set_top.mem_hi0_way0,
7173 `L2DAT5.quad_top_left.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7174 `L2DAT5.quad_top_left.way158_00.set_top.mem_hi1_way0,
7175
7176 `L2DAT5.quad_top_left.way158_00.set_bot.mem_lo0_way1,
7177 `L2DAT5.quad_top_left.way158_00.set_bot.mem_hi0_way1,
7178 `L2DAT5.quad_top_left.way158_00.set_bot.mem_lo1_way1,
7179 `L2DAT5.quad_top_left.way158_00.set_bot.mem_hi1_way1,
7180
7181 `L2DAT5.quad_top_left.way158_00.set_top.mem_lo0_way1,
7182 `L2DAT5.quad_top_left.way158_00.set_top.mem_hi0_way1,
7183 `L2DAT5.quad_top_left.way158_00.set_top.mem_lo1_way1,
7184 `L2DAT5.quad_top_left.way158_00.set_top.mem_hi1_way1,
7185
7186 `L2DAT5.quad_top_left.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7187 `L2DAT5.quad_top_left.way158_00.set_bot.mem_hi0_way2,
7188 `L2DAT5.quad_top_left.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7189 `L2DAT5.quad_top_left.way158_00.set_bot.mem_hi1_way2,
7190
7191 `L2DAT5.quad_top_left.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7192 `L2DAT5.quad_top_left.way158_00.set_top.mem_hi0_way2,
7193 `L2DAT5.quad_top_left.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7194 `L2DAT5.quad_top_left.way158_00.set_top.mem_hi1_way2,
7195
7196 `L2DAT5.quad_top_left.way158_00.set_bot.mem_lo0_way3,
7197 `L2DAT5.quad_top_left.way158_00.set_bot.mem_hi0_way3,
7198 `L2DAT5.quad_top_left.way158_00.set_bot.mem_lo1_way3,
7199 `L2DAT5.quad_top_left.way158_00.set_bot.mem_hi1_way3,
7200
7201 `L2DAT5.quad_top_left.way158_00.set_top.mem_lo0_way3,
7202 `L2DAT5.quad_top_left.way158_00.set_top.mem_hi0_way3,
7203 `L2DAT5.quad_top_left.way158_00.set_top.mem_lo1_way3,
7204 `L2DAT5.quad_top_left.way158_00.set_top.mem_hi1_way3,
7205
7206 `L2DAT5.quad_top_left.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7207 `L2DAT5.quad_top_left.way158_00.set_bot.mem_hi0_way4,
7208 `L2DAT5.quad_top_left.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7209 `L2DAT5.quad_top_left.way158_00.set_bot.mem_hi1_way4,
7210
7211 `L2DAT5.quad_top_left.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7212 `L2DAT5.quad_top_left.way158_00.set_top.mem_hi0_way4,
7213 `L2DAT5.quad_top_left.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7214 `L2DAT5.quad_top_left.way158_00.set_top.mem_hi1_way4,
7215
7216 `L2DAT5.quad_top_left.way158_00.set_bot.mem_lo0_way5,
7217 `L2DAT5.quad_top_left.way158_00.set_bot.mem_hi0_way5,
7218 `L2DAT5.quad_top_left.way158_00.set_bot.mem_lo1_way5,
7219 `L2DAT5.quad_top_left.way158_00.set_bot.mem_hi1_way5,
7220
7221 `L2DAT5.quad_top_left.way158_00.set_top.mem_lo0_way5,
7222 `L2DAT5.quad_top_left.way158_00.set_top.mem_hi0_way5,
7223 `L2DAT5.quad_top_left.way158_00.set_top.mem_lo1_way5,
7224 `L2DAT5.quad_top_left.way158_00.set_top.mem_hi1_way5,
7225
7226 `L2DAT5.quad_top_left.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7227 `L2DAT5.quad_top_left.way158_00.set_bot.mem_hi0_way6,
7228 `L2DAT5.quad_top_left.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7229 `L2DAT5.quad_top_left.way158_00.set_bot.mem_hi1_way6,
7230
7231 `L2DAT5.quad_top_left.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7232 `L2DAT5.quad_top_left.way158_00.set_top.mem_hi0_way6,
7233 `L2DAT5.quad_top_left.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7234 `L2DAT5.quad_top_left.way158_00.set_top.mem_hi1_way6,
7235
7236 `L2DAT5.quad_top_left.way158_00.set_bot.mem_lo0_way7,
7237 `L2DAT5.quad_top_left.way158_00.set_bot.mem_hi0_way7,
7238 `L2DAT5.quad_top_left.way158_00.set_bot.mem_lo1_way7,
7239 `L2DAT5.quad_top_left.way158_00.set_bot.mem_hi1_way7,
7240
7241 `L2DAT5.quad_top_left.way158_00.set_top.mem_lo0_way7,
7242 `L2DAT5.quad_top_left.way158_00.set_top.mem_hi0_way7,
7243 `L2DAT5.quad_top_left.way158_00.set_top.mem_lo1_way7,
7244 `L2DAT5.quad_top_left.way158_00.set_top.mem_hi1_way7, //128
7245
7246 //way70_01 bottom
7247 //bottom
7248 `L2DAT5.quad_top_left.way70_01.set_bot.mem_lo0_way0 , //[623:604]
7249 `L2DAT5.quad_top_left.way70_01.set_bot.mem_hi0_way0,
7250 `L2DAT5.quad_top_left.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7251 `L2DAT5.quad_top_left.way70_01.set_bot.mem_hi1_way0,
7252
7253 `L2DAT5.quad_top_left.way70_01.set_top.mem_lo0_way0 , //arg 345
7254 `L2DAT5.quad_top_left.way70_01.set_top.mem_hi0_way0,
7255 `L2DAT5.quad_top_left.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7256 `L2DAT5.quad_top_left.way70_01.set_top.mem_hi1_way0,
7257
7258 `L2DAT5.quad_top_left.way70_01.set_bot.mem_lo0_way1,
7259 `L2DAT5.quad_top_left.way70_01.set_bot.mem_hi0_way1,
7260 `L2DAT5.quad_top_left.way70_01.set_bot.mem_lo1_way1,
7261 `L2DAT5.quad_top_left.way70_01.set_bot.mem_hi1_way1,
7262
7263 `L2DAT5.quad_top_left.way70_01.set_top.mem_lo0_way1,
7264 `L2DAT5.quad_top_left.way70_01.set_top.mem_hi0_way1,
7265 `L2DAT5.quad_top_left.way70_01.set_top.mem_lo1_way1,
7266 `L2DAT5.quad_top_left.way70_01.set_top.mem_hi1_way1,
7267
7268 `L2DAT5.quad_top_left.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7269 `L2DAT5.quad_top_left.way70_01.set_bot.mem_hi0_way2,
7270 `L2DAT5.quad_top_left.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7271 `L2DAT5.quad_top_left.way70_01.set_bot.mem_hi1_way2,
7272
7273 `L2DAT5.quad_top_left.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7274 `L2DAT5.quad_top_left.way70_01.set_top.mem_hi0_way2,
7275 `L2DAT5.quad_top_left.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7276 `L2DAT5.quad_top_left.way70_01.set_top.mem_hi1_way2,
7277
7278 `L2DAT5.quad_top_left.way70_01.set_bot.mem_lo0_way3,
7279 `L2DAT5.quad_top_left.way70_01.set_bot.mem_hi0_way3,
7280 `L2DAT5.quad_top_left.way70_01.set_bot.mem_lo1_way3,
7281 `L2DAT5.quad_top_left.way70_01.set_bot.mem_hi1_way3,
7282
7283 `L2DAT5.quad_top_left.way70_01.set_top.mem_lo0_way3,
7284 `L2DAT5.quad_top_left.way70_01.set_top.mem_hi0_way3,
7285 `L2DAT5.quad_top_left.way70_01.set_top.mem_lo1_way3,
7286 `L2DAT5.quad_top_left.way70_01.set_top.mem_hi1_way3,
7287
7288 `L2DAT5.quad_top_left.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7289 `L2DAT5.quad_top_left.way70_01.set_bot.mem_hi0_way4,
7290 `L2DAT5.quad_top_left.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7291 `L2DAT5.quad_top_left.way70_01.set_bot.mem_hi1_way4,
7292
7293 `L2DAT5.quad_top_left.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7294 `L2DAT5.quad_top_left.way70_01.set_top.mem_hi0_way4,
7295 `L2DAT5.quad_top_left.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7296 `L2DAT5.quad_top_left.way70_01.set_top.mem_hi1_way4,
7297
7298 `L2DAT5.quad_top_left.way70_01.set_bot.mem_lo0_way5,
7299 `L2DAT5.quad_top_left.way70_01.set_bot.mem_hi0_way5,
7300 `L2DAT5.quad_top_left.way70_01.set_bot.mem_lo1_way5,
7301 `L2DAT5.quad_top_left.way70_01.set_bot.mem_hi1_way5,
7302
7303 `L2DAT5.quad_top_left.way70_01.set_top.mem_lo0_way5,
7304 `L2DAT5.quad_top_left.way70_01.set_top.mem_hi0_way5 ,
7305 `L2DAT5.quad_top_left.way70_01.set_top.mem_lo1_way5,
7306 `L2DAT5.quad_top_left.way70_01.set_top.mem_hi1_way5,
7307
7308 `L2DAT5.quad_top_left.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7309 `L2DAT5.quad_top_left.way70_01.set_bot.mem_hi0_way6,
7310 `L2DAT5.quad_top_left.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7311 `L2DAT5.quad_top_left.way70_01.set_bot.mem_hi1_way6,
7312
7313 `L2DAT5.quad_top_left.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7314 `L2DAT5.quad_top_left.way70_01.set_top.mem_hi0_way6,
7315 `L2DAT5.quad_top_left.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7316 `L2DAT5.quad_top_left.way70_01.set_top.mem_hi1_way6,
7317
7318 `L2DAT5.quad_top_left.way70_01.set_bot.mem_lo0_way7,
7319 `L2DAT5.quad_top_left.way70_01.set_bot.mem_hi0_way7 ,
7320 `L2DAT5.quad_top_left.way70_01.set_bot.mem_lo1_way7,
7321 `L2DAT5.quad_top_left.way70_01.set_bot.mem_hi1_way7,
7322
7323 `L2DAT5.quad_top_left.way70_01.set_top.mem_lo0_way7,
7324 `L2DAT5.quad_top_left.way70_01.set_top.mem_hi0_way7 ,
7325 `L2DAT5.quad_top_left.way70_01.set_top.mem_lo1_way7,
7326 `L2DAT5.quad_top_left.way70_01.set_top.mem_hi1_way7, //192
7327
7328 //way [15:8]
7329 `L2DAT5.quad_top_left.way158_01.set_bot.mem_lo0_way0 , //arg 505
7330 `L2DAT5.quad_top_left.way158_01.set_bot.mem_hi0_way0,
7331 `L2DAT5.quad_top_left.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7332 `L2DAT5.quad_top_left.way158_01.set_bot.mem_hi1_way0,
7333 //index [8]
7334 `L2DAT5.quad_top_left.way158_01.set_top.mem_lo0_way0 , //arg 473
7335 `L2DAT5.quad_top_left.way158_01.set_top.mem_hi0_way0,
7336 `L2DAT5.quad_top_left.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7337 `L2DAT5.quad_top_left.way158_01.set_top.mem_hi1_way0,
7338
7339 `L2DAT5.quad_top_left.way158_01.set_bot.mem_lo0_way1,
7340 `L2DAT5.quad_top_left.way158_01.set_bot.mem_hi0_way1,
7341 `L2DAT5.quad_top_left.way158_01.set_bot.mem_lo1_way1,
7342 `L2DAT5.quad_top_left.way158_01.set_bot.mem_hi1_way1,
7343
7344 `L2DAT5.quad_top_left.way158_01.set_top.mem_lo0_way1,
7345 `L2DAT5.quad_top_left.way158_01.set_top.mem_hi0_way1,
7346 `L2DAT5.quad_top_left.way158_01.set_top.mem_lo1_way1,
7347 `L2DAT5.quad_top_left.way158_01.set_top.mem_hi1_way1,
7348
7349 `L2DAT5.quad_top_left.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7350 `L2DAT5.quad_top_left.way158_01.set_bot.mem_hi0_way2,
7351 `L2DAT5.quad_top_left.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7352 `L2DAT5.quad_top_left.way158_01.set_bot.mem_hi1_way2,
7353
7354 `L2DAT5.quad_top_left.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7355 `L2DAT5.quad_top_left.way158_01.set_top.mem_hi0_way2,
7356 `L2DAT5.quad_top_left.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7357 `L2DAT5.quad_top_left.way158_01.set_top.mem_hi1_way2,
7358
7359 `L2DAT5.quad_top_left.way158_01.set_bot.mem_lo0_way3,
7360 `L2DAT5.quad_top_left.way158_01.set_bot.mem_hi0_way3,
7361 `L2DAT5.quad_top_left.way158_01.set_bot.mem_lo1_way3,
7362 `L2DAT5.quad_top_left.way158_01.set_bot.mem_hi1_way3,
7363
7364 `L2DAT5.quad_top_left.way158_01.set_top.mem_lo0_way3,
7365 `L2DAT5.quad_top_left.way158_01.set_top.mem_hi0_way3,
7366 `L2DAT5.quad_top_left.way158_01.set_top.mem_lo1_way3,
7367 `L2DAT5.quad_top_left.way158_01.set_top.mem_hi1_way3,
7368
7369 `L2DAT5.quad_top_left.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7370 `L2DAT5.quad_top_left.way158_01.set_bot.mem_hi0_way4,
7371 `L2DAT5.quad_top_left.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7372 `L2DAT5.quad_top_left.way158_01.set_bot.mem_hi1_way4,
7373
7374 `L2DAT5.quad_top_left.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7375 `L2DAT5.quad_top_left.way158_01.set_top.mem_hi0_way4,
7376 `L2DAT5.quad_top_left.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7377 `L2DAT5.quad_top_left.way158_01.set_top.mem_hi1_way4,
7378
7379 `L2DAT5.quad_top_left.way158_01.set_bot.mem_lo0_way5,
7380 `L2DAT5.quad_top_left.way158_01.set_bot.mem_hi0_way5,
7381 `L2DAT5.quad_top_left.way158_01.set_bot.mem_lo1_way5,
7382 `L2DAT5.quad_top_left.way158_01.set_bot.mem_hi1_way5,
7383
7384 `L2DAT5.quad_top_left.way158_01.set_top.mem_lo0_way5,
7385 `L2DAT5.quad_top_left.way158_01.set_top.mem_hi0_way5,
7386 `L2DAT5.quad_top_left.way158_01.set_top.mem_lo1_way5,
7387 `L2DAT5.quad_top_left.way158_01.set_top.mem_hi1_way5,
7388
7389 `L2DAT5.quad_top_left.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7390 `L2DAT5.quad_top_left.way158_01.set_bot.mem_hi0_way6,
7391 `L2DAT5.quad_top_left.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7392 `L2DAT5.quad_top_left.way158_01.set_bot.mem_hi1_way6,
7393
7394 `L2DAT5.quad_top_left.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7395 `L2DAT5.quad_top_left.way158_01.set_top.mem_hi0_way6,
7396 `L2DAT5.quad_top_left.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7397 `L2DAT5.quad_top_left.way158_01.set_top.mem_hi1_way6,
7398
7399 `L2DAT5.quad_top_left.way158_01.set_bot.mem_lo0_way7,
7400 `L2DAT5.quad_top_left.way158_01.set_bot.mem_hi0_way7,
7401 `L2DAT5.quad_top_left.way158_01.set_bot.mem_lo1_way7,
7402 `L2DAT5.quad_top_left.way158_01.set_bot.mem_hi1_way7,
7403
7404 `L2DAT5.quad_top_left.way158_01.set_top.mem_lo0_way7,
7405 `L2DAT5.quad_top_left.way158_01.set_top.mem_hi0_way7,
7406 `L2DAT5.quad_top_left.way158_01.set_top.mem_lo1_way7,
7407 `L2DAT5.quad_top_left.way158_01.set_top.mem_hi1_way7, //256
7408 //right handside
7409 //bank 0 data
7410 //way [7:0]
7411 //[8] = 0 [255-0]
7412 `L2DAT5.quad_top_right.way07_00.set_bot.mem_lo0_way0 , //arg 313
7413 `L2DAT5.quad_top_right.way07_00.set_bot.mem_hi0_way0,
7414 `L2DAT5.quad_top_right.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7415 `L2DAT5.quad_top_right.way07_00.set_bot.mem_hi1_way0,
7416 //index [511-256]
7417 `L2DAT5.quad_top_right.way07_00.set_top.mem_lo0_way0 , //arg 281
7418 `L2DAT5.quad_top_right.way07_00.set_top.mem_hi0_way0,
7419 `L2DAT5.quad_top_right.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7420 `L2DAT5.quad_top_right.way07_00.set_top.mem_hi1_way0,
7421
7422 `L2DAT5.quad_top_right.way07_00.set_bot.mem_lo0_way1,
7423 `L2DAT5.quad_top_right.way07_00.set_bot.mem_hi0_way1,
7424 `L2DAT5.quad_top_right.way07_00.set_bot.mem_lo1_way1,
7425 `L2DAT5.quad_top_right.way07_00.set_bot.mem_hi1_way1,
7426
7427 `L2DAT5.quad_top_right.way07_00.set_top.mem_lo0_way1,
7428 `L2DAT5.quad_top_right.way07_00.set_top.mem_hi0_way1,
7429 `L2DAT5.quad_top_right.way07_00.set_top.mem_lo1_way1,
7430 `L2DAT5.quad_top_right.way07_00.set_top.mem_hi1_way1,
7431
7432 `L2DAT5.quad_top_right.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7433 `L2DAT5.quad_top_right.way07_00.set_bot.mem_hi0_way2,
7434 `L2DAT5.quad_top_right.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7435 `L2DAT5.quad_top_right.way07_00.set_bot.mem_hi1_way2,
7436
7437 `L2DAT5.quad_top_right.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7438 `L2DAT5.quad_top_right.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
7439 `L2DAT5.quad_top_right.way07_00.set_top.mem_lo1_way2,
7440 `L2DAT5.quad_top_right.way07_00.set_top.mem_hi1_way2,
7441
7442 `L2DAT5.quad_top_right.way07_00.set_bot.mem_lo0_way3,
7443 `L2DAT5.quad_top_right.way07_00.set_bot.mem_hi0_way3,
7444 `L2DAT5.quad_top_right.way07_00.set_bot.mem_lo1_way3,
7445 `L2DAT5.quad_top_right.way07_00.set_bot.mem_hi1_way3,
7446
7447 `L2DAT5.quad_top_right.way07_00.set_top.mem_lo0_way3,
7448 `L2DAT5.quad_top_right.way07_00.set_top.mem_hi0_way3,
7449 `L2DAT5.quad_top_right.way07_00.set_top.mem_lo1_way3,
7450 `L2DAT5.quad_top_right.way07_00.set_top.mem_hi1_way3,
7451
7452 `L2DAT5.quad_top_right.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7453 `L2DAT5.quad_top_right.way07_00.set_bot.mem_hi0_way4,
7454 `L2DAT5.quad_top_right.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7455 `L2DAT5.quad_top_right.way07_00.set_bot.mem_hi1_way4,
7456
7457 `L2DAT5.quad_top_right.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7458 `L2DAT5.quad_top_right.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
7459 `L2DAT5.quad_top_right.way07_00.set_top.mem_lo1_way4,
7460 `L2DAT5.quad_top_right.way07_00.set_top.mem_hi1_way4,
7461
7462 `L2DAT5.quad_top_right.way07_00.set_bot.mem_lo0_way5,
7463 `L2DAT5.quad_top_right.way07_00.set_bot.mem_hi0_way5,
7464 `L2DAT5.quad_top_right.way07_00.set_bot.mem_lo1_way5,
7465 `L2DAT5.quad_top_right.way07_00.set_bot.mem_hi1_way5,
7466
7467 `L2DAT5.quad_top_right.way07_00.set_top.mem_lo0_way5,
7468 `L2DAT5.quad_top_right.way07_00.set_top.mem_hi0_way5,
7469 `L2DAT5.quad_top_right.way07_00.set_top.mem_lo1_way5,
7470 `L2DAT5.quad_top_right.way07_00.set_top.mem_hi1_way5,
7471
7472 `L2DAT5.quad_top_right.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7473 `L2DAT5.quad_top_right.way07_00.set_bot.mem_hi0_way6,
7474 `L2DAT5.quad_top_right.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7475 `L2DAT5.quad_top_right.way07_00.set_bot.mem_hi1_way6,
7476
7477 `L2DAT5.quad_top_right.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7478 `L2DAT5.quad_top_right.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
7479 `L2DAT5.quad_top_right.way07_00.set_top.mem_lo1_way6,
7480 `L2DAT5.quad_top_right.way07_00.set_top.mem_hi1_way6,
7481
7482 `L2DAT5.quad_top_right.way07_00.set_bot.mem_lo0_way7,
7483 `L2DAT5.quad_top_right.way07_00.set_bot.mem_hi0_way7,
7484 `L2DAT5.quad_top_right.way07_00.set_bot.mem_lo1_way7,
7485 `L2DAT5.quad_top_right.way07_00.set_bot.mem_hi1_way7,
7486
7487 `L2DAT5.quad_top_right.way07_00.set_top.mem_lo0_way7,
7488 `L2DAT5.quad_top_right.way07_00.set_top.mem_hi0_way7,
7489 `L2DAT5.quad_top_right.way07_00.set_top.mem_lo1_way7,
7490 `L2DAT5.quad_top_right.way07_00.set_top.mem_hi1_way7, //toatl arg 64
7491
7492 //way158_00 top way [15:8]
7493 //index[255:0]
7494
7495 `L2DAT5.quad_top_right.way158_00.set_bot.mem_lo0_way0 , //arg 441
7496 `L2DAT5.quad_top_right.way158_00.set_bot.mem_hi0_way0,
7497 `L2DAT5.quad_top_right.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7498 `L2DAT5.quad_top_right.way158_00.set_bot.mem_hi1_way0,
7499 //index[511:256]
7500 `L2DAT5.quad_top_right.way158_00.set_top.mem_lo0_way0 , //arg 409
7501 `L2DAT5.quad_top_right.way158_00.set_top.mem_hi0_way0,
7502 `L2DAT5.quad_top_right.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7503 `L2DAT5.quad_top_right.way158_00.set_top.mem_hi1_way0,
7504
7505 `L2DAT5.quad_top_right.way158_00.set_bot.mem_lo0_way1,
7506 `L2DAT5.quad_top_right.way158_00.set_bot.mem_hi0_way1,
7507 `L2DAT5.quad_top_right.way158_00.set_bot.mem_lo1_way1,
7508 `L2DAT5.quad_top_right.way158_00.set_bot.mem_hi1_way1,
7509
7510 `L2DAT5.quad_top_right.way158_00.set_top.mem_lo0_way1,
7511 `L2DAT5.quad_top_right.way158_00.set_top.mem_hi0_way1,
7512 `L2DAT5.quad_top_right.way158_00.set_top.mem_lo1_way1,
7513 `L2DAT5.quad_top_right.way158_00.set_top.mem_hi1_way1,
7514
7515 `L2DAT5.quad_top_right.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7516 `L2DAT5.quad_top_right.way158_00.set_bot.mem_hi0_way2,
7517 `L2DAT5.quad_top_right.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7518 `L2DAT5.quad_top_right.way158_00.set_bot.mem_hi1_way2,
7519
7520 `L2DAT5.quad_top_right.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7521 `L2DAT5.quad_top_right.way158_00.set_top.mem_hi0_way2,
7522 `L2DAT5.quad_top_right.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7523 `L2DAT5.quad_top_right.way158_00.set_top.mem_hi1_way2,
7524
7525 `L2DAT5.quad_top_right.way158_00.set_bot.mem_lo0_way3,
7526 `L2DAT5.quad_top_right.way158_00.set_bot.mem_hi0_way3,
7527 `L2DAT5.quad_top_right.way158_00.set_bot.mem_lo1_way3,
7528 `L2DAT5.quad_top_right.way158_00.set_bot.mem_hi1_way3,
7529
7530 `L2DAT5.quad_top_right.way158_00.set_top.mem_lo0_way3,
7531 `L2DAT5.quad_top_right.way158_00.set_top.mem_hi0_way3,
7532 `L2DAT5.quad_top_right.way158_00.set_top.mem_lo1_way3,
7533 `L2DAT5.quad_top_right.way158_00.set_top.mem_hi1_way3,
7534
7535 `L2DAT5.quad_top_right.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7536 `L2DAT5.quad_top_right.way158_00.set_bot.mem_hi0_way4,
7537 `L2DAT5.quad_top_right.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7538 `L2DAT5.quad_top_right.way158_00.set_bot.mem_hi1_way4,
7539
7540 `L2DAT5.quad_top_right.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7541 `L2DAT5.quad_top_right.way158_00.set_top.mem_hi0_way4,
7542 `L2DAT5.quad_top_right.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7543 `L2DAT5.quad_top_right.way158_00.set_top.mem_hi1_way4,
7544
7545 `L2DAT5.quad_top_right.way158_00.set_bot.mem_lo0_way5,
7546 `L2DAT5.quad_top_right.way158_00.set_bot.mem_hi0_way5,
7547 `L2DAT5.quad_top_right.way158_00.set_bot.mem_lo1_way5,
7548 `L2DAT5.quad_top_right.way158_00.set_bot.mem_hi1_way5,
7549
7550 `L2DAT5.quad_top_right.way158_00.set_top.mem_lo0_way5,
7551 `L2DAT5.quad_top_right.way158_00.set_top.mem_hi0_way5,
7552 `L2DAT5.quad_top_right.way158_00.set_top.mem_lo1_way5,
7553 `L2DAT5.quad_top_right.way158_00.set_top.mem_hi1_way5,
7554
7555 `L2DAT5.quad_top_right.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7556 `L2DAT5.quad_top_right.way158_00.set_bot.mem_hi0_way6,
7557 `L2DAT5.quad_top_right.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7558 `L2DAT5.quad_top_right.way158_00.set_bot.mem_hi1_way6,
7559
7560 `L2DAT5.quad_top_right.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7561 `L2DAT5.quad_top_right.way158_00.set_top.mem_hi0_way6,
7562 `L2DAT5.quad_top_right.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7563 `L2DAT5.quad_top_right.way158_00.set_top.mem_hi1_way6,
7564
7565 `L2DAT5.quad_top_right.way158_00.set_bot.mem_lo0_way7,
7566 `L2DAT5.quad_top_right.way158_00.set_bot.mem_hi0_way7,
7567 `L2DAT5.quad_top_right.way158_00.set_bot.mem_lo1_way7,
7568 `L2DAT5.quad_top_right.way158_00.set_bot.mem_hi1_way7,
7569
7570 `L2DAT5.quad_top_right.way158_00.set_top.mem_lo0_way7,
7571 `L2DAT5.quad_top_right.way158_00.set_top.mem_hi0_way7,
7572 `L2DAT5.quad_top_right.way158_00.set_top.mem_lo1_way7,
7573 `L2DAT5.quad_top_right.way158_00.set_top.mem_hi1_way7, //128
7574
7575 //way70_01 bottom
7576 //bottom
7577 `L2DAT5.quad_top_right.way70_01.set_bot.mem_lo0_way0 , //[623:604]
7578 `L2DAT5.quad_top_right.way70_01.set_bot.mem_hi0_way0,
7579 `L2DAT5.quad_top_right.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7580 `L2DAT5.quad_top_right.way70_01.set_bot.mem_hi1_way0,
7581
7582 `L2DAT5.quad_top_right.way70_01.set_top.mem_lo0_way0 , //arg 345
7583 `L2DAT5.quad_top_right.way70_01.set_top.mem_hi0_way0,
7584 `L2DAT5.quad_top_right.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7585 `L2DAT5.quad_top_right.way70_01.set_top.mem_hi1_way0,
7586
7587 `L2DAT5.quad_top_right.way70_01.set_bot.mem_lo0_way1,
7588 `L2DAT5.quad_top_right.way70_01.set_bot.mem_hi0_way1,
7589 `L2DAT5.quad_top_right.way70_01.set_bot.mem_lo1_way1,
7590 `L2DAT5.quad_top_right.way70_01.set_bot.mem_hi1_way1,
7591
7592 `L2DAT5.quad_top_right.way70_01.set_top.mem_lo0_way1,
7593 `L2DAT5.quad_top_right.way70_01.set_top.mem_hi0_way1,
7594 `L2DAT5.quad_top_right.way70_01.set_top.mem_lo1_way1,
7595 `L2DAT5.quad_top_right.way70_01.set_top.mem_hi1_way1,
7596
7597 `L2DAT5.quad_top_right.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7598 `L2DAT5.quad_top_right.way70_01.set_bot.mem_hi0_way2,
7599 `L2DAT5.quad_top_right.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7600 `L2DAT5.quad_top_right.way70_01.set_bot.mem_hi1_way2,
7601
7602 `L2DAT5.quad_top_right.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7603 `L2DAT5.quad_top_right.way70_01.set_top.mem_hi0_way2,
7604 `L2DAT5.quad_top_right.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7605 `L2DAT5.quad_top_right.way70_01.set_top.mem_hi1_way2,
7606
7607 `L2DAT5.quad_top_right.way70_01.set_bot.mem_lo0_way3,
7608 `L2DAT5.quad_top_right.way70_01.set_bot.mem_hi0_way3,
7609 `L2DAT5.quad_top_right.way70_01.set_bot.mem_lo1_way3,
7610 `L2DAT5.quad_top_right.way70_01.set_bot.mem_hi1_way3,
7611
7612 `L2DAT5.quad_top_right.way70_01.set_top.mem_lo0_way3,
7613 `L2DAT5.quad_top_right.way70_01.set_top.mem_hi0_way3,
7614 `L2DAT5.quad_top_right.way70_01.set_top.mem_lo1_way3,
7615 `L2DAT5.quad_top_right.way70_01.set_top.mem_hi1_way3,
7616
7617 `L2DAT5.quad_top_right.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7618 `L2DAT5.quad_top_right.way70_01.set_bot.mem_hi0_way4,
7619 `L2DAT5.quad_top_right.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7620 `L2DAT5.quad_top_right.way70_01.set_bot.mem_hi1_way4,
7621
7622 `L2DAT5.quad_top_right.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7623 `L2DAT5.quad_top_right.way70_01.set_top.mem_hi0_way4,
7624 `L2DAT5.quad_top_right.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7625 `L2DAT5.quad_top_right.way70_01.set_top.mem_hi1_way4,
7626
7627 `L2DAT5.quad_top_right.way70_01.set_bot.mem_lo0_way5,
7628 `L2DAT5.quad_top_right.way70_01.set_bot.mem_hi0_way5,
7629 `L2DAT5.quad_top_right.way70_01.set_bot.mem_lo1_way5,
7630 `L2DAT5.quad_top_right.way70_01.set_bot.mem_hi1_way5,
7631
7632 `L2DAT5.quad_top_right.way70_01.set_top.mem_lo0_way5,
7633 `L2DAT5.quad_top_right.way70_01.set_top.mem_hi0_way5 ,
7634 `L2DAT5.quad_top_right.way70_01.set_top.mem_lo1_way5,
7635 `L2DAT5.quad_top_right.way70_01.set_top.mem_hi1_way5,
7636
7637 `L2DAT5.quad_top_right.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7638 `L2DAT5.quad_top_right.way70_01.set_bot.mem_hi0_way6,
7639 `L2DAT5.quad_top_right.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7640 `L2DAT5.quad_top_right.way70_01.set_bot.mem_hi1_way6,
7641
7642 `L2DAT5.quad_top_right.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7643 `L2DAT5.quad_top_right.way70_01.set_top.mem_hi0_way6,
7644 `L2DAT5.quad_top_right.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7645 `L2DAT5.quad_top_right.way70_01.set_top.mem_hi1_way6,
7646
7647 `L2DAT5.quad_top_right.way70_01.set_bot.mem_lo0_way7,
7648 `L2DAT5.quad_top_right.way70_01.set_bot.mem_hi0_way7 ,
7649 `L2DAT5.quad_top_right.way70_01.set_bot.mem_lo1_way7,
7650 `L2DAT5.quad_top_right.way70_01.set_bot.mem_hi1_way7,
7651
7652 `L2DAT5.quad_top_right.way70_01.set_top.mem_lo0_way7,
7653 `L2DAT5.quad_top_right.way70_01.set_top.mem_hi0_way7 ,
7654 `L2DAT5.quad_top_right.way70_01.set_top.mem_lo1_way7,
7655 `L2DAT5.quad_top_right.way70_01.set_top.mem_hi1_way7, //192
7656
7657 //way [15:8]
7658 `L2DAT5.quad_top_right.way158_01.set_bot.mem_lo0_way0 , //arg 505
7659 `L2DAT5.quad_top_right.way158_01.set_bot.mem_hi0_way0,
7660 `L2DAT5.quad_top_right.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7661 `L2DAT5.quad_top_right.way158_01.set_bot.mem_hi1_way0,
7662 //index [8]
7663 `L2DAT5.quad_top_right.way158_01.set_top.mem_lo0_way0 , //arg 473
7664 `L2DAT5.quad_top_right.way158_01.set_top.mem_hi0_way0,
7665 `L2DAT5.quad_top_right.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7666 `L2DAT5.quad_top_right.way158_01.set_top.mem_hi1_way0,
7667
7668 `L2DAT5.quad_top_right.way158_01.set_bot.mem_lo0_way1,
7669 `L2DAT5.quad_top_right.way158_01.set_bot.mem_hi0_way1,
7670 `L2DAT5.quad_top_right.way158_01.set_bot.mem_lo1_way1,
7671 `L2DAT5.quad_top_right.way158_01.set_bot.mem_hi1_way1,
7672
7673 `L2DAT5.quad_top_right.way158_01.set_top.mem_lo0_way1,
7674 `L2DAT5.quad_top_right.way158_01.set_top.mem_hi0_way1,
7675 `L2DAT5.quad_top_right.way158_01.set_top.mem_lo1_way1,
7676 `L2DAT5.quad_top_right.way158_01.set_top.mem_hi1_way1,
7677
7678 `L2DAT5.quad_top_right.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7679 `L2DAT5.quad_top_right.way158_01.set_bot.mem_hi0_way2,
7680 `L2DAT5.quad_top_right.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7681 `L2DAT5.quad_top_right.way158_01.set_bot.mem_hi1_way2,
7682
7683 `L2DAT5.quad_top_right.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7684 `L2DAT5.quad_top_right.way158_01.set_top.mem_hi0_way2,
7685 `L2DAT5.quad_top_right.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7686 `L2DAT5.quad_top_right.way158_01.set_top.mem_hi1_way2,
7687
7688 `L2DAT5.quad_top_right.way158_01.set_bot.mem_lo0_way3,
7689 `L2DAT5.quad_top_right.way158_01.set_bot.mem_hi0_way3,
7690 `L2DAT5.quad_top_right.way158_01.set_bot.mem_lo1_way3,
7691 `L2DAT5.quad_top_right.way158_01.set_bot.mem_hi1_way3,
7692
7693 `L2DAT5.quad_top_right.way158_01.set_top.mem_lo0_way3,
7694 `L2DAT5.quad_top_right.way158_01.set_top.mem_hi0_way3,
7695 `L2DAT5.quad_top_right.way158_01.set_top.mem_lo1_way3,
7696 `L2DAT5.quad_top_right.way158_01.set_top.mem_hi1_way3,
7697
7698 `L2DAT5.quad_top_right.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7699 `L2DAT5.quad_top_right.way158_01.set_bot.mem_hi0_way4,
7700 `L2DAT5.quad_top_right.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7701 `L2DAT5.quad_top_right.way158_01.set_bot.mem_hi1_way4,
7702
7703 `L2DAT5.quad_top_right.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7704 `L2DAT5.quad_top_right.way158_01.set_top.mem_hi0_way4,
7705 `L2DAT5.quad_top_right.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7706 `L2DAT5.quad_top_right.way158_01.set_top.mem_hi1_way4,
7707
7708 `L2DAT5.quad_top_right.way158_01.set_bot.mem_lo0_way5,
7709 `L2DAT5.quad_top_right.way158_01.set_bot.mem_hi0_way5,
7710 `L2DAT5.quad_top_right.way158_01.set_bot.mem_lo1_way5,
7711 `L2DAT5.quad_top_right.way158_01.set_bot.mem_hi1_way5,
7712
7713 `L2DAT5.quad_top_right.way158_01.set_top.mem_lo0_way5,
7714 `L2DAT5.quad_top_right.way158_01.set_top.mem_hi0_way5,
7715 `L2DAT5.quad_top_right.way158_01.set_top.mem_lo1_way5,
7716 `L2DAT5.quad_top_right.way158_01.set_top.mem_hi1_way5,
7717
7718 `L2DAT5.quad_top_right.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7719 `L2DAT5.quad_top_right.way158_01.set_bot.mem_hi0_way6,
7720 `L2DAT5.quad_top_right.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7721 `L2DAT5.quad_top_right.way158_01.set_bot.mem_hi1_way6,
7722
7723 `L2DAT5.quad_top_right.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7724 `L2DAT5.quad_top_right.way158_01.set_top.mem_hi0_way6,
7725 `L2DAT5.quad_top_right.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7726 `L2DAT5.quad_top_right.way158_01.set_top.mem_hi1_way6,
7727
7728 `L2DAT5.quad_top_right.way158_01.set_bot.mem_lo0_way7,
7729 `L2DAT5.quad_top_right.way158_01.set_bot.mem_hi0_way7,
7730 `L2DAT5.quad_top_right.way158_01.set_bot.mem_lo1_way7,
7731 `L2DAT5.quad_top_right.way158_01.set_bot.mem_hi1_way7,
7732
7733 `L2DAT5.quad_top_right.way158_01.set_top.mem_lo0_way7,
7734 `L2DAT5.quad_top_right.way158_01.set_top.mem_hi0_way7,
7735 `L2DAT5.quad_top_right.way158_01.set_top.mem_lo1_way7,
7736 `L2DAT5.quad_top_right.way158_01.set_top.mem_hi1_way7, //512
7737 //bottom part
7738 //bank 0 data
7739 //way [7:0]
7740 //[8] = 0 [255-0]
7741 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_lo0_way0 , //arg 313
7742 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_hi0_way0,
7743 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7744 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_hi1_way0,
7745 //index [511-256]
7746 `L2DAT5.quad_bot_left.way07_00.set_top.mem_lo0_way0 , //arg 281
7747 `L2DAT5.quad_bot_left.way07_00.set_top.mem_hi0_way0,
7748 `L2DAT5.quad_bot_left.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7749 `L2DAT5.quad_bot_left.way07_00.set_top.mem_hi1_way0,
7750
7751 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_lo0_way1,
7752 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_hi0_way1,
7753 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_lo1_way1,
7754 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_hi1_way1,
7755
7756 `L2DAT5.quad_bot_left.way07_00.set_top.mem_lo0_way1,
7757 `L2DAT5.quad_bot_left.way07_00.set_top.mem_hi0_way1,
7758 `L2DAT5.quad_bot_left.way07_00.set_top.mem_lo1_way1,
7759 `L2DAT5.quad_bot_left.way07_00.set_top.mem_hi1_way1,
7760
7761 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7762 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_hi0_way2,
7763 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7764 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_hi1_way2,
7765
7766 `L2DAT5.quad_bot_left.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7767 `L2DAT5.quad_bot_left.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
7768 `L2DAT5.quad_bot_left.way07_00.set_top.mem_lo1_way2,
7769 `L2DAT5.quad_bot_left.way07_00.set_top.mem_hi1_way2,
7770
7771 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_lo0_way3,
7772 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_hi0_way3,
7773 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_lo1_way3,
7774 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_hi1_way3,
7775
7776 `L2DAT5.quad_bot_left.way07_00.set_top.mem_lo0_way3,
7777 `L2DAT5.quad_bot_left.way07_00.set_top.mem_hi0_way3,
7778 `L2DAT5.quad_bot_left.way07_00.set_top.mem_lo1_way3,
7779 `L2DAT5.quad_bot_left.way07_00.set_top.mem_hi1_way3,
7780
7781 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7782 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_hi0_way4,
7783 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7784 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_hi1_way4,
7785
7786 `L2DAT5.quad_bot_left.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7787 `L2DAT5.quad_bot_left.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
7788 `L2DAT5.quad_bot_left.way07_00.set_top.mem_lo1_way4,
7789 `L2DAT5.quad_bot_left.way07_00.set_top.mem_hi1_way4,
7790
7791 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_lo0_way5,
7792 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_hi0_way5,
7793 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_lo1_way5,
7794 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_hi1_way5,
7795
7796 `L2DAT5.quad_bot_left.way07_00.set_top.mem_lo0_way5,
7797 `L2DAT5.quad_bot_left.way07_00.set_top.mem_hi0_way5,
7798 `L2DAT5.quad_bot_left.way07_00.set_top.mem_lo1_way5,
7799 `L2DAT5.quad_bot_left.way07_00.set_top.mem_hi1_way5,
7800
7801 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7802 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_hi0_way6,
7803 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7804 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_hi1_way6,
7805
7806 `L2DAT5.quad_bot_left.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7807 `L2DAT5.quad_bot_left.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
7808 `L2DAT5.quad_bot_left.way07_00.set_top.mem_lo1_way6,
7809 `L2DAT5.quad_bot_left.way07_00.set_top.mem_hi1_way6,
7810
7811 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_lo0_way7,
7812 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_hi0_way7,
7813 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_lo1_way7,
7814 `L2DAT5.quad_bot_left.way07_00.set_bot.mem_hi1_way7,
7815
7816 `L2DAT5.quad_bot_left.way07_00.set_top.mem_lo0_way7,
7817 `L2DAT5.quad_bot_left.way07_00.set_top.mem_hi0_way7,
7818 `L2DAT5.quad_bot_left.way07_00.set_top.mem_lo1_way7,
7819 `L2DAT5.quad_bot_left.way07_00.set_top.mem_hi1_way7, //toatl arg 64
7820
7821 //way158_00 top way [15:8]
7822 //index[255:0]
7823
7824 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_lo0_way0 , //arg 441
7825 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_hi0_way0,
7826 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7827 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_hi1_way0,
7828 //index[511:256]
7829 `L2DAT5.quad_bot_left.way158_00.set_top.mem_lo0_way0 , //arg 409
7830 `L2DAT5.quad_bot_left.way158_00.set_top.mem_hi0_way0,
7831 `L2DAT5.quad_bot_left.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7832 `L2DAT5.quad_bot_left.way158_00.set_top.mem_hi1_way0,
7833
7834 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_lo0_way1,
7835 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_hi0_way1,
7836 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_lo1_way1,
7837 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_hi1_way1,
7838
7839 `L2DAT5.quad_bot_left.way158_00.set_top.mem_lo0_way1,
7840 `L2DAT5.quad_bot_left.way158_00.set_top.mem_hi0_way1,
7841 `L2DAT5.quad_bot_left.way158_00.set_top.mem_lo1_way1,
7842 `L2DAT5.quad_bot_left.way158_00.set_top.mem_hi1_way1,
7843
7844 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7845 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_hi0_way2,
7846 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7847 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_hi1_way2,
7848
7849 `L2DAT5.quad_bot_left.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7850 `L2DAT5.quad_bot_left.way158_00.set_top.mem_hi0_way2,
7851 `L2DAT5.quad_bot_left.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7852 `L2DAT5.quad_bot_left.way158_00.set_top.mem_hi1_way2,
7853
7854 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_lo0_way3,
7855 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_hi0_way3,
7856 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_lo1_way3,
7857 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_hi1_way3,
7858
7859 `L2DAT5.quad_bot_left.way158_00.set_top.mem_lo0_way3,
7860 `L2DAT5.quad_bot_left.way158_00.set_top.mem_hi0_way3,
7861 `L2DAT5.quad_bot_left.way158_00.set_top.mem_lo1_way3,
7862 `L2DAT5.quad_bot_left.way158_00.set_top.mem_hi1_way3,
7863
7864 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7865 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_hi0_way4,
7866 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7867 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_hi1_way4,
7868
7869 `L2DAT5.quad_bot_left.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7870 `L2DAT5.quad_bot_left.way158_00.set_top.mem_hi0_way4,
7871 `L2DAT5.quad_bot_left.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7872 `L2DAT5.quad_bot_left.way158_00.set_top.mem_hi1_way4,
7873
7874 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_lo0_way5,
7875 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_hi0_way5,
7876 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_lo1_way5,
7877 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_hi1_way5,
7878
7879 `L2DAT5.quad_bot_left.way158_00.set_top.mem_lo0_way5,
7880 `L2DAT5.quad_bot_left.way158_00.set_top.mem_hi0_way5,
7881 `L2DAT5.quad_bot_left.way158_00.set_top.mem_lo1_way5,
7882 `L2DAT5.quad_bot_left.way158_00.set_top.mem_hi1_way5,
7883
7884 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7885 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_hi0_way6,
7886 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7887 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_hi1_way6,
7888
7889 `L2DAT5.quad_bot_left.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7890 `L2DAT5.quad_bot_left.way158_00.set_top.mem_hi0_way6,
7891 `L2DAT5.quad_bot_left.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7892 `L2DAT5.quad_bot_left.way158_00.set_top.mem_hi1_way6,
7893
7894 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_lo0_way7,
7895 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_hi0_way7,
7896 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_lo1_way7,
7897 `L2DAT5.quad_bot_left.way158_00.set_bot.mem_hi1_way7,
7898
7899 `L2DAT5.quad_bot_left.way158_00.set_top.mem_lo0_way7,
7900 `L2DAT5.quad_bot_left.way158_00.set_top.mem_hi0_way7,
7901 `L2DAT5.quad_bot_left.way158_00.set_top.mem_lo1_way7,
7902 `L2DAT5.quad_bot_left.way158_00.set_top.mem_hi1_way7, //128
7903
7904 //way70_01 bottom
7905 //bottom
7906 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_lo0_way0 , //[623:604]
7907 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_hi0_way0,
7908 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7909 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_hi1_way0,
7910
7911 `L2DAT5.quad_bot_left.way70_01.set_top.mem_lo0_way0 , //arg 345
7912 `L2DAT5.quad_bot_left.way70_01.set_top.mem_hi0_way0,
7913 `L2DAT5.quad_bot_left.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7914 `L2DAT5.quad_bot_left.way70_01.set_top.mem_hi1_way0,
7915
7916 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_lo0_way1,
7917 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_hi0_way1,
7918 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_lo1_way1,
7919 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_hi1_way1,
7920
7921 `L2DAT5.quad_bot_left.way70_01.set_top.mem_lo0_way1,
7922 `L2DAT5.quad_bot_left.way70_01.set_top.mem_hi0_way1,
7923 `L2DAT5.quad_bot_left.way70_01.set_top.mem_lo1_way1,
7924 `L2DAT5.quad_bot_left.way70_01.set_top.mem_hi1_way1,
7925
7926 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7927 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_hi0_way2,
7928 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7929 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_hi1_way2,
7930
7931 `L2DAT5.quad_bot_left.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
7932 `L2DAT5.quad_bot_left.way70_01.set_top.mem_hi0_way2,
7933 `L2DAT5.quad_bot_left.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
7934 `L2DAT5.quad_bot_left.way70_01.set_top.mem_hi1_way2,
7935
7936 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_lo0_way3,
7937 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_hi0_way3,
7938 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_lo1_way3,
7939 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_hi1_way3,
7940
7941 `L2DAT5.quad_bot_left.way70_01.set_top.mem_lo0_way3,
7942 `L2DAT5.quad_bot_left.way70_01.set_top.mem_hi0_way3,
7943 `L2DAT5.quad_bot_left.way70_01.set_top.mem_lo1_way3,
7944 `L2DAT5.quad_bot_left.way70_01.set_top.mem_hi1_way3,
7945
7946 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7947 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_hi0_way4,
7948 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7949 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_hi1_way4,
7950
7951 `L2DAT5.quad_bot_left.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
7952 `L2DAT5.quad_bot_left.way70_01.set_top.mem_hi0_way4,
7953 `L2DAT5.quad_bot_left.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
7954 `L2DAT5.quad_bot_left.way70_01.set_top.mem_hi1_way4,
7955
7956 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_lo0_way5,
7957 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_hi0_way5,
7958 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_lo1_way5,
7959 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_hi1_way5,
7960
7961 `L2DAT5.quad_bot_left.way70_01.set_top.mem_lo0_way5,
7962 `L2DAT5.quad_bot_left.way70_01.set_top.mem_hi0_way5 ,
7963 `L2DAT5.quad_bot_left.way70_01.set_top.mem_lo1_way5,
7964 `L2DAT5.quad_bot_left.way70_01.set_top.mem_hi1_way5,
7965
7966 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7967 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_hi0_way6,
7968 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7969 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_hi1_way6,
7970
7971 `L2DAT5.quad_bot_left.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
7972 `L2DAT5.quad_bot_left.way70_01.set_top.mem_hi0_way6,
7973 `L2DAT5.quad_bot_left.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
7974 `L2DAT5.quad_bot_left.way70_01.set_top.mem_hi1_way6,
7975
7976 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_lo0_way7,
7977 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_hi0_way7 ,
7978 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_lo1_way7,
7979 `L2DAT5.quad_bot_left.way70_01.set_bot.mem_hi1_way7,
7980
7981 `L2DAT5.quad_bot_left.way70_01.set_top.mem_lo0_way7,
7982 `L2DAT5.quad_bot_left.way70_01.set_top.mem_hi0_way7 ,
7983 `L2DAT5.quad_bot_left.way70_01.set_top.mem_lo1_way7,
7984 `L2DAT5.quad_bot_left.way70_01.set_top.mem_hi1_way7, //192
7985
7986 //way [15:8]
7987 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_lo0_way0 , //arg 505
7988 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_hi0_way0,
7989 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7990 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_hi1_way0,
7991 //index [8]
7992 `L2DAT5.quad_bot_left.way158_01.set_top.mem_lo0_way0 , //arg 473
7993 `L2DAT5.quad_bot_left.way158_01.set_top.mem_hi0_way0,
7994 `L2DAT5.quad_bot_left.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
7995 `L2DAT5.quad_bot_left.way158_01.set_top.mem_hi1_way0,
7996
7997 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_lo0_way1,
7998 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_hi0_way1,
7999 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_lo1_way1,
8000 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_hi1_way1,
8001
8002 `L2DAT5.quad_bot_left.way158_01.set_top.mem_lo0_way1,
8003 `L2DAT5.quad_bot_left.way158_01.set_top.mem_hi0_way1,
8004 `L2DAT5.quad_bot_left.way158_01.set_top.mem_lo1_way1,
8005 `L2DAT5.quad_bot_left.way158_01.set_top.mem_hi1_way1,
8006
8007 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8008 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_hi0_way2,
8009 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8010 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_hi1_way2,
8011
8012 `L2DAT5.quad_bot_left.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8013 `L2DAT5.quad_bot_left.way158_01.set_top.mem_hi0_way2,
8014 `L2DAT5.quad_bot_left.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8015 `L2DAT5.quad_bot_left.way158_01.set_top.mem_hi1_way2,
8016
8017 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_lo0_way3,
8018 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_hi0_way3,
8019 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_lo1_way3,
8020 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_hi1_way3,
8021
8022 `L2DAT5.quad_bot_left.way158_01.set_top.mem_lo0_way3,
8023 `L2DAT5.quad_bot_left.way158_01.set_top.mem_hi0_way3,
8024 `L2DAT5.quad_bot_left.way158_01.set_top.mem_lo1_way3,
8025 `L2DAT5.quad_bot_left.way158_01.set_top.mem_hi1_way3,
8026
8027 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8028 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_hi0_way4,
8029 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8030 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_hi1_way4,
8031
8032 `L2DAT5.quad_bot_left.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8033 `L2DAT5.quad_bot_left.way158_01.set_top.mem_hi0_way4,
8034 `L2DAT5.quad_bot_left.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8035 `L2DAT5.quad_bot_left.way158_01.set_top.mem_hi1_way4,
8036
8037 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_lo0_way5,
8038 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_hi0_way5,
8039 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_lo1_way5,
8040 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_hi1_way5,
8041
8042 `L2DAT5.quad_bot_left.way158_01.set_top.mem_lo0_way5,
8043 `L2DAT5.quad_bot_left.way158_01.set_top.mem_hi0_way5,
8044 `L2DAT5.quad_bot_left.way158_01.set_top.mem_lo1_way5,
8045 `L2DAT5.quad_bot_left.way158_01.set_top.mem_hi1_way5,
8046
8047 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8048 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_hi0_way6,
8049 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8050 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_hi1_way6,
8051
8052 `L2DAT5.quad_bot_left.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8053 `L2DAT5.quad_bot_left.way158_01.set_top.mem_hi0_way6,
8054 `L2DAT5.quad_bot_left.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8055 `L2DAT5.quad_bot_left.way158_01.set_top.mem_hi1_way6,
8056
8057 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_lo0_way7,
8058 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_hi0_way7,
8059 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_lo1_way7,
8060 `L2DAT5.quad_bot_left.way158_01.set_bot.mem_hi1_way7,
8061
8062 `L2DAT5.quad_bot_left.way158_01.set_top.mem_lo0_way7,
8063 `L2DAT5.quad_bot_left.way158_01.set_top.mem_hi0_way7,
8064 `L2DAT5.quad_bot_left.way158_01.set_top.mem_lo1_way7,
8065 `L2DAT5.quad_bot_left.way158_01.set_top.mem_hi1_way7, //256
8066 //right handside
8067 //bank 0 data
8068 //way [7:0]
8069 //[8] = 0 [255-0]
8070 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_lo0_way0 , //arg 313
8071 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_hi0_way0,
8072 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8073 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_hi1_way0,
8074 //index [511-256]
8075 `L2DAT5.quad_bot_right.way07_00.set_top.mem_lo0_way0 , //arg 281
8076 `L2DAT5.quad_bot_right.way07_00.set_top.mem_hi0_way0,
8077 `L2DAT5.quad_bot_right.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8078 `L2DAT5.quad_bot_right.way07_00.set_top.mem_hi1_way0,
8079
8080 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_lo0_way1,
8081 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_hi0_way1,
8082 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_lo1_way1,
8083 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_hi1_way1,
8084
8085 `L2DAT5.quad_bot_right.way07_00.set_top.mem_lo0_way1,
8086 `L2DAT5.quad_bot_right.way07_00.set_top.mem_hi0_way1,
8087 `L2DAT5.quad_bot_right.way07_00.set_top.mem_lo1_way1,
8088 `L2DAT5.quad_bot_right.way07_00.set_top.mem_hi1_way1,
8089
8090 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8091 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_hi0_way2,
8092 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8093 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_hi1_way2,
8094
8095 `L2DAT5.quad_bot_right.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8096 `L2DAT5.quad_bot_right.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
8097 `L2DAT5.quad_bot_right.way07_00.set_top.mem_lo1_way2,
8098 `L2DAT5.quad_bot_right.way07_00.set_top.mem_hi1_way2,
8099
8100 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_lo0_way3,
8101 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_hi0_way3,
8102 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_lo1_way3,
8103 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_hi1_way3,
8104
8105 `L2DAT5.quad_bot_right.way07_00.set_top.mem_lo0_way3,
8106 `L2DAT5.quad_bot_right.way07_00.set_top.mem_hi0_way3,
8107 `L2DAT5.quad_bot_right.way07_00.set_top.mem_lo1_way3,
8108 `L2DAT5.quad_bot_right.way07_00.set_top.mem_hi1_way3,
8109
8110 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8111 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_hi0_way4,
8112 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8113 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_hi1_way4,
8114
8115 `L2DAT5.quad_bot_right.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8116 `L2DAT5.quad_bot_right.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
8117 `L2DAT5.quad_bot_right.way07_00.set_top.mem_lo1_way4,
8118 `L2DAT5.quad_bot_right.way07_00.set_top.mem_hi1_way4,
8119
8120 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_lo0_way5,
8121 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_hi0_way5,
8122 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_lo1_way5,
8123 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_hi1_way5,
8124
8125 `L2DAT5.quad_bot_right.way07_00.set_top.mem_lo0_way5,
8126 `L2DAT5.quad_bot_right.way07_00.set_top.mem_hi0_way5,
8127 `L2DAT5.quad_bot_right.way07_00.set_top.mem_lo1_way5,
8128 `L2DAT5.quad_bot_right.way07_00.set_top.mem_hi1_way5,
8129
8130 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8131 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_hi0_way6,
8132 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8133 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_hi1_way6,
8134
8135 `L2DAT5.quad_bot_right.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8136 `L2DAT5.quad_bot_right.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
8137 `L2DAT5.quad_bot_right.way07_00.set_top.mem_lo1_way6,
8138 `L2DAT5.quad_bot_right.way07_00.set_top.mem_hi1_way6,
8139
8140 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_lo0_way7,
8141 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_hi0_way7,
8142 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_lo1_way7,
8143 `L2DAT5.quad_bot_right.way07_00.set_bot.mem_hi1_way7,
8144
8145 `L2DAT5.quad_bot_right.way07_00.set_top.mem_lo0_way7,
8146 `L2DAT5.quad_bot_right.way07_00.set_top.mem_hi0_way7,
8147 `L2DAT5.quad_bot_right.way07_00.set_top.mem_lo1_way7,
8148 `L2DAT5.quad_bot_right.way07_00.set_top.mem_hi1_way7, //toatl arg 64
8149
8150 //way158_00 top way [15:8]
8151 //index[255:0]
8152
8153 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_lo0_way0 , //arg 441
8154 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_hi0_way0,
8155 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8156 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_hi1_way0,
8157 //index[511:256]
8158 `L2DAT5.quad_bot_right.way158_00.set_top.mem_lo0_way0 , //arg 409
8159 `L2DAT5.quad_bot_right.way158_00.set_top.mem_hi0_way0,
8160 `L2DAT5.quad_bot_right.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8161 `L2DAT5.quad_bot_right.way158_00.set_top.mem_hi1_way0,
8162
8163 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_lo0_way1,
8164 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_hi0_way1,
8165 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_lo1_way1,
8166 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_hi1_way1,
8167
8168 `L2DAT5.quad_bot_right.way158_00.set_top.mem_lo0_way1,
8169 `L2DAT5.quad_bot_right.way158_00.set_top.mem_hi0_way1,
8170 `L2DAT5.quad_bot_right.way158_00.set_top.mem_lo1_way1,
8171 `L2DAT5.quad_bot_right.way158_00.set_top.mem_hi1_way1,
8172
8173 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8174 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_hi0_way2,
8175 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8176 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_hi1_way2,
8177
8178 `L2DAT5.quad_bot_right.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8179 `L2DAT5.quad_bot_right.way158_00.set_top.mem_hi0_way2,
8180 `L2DAT5.quad_bot_right.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8181 `L2DAT5.quad_bot_right.way158_00.set_top.mem_hi1_way2,
8182
8183 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_lo0_way3,
8184 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_hi0_way3,
8185 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_lo1_way3,
8186 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_hi1_way3,
8187
8188 `L2DAT5.quad_bot_right.way158_00.set_top.mem_lo0_way3,
8189 `L2DAT5.quad_bot_right.way158_00.set_top.mem_hi0_way3,
8190 `L2DAT5.quad_bot_right.way158_00.set_top.mem_lo1_way3,
8191 `L2DAT5.quad_bot_right.way158_00.set_top.mem_hi1_way3,
8192
8193 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8194 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_hi0_way4,
8195 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8196 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_hi1_way4,
8197
8198 `L2DAT5.quad_bot_right.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8199 `L2DAT5.quad_bot_right.way158_00.set_top.mem_hi0_way4,
8200 `L2DAT5.quad_bot_right.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8201 `L2DAT5.quad_bot_right.way158_00.set_top.mem_hi1_way4,
8202
8203 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_lo0_way5,
8204 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_hi0_way5,
8205 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_lo1_way5,
8206 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_hi1_way5,
8207
8208 `L2DAT5.quad_bot_right.way158_00.set_top.mem_lo0_way5,
8209 `L2DAT5.quad_bot_right.way158_00.set_top.mem_hi0_way5,
8210 `L2DAT5.quad_bot_right.way158_00.set_top.mem_lo1_way5,
8211 `L2DAT5.quad_bot_right.way158_00.set_top.mem_hi1_way5,
8212
8213 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8214 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_hi0_way6,
8215 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8216 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_hi1_way6,
8217
8218 `L2DAT5.quad_bot_right.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8219 `L2DAT5.quad_bot_right.way158_00.set_top.mem_hi0_way6,
8220 `L2DAT5.quad_bot_right.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8221 `L2DAT5.quad_bot_right.way158_00.set_top.mem_hi1_way6,
8222
8223 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_lo0_way7,
8224 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_hi0_way7,
8225 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_lo1_way7,
8226 `L2DAT5.quad_bot_right.way158_00.set_bot.mem_hi1_way7,
8227
8228 `L2DAT5.quad_bot_right.way158_00.set_top.mem_lo0_way7,
8229 `L2DAT5.quad_bot_right.way158_00.set_top.mem_hi0_way7,
8230 `L2DAT5.quad_bot_right.way158_00.set_top.mem_lo1_way7,
8231 `L2DAT5.quad_bot_right.way158_00.set_top.mem_hi1_way7, //128
8232
8233 //way70_01 bottom
8234 //bottom
8235 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_lo0_way0 , //[623:604]
8236 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_hi0_way0,
8237 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8238 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_hi1_way0,
8239
8240 `L2DAT5.quad_bot_right.way70_01.set_top.mem_lo0_way0 , //arg 345
8241 `L2DAT5.quad_bot_right.way70_01.set_top.mem_hi0_way0,
8242 `L2DAT5.quad_bot_right.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8243 `L2DAT5.quad_bot_right.way70_01.set_top.mem_hi1_way0,
8244
8245 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_lo0_way1,
8246 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_hi0_way1,
8247 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_lo1_way1,
8248 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_hi1_way1,
8249
8250 `L2DAT5.quad_bot_right.way70_01.set_top.mem_lo0_way1,
8251 `L2DAT5.quad_bot_right.way70_01.set_top.mem_hi0_way1,
8252 `L2DAT5.quad_bot_right.way70_01.set_top.mem_lo1_way1,
8253 `L2DAT5.quad_bot_right.way70_01.set_top.mem_hi1_way1,
8254
8255 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8256 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_hi0_way2,
8257 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8258 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_hi1_way2,
8259
8260 `L2DAT5.quad_bot_right.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8261 `L2DAT5.quad_bot_right.way70_01.set_top.mem_hi0_way2,
8262 `L2DAT5.quad_bot_right.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8263 `L2DAT5.quad_bot_right.way70_01.set_top.mem_hi1_way2,
8264
8265 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_lo0_way3,
8266 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_hi0_way3,
8267 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_lo1_way3,
8268 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_hi1_way3,
8269
8270 `L2DAT5.quad_bot_right.way70_01.set_top.mem_lo0_way3,
8271 `L2DAT5.quad_bot_right.way70_01.set_top.mem_hi0_way3,
8272 `L2DAT5.quad_bot_right.way70_01.set_top.mem_lo1_way3,
8273 `L2DAT5.quad_bot_right.way70_01.set_top.mem_hi1_way3,
8274
8275 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8276 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_hi0_way4,
8277 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8278 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_hi1_way4,
8279
8280 `L2DAT5.quad_bot_right.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8281 `L2DAT5.quad_bot_right.way70_01.set_top.mem_hi0_way4,
8282 `L2DAT5.quad_bot_right.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8283 `L2DAT5.quad_bot_right.way70_01.set_top.mem_hi1_way4,
8284
8285 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_lo0_way5,
8286 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_hi0_way5,
8287 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_lo1_way5,
8288 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_hi1_way5,
8289
8290 `L2DAT5.quad_bot_right.way70_01.set_top.mem_lo0_way5,
8291 `L2DAT5.quad_bot_right.way70_01.set_top.mem_hi0_way5 ,
8292 `L2DAT5.quad_bot_right.way70_01.set_top.mem_lo1_way5,
8293 `L2DAT5.quad_bot_right.way70_01.set_top.mem_hi1_way5,
8294
8295 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8296 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_hi0_way6,
8297 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8298 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_hi1_way6,
8299
8300 `L2DAT5.quad_bot_right.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8301 `L2DAT5.quad_bot_right.way70_01.set_top.mem_hi0_way6,
8302 `L2DAT5.quad_bot_right.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8303 `L2DAT5.quad_bot_right.way70_01.set_top.mem_hi1_way6,
8304
8305 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_lo0_way7,
8306 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_hi0_way7 ,
8307 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_lo1_way7,
8308 `L2DAT5.quad_bot_right.way70_01.set_bot.mem_hi1_way7,
8309
8310 `L2DAT5.quad_bot_right.way70_01.set_top.mem_lo0_way7,
8311 `L2DAT5.quad_bot_right.way70_01.set_top.mem_hi0_way7 ,
8312 `L2DAT5.quad_bot_right.way70_01.set_top.mem_lo1_way7,
8313 `L2DAT5.quad_bot_right.way70_01.set_top.mem_hi1_way7, //192
8314
8315 //way [15:8]
8316 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_lo0_way0 , //arg 505
8317 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_hi0_way0,
8318 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8319 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_hi1_way0,
8320 //index [8]
8321 `L2DAT5.quad_bot_right.way158_01.set_top.mem_lo0_way0 , //arg 473
8322 `L2DAT5.quad_bot_right.way158_01.set_top.mem_hi0_way0,
8323 `L2DAT5.quad_bot_right.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8324 `L2DAT5.quad_bot_right.way158_01.set_top.mem_hi1_way0,
8325
8326 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_lo0_way1,
8327 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_hi0_way1,
8328 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_lo1_way1,
8329 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_hi1_way1,
8330
8331 `L2DAT5.quad_bot_right.way158_01.set_top.mem_lo0_way1,
8332 `L2DAT5.quad_bot_right.way158_01.set_top.mem_hi0_way1,
8333 `L2DAT5.quad_bot_right.way158_01.set_top.mem_lo1_way1,
8334 `L2DAT5.quad_bot_right.way158_01.set_top.mem_hi1_way1,
8335
8336 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8337 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_hi0_way2,
8338 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8339 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_hi1_way2,
8340
8341 `L2DAT5.quad_bot_right.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8342 `L2DAT5.quad_bot_right.way158_01.set_top.mem_hi0_way2,
8343 `L2DAT5.quad_bot_right.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8344 `L2DAT5.quad_bot_right.way158_01.set_top.mem_hi1_way2,
8345
8346 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_lo0_way3,
8347 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_hi0_way3,
8348 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_lo1_way3,
8349 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_hi1_way3,
8350
8351 `L2DAT5.quad_bot_right.way158_01.set_top.mem_lo0_way3,
8352 `L2DAT5.quad_bot_right.way158_01.set_top.mem_hi0_way3,
8353 `L2DAT5.quad_bot_right.way158_01.set_top.mem_lo1_way3,
8354 `L2DAT5.quad_bot_right.way158_01.set_top.mem_hi1_way3,
8355
8356 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8357 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_hi0_way4,
8358 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8359 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_hi1_way4,
8360
8361 `L2DAT5.quad_bot_right.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8362 `L2DAT5.quad_bot_right.way158_01.set_top.mem_hi0_way4,
8363 `L2DAT5.quad_bot_right.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8364 `L2DAT5.quad_bot_right.way158_01.set_top.mem_hi1_way4,
8365
8366 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_lo0_way5,
8367 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_hi0_way5,
8368 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_lo1_way5,
8369 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_hi1_way5,
8370
8371 `L2DAT5.quad_bot_right.way158_01.set_top.mem_lo0_way5,
8372 `L2DAT5.quad_bot_right.way158_01.set_top.mem_hi0_way5,
8373 `L2DAT5.quad_bot_right.way158_01.set_top.mem_lo1_way5,
8374 `L2DAT5.quad_bot_right.way158_01.set_top.mem_hi1_way5,
8375
8376 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8377 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_hi0_way6,
8378 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8379 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_hi1_way6,
8380
8381 `L2DAT5.quad_bot_right.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8382 `L2DAT5.quad_bot_right.way158_01.set_top.mem_hi0_way6,
8383 `L2DAT5.quad_bot_right.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8384 `L2DAT5.quad_bot_right.way158_01.set_top.mem_hi1_way6,
8385
8386 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_lo0_way7,
8387 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_hi0_way7,
8388 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_lo1_way7,
8389 `L2DAT5.quad_bot_right.way158_01.set_bot.mem_hi1_way7,
8390
8391 `L2DAT5.quad_bot_right.way158_01.set_top.mem_lo0_way7,
8392 `L2DAT5.quad_bot_right.way158_01.set_top.mem_hi0_way7,
8393 `L2DAT5.quad_bot_right.way158_01.set_top.mem_lo1_way7,
8394 `L2DAT5.quad_bot_right.way158_01.set_top.mem_hi1_way7, //512
8395//Bank 6 define
8396 `L2TAG6.tag.quad0.bank0.l2t_array.mem_lft, //1 reg [`L2T_ARR_D_WIDTH + 1:0] way0[`L2T_ARR_DEPTH - 1 :0];
8397 `L2TAG6.tag.quad0.bank0.l2t_array.mem_rgt, //`define L2T_ARR_D_WIDTH 28 `define L2T_ARR_DEPTH 2
8398
8399 `L2TAG6.tag.quad0.bank1.l2t_array.mem_lft,
8400 `L2TAG6.tag.quad0.bank1.l2t_array.mem_rgt,
8401
8402 `L2TAG6.tag.quad1.bank0.l2t_array.mem_lft,
8403 `L2TAG6.tag.quad1.bank0.l2t_array.mem_rgt,
8404
8405 `L2TAG6.tag.quad1.bank1.l2t_array.mem_lft,
8406 `L2TAG6.tag.quad1.bank1.l2t_array.mem_rgt,
8407
8408 `L2TAG6.tag.quad2.bank0.l2t_array.mem_lft,
8409 `L2TAG6.tag.quad2.bank0.l2t_array.mem_rgt,
8410
8411 `L2TAG6.tag.quad2.bank1.l2t_array.mem_lft,
8412 `L2TAG6.tag.quad2.bank1.l2t_array.mem_rgt,
8413
8414 `L2TAG6.tag.quad3.bank0.l2t_array.mem_lft,
8415 `L2TAG6.tag.quad3.bank0.l2t_array.mem_rgt,
8416
8417 `L2TAG6.tag.quad3.bank1.l2t_array.mem_lft,
8418 `L2TAG6.tag.quad3.bank1.l2t_array.mem_rgt, //16
8419
8420 `L2TAG6.subarray_0.array.inq_ary, // arg 17: reg [159:0] inq_ary [31:0];
8421 `L2TAG6.subarray_1.array.inq_ary,
8422 `L2TAG6.subarray_2.array.inq_ary,
8423 `L2TAG6.subarray_3.array.inq_ary,
8424 `L2TAG6.subarray_8.array.inq_ary,
8425 `L2TAG6.subarray_9.array.inq_ary,
8426 `L2TAG6.subarray_10.array.inq_ary,
8427 `L2TAG6.subarray_11.array.inq_ary, //24
8428
8429 //bank 0 data
8430 //way [7:0]
8431 //[8] = 0 [255-0]
8432 `L2DAT6.quad_top_left.way07_00.set_bot.mem_lo0_way0 , //arg 313
8433 `L2DAT6.quad_top_left.way07_00.set_bot.mem_hi0_way0,
8434 `L2DAT6.quad_top_left.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8435 `L2DAT6.quad_top_left.way07_00.set_bot.mem_hi1_way0,
8436 //index [511-256]
8437 `L2DAT6.quad_top_left.way07_00.set_top.mem_lo0_way0 , //arg 281
8438 `L2DAT6.quad_top_left.way07_00.set_top.mem_hi0_way0,
8439 `L2DAT6.quad_top_left.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8440 `L2DAT6.quad_top_left.way07_00.set_top.mem_hi1_way0,
8441
8442 `L2DAT6.quad_top_left.way07_00.set_bot.mem_lo0_way1,
8443 `L2DAT6.quad_top_left.way07_00.set_bot.mem_hi0_way1,
8444 `L2DAT6.quad_top_left.way07_00.set_bot.mem_lo1_way1,
8445 `L2DAT6.quad_top_left.way07_00.set_bot.mem_hi1_way1,
8446
8447 `L2DAT6.quad_top_left.way07_00.set_top.mem_lo0_way1,
8448 `L2DAT6.quad_top_left.way07_00.set_top.mem_hi0_way1,
8449 `L2DAT6.quad_top_left.way07_00.set_top.mem_lo1_way1,
8450 `L2DAT6.quad_top_left.way07_00.set_top.mem_hi1_way1,
8451
8452 `L2DAT6.quad_top_left.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8453 `L2DAT6.quad_top_left.way07_00.set_bot.mem_hi0_way2,
8454 `L2DAT6.quad_top_left.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8455 `L2DAT6.quad_top_left.way07_00.set_bot.mem_hi1_way2,
8456
8457 `L2DAT6.quad_top_left.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8458 `L2DAT6.quad_top_left.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
8459 `L2DAT6.quad_top_left.way07_00.set_top.mem_lo1_way2,
8460 `L2DAT6.quad_top_left.way07_00.set_top.mem_hi1_way2,
8461
8462 `L2DAT6.quad_top_left.way07_00.set_bot.mem_lo0_way3,
8463 `L2DAT6.quad_top_left.way07_00.set_bot.mem_hi0_way3,
8464 `L2DAT6.quad_top_left.way07_00.set_bot.mem_lo1_way3,
8465 `L2DAT6.quad_top_left.way07_00.set_bot.mem_hi1_way3,
8466
8467 `L2DAT6.quad_top_left.way07_00.set_top.mem_lo0_way3,
8468 `L2DAT6.quad_top_left.way07_00.set_top.mem_hi0_way3,
8469 `L2DAT6.quad_top_left.way07_00.set_top.mem_lo1_way3,
8470 `L2DAT6.quad_top_left.way07_00.set_top.mem_hi1_way3,
8471
8472 `L2DAT6.quad_top_left.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8473 `L2DAT6.quad_top_left.way07_00.set_bot.mem_hi0_way4,
8474 `L2DAT6.quad_top_left.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8475 `L2DAT6.quad_top_left.way07_00.set_bot.mem_hi1_way4,
8476
8477 `L2DAT6.quad_top_left.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8478 `L2DAT6.quad_top_left.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
8479 `L2DAT6.quad_top_left.way07_00.set_top.mem_lo1_way4,
8480 `L2DAT6.quad_top_left.way07_00.set_top.mem_hi1_way4,
8481
8482 `L2DAT6.quad_top_left.way07_00.set_bot.mem_lo0_way5,
8483 `L2DAT6.quad_top_left.way07_00.set_bot.mem_hi0_way5,
8484 `L2DAT6.quad_top_left.way07_00.set_bot.mem_lo1_way5,
8485 `L2DAT6.quad_top_left.way07_00.set_bot.mem_hi1_way5,
8486
8487 `L2DAT6.quad_top_left.way07_00.set_top.mem_lo0_way5,
8488 `L2DAT6.quad_top_left.way07_00.set_top.mem_hi0_way5,
8489 `L2DAT6.quad_top_left.way07_00.set_top.mem_lo1_way5,
8490 `L2DAT6.quad_top_left.way07_00.set_top.mem_hi1_way5,
8491
8492 `L2DAT6.quad_top_left.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8493 `L2DAT6.quad_top_left.way07_00.set_bot.mem_hi0_way6,
8494 `L2DAT6.quad_top_left.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8495 `L2DAT6.quad_top_left.way07_00.set_bot.mem_hi1_way6,
8496
8497 `L2DAT6.quad_top_left.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8498 `L2DAT6.quad_top_left.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
8499 `L2DAT6.quad_top_left.way07_00.set_top.mem_lo1_way6,
8500 `L2DAT6.quad_top_left.way07_00.set_top.mem_hi1_way6,
8501
8502 `L2DAT6.quad_top_left.way07_00.set_bot.mem_lo0_way7,
8503 `L2DAT6.quad_top_left.way07_00.set_bot.mem_hi0_way7,
8504 `L2DAT6.quad_top_left.way07_00.set_bot.mem_lo1_way7,
8505 `L2DAT6.quad_top_left.way07_00.set_bot.mem_hi1_way7,
8506
8507 `L2DAT6.quad_top_left.way07_00.set_top.mem_lo0_way7,
8508 `L2DAT6.quad_top_left.way07_00.set_top.mem_hi0_way7,
8509 `L2DAT6.quad_top_left.way07_00.set_top.mem_lo1_way7,
8510 `L2DAT6.quad_top_left.way07_00.set_top.mem_hi1_way7, //toatl arg 64
8511
8512 //way158_00 top way [15:8]
8513 //index[255:0]
8514
8515 `L2DAT6.quad_top_left.way158_00.set_bot.mem_lo0_way0 , //arg 441
8516 `L2DAT6.quad_top_left.way158_00.set_bot.mem_hi0_way0,
8517 `L2DAT6.quad_top_left.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8518 `L2DAT6.quad_top_left.way158_00.set_bot.mem_hi1_way0,
8519 //index[511:256]
8520 `L2DAT6.quad_top_left.way158_00.set_top.mem_lo0_way0 , //arg 409
8521 `L2DAT6.quad_top_left.way158_00.set_top.mem_hi0_way0,
8522 `L2DAT6.quad_top_left.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8523 `L2DAT6.quad_top_left.way158_00.set_top.mem_hi1_way0,
8524
8525 `L2DAT6.quad_top_left.way158_00.set_bot.mem_lo0_way1,
8526 `L2DAT6.quad_top_left.way158_00.set_bot.mem_hi0_way1,
8527 `L2DAT6.quad_top_left.way158_00.set_bot.mem_lo1_way1,
8528 `L2DAT6.quad_top_left.way158_00.set_bot.mem_hi1_way1,
8529
8530 `L2DAT6.quad_top_left.way158_00.set_top.mem_lo0_way1,
8531 `L2DAT6.quad_top_left.way158_00.set_top.mem_hi0_way1,
8532 `L2DAT6.quad_top_left.way158_00.set_top.mem_lo1_way1,
8533 `L2DAT6.quad_top_left.way158_00.set_top.mem_hi1_way1,
8534
8535 `L2DAT6.quad_top_left.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8536 `L2DAT6.quad_top_left.way158_00.set_bot.mem_hi0_way2,
8537 `L2DAT6.quad_top_left.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8538 `L2DAT6.quad_top_left.way158_00.set_bot.mem_hi1_way2,
8539
8540 `L2DAT6.quad_top_left.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8541 `L2DAT6.quad_top_left.way158_00.set_top.mem_hi0_way2,
8542 `L2DAT6.quad_top_left.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8543 `L2DAT6.quad_top_left.way158_00.set_top.mem_hi1_way2,
8544
8545 `L2DAT6.quad_top_left.way158_00.set_bot.mem_lo0_way3,
8546 `L2DAT6.quad_top_left.way158_00.set_bot.mem_hi0_way3,
8547 `L2DAT6.quad_top_left.way158_00.set_bot.mem_lo1_way3,
8548 `L2DAT6.quad_top_left.way158_00.set_bot.mem_hi1_way3,
8549
8550 `L2DAT6.quad_top_left.way158_00.set_top.mem_lo0_way3,
8551 `L2DAT6.quad_top_left.way158_00.set_top.mem_hi0_way3,
8552 `L2DAT6.quad_top_left.way158_00.set_top.mem_lo1_way3,
8553 `L2DAT6.quad_top_left.way158_00.set_top.mem_hi1_way3,
8554
8555 `L2DAT6.quad_top_left.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8556 `L2DAT6.quad_top_left.way158_00.set_bot.mem_hi0_way4,
8557 `L2DAT6.quad_top_left.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8558 `L2DAT6.quad_top_left.way158_00.set_bot.mem_hi1_way4,
8559
8560 `L2DAT6.quad_top_left.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8561 `L2DAT6.quad_top_left.way158_00.set_top.mem_hi0_way4,
8562 `L2DAT6.quad_top_left.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8563 `L2DAT6.quad_top_left.way158_00.set_top.mem_hi1_way4,
8564
8565 `L2DAT6.quad_top_left.way158_00.set_bot.mem_lo0_way5,
8566 `L2DAT6.quad_top_left.way158_00.set_bot.mem_hi0_way5,
8567 `L2DAT6.quad_top_left.way158_00.set_bot.mem_lo1_way5,
8568 `L2DAT6.quad_top_left.way158_00.set_bot.mem_hi1_way5,
8569
8570 `L2DAT6.quad_top_left.way158_00.set_top.mem_lo0_way5,
8571 `L2DAT6.quad_top_left.way158_00.set_top.mem_hi0_way5,
8572 `L2DAT6.quad_top_left.way158_00.set_top.mem_lo1_way5,
8573 `L2DAT6.quad_top_left.way158_00.set_top.mem_hi1_way5,
8574
8575 `L2DAT6.quad_top_left.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8576 `L2DAT6.quad_top_left.way158_00.set_bot.mem_hi0_way6,
8577 `L2DAT6.quad_top_left.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8578 `L2DAT6.quad_top_left.way158_00.set_bot.mem_hi1_way6,
8579
8580 `L2DAT6.quad_top_left.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8581 `L2DAT6.quad_top_left.way158_00.set_top.mem_hi0_way6,
8582 `L2DAT6.quad_top_left.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8583 `L2DAT6.quad_top_left.way158_00.set_top.mem_hi1_way6,
8584
8585 `L2DAT6.quad_top_left.way158_00.set_bot.mem_lo0_way7,
8586 `L2DAT6.quad_top_left.way158_00.set_bot.mem_hi0_way7,
8587 `L2DAT6.quad_top_left.way158_00.set_bot.mem_lo1_way7,
8588 `L2DAT6.quad_top_left.way158_00.set_bot.mem_hi1_way7,
8589
8590 `L2DAT6.quad_top_left.way158_00.set_top.mem_lo0_way7,
8591 `L2DAT6.quad_top_left.way158_00.set_top.mem_hi0_way7,
8592 `L2DAT6.quad_top_left.way158_00.set_top.mem_lo1_way7,
8593 `L2DAT6.quad_top_left.way158_00.set_top.mem_hi1_way7, //128
8594
8595 //way70_01 bottom
8596 //bottom
8597 `L2DAT6.quad_top_left.way70_01.set_bot.mem_lo0_way0 , //[623:604]
8598 `L2DAT6.quad_top_left.way70_01.set_bot.mem_hi0_way0,
8599 `L2DAT6.quad_top_left.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8600 `L2DAT6.quad_top_left.way70_01.set_bot.mem_hi1_way0,
8601
8602 `L2DAT6.quad_top_left.way70_01.set_top.mem_lo0_way0 , //arg 345
8603 `L2DAT6.quad_top_left.way70_01.set_top.mem_hi0_way0,
8604 `L2DAT6.quad_top_left.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8605 `L2DAT6.quad_top_left.way70_01.set_top.mem_hi1_way0,
8606
8607 `L2DAT6.quad_top_left.way70_01.set_bot.mem_lo0_way1,
8608 `L2DAT6.quad_top_left.way70_01.set_bot.mem_hi0_way1,
8609 `L2DAT6.quad_top_left.way70_01.set_bot.mem_lo1_way1,
8610 `L2DAT6.quad_top_left.way70_01.set_bot.mem_hi1_way1,
8611
8612 `L2DAT6.quad_top_left.way70_01.set_top.mem_lo0_way1,
8613 `L2DAT6.quad_top_left.way70_01.set_top.mem_hi0_way1,
8614 `L2DAT6.quad_top_left.way70_01.set_top.mem_lo1_way1,
8615 `L2DAT6.quad_top_left.way70_01.set_top.mem_hi1_way1,
8616
8617 `L2DAT6.quad_top_left.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8618 `L2DAT6.quad_top_left.way70_01.set_bot.mem_hi0_way2,
8619 `L2DAT6.quad_top_left.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8620 `L2DAT6.quad_top_left.way70_01.set_bot.mem_hi1_way2,
8621
8622 `L2DAT6.quad_top_left.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8623 `L2DAT6.quad_top_left.way70_01.set_top.mem_hi0_way2,
8624 `L2DAT6.quad_top_left.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8625 `L2DAT6.quad_top_left.way70_01.set_top.mem_hi1_way2,
8626
8627 `L2DAT6.quad_top_left.way70_01.set_bot.mem_lo0_way3,
8628 `L2DAT6.quad_top_left.way70_01.set_bot.mem_hi0_way3,
8629 `L2DAT6.quad_top_left.way70_01.set_bot.mem_lo1_way3,
8630 `L2DAT6.quad_top_left.way70_01.set_bot.mem_hi1_way3,
8631
8632 `L2DAT6.quad_top_left.way70_01.set_top.mem_lo0_way3,
8633 `L2DAT6.quad_top_left.way70_01.set_top.mem_hi0_way3,
8634 `L2DAT6.quad_top_left.way70_01.set_top.mem_lo1_way3,
8635 `L2DAT6.quad_top_left.way70_01.set_top.mem_hi1_way3,
8636
8637 `L2DAT6.quad_top_left.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8638 `L2DAT6.quad_top_left.way70_01.set_bot.mem_hi0_way4,
8639 `L2DAT6.quad_top_left.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8640 `L2DAT6.quad_top_left.way70_01.set_bot.mem_hi1_way4,
8641
8642 `L2DAT6.quad_top_left.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8643 `L2DAT6.quad_top_left.way70_01.set_top.mem_hi0_way4,
8644 `L2DAT6.quad_top_left.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8645 `L2DAT6.quad_top_left.way70_01.set_top.mem_hi1_way4,
8646
8647 `L2DAT6.quad_top_left.way70_01.set_bot.mem_lo0_way5,
8648 `L2DAT6.quad_top_left.way70_01.set_bot.mem_hi0_way5,
8649 `L2DAT6.quad_top_left.way70_01.set_bot.mem_lo1_way5,
8650 `L2DAT6.quad_top_left.way70_01.set_bot.mem_hi1_way5,
8651
8652 `L2DAT6.quad_top_left.way70_01.set_top.mem_lo0_way5,
8653 `L2DAT6.quad_top_left.way70_01.set_top.mem_hi0_way5 ,
8654 `L2DAT6.quad_top_left.way70_01.set_top.mem_lo1_way5,
8655 `L2DAT6.quad_top_left.way70_01.set_top.mem_hi1_way5,
8656
8657 `L2DAT6.quad_top_left.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8658 `L2DAT6.quad_top_left.way70_01.set_bot.mem_hi0_way6,
8659 `L2DAT6.quad_top_left.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8660 `L2DAT6.quad_top_left.way70_01.set_bot.mem_hi1_way6,
8661
8662 `L2DAT6.quad_top_left.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8663 `L2DAT6.quad_top_left.way70_01.set_top.mem_hi0_way6,
8664 `L2DAT6.quad_top_left.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8665 `L2DAT6.quad_top_left.way70_01.set_top.mem_hi1_way6,
8666
8667 `L2DAT6.quad_top_left.way70_01.set_bot.mem_lo0_way7,
8668 `L2DAT6.quad_top_left.way70_01.set_bot.mem_hi0_way7 ,
8669 `L2DAT6.quad_top_left.way70_01.set_bot.mem_lo1_way7,
8670 `L2DAT6.quad_top_left.way70_01.set_bot.mem_hi1_way7,
8671
8672 `L2DAT6.quad_top_left.way70_01.set_top.mem_lo0_way7,
8673 `L2DAT6.quad_top_left.way70_01.set_top.mem_hi0_way7 ,
8674 `L2DAT6.quad_top_left.way70_01.set_top.mem_lo1_way7,
8675 `L2DAT6.quad_top_left.way70_01.set_top.mem_hi1_way7, //192
8676
8677 //way [15:8]
8678 `L2DAT6.quad_top_left.way158_01.set_bot.mem_lo0_way0 , //arg 505
8679 `L2DAT6.quad_top_left.way158_01.set_bot.mem_hi0_way0,
8680 `L2DAT6.quad_top_left.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8681 `L2DAT6.quad_top_left.way158_01.set_bot.mem_hi1_way0,
8682 //index [8]
8683 `L2DAT6.quad_top_left.way158_01.set_top.mem_lo0_way0 , //arg 473
8684 `L2DAT6.quad_top_left.way158_01.set_top.mem_hi0_way0,
8685 `L2DAT6.quad_top_left.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8686 `L2DAT6.quad_top_left.way158_01.set_top.mem_hi1_way0,
8687
8688 `L2DAT6.quad_top_left.way158_01.set_bot.mem_lo0_way1,
8689 `L2DAT6.quad_top_left.way158_01.set_bot.mem_hi0_way1,
8690 `L2DAT6.quad_top_left.way158_01.set_bot.mem_lo1_way1,
8691 `L2DAT6.quad_top_left.way158_01.set_bot.mem_hi1_way1,
8692
8693 `L2DAT6.quad_top_left.way158_01.set_top.mem_lo0_way1,
8694 `L2DAT6.quad_top_left.way158_01.set_top.mem_hi0_way1,
8695 `L2DAT6.quad_top_left.way158_01.set_top.mem_lo1_way1,
8696 `L2DAT6.quad_top_left.way158_01.set_top.mem_hi1_way1,
8697
8698 `L2DAT6.quad_top_left.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8699 `L2DAT6.quad_top_left.way158_01.set_bot.mem_hi0_way2,
8700 `L2DAT6.quad_top_left.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8701 `L2DAT6.quad_top_left.way158_01.set_bot.mem_hi1_way2,
8702
8703 `L2DAT6.quad_top_left.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8704 `L2DAT6.quad_top_left.way158_01.set_top.mem_hi0_way2,
8705 `L2DAT6.quad_top_left.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8706 `L2DAT6.quad_top_left.way158_01.set_top.mem_hi1_way2,
8707
8708 `L2DAT6.quad_top_left.way158_01.set_bot.mem_lo0_way3,
8709 `L2DAT6.quad_top_left.way158_01.set_bot.mem_hi0_way3,
8710 `L2DAT6.quad_top_left.way158_01.set_bot.mem_lo1_way3,
8711 `L2DAT6.quad_top_left.way158_01.set_bot.mem_hi1_way3,
8712
8713 `L2DAT6.quad_top_left.way158_01.set_top.mem_lo0_way3,
8714 `L2DAT6.quad_top_left.way158_01.set_top.mem_hi0_way3,
8715 `L2DAT6.quad_top_left.way158_01.set_top.mem_lo1_way3,
8716 `L2DAT6.quad_top_left.way158_01.set_top.mem_hi1_way3,
8717
8718 `L2DAT6.quad_top_left.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8719 `L2DAT6.quad_top_left.way158_01.set_bot.mem_hi0_way4,
8720 `L2DAT6.quad_top_left.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8721 `L2DAT6.quad_top_left.way158_01.set_bot.mem_hi1_way4,
8722
8723 `L2DAT6.quad_top_left.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8724 `L2DAT6.quad_top_left.way158_01.set_top.mem_hi0_way4,
8725 `L2DAT6.quad_top_left.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8726 `L2DAT6.quad_top_left.way158_01.set_top.mem_hi1_way4,
8727
8728 `L2DAT6.quad_top_left.way158_01.set_bot.mem_lo0_way5,
8729 `L2DAT6.quad_top_left.way158_01.set_bot.mem_hi0_way5,
8730 `L2DAT6.quad_top_left.way158_01.set_bot.mem_lo1_way5,
8731 `L2DAT6.quad_top_left.way158_01.set_bot.mem_hi1_way5,
8732
8733 `L2DAT6.quad_top_left.way158_01.set_top.mem_lo0_way5,
8734 `L2DAT6.quad_top_left.way158_01.set_top.mem_hi0_way5,
8735 `L2DAT6.quad_top_left.way158_01.set_top.mem_lo1_way5,
8736 `L2DAT6.quad_top_left.way158_01.set_top.mem_hi1_way5,
8737
8738 `L2DAT6.quad_top_left.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8739 `L2DAT6.quad_top_left.way158_01.set_bot.mem_hi0_way6,
8740 `L2DAT6.quad_top_left.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8741 `L2DAT6.quad_top_left.way158_01.set_bot.mem_hi1_way6,
8742
8743 `L2DAT6.quad_top_left.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8744 `L2DAT6.quad_top_left.way158_01.set_top.mem_hi0_way6,
8745 `L2DAT6.quad_top_left.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8746 `L2DAT6.quad_top_left.way158_01.set_top.mem_hi1_way6,
8747
8748 `L2DAT6.quad_top_left.way158_01.set_bot.mem_lo0_way7,
8749 `L2DAT6.quad_top_left.way158_01.set_bot.mem_hi0_way7,
8750 `L2DAT6.quad_top_left.way158_01.set_bot.mem_lo1_way7,
8751 `L2DAT6.quad_top_left.way158_01.set_bot.mem_hi1_way7,
8752
8753 `L2DAT6.quad_top_left.way158_01.set_top.mem_lo0_way7,
8754 `L2DAT6.quad_top_left.way158_01.set_top.mem_hi0_way7,
8755 `L2DAT6.quad_top_left.way158_01.set_top.mem_lo1_way7,
8756 `L2DAT6.quad_top_left.way158_01.set_top.mem_hi1_way7, //256
8757 //right handside
8758 //bank 0 data
8759 //way [7:0]
8760 //[8] = 0 [255-0]
8761 `L2DAT6.quad_top_right.way07_00.set_bot.mem_lo0_way0 , //arg 313
8762 `L2DAT6.quad_top_right.way07_00.set_bot.mem_hi0_way0,
8763 `L2DAT6.quad_top_right.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8764 `L2DAT6.quad_top_right.way07_00.set_bot.mem_hi1_way0,
8765 //index [511-256]
8766 `L2DAT6.quad_top_right.way07_00.set_top.mem_lo0_way0 , //arg 281
8767 `L2DAT6.quad_top_right.way07_00.set_top.mem_hi0_way0,
8768 `L2DAT6.quad_top_right.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8769 `L2DAT6.quad_top_right.way07_00.set_top.mem_hi1_way0,
8770
8771 `L2DAT6.quad_top_right.way07_00.set_bot.mem_lo0_way1,
8772 `L2DAT6.quad_top_right.way07_00.set_bot.mem_hi0_way1,
8773 `L2DAT6.quad_top_right.way07_00.set_bot.mem_lo1_way1,
8774 `L2DAT6.quad_top_right.way07_00.set_bot.mem_hi1_way1,
8775
8776 `L2DAT6.quad_top_right.way07_00.set_top.mem_lo0_way1,
8777 `L2DAT6.quad_top_right.way07_00.set_top.mem_hi0_way1,
8778 `L2DAT6.quad_top_right.way07_00.set_top.mem_lo1_way1,
8779 `L2DAT6.quad_top_right.way07_00.set_top.mem_hi1_way1,
8780
8781 `L2DAT6.quad_top_right.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8782 `L2DAT6.quad_top_right.way07_00.set_bot.mem_hi0_way2,
8783 `L2DAT6.quad_top_right.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8784 `L2DAT6.quad_top_right.way07_00.set_bot.mem_hi1_way2,
8785
8786 `L2DAT6.quad_top_right.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8787 `L2DAT6.quad_top_right.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
8788 `L2DAT6.quad_top_right.way07_00.set_top.mem_lo1_way2,
8789 `L2DAT6.quad_top_right.way07_00.set_top.mem_hi1_way2,
8790
8791 `L2DAT6.quad_top_right.way07_00.set_bot.mem_lo0_way3,
8792 `L2DAT6.quad_top_right.way07_00.set_bot.mem_hi0_way3,
8793 `L2DAT6.quad_top_right.way07_00.set_bot.mem_lo1_way3,
8794 `L2DAT6.quad_top_right.way07_00.set_bot.mem_hi1_way3,
8795
8796 `L2DAT6.quad_top_right.way07_00.set_top.mem_lo0_way3,
8797 `L2DAT6.quad_top_right.way07_00.set_top.mem_hi0_way3,
8798 `L2DAT6.quad_top_right.way07_00.set_top.mem_lo1_way3,
8799 `L2DAT6.quad_top_right.way07_00.set_top.mem_hi1_way3,
8800
8801 `L2DAT6.quad_top_right.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8802 `L2DAT6.quad_top_right.way07_00.set_bot.mem_hi0_way4,
8803 `L2DAT6.quad_top_right.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8804 `L2DAT6.quad_top_right.way07_00.set_bot.mem_hi1_way4,
8805
8806 `L2DAT6.quad_top_right.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8807 `L2DAT6.quad_top_right.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
8808 `L2DAT6.quad_top_right.way07_00.set_top.mem_lo1_way4,
8809 `L2DAT6.quad_top_right.way07_00.set_top.mem_hi1_way4,
8810
8811 `L2DAT6.quad_top_right.way07_00.set_bot.mem_lo0_way5,
8812 `L2DAT6.quad_top_right.way07_00.set_bot.mem_hi0_way5,
8813 `L2DAT6.quad_top_right.way07_00.set_bot.mem_lo1_way5,
8814 `L2DAT6.quad_top_right.way07_00.set_bot.mem_hi1_way5,
8815
8816 `L2DAT6.quad_top_right.way07_00.set_top.mem_lo0_way5,
8817 `L2DAT6.quad_top_right.way07_00.set_top.mem_hi0_way5,
8818 `L2DAT6.quad_top_right.way07_00.set_top.mem_lo1_way5,
8819 `L2DAT6.quad_top_right.way07_00.set_top.mem_hi1_way5,
8820
8821 `L2DAT6.quad_top_right.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8822 `L2DAT6.quad_top_right.way07_00.set_bot.mem_hi0_way6,
8823 `L2DAT6.quad_top_right.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8824 `L2DAT6.quad_top_right.way07_00.set_bot.mem_hi1_way6,
8825
8826 `L2DAT6.quad_top_right.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8827 `L2DAT6.quad_top_right.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
8828 `L2DAT6.quad_top_right.way07_00.set_top.mem_lo1_way6,
8829 `L2DAT6.quad_top_right.way07_00.set_top.mem_hi1_way6,
8830
8831 `L2DAT6.quad_top_right.way07_00.set_bot.mem_lo0_way7,
8832 `L2DAT6.quad_top_right.way07_00.set_bot.mem_hi0_way7,
8833 `L2DAT6.quad_top_right.way07_00.set_bot.mem_lo1_way7,
8834 `L2DAT6.quad_top_right.way07_00.set_bot.mem_hi1_way7,
8835
8836 `L2DAT6.quad_top_right.way07_00.set_top.mem_lo0_way7,
8837 `L2DAT6.quad_top_right.way07_00.set_top.mem_hi0_way7,
8838 `L2DAT6.quad_top_right.way07_00.set_top.mem_lo1_way7,
8839 `L2DAT6.quad_top_right.way07_00.set_top.mem_hi1_way7, //toatl arg 64
8840
8841 //way158_00 top way [15:8]
8842 //index[255:0]
8843
8844 `L2DAT6.quad_top_right.way158_00.set_bot.mem_lo0_way0 , //arg 441
8845 `L2DAT6.quad_top_right.way158_00.set_bot.mem_hi0_way0,
8846 `L2DAT6.quad_top_right.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8847 `L2DAT6.quad_top_right.way158_00.set_bot.mem_hi1_way0,
8848 //index[511:256]
8849 `L2DAT6.quad_top_right.way158_00.set_top.mem_lo0_way0 , //arg 409
8850 `L2DAT6.quad_top_right.way158_00.set_top.mem_hi0_way0,
8851 `L2DAT6.quad_top_right.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8852 `L2DAT6.quad_top_right.way158_00.set_top.mem_hi1_way0,
8853
8854 `L2DAT6.quad_top_right.way158_00.set_bot.mem_lo0_way1,
8855 `L2DAT6.quad_top_right.way158_00.set_bot.mem_hi0_way1,
8856 `L2DAT6.quad_top_right.way158_00.set_bot.mem_lo1_way1,
8857 `L2DAT6.quad_top_right.way158_00.set_bot.mem_hi1_way1,
8858
8859 `L2DAT6.quad_top_right.way158_00.set_top.mem_lo0_way1,
8860 `L2DAT6.quad_top_right.way158_00.set_top.mem_hi0_way1,
8861 `L2DAT6.quad_top_right.way158_00.set_top.mem_lo1_way1,
8862 `L2DAT6.quad_top_right.way158_00.set_top.mem_hi1_way1,
8863
8864 `L2DAT6.quad_top_right.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8865 `L2DAT6.quad_top_right.way158_00.set_bot.mem_hi0_way2,
8866 `L2DAT6.quad_top_right.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8867 `L2DAT6.quad_top_right.way158_00.set_bot.mem_hi1_way2,
8868
8869 `L2DAT6.quad_top_right.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8870 `L2DAT6.quad_top_right.way158_00.set_top.mem_hi0_way2,
8871 `L2DAT6.quad_top_right.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8872 `L2DAT6.quad_top_right.way158_00.set_top.mem_hi1_way2,
8873
8874 `L2DAT6.quad_top_right.way158_00.set_bot.mem_lo0_way3,
8875 `L2DAT6.quad_top_right.way158_00.set_bot.mem_hi0_way3,
8876 `L2DAT6.quad_top_right.way158_00.set_bot.mem_lo1_way3,
8877 `L2DAT6.quad_top_right.way158_00.set_bot.mem_hi1_way3,
8878
8879 `L2DAT6.quad_top_right.way158_00.set_top.mem_lo0_way3,
8880 `L2DAT6.quad_top_right.way158_00.set_top.mem_hi0_way3,
8881 `L2DAT6.quad_top_right.way158_00.set_top.mem_lo1_way3,
8882 `L2DAT6.quad_top_right.way158_00.set_top.mem_hi1_way3,
8883
8884 `L2DAT6.quad_top_right.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8885 `L2DAT6.quad_top_right.way158_00.set_bot.mem_hi0_way4,
8886 `L2DAT6.quad_top_right.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8887 `L2DAT6.quad_top_right.way158_00.set_bot.mem_hi1_way4,
8888
8889 `L2DAT6.quad_top_right.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8890 `L2DAT6.quad_top_right.way158_00.set_top.mem_hi0_way4,
8891 `L2DAT6.quad_top_right.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8892 `L2DAT6.quad_top_right.way158_00.set_top.mem_hi1_way4,
8893
8894 `L2DAT6.quad_top_right.way158_00.set_bot.mem_lo0_way5,
8895 `L2DAT6.quad_top_right.way158_00.set_bot.mem_hi0_way5,
8896 `L2DAT6.quad_top_right.way158_00.set_bot.mem_lo1_way5,
8897 `L2DAT6.quad_top_right.way158_00.set_bot.mem_hi1_way5,
8898
8899 `L2DAT6.quad_top_right.way158_00.set_top.mem_lo0_way5,
8900 `L2DAT6.quad_top_right.way158_00.set_top.mem_hi0_way5,
8901 `L2DAT6.quad_top_right.way158_00.set_top.mem_lo1_way5,
8902 `L2DAT6.quad_top_right.way158_00.set_top.mem_hi1_way5,
8903
8904 `L2DAT6.quad_top_right.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8905 `L2DAT6.quad_top_right.way158_00.set_bot.mem_hi0_way6,
8906 `L2DAT6.quad_top_right.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8907 `L2DAT6.quad_top_right.way158_00.set_bot.mem_hi1_way6,
8908
8909 `L2DAT6.quad_top_right.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8910 `L2DAT6.quad_top_right.way158_00.set_top.mem_hi0_way6,
8911 `L2DAT6.quad_top_right.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8912 `L2DAT6.quad_top_right.way158_00.set_top.mem_hi1_way6,
8913
8914 `L2DAT6.quad_top_right.way158_00.set_bot.mem_lo0_way7,
8915 `L2DAT6.quad_top_right.way158_00.set_bot.mem_hi0_way7,
8916 `L2DAT6.quad_top_right.way158_00.set_bot.mem_lo1_way7,
8917 `L2DAT6.quad_top_right.way158_00.set_bot.mem_hi1_way7,
8918
8919 `L2DAT6.quad_top_right.way158_00.set_top.mem_lo0_way7,
8920 `L2DAT6.quad_top_right.way158_00.set_top.mem_hi0_way7,
8921 `L2DAT6.quad_top_right.way158_00.set_top.mem_lo1_way7,
8922 `L2DAT6.quad_top_right.way158_00.set_top.mem_hi1_way7, //128
8923
8924 //way70_01 bottom
8925 //bottom
8926 `L2DAT6.quad_top_right.way70_01.set_bot.mem_lo0_way0 , //[623:604]
8927 `L2DAT6.quad_top_right.way70_01.set_bot.mem_hi0_way0,
8928 `L2DAT6.quad_top_right.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8929 `L2DAT6.quad_top_right.way70_01.set_bot.mem_hi1_way0,
8930
8931 `L2DAT6.quad_top_right.way70_01.set_top.mem_lo0_way0 , //arg 345
8932 `L2DAT6.quad_top_right.way70_01.set_top.mem_hi0_way0,
8933 `L2DAT6.quad_top_right.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
8934 `L2DAT6.quad_top_right.way70_01.set_top.mem_hi1_way0,
8935
8936 `L2DAT6.quad_top_right.way70_01.set_bot.mem_lo0_way1,
8937 `L2DAT6.quad_top_right.way70_01.set_bot.mem_hi0_way1,
8938 `L2DAT6.quad_top_right.way70_01.set_bot.mem_lo1_way1,
8939 `L2DAT6.quad_top_right.way70_01.set_bot.mem_hi1_way1,
8940
8941 `L2DAT6.quad_top_right.way70_01.set_top.mem_lo0_way1,
8942 `L2DAT6.quad_top_right.way70_01.set_top.mem_hi0_way1,
8943 `L2DAT6.quad_top_right.way70_01.set_top.mem_lo1_way1,
8944 `L2DAT6.quad_top_right.way70_01.set_top.mem_hi1_way1,
8945
8946 `L2DAT6.quad_top_right.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8947 `L2DAT6.quad_top_right.way70_01.set_bot.mem_hi0_way2,
8948 `L2DAT6.quad_top_right.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8949 `L2DAT6.quad_top_right.way70_01.set_bot.mem_hi1_way2,
8950
8951 `L2DAT6.quad_top_right.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
8952 `L2DAT6.quad_top_right.way70_01.set_top.mem_hi0_way2,
8953 `L2DAT6.quad_top_right.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
8954 `L2DAT6.quad_top_right.way70_01.set_top.mem_hi1_way2,
8955
8956 `L2DAT6.quad_top_right.way70_01.set_bot.mem_lo0_way3,
8957 `L2DAT6.quad_top_right.way70_01.set_bot.mem_hi0_way3,
8958 `L2DAT6.quad_top_right.way70_01.set_bot.mem_lo1_way3,
8959 `L2DAT6.quad_top_right.way70_01.set_bot.mem_hi1_way3,
8960
8961 `L2DAT6.quad_top_right.way70_01.set_top.mem_lo0_way3,
8962 `L2DAT6.quad_top_right.way70_01.set_top.mem_hi0_way3,
8963 `L2DAT6.quad_top_right.way70_01.set_top.mem_lo1_way3,
8964 `L2DAT6.quad_top_right.way70_01.set_top.mem_hi1_way3,
8965
8966 `L2DAT6.quad_top_right.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8967 `L2DAT6.quad_top_right.way70_01.set_bot.mem_hi0_way4,
8968 `L2DAT6.quad_top_right.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8969 `L2DAT6.quad_top_right.way70_01.set_bot.mem_hi1_way4,
8970
8971 `L2DAT6.quad_top_right.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
8972 `L2DAT6.quad_top_right.way70_01.set_top.mem_hi0_way4,
8973 `L2DAT6.quad_top_right.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
8974 `L2DAT6.quad_top_right.way70_01.set_top.mem_hi1_way4,
8975
8976 `L2DAT6.quad_top_right.way70_01.set_bot.mem_lo0_way5,
8977 `L2DAT6.quad_top_right.way70_01.set_bot.mem_hi0_way5,
8978 `L2DAT6.quad_top_right.way70_01.set_bot.mem_lo1_way5,
8979 `L2DAT6.quad_top_right.way70_01.set_bot.mem_hi1_way5,
8980
8981 `L2DAT6.quad_top_right.way70_01.set_top.mem_lo0_way5,
8982 `L2DAT6.quad_top_right.way70_01.set_top.mem_hi0_way5 ,
8983 `L2DAT6.quad_top_right.way70_01.set_top.mem_lo1_way5,
8984 `L2DAT6.quad_top_right.way70_01.set_top.mem_hi1_way5,
8985
8986 `L2DAT6.quad_top_right.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8987 `L2DAT6.quad_top_right.way70_01.set_bot.mem_hi0_way6,
8988 `L2DAT6.quad_top_right.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8989 `L2DAT6.quad_top_right.way70_01.set_bot.mem_hi1_way6,
8990
8991 `L2DAT6.quad_top_right.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
8992 `L2DAT6.quad_top_right.way70_01.set_top.mem_hi0_way6,
8993 `L2DAT6.quad_top_right.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
8994 `L2DAT6.quad_top_right.way70_01.set_top.mem_hi1_way6,
8995
8996 `L2DAT6.quad_top_right.way70_01.set_bot.mem_lo0_way7,
8997 `L2DAT6.quad_top_right.way70_01.set_bot.mem_hi0_way7 ,
8998 `L2DAT6.quad_top_right.way70_01.set_bot.mem_lo1_way7,
8999 `L2DAT6.quad_top_right.way70_01.set_bot.mem_hi1_way7,
9000
9001 `L2DAT6.quad_top_right.way70_01.set_top.mem_lo0_way7,
9002 `L2DAT6.quad_top_right.way70_01.set_top.mem_hi0_way7 ,
9003 `L2DAT6.quad_top_right.way70_01.set_top.mem_lo1_way7,
9004 `L2DAT6.quad_top_right.way70_01.set_top.mem_hi1_way7, //192
9005
9006 //way [15:8]
9007 `L2DAT6.quad_top_right.way158_01.set_bot.mem_lo0_way0 , //arg 505
9008 `L2DAT6.quad_top_right.way158_01.set_bot.mem_hi0_way0,
9009 `L2DAT6.quad_top_right.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9010 `L2DAT6.quad_top_right.way158_01.set_bot.mem_hi1_way0,
9011 //index [8]
9012 `L2DAT6.quad_top_right.way158_01.set_top.mem_lo0_way0 , //arg 473
9013 `L2DAT6.quad_top_right.way158_01.set_top.mem_hi0_way0,
9014 `L2DAT6.quad_top_right.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9015 `L2DAT6.quad_top_right.way158_01.set_top.mem_hi1_way0,
9016
9017 `L2DAT6.quad_top_right.way158_01.set_bot.mem_lo0_way1,
9018 `L2DAT6.quad_top_right.way158_01.set_bot.mem_hi0_way1,
9019 `L2DAT6.quad_top_right.way158_01.set_bot.mem_lo1_way1,
9020 `L2DAT6.quad_top_right.way158_01.set_bot.mem_hi1_way1,
9021
9022 `L2DAT6.quad_top_right.way158_01.set_top.mem_lo0_way1,
9023 `L2DAT6.quad_top_right.way158_01.set_top.mem_hi0_way1,
9024 `L2DAT6.quad_top_right.way158_01.set_top.mem_lo1_way1,
9025 `L2DAT6.quad_top_right.way158_01.set_top.mem_hi1_way1,
9026
9027 `L2DAT6.quad_top_right.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9028 `L2DAT6.quad_top_right.way158_01.set_bot.mem_hi0_way2,
9029 `L2DAT6.quad_top_right.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9030 `L2DAT6.quad_top_right.way158_01.set_bot.mem_hi1_way2,
9031
9032 `L2DAT6.quad_top_right.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9033 `L2DAT6.quad_top_right.way158_01.set_top.mem_hi0_way2,
9034 `L2DAT6.quad_top_right.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9035 `L2DAT6.quad_top_right.way158_01.set_top.mem_hi1_way2,
9036
9037 `L2DAT6.quad_top_right.way158_01.set_bot.mem_lo0_way3,
9038 `L2DAT6.quad_top_right.way158_01.set_bot.mem_hi0_way3,
9039 `L2DAT6.quad_top_right.way158_01.set_bot.mem_lo1_way3,
9040 `L2DAT6.quad_top_right.way158_01.set_bot.mem_hi1_way3,
9041
9042 `L2DAT6.quad_top_right.way158_01.set_top.mem_lo0_way3,
9043 `L2DAT6.quad_top_right.way158_01.set_top.mem_hi0_way3,
9044 `L2DAT6.quad_top_right.way158_01.set_top.mem_lo1_way3,
9045 `L2DAT6.quad_top_right.way158_01.set_top.mem_hi1_way3,
9046
9047 `L2DAT6.quad_top_right.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9048 `L2DAT6.quad_top_right.way158_01.set_bot.mem_hi0_way4,
9049 `L2DAT6.quad_top_right.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9050 `L2DAT6.quad_top_right.way158_01.set_bot.mem_hi1_way4,
9051
9052 `L2DAT6.quad_top_right.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9053 `L2DAT6.quad_top_right.way158_01.set_top.mem_hi0_way4,
9054 `L2DAT6.quad_top_right.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9055 `L2DAT6.quad_top_right.way158_01.set_top.mem_hi1_way4,
9056
9057 `L2DAT6.quad_top_right.way158_01.set_bot.mem_lo0_way5,
9058 `L2DAT6.quad_top_right.way158_01.set_bot.mem_hi0_way5,
9059 `L2DAT6.quad_top_right.way158_01.set_bot.mem_lo1_way5,
9060 `L2DAT6.quad_top_right.way158_01.set_bot.mem_hi1_way5,
9061
9062 `L2DAT6.quad_top_right.way158_01.set_top.mem_lo0_way5,
9063 `L2DAT6.quad_top_right.way158_01.set_top.mem_hi0_way5,
9064 `L2DAT6.quad_top_right.way158_01.set_top.mem_lo1_way5,
9065 `L2DAT6.quad_top_right.way158_01.set_top.mem_hi1_way5,
9066
9067 `L2DAT6.quad_top_right.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9068 `L2DAT6.quad_top_right.way158_01.set_bot.mem_hi0_way6,
9069 `L2DAT6.quad_top_right.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9070 `L2DAT6.quad_top_right.way158_01.set_bot.mem_hi1_way6,
9071
9072 `L2DAT6.quad_top_right.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9073 `L2DAT6.quad_top_right.way158_01.set_top.mem_hi0_way6,
9074 `L2DAT6.quad_top_right.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9075 `L2DAT6.quad_top_right.way158_01.set_top.mem_hi1_way6,
9076
9077 `L2DAT6.quad_top_right.way158_01.set_bot.mem_lo0_way7,
9078 `L2DAT6.quad_top_right.way158_01.set_bot.mem_hi0_way7,
9079 `L2DAT6.quad_top_right.way158_01.set_bot.mem_lo1_way7,
9080 `L2DAT6.quad_top_right.way158_01.set_bot.mem_hi1_way7,
9081
9082 `L2DAT6.quad_top_right.way158_01.set_top.mem_lo0_way7,
9083 `L2DAT6.quad_top_right.way158_01.set_top.mem_hi0_way7,
9084 `L2DAT6.quad_top_right.way158_01.set_top.mem_lo1_way7,
9085 `L2DAT6.quad_top_right.way158_01.set_top.mem_hi1_way7, //512
9086 //bottom part
9087 //bank 0 data
9088 //way [7:0]
9089 //[8] = 0 [255-0]
9090 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_lo0_way0 , //arg 313
9091 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_hi0_way0,
9092 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9093 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_hi1_way0,
9094 //index [511-256]
9095 `L2DAT6.quad_bot_left.way07_00.set_top.mem_lo0_way0 , //arg 281
9096 `L2DAT6.quad_bot_left.way07_00.set_top.mem_hi0_way0,
9097 `L2DAT6.quad_bot_left.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9098 `L2DAT6.quad_bot_left.way07_00.set_top.mem_hi1_way0,
9099
9100 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_lo0_way1,
9101 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_hi0_way1,
9102 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_lo1_way1,
9103 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_hi1_way1,
9104
9105 `L2DAT6.quad_bot_left.way07_00.set_top.mem_lo0_way1,
9106 `L2DAT6.quad_bot_left.way07_00.set_top.mem_hi0_way1,
9107 `L2DAT6.quad_bot_left.way07_00.set_top.mem_lo1_way1,
9108 `L2DAT6.quad_bot_left.way07_00.set_top.mem_hi1_way1,
9109
9110 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9111 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_hi0_way2,
9112 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9113 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_hi1_way2,
9114
9115 `L2DAT6.quad_bot_left.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9116 `L2DAT6.quad_bot_left.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
9117 `L2DAT6.quad_bot_left.way07_00.set_top.mem_lo1_way2,
9118 `L2DAT6.quad_bot_left.way07_00.set_top.mem_hi1_way2,
9119
9120 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_lo0_way3,
9121 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_hi0_way3,
9122 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_lo1_way3,
9123 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_hi1_way3,
9124
9125 `L2DAT6.quad_bot_left.way07_00.set_top.mem_lo0_way3,
9126 `L2DAT6.quad_bot_left.way07_00.set_top.mem_hi0_way3,
9127 `L2DAT6.quad_bot_left.way07_00.set_top.mem_lo1_way3,
9128 `L2DAT6.quad_bot_left.way07_00.set_top.mem_hi1_way3,
9129
9130 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9131 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_hi0_way4,
9132 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9133 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_hi1_way4,
9134
9135 `L2DAT6.quad_bot_left.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9136 `L2DAT6.quad_bot_left.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
9137 `L2DAT6.quad_bot_left.way07_00.set_top.mem_lo1_way4,
9138 `L2DAT6.quad_bot_left.way07_00.set_top.mem_hi1_way4,
9139
9140 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_lo0_way5,
9141 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_hi0_way5,
9142 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_lo1_way5,
9143 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_hi1_way5,
9144
9145 `L2DAT6.quad_bot_left.way07_00.set_top.mem_lo0_way5,
9146 `L2DAT6.quad_bot_left.way07_00.set_top.mem_hi0_way5,
9147 `L2DAT6.quad_bot_left.way07_00.set_top.mem_lo1_way5,
9148 `L2DAT6.quad_bot_left.way07_00.set_top.mem_hi1_way5,
9149
9150 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9151 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_hi0_way6,
9152 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9153 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_hi1_way6,
9154
9155 `L2DAT6.quad_bot_left.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9156 `L2DAT6.quad_bot_left.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
9157 `L2DAT6.quad_bot_left.way07_00.set_top.mem_lo1_way6,
9158 `L2DAT6.quad_bot_left.way07_00.set_top.mem_hi1_way6,
9159
9160 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_lo0_way7,
9161 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_hi0_way7,
9162 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_lo1_way7,
9163 `L2DAT6.quad_bot_left.way07_00.set_bot.mem_hi1_way7,
9164
9165 `L2DAT6.quad_bot_left.way07_00.set_top.mem_lo0_way7,
9166 `L2DAT6.quad_bot_left.way07_00.set_top.mem_hi0_way7,
9167 `L2DAT6.quad_bot_left.way07_00.set_top.mem_lo1_way7,
9168 `L2DAT6.quad_bot_left.way07_00.set_top.mem_hi1_way7, //toatl arg 64
9169
9170 //way158_00 top way [15:8]
9171 //index[255:0]
9172
9173 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_lo0_way0 , //arg 441
9174 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_hi0_way0,
9175 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9176 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_hi1_way0,
9177 //index[511:256]
9178 `L2DAT6.quad_bot_left.way158_00.set_top.mem_lo0_way0 , //arg 409
9179 `L2DAT6.quad_bot_left.way158_00.set_top.mem_hi0_way0,
9180 `L2DAT6.quad_bot_left.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9181 `L2DAT6.quad_bot_left.way158_00.set_top.mem_hi1_way0,
9182
9183 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_lo0_way1,
9184 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_hi0_way1,
9185 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_lo1_way1,
9186 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_hi1_way1,
9187
9188 `L2DAT6.quad_bot_left.way158_00.set_top.mem_lo0_way1,
9189 `L2DAT6.quad_bot_left.way158_00.set_top.mem_hi0_way1,
9190 `L2DAT6.quad_bot_left.way158_00.set_top.mem_lo1_way1,
9191 `L2DAT6.quad_bot_left.way158_00.set_top.mem_hi1_way1,
9192
9193 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9194 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_hi0_way2,
9195 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9196 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_hi1_way2,
9197
9198 `L2DAT6.quad_bot_left.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9199 `L2DAT6.quad_bot_left.way158_00.set_top.mem_hi0_way2,
9200 `L2DAT6.quad_bot_left.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9201 `L2DAT6.quad_bot_left.way158_00.set_top.mem_hi1_way2,
9202
9203 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_lo0_way3,
9204 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_hi0_way3,
9205 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_lo1_way3,
9206 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_hi1_way3,
9207
9208 `L2DAT6.quad_bot_left.way158_00.set_top.mem_lo0_way3,
9209 `L2DAT6.quad_bot_left.way158_00.set_top.mem_hi0_way3,
9210 `L2DAT6.quad_bot_left.way158_00.set_top.mem_lo1_way3,
9211 `L2DAT6.quad_bot_left.way158_00.set_top.mem_hi1_way3,
9212
9213 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9214 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_hi0_way4,
9215 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9216 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_hi1_way4,
9217
9218 `L2DAT6.quad_bot_left.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9219 `L2DAT6.quad_bot_left.way158_00.set_top.mem_hi0_way4,
9220 `L2DAT6.quad_bot_left.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9221 `L2DAT6.quad_bot_left.way158_00.set_top.mem_hi1_way4,
9222
9223 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_lo0_way5,
9224 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_hi0_way5,
9225 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_lo1_way5,
9226 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_hi1_way5,
9227
9228 `L2DAT6.quad_bot_left.way158_00.set_top.mem_lo0_way5,
9229 `L2DAT6.quad_bot_left.way158_00.set_top.mem_hi0_way5,
9230 `L2DAT6.quad_bot_left.way158_00.set_top.mem_lo1_way5,
9231 `L2DAT6.quad_bot_left.way158_00.set_top.mem_hi1_way5,
9232
9233 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9234 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_hi0_way6,
9235 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9236 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_hi1_way6,
9237
9238 `L2DAT6.quad_bot_left.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9239 `L2DAT6.quad_bot_left.way158_00.set_top.mem_hi0_way6,
9240 `L2DAT6.quad_bot_left.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9241 `L2DAT6.quad_bot_left.way158_00.set_top.mem_hi1_way6,
9242
9243 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_lo0_way7,
9244 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_hi0_way7,
9245 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_lo1_way7,
9246 `L2DAT6.quad_bot_left.way158_00.set_bot.mem_hi1_way7,
9247
9248 `L2DAT6.quad_bot_left.way158_00.set_top.mem_lo0_way7,
9249 `L2DAT6.quad_bot_left.way158_00.set_top.mem_hi0_way7,
9250 `L2DAT6.quad_bot_left.way158_00.set_top.mem_lo1_way7,
9251 `L2DAT6.quad_bot_left.way158_00.set_top.mem_hi1_way7, //128
9252
9253 //way70_01 bottom
9254 //bottom
9255 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_lo0_way0 , //[623:604]
9256 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_hi0_way0,
9257 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9258 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_hi1_way0,
9259
9260 `L2DAT6.quad_bot_left.way70_01.set_top.mem_lo0_way0 , //arg 345
9261 `L2DAT6.quad_bot_left.way70_01.set_top.mem_hi0_way0,
9262 `L2DAT6.quad_bot_left.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9263 `L2DAT6.quad_bot_left.way70_01.set_top.mem_hi1_way0,
9264
9265 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_lo0_way1,
9266 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_hi0_way1,
9267 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_lo1_way1,
9268 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_hi1_way1,
9269
9270 `L2DAT6.quad_bot_left.way70_01.set_top.mem_lo0_way1,
9271 `L2DAT6.quad_bot_left.way70_01.set_top.mem_hi0_way1,
9272 `L2DAT6.quad_bot_left.way70_01.set_top.mem_lo1_way1,
9273 `L2DAT6.quad_bot_left.way70_01.set_top.mem_hi1_way1,
9274
9275 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9276 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_hi0_way2,
9277 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9278 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_hi1_way2,
9279
9280 `L2DAT6.quad_bot_left.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9281 `L2DAT6.quad_bot_left.way70_01.set_top.mem_hi0_way2,
9282 `L2DAT6.quad_bot_left.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9283 `L2DAT6.quad_bot_left.way70_01.set_top.mem_hi1_way2,
9284
9285 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_lo0_way3,
9286 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_hi0_way3,
9287 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_lo1_way3,
9288 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_hi1_way3,
9289
9290 `L2DAT6.quad_bot_left.way70_01.set_top.mem_lo0_way3,
9291 `L2DAT6.quad_bot_left.way70_01.set_top.mem_hi0_way3,
9292 `L2DAT6.quad_bot_left.way70_01.set_top.mem_lo1_way3,
9293 `L2DAT6.quad_bot_left.way70_01.set_top.mem_hi1_way3,
9294
9295 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9296 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_hi0_way4,
9297 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9298 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_hi1_way4,
9299
9300 `L2DAT6.quad_bot_left.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9301 `L2DAT6.quad_bot_left.way70_01.set_top.mem_hi0_way4,
9302 `L2DAT6.quad_bot_left.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9303 `L2DAT6.quad_bot_left.way70_01.set_top.mem_hi1_way4,
9304
9305 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_lo0_way5,
9306 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_hi0_way5,
9307 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_lo1_way5,
9308 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_hi1_way5,
9309
9310 `L2DAT6.quad_bot_left.way70_01.set_top.mem_lo0_way5,
9311 `L2DAT6.quad_bot_left.way70_01.set_top.mem_hi0_way5 ,
9312 `L2DAT6.quad_bot_left.way70_01.set_top.mem_lo1_way5,
9313 `L2DAT6.quad_bot_left.way70_01.set_top.mem_hi1_way5,
9314
9315 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9316 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_hi0_way6,
9317 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9318 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_hi1_way6,
9319
9320 `L2DAT6.quad_bot_left.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9321 `L2DAT6.quad_bot_left.way70_01.set_top.mem_hi0_way6,
9322 `L2DAT6.quad_bot_left.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9323 `L2DAT6.quad_bot_left.way70_01.set_top.mem_hi1_way6,
9324
9325 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_lo0_way7,
9326 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_hi0_way7 ,
9327 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_lo1_way7,
9328 `L2DAT6.quad_bot_left.way70_01.set_bot.mem_hi1_way7,
9329
9330 `L2DAT6.quad_bot_left.way70_01.set_top.mem_lo0_way7,
9331 `L2DAT6.quad_bot_left.way70_01.set_top.mem_hi0_way7 ,
9332 `L2DAT6.quad_bot_left.way70_01.set_top.mem_lo1_way7,
9333 `L2DAT6.quad_bot_left.way70_01.set_top.mem_hi1_way7, //192
9334
9335 //way [15:8]
9336 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_lo0_way0 , //arg 505
9337 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_hi0_way0,
9338 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9339 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_hi1_way0,
9340 //index [8]
9341 `L2DAT6.quad_bot_left.way158_01.set_top.mem_lo0_way0 , //arg 473
9342 `L2DAT6.quad_bot_left.way158_01.set_top.mem_hi0_way0,
9343 `L2DAT6.quad_bot_left.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9344 `L2DAT6.quad_bot_left.way158_01.set_top.mem_hi1_way0,
9345
9346 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_lo0_way1,
9347 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_hi0_way1,
9348 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_lo1_way1,
9349 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_hi1_way1,
9350
9351 `L2DAT6.quad_bot_left.way158_01.set_top.mem_lo0_way1,
9352 `L2DAT6.quad_bot_left.way158_01.set_top.mem_hi0_way1,
9353 `L2DAT6.quad_bot_left.way158_01.set_top.mem_lo1_way1,
9354 `L2DAT6.quad_bot_left.way158_01.set_top.mem_hi1_way1,
9355
9356 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9357 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_hi0_way2,
9358 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9359 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_hi1_way2,
9360
9361 `L2DAT6.quad_bot_left.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9362 `L2DAT6.quad_bot_left.way158_01.set_top.mem_hi0_way2,
9363 `L2DAT6.quad_bot_left.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9364 `L2DAT6.quad_bot_left.way158_01.set_top.mem_hi1_way2,
9365
9366 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_lo0_way3,
9367 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_hi0_way3,
9368 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_lo1_way3,
9369 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_hi1_way3,
9370
9371 `L2DAT6.quad_bot_left.way158_01.set_top.mem_lo0_way3,
9372 `L2DAT6.quad_bot_left.way158_01.set_top.mem_hi0_way3,
9373 `L2DAT6.quad_bot_left.way158_01.set_top.mem_lo1_way3,
9374 `L2DAT6.quad_bot_left.way158_01.set_top.mem_hi1_way3,
9375
9376 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9377 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_hi0_way4,
9378 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9379 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_hi1_way4,
9380
9381 `L2DAT6.quad_bot_left.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9382 `L2DAT6.quad_bot_left.way158_01.set_top.mem_hi0_way4,
9383 `L2DAT6.quad_bot_left.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9384 `L2DAT6.quad_bot_left.way158_01.set_top.mem_hi1_way4,
9385
9386 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_lo0_way5,
9387 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_hi0_way5,
9388 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_lo1_way5,
9389 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_hi1_way5,
9390
9391 `L2DAT6.quad_bot_left.way158_01.set_top.mem_lo0_way5,
9392 `L2DAT6.quad_bot_left.way158_01.set_top.mem_hi0_way5,
9393 `L2DAT6.quad_bot_left.way158_01.set_top.mem_lo1_way5,
9394 `L2DAT6.quad_bot_left.way158_01.set_top.mem_hi1_way5,
9395
9396 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9397 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_hi0_way6,
9398 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9399 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_hi1_way6,
9400
9401 `L2DAT6.quad_bot_left.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9402 `L2DAT6.quad_bot_left.way158_01.set_top.mem_hi0_way6,
9403 `L2DAT6.quad_bot_left.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9404 `L2DAT6.quad_bot_left.way158_01.set_top.mem_hi1_way6,
9405
9406 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_lo0_way7,
9407 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_hi0_way7,
9408 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_lo1_way7,
9409 `L2DAT6.quad_bot_left.way158_01.set_bot.mem_hi1_way7,
9410
9411 `L2DAT6.quad_bot_left.way158_01.set_top.mem_lo0_way7,
9412 `L2DAT6.quad_bot_left.way158_01.set_top.mem_hi0_way7,
9413 `L2DAT6.quad_bot_left.way158_01.set_top.mem_lo1_way7,
9414 `L2DAT6.quad_bot_left.way158_01.set_top.mem_hi1_way7, //256
9415 //right handside
9416 //bank 0 data
9417 //way [7:0]
9418 //[8] = 0 [255-0]
9419 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_lo0_way0 , //arg 313
9420 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_hi0_way0,
9421 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9422 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_hi1_way0,
9423 //index [511-256]
9424 `L2DAT6.quad_bot_right.way07_00.set_top.mem_lo0_way0 , //arg 281
9425 `L2DAT6.quad_bot_right.way07_00.set_top.mem_hi0_way0,
9426 `L2DAT6.quad_bot_right.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9427 `L2DAT6.quad_bot_right.way07_00.set_top.mem_hi1_way0,
9428
9429 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_lo0_way1,
9430 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_hi0_way1,
9431 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_lo1_way1,
9432 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_hi1_way1,
9433
9434 `L2DAT6.quad_bot_right.way07_00.set_top.mem_lo0_way1,
9435 `L2DAT6.quad_bot_right.way07_00.set_top.mem_hi0_way1,
9436 `L2DAT6.quad_bot_right.way07_00.set_top.mem_lo1_way1,
9437 `L2DAT6.quad_bot_right.way07_00.set_top.mem_hi1_way1,
9438
9439 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9440 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_hi0_way2,
9441 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9442 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_hi1_way2,
9443
9444 `L2DAT6.quad_bot_right.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9445 `L2DAT6.quad_bot_right.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
9446 `L2DAT6.quad_bot_right.way07_00.set_top.mem_lo1_way2,
9447 `L2DAT6.quad_bot_right.way07_00.set_top.mem_hi1_way2,
9448
9449 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_lo0_way3,
9450 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_hi0_way3,
9451 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_lo1_way3,
9452 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_hi1_way3,
9453
9454 `L2DAT6.quad_bot_right.way07_00.set_top.mem_lo0_way3,
9455 `L2DAT6.quad_bot_right.way07_00.set_top.mem_hi0_way3,
9456 `L2DAT6.quad_bot_right.way07_00.set_top.mem_lo1_way3,
9457 `L2DAT6.quad_bot_right.way07_00.set_top.mem_hi1_way3,
9458
9459 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9460 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_hi0_way4,
9461 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9462 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_hi1_way4,
9463
9464 `L2DAT6.quad_bot_right.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9465 `L2DAT6.quad_bot_right.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
9466 `L2DAT6.quad_bot_right.way07_00.set_top.mem_lo1_way4,
9467 `L2DAT6.quad_bot_right.way07_00.set_top.mem_hi1_way4,
9468
9469 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_lo0_way5,
9470 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_hi0_way5,
9471 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_lo1_way5,
9472 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_hi1_way5,
9473
9474 `L2DAT6.quad_bot_right.way07_00.set_top.mem_lo0_way5,
9475 `L2DAT6.quad_bot_right.way07_00.set_top.mem_hi0_way5,
9476 `L2DAT6.quad_bot_right.way07_00.set_top.mem_lo1_way5,
9477 `L2DAT6.quad_bot_right.way07_00.set_top.mem_hi1_way5,
9478
9479 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9480 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_hi0_way6,
9481 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9482 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_hi1_way6,
9483
9484 `L2DAT6.quad_bot_right.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9485 `L2DAT6.quad_bot_right.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
9486 `L2DAT6.quad_bot_right.way07_00.set_top.mem_lo1_way6,
9487 `L2DAT6.quad_bot_right.way07_00.set_top.mem_hi1_way6,
9488
9489 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_lo0_way7,
9490 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_hi0_way7,
9491 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_lo1_way7,
9492 `L2DAT6.quad_bot_right.way07_00.set_bot.mem_hi1_way7,
9493
9494 `L2DAT6.quad_bot_right.way07_00.set_top.mem_lo0_way7,
9495 `L2DAT6.quad_bot_right.way07_00.set_top.mem_hi0_way7,
9496 `L2DAT6.quad_bot_right.way07_00.set_top.mem_lo1_way7,
9497 `L2DAT6.quad_bot_right.way07_00.set_top.mem_hi1_way7, //toatl arg 64
9498
9499 //way158_00 top way [15:8]
9500 //index[255:0]
9501
9502 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_lo0_way0 , //arg 441
9503 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_hi0_way0,
9504 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9505 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_hi1_way0,
9506 //index[511:256]
9507 `L2DAT6.quad_bot_right.way158_00.set_top.mem_lo0_way0 , //arg 409
9508 `L2DAT6.quad_bot_right.way158_00.set_top.mem_hi0_way0,
9509 `L2DAT6.quad_bot_right.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9510 `L2DAT6.quad_bot_right.way158_00.set_top.mem_hi1_way0,
9511
9512 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_lo0_way1,
9513 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_hi0_way1,
9514 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_lo1_way1,
9515 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_hi1_way1,
9516
9517 `L2DAT6.quad_bot_right.way158_00.set_top.mem_lo0_way1,
9518 `L2DAT6.quad_bot_right.way158_00.set_top.mem_hi0_way1,
9519 `L2DAT6.quad_bot_right.way158_00.set_top.mem_lo1_way1,
9520 `L2DAT6.quad_bot_right.way158_00.set_top.mem_hi1_way1,
9521
9522 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9523 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_hi0_way2,
9524 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9525 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_hi1_way2,
9526
9527 `L2DAT6.quad_bot_right.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9528 `L2DAT6.quad_bot_right.way158_00.set_top.mem_hi0_way2,
9529 `L2DAT6.quad_bot_right.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9530 `L2DAT6.quad_bot_right.way158_00.set_top.mem_hi1_way2,
9531
9532 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_lo0_way3,
9533 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_hi0_way3,
9534 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_lo1_way3,
9535 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_hi1_way3,
9536
9537 `L2DAT6.quad_bot_right.way158_00.set_top.mem_lo0_way3,
9538 `L2DAT6.quad_bot_right.way158_00.set_top.mem_hi0_way3,
9539 `L2DAT6.quad_bot_right.way158_00.set_top.mem_lo1_way3,
9540 `L2DAT6.quad_bot_right.way158_00.set_top.mem_hi1_way3,
9541
9542 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9543 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_hi0_way4,
9544 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9545 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_hi1_way4,
9546
9547 `L2DAT6.quad_bot_right.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9548 `L2DAT6.quad_bot_right.way158_00.set_top.mem_hi0_way4,
9549 `L2DAT6.quad_bot_right.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9550 `L2DAT6.quad_bot_right.way158_00.set_top.mem_hi1_way4,
9551
9552 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_lo0_way5,
9553 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_hi0_way5,
9554 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_lo1_way5,
9555 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_hi1_way5,
9556
9557 `L2DAT6.quad_bot_right.way158_00.set_top.mem_lo0_way5,
9558 `L2DAT6.quad_bot_right.way158_00.set_top.mem_hi0_way5,
9559 `L2DAT6.quad_bot_right.way158_00.set_top.mem_lo1_way5,
9560 `L2DAT6.quad_bot_right.way158_00.set_top.mem_hi1_way5,
9561
9562 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9563 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_hi0_way6,
9564 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9565 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_hi1_way6,
9566
9567 `L2DAT6.quad_bot_right.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9568 `L2DAT6.quad_bot_right.way158_00.set_top.mem_hi0_way6,
9569 `L2DAT6.quad_bot_right.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9570 `L2DAT6.quad_bot_right.way158_00.set_top.mem_hi1_way6,
9571
9572 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_lo0_way7,
9573 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_hi0_way7,
9574 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_lo1_way7,
9575 `L2DAT6.quad_bot_right.way158_00.set_bot.mem_hi1_way7,
9576
9577 `L2DAT6.quad_bot_right.way158_00.set_top.mem_lo0_way7,
9578 `L2DAT6.quad_bot_right.way158_00.set_top.mem_hi0_way7,
9579 `L2DAT6.quad_bot_right.way158_00.set_top.mem_lo1_way7,
9580 `L2DAT6.quad_bot_right.way158_00.set_top.mem_hi1_way7, //128
9581
9582 //way70_01 bottom
9583 //bottom
9584 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_lo0_way0 , //[623:604]
9585 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_hi0_way0,
9586 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9587 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_hi1_way0,
9588
9589 `L2DAT6.quad_bot_right.way70_01.set_top.mem_lo0_way0 , //arg 345
9590 `L2DAT6.quad_bot_right.way70_01.set_top.mem_hi0_way0,
9591 `L2DAT6.quad_bot_right.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9592 `L2DAT6.quad_bot_right.way70_01.set_top.mem_hi1_way0,
9593
9594 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_lo0_way1,
9595 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_hi0_way1,
9596 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_lo1_way1,
9597 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_hi1_way1,
9598
9599 `L2DAT6.quad_bot_right.way70_01.set_top.mem_lo0_way1,
9600 `L2DAT6.quad_bot_right.way70_01.set_top.mem_hi0_way1,
9601 `L2DAT6.quad_bot_right.way70_01.set_top.mem_lo1_way1,
9602 `L2DAT6.quad_bot_right.way70_01.set_top.mem_hi1_way1,
9603
9604 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9605 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_hi0_way2,
9606 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9607 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_hi1_way2,
9608
9609 `L2DAT6.quad_bot_right.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9610 `L2DAT6.quad_bot_right.way70_01.set_top.mem_hi0_way2,
9611 `L2DAT6.quad_bot_right.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9612 `L2DAT6.quad_bot_right.way70_01.set_top.mem_hi1_way2,
9613
9614 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_lo0_way3,
9615 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_hi0_way3,
9616 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_lo1_way3,
9617 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_hi1_way3,
9618
9619 `L2DAT6.quad_bot_right.way70_01.set_top.mem_lo0_way3,
9620 `L2DAT6.quad_bot_right.way70_01.set_top.mem_hi0_way3,
9621 `L2DAT6.quad_bot_right.way70_01.set_top.mem_lo1_way3,
9622 `L2DAT6.quad_bot_right.way70_01.set_top.mem_hi1_way3,
9623
9624 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9625 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_hi0_way4,
9626 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9627 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_hi1_way4,
9628
9629 `L2DAT6.quad_bot_right.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9630 `L2DAT6.quad_bot_right.way70_01.set_top.mem_hi0_way4,
9631 `L2DAT6.quad_bot_right.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9632 `L2DAT6.quad_bot_right.way70_01.set_top.mem_hi1_way4,
9633
9634 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_lo0_way5,
9635 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_hi0_way5,
9636 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_lo1_way5,
9637 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_hi1_way5,
9638
9639 `L2DAT6.quad_bot_right.way70_01.set_top.mem_lo0_way5,
9640 `L2DAT6.quad_bot_right.way70_01.set_top.mem_hi0_way5 ,
9641 `L2DAT6.quad_bot_right.way70_01.set_top.mem_lo1_way5,
9642 `L2DAT6.quad_bot_right.way70_01.set_top.mem_hi1_way5,
9643
9644 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9645 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_hi0_way6,
9646 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9647 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_hi1_way6,
9648
9649 `L2DAT6.quad_bot_right.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9650 `L2DAT6.quad_bot_right.way70_01.set_top.mem_hi0_way6,
9651 `L2DAT6.quad_bot_right.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9652 `L2DAT6.quad_bot_right.way70_01.set_top.mem_hi1_way6,
9653
9654 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_lo0_way7,
9655 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_hi0_way7 ,
9656 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_lo1_way7,
9657 `L2DAT6.quad_bot_right.way70_01.set_bot.mem_hi1_way7,
9658
9659 `L2DAT6.quad_bot_right.way70_01.set_top.mem_lo0_way7,
9660 `L2DAT6.quad_bot_right.way70_01.set_top.mem_hi0_way7 ,
9661 `L2DAT6.quad_bot_right.way70_01.set_top.mem_lo1_way7,
9662 `L2DAT6.quad_bot_right.way70_01.set_top.mem_hi1_way7, //192
9663
9664 //way [15:8]
9665 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_lo0_way0 , //arg 505
9666 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_hi0_way0,
9667 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9668 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_hi1_way0,
9669 //index [8]
9670 `L2DAT6.quad_bot_right.way158_01.set_top.mem_lo0_way0 , //arg 473
9671 `L2DAT6.quad_bot_right.way158_01.set_top.mem_hi0_way0,
9672 `L2DAT6.quad_bot_right.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9673 `L2DAT6.quad_bot_right.way158_01.set_top.mem_hi1_way0,
9674
9675 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_lo0_way1,
9676 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_hi0_way1,
9677 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_lo1_way1,
9678 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_hi1_way1,
9679
9680 `L2DAT6.quad_bot_right.way158_01.set_top.mem_lo0_way1,
9681 `L2DAT6.quad_bot_right.way158_01.set_top.mem_hi0_way1,
9682 `L2DAT6.quad_bot_right.way158_01.set_top.mem_lo1_way1,
9683 `L2DAT6.quad_bot_right.way158_01.set_top.mem_hi1_way1,
9684
9685 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9686 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_hi0_way2,
9687 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9688 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_hi1_way2,
9689
9690 `L2DAT6.quad_bot_right.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9691 `L2DAT6.quad_bot_right.way158_01.set_top.mem_hi0_way2,
9692 `L2DAT6.quad_bot_right.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9693 `L2DAT6.quad_bot_right.way158_01.set_top.mem_hi1_way2,
9694
9695 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_lo0_way3,
9696 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_hi0_way3,
9697 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_lo1_way3,
9698 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_hi1_way3,
9699
9700 `L2DAT6.quad_bot_right.way158_01.set_top.mem_lo0_way3,
9701 `L2DAT6.quad_bot_right.way158_01.set_top.mem_hi0_way3,
9702 `L2DAT6.quad_bot_right.way158_01.set_top.mem_lo1_way3,
9703 `L2DAT6.quad_bot_right.way158_01.set_top.mem_hi1_way3,
9704
9705 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9706 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_hi0_way4,
9707 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9708 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_hi1_way4,
9709
9710 `L2DAT6.quad_bot_right.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9711 `L2DAT6.quad_bot_right.way158_01.set_top.mem_hi0_way4,
9712 `L2DAT6.quad_bot_right.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9713 `L2DAT6.quad_bot_right.way158_01.set_top.mem_hi1_way4,
9714
9715 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_lo0_way5,
9716 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_hi0_way5,
9717 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_lo1_way5,
9718 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_hi1_way5,
9719
9720 `L2DAT6.quad_bot_right.way158_01.set_top.mem_lo0_way5,
9721 `L2DAT6.quad_bot_right.way158_01.set_top.mem_hi0_way5,
9722 `L2DAT6.quad_bot_right.way158_01.set_top.mem_lo1_way5,
9723 `L2DAT6.quad_bot_right.way158_01.set_top.mem_hi1_way5,
9724
9725 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9726 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_hi0_way6,
9727 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9728 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_hi1_way6,
9729
9730 `L2DAT6.quad_bot_right.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9731 `L2DAT6.quad_bot_right.way158_01.set_top.mem_hi0_way6,
9732 `L2DAT6.quad_bot_right.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9733 `L2DAT6.quad_bot_right.way158_01.set_top.mem_hi1_way6,
9734
9735 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_lo0_way7,
9736 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_hi0_way7,
9737 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_lo1_way7,
9738 `L2DAT6.quad_bot_right.way158_01.set_bot.mem_hi1_way7,
9739
9740 `L2DAT6.quad_bot_right.way158_01.set_top.mem_lo0_way7,
9741 `L2DAT6.quad_bot_right.way158_01.set_top.mem_hi0_way7,
9742 `L2DAT6.quad_bot_right.way158_01.set_top.mem_lo1_way7,
9743 `L2DAT6.quad_bot_right.way158_01.set_top.mem_hi1_way7, //512
9744//Bank 7 define
9745 `L2TAG7.tag.quad0.bank0.l2t_array.mem_lft, //1 reg [`L2T_ARR_D_WIDTH + 1:0] way0[`L2T_ARR_DEPTH - 1 :0];
9746 `L2TAG7.tag.quad0.bank0.l2t_array.mem_rgt, //`define L2T_ARR_D_WIDTH 28 `define L2T_ARR_DEPTH 2
9747
9748 `L2TAG7.tag.quad0.bank1.l2t_array.mem_lft,
9749 `L2TAG7.tag.quad0.bank1.l2t_array.mem_rgt,
9750
9751 `L2TAG7.tag.quad1.bank0.l2t_array.mem_lft,
9752 `L2TAG7.tag.quad1.bank0.l2t_array.mem_rgt,
9753
9754 `L2TAG7.tag.quad1.bank1.l2t_array.mem_lft,
9755 `L2TAG7.tag.quad1.bank1.l2t_array.mem_rgt,
9756
9757 `L2TAG7.tag.quad2.bank0.l2t_array.mem_lft,
9758 `L2TAG7.tag.quad2.bank0.l2t_array.mem_rgt,
9759
9760 `L2TAG7.tag.quad2.bank1.l2t_array.mem_lft,
9761 `L2TAG7.tag.quad2.bank1.l2t_array.mem_rgt,
9762
9763 `L2TAG7.tag.quad3.bank0.l2t_array.mem_lft,
9764 `L2TAG7.tag.quad3.bank0.l2t_array.mem_rgt,
9765
9766 `L2TAG7.tag.quad3.bank1.l2t_array.mem_lft,
9767 `L2TAG7.tag.quad3.bank1.l2t_array.mem_rgt, //16
9768
9769 `L2TAG7.subarray_0.array.inq_ary, // arg 17: reg [159:0] inq_ary [31:0];
9770 `L2TAG7.subarray_1.array.inq_ary,
9771 `L2TAG7.subarray_2.array.inq_ary,
9772 `L2TAG7.subarray_3.array.inq_ary,
9773 `L2TAG7.subarray_8.array.inq_ary,
9774 `L2TAG7.subarray_9.array.inq_ary,
9775 `L2TAG7.subarray_10.array.inq_ary,
9776 `L2TAG7.subarray_11.array.inq_ary, //24
9777
9778 //bank 0 data
9779 //way [7:0]
9780 //[8] = 0 [255-0]
9781 `L2DAT7.quad_top_left.way07_00.set_bot.mem_lo0_way0 , //arg 313
9782 `L2DAT7.quad_top_left.way07_00.set_bot.mem_hi0_way0,
9783 `L2DAT7.quad_top_left.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9784 `L2DAT7.quad_top_left.way07_00.set_bot.mem_hi1_way0,
9785 //index [511-256]
9786 `L2DAT7.quad_top_left.way07_00.set_top.mem_lo0_way0 , //arg 281
9787 `L2DAT7.quad_top_left.way07_00.set_top.mem_hi0_way0,
9788 `L2DAT7.quad_top_left.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9789 `L2DAT7.quad_top_left.way07_00.set_top.mem_hi1_way0,
9790
9791 `L2DAT7.quad_top_left.way07_00.set_bot.mem_lo0_way1,
9792 `L2DAT7.quad_top_left.way07_00.set_bot.mem_hi0_way1,
9793 `L2DAT7.quad_top_left.way07_00.set_bot.mem_lo1_way1,
9794 `L2DAT7.quad_top_left.way07_00.set_bot.mem_hi1_way1,
9795
9796 `L2DAT7.quad_top_left.way07_00.set_top.mem_lo0_way1,
9797 `L2DAT7.quad_top_left.way07_00.set_top.mem_hi0_way1,
9798 `L2DAT7.quad_top_left.way07_00.set_top.mem_lo1_way1,
9799 `L2DAT7.quad_top_left.way07_00.set_top.mem_hi1_way1,
9800
9801 `L2DAT7.quad_top_left.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9802 `L2DAT7.quad_top_left.way07_00.set_bot.mem_hi0_way2,
9803 `L2DAT7.quad_top_left.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9804 `L2DAT7.quad_top_left.way07_00.set_bot.mem_hi1_way2,
9805
9806 `L2DAT7.quad_top_left.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9807 `L2DAT7.quad_top_left.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
9808 `L2DAT7.quad_top_left.way07_00.set_top.mem_lo1_way2,
9809 `L2DAT7.quad_top_left.way07_00.set_top.mem_hi1_way2,
9810
9811 `L2DAT7.quad_top_left.way07_00.set_bot.mem_lo0_way3,
9812 `L2DAT7.quad_top_left.way07_00.set_bot.mem_hi0_way3,
9813 `L2DAT7.quad_top_left.way07_00.set_bot.mem_lo1_way3,
9814 `L2DAT7.quad_top_left.way07_00.set_bot.mem_hi1_way3,
9815
9816 `L2DAT7.quad_top_left.way07_00.set_top.mem_lo0_way3,
9817 `L2DAT7.quad_top_left.way07_00.set_top.mem_hi0_way3,
9818 `L2DAT7.quad_top_left.way07_00.set_top.mem_lo1_way3,
9819 `L2DAT7.quad_top_left.way07_00.set_top.mem_hi1_way3,
9820
9821 `L2DAT7.quad_top_left.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9822 `L2DAT7.quad_top_left.way07_00.set_bot.mem_hi0_way4,
9823 `L2DAT7.quad_top_left.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9824 `L2DAT7.quad_top_left.way07_00.set_bot.mem_hi1_way4,
9825
9826 `L2DAT7.quad_top_left.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9827 `L2DAT7.quad_top_left.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
9828 `L2DAT7.quad_top_left.way07_00.set_top.mem_lo1_way4,
9829 `L2DAT7.quad_top_left.way07_00.set_top.mem_hi1_way4,
9830
9831 `L2DAT7.quad_top_left.way07_00.set_bot.mem_lo0_way5,
9832 `L2DAT7.quad_top_left.way07_00.set_bot.mem_hi0_way5,
9833 `L2DAT7.quad_top_left.way07_00.set_bot.mem_lo1_way5,
9834 `L2DAT7.quad_top_left.way07_00.set_bot.mem_hi1_way5,
9835
9836 `L2DAT7.quad_top_left.way07_00.set_top.mem_lo0_way5,
9837 `L2DAT7.quad_top_left.way07_00.set_top.mem_hi0_way5,
9838 `L2DAT7.quad_top_left.way07_00.set_top.mem_lo1_way5,
9839 `L2DAT7.quad_top_left.way07_00.set_top.mem_hi1_way5,
9840
9841 `L2DAT7.quad_top_left.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9842 `L2DAT7.quad_top_left.way07_00.set_bot.mem_hi0_way6,
9843 `L2DAT7.quad_top_left.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9844 `L2DAT7.quad_top_left.way07_00.set_bot.mem_hi1_way6,
9845
9846 `L2DAT7.quad_top_left.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9847 `L2DAT7.quad_top_left.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
9848 `L2DAT7.quad_top_left.way07_00.set_top.mem_lo1_way6,
9849 `L2DAT7.quad_top_left.way07_00.set_top.mem_hi1_way6,
9850
9851 `L2DAT7.quad_top_left.way07_00.set_bot.mem_lo0_way7,
9852 `L2DAT7.quad_top_left.way07_00.set_bot.mem_hi0_way7,
9853 `L2DAT7.quad_top_left.way07_00.set_bot.mem_lo1_way7,
9854 `L2DAT7.quad_top_left.way07_00.set_bot.mem_hi1_way7,
9855
9856 `L2DAT7.quad_top_left.way07_00.set_top.mem_lo0_way7,
9857 `L2DAT7.quad_top_left.way07_00.set_top.mem_hi0_way7,
9858 `L2DAT7.quad_top_left.way07_00.set_top.mem_lo1_way7,
9859 `L2DAT7.quad_top_left.way07_00.set_top.mem_hi1_way7, //toatl arg 64
9860
9861 //way158_00 top way [15:8]
9862 //index[255:0]
9863
9864 `L2DAT7.quad_top_left.way158_00.set_bot.mem_lo0_way0 , //arg 441
9865 `L2DAT7.quad_top_left.way158_00.set_bot.mem_hi0_way0,
9866 `L2DAT7.quad_top_left.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9867 `L2DAT7.quad_top_left.way158_00.set_bot.mem_hi1_way0,
9868 //index[511:256]
9869 `L2DAT7.quad_top_left.way158_00.set_top.mem_lo0_way0 , //arg 409
9870 `L2DAT7.quad_top_left.way158_00.set_top.mem_hi0_way0,
9871 `L2DAT7.quad_top_left.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9872 `L2DAT7.quad_top_left.way158_00.set_top.mem_hi1_way0,
9873
9874 `L2DAT7.quad_top_left.way158_00.set_bot.mem_lo0_way1,
9875 `L2DAT7.quad_top_left.way158_00.set_bot.mem_hi0_way1,
9876 `L2DAT7.quad_top_left.way158_00.set_bot.mem_lo1_way1,
9877 `L2DAT7.quad_top_left.way158_00.set_bot.mem_hi1_way1,
9878
9879 `L2DAT7.quad_top_left.way158_00.set_top.mem_lo0_way1,
9880 `L2DAT7.quad_top_left.way158_00.set_top.mem_hi0_way1,
9881 `L2DAT7.quad_top_left.way158_00.set_top.mem_lo1_way1,
9882 `L2DAT7.quad_top_left.way158_00.set_top.mem_hi1_way1,
9883
9884 `L2DAT7.quad_top_left.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9885 `L2DAT7.quad_top_left.way158_00.set_bot.mem_hi0_way2,
9886 `L2DAT7.quad_top_left.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9887 `L2DAT7.quad_top_left.way158_00.set_bot.mem_hi1_way2,
9888
9889 `L2DAT7.quad_top_left.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9890 `L2DAT7.quad_top_left.way158_00.set_top.mem_hi0_way2,
9891 `L2DAT7.quad_top_left.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9892 `L2DAT7.quad_top_left.way158_00.set_top.mem_hi1_way2,
9893
9894 `L2DAT7.quad_top_left.way158_00.set_bot.mem_lo0_way3,
9895 `L2DAT7.quad_top_left.way158_00.set_bot.mem_hi0_way3,
9896 `L2DAT7.quad_top_left.way158_00.set_bot.mem_lo1_way3,
9897 `L2DAT7.quad_top_left.way158_00.set_bot.mem_hi1_way3,
9898
9899 `L2DAT7.quad_top_left.way158_00.set_top.mem_lo0_way3,
9900 `L2DAT7.quad_top_left.way158_00.set_top.mem_hi0_way3,
9901 `L2DAT7.quad_top_left.way158_00.set_top.mem_lo1_way3,
9902 `L2DAT7.quad_top_left.way158_00.set_top.mem_hi1_way3,
9903
9904 `L2DAT7.quad_top_left.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9905 `L2DAT7.quad_top_left.way158_00.set_bot.mem_hi0_way4,
9906 `L2DAT7.quad_top_left.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9907 `L2DAT7.quad_top_left.way158_00.set_bot.mem_hi1_way4,
9908
9909 `L2DAT7.quad_top_left.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9910 `L2DAT7.quad_top_left.way158_00.set_top.mem_hi0_way4,
9911 `L2DAT7.quad_top_left.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9912 `L2DAT7.quad_top_left.way158_00.set_top.mem_hi1_way4,
9913
9914 `L2DAT7.quad_top_left.way158_00.set_bot.mem_lo0_way5,
9915 `L2DAT7.quad_top_left.way158_00.set_bot.mem_hi0_way5,
9916 `L2DAT7.quad_top_left.way158_00.set_bot.mem_lo1_way5,
9917 `L2DAT7.quad_top_left.way158_00.set_bot.mem_hi1_way5,
9918
9919 `L2DAT7.quad_top_left.way158_00.set_top.mem_lo0_way5,
9920 `L2DAT7.quad_top_left.way158_00.set_top.mem_hi0_way5,
9921 `L2DAT7.quad_top_left.way158_00.set_top.mem_lo1_way5,
9922 `L2DAT7.quad_top_left.way158_00.set_top.mem_hi1_way5,
9923
9924 `L2DAT7.quad_top_left.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9925 `L2DAT7.quad_top_left.way158_00.set_bot.mem_hi0_way6,
9926 `L2DAT7.quad_top_left.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9927 `L2DAT7.quad_top_left.way158_00.set_bot.mem_hi1_way6,
9928
9929 `L2DAT7.quad_top_left.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
9930 `L2DAT7.quad_top_left.way158_00.set_top.mem_hi0_way6,
9931 `L2DAT7.quad_top_left.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
9932 `L2DAT7.quad_top_left.way158_00.set_top.mem_hi1_way6,
9933
9934 `L2DAT7.quad_top_left.way158_00.set_bot.mem_lo0_way7,
9935 `L2DAT7.quad_top_left.way158_00.set_bot.mem_hi0_way7,
9936 `L2DAT7.quad_top_left.way158_00.set_bot.mem_lo1_way7,
9937 `L2DAT7.quad_top_left.way158_00.set_bot.mem_hi1_way7,
9938
9939 `L2DAT7.quad_top_left.way158_00.set_top.mem_lo0_way7,
9940 `L2DAT7.quad_top_left.way158_00.set_top.mem_hi0_way7,
9941 `L2DAT7.quad_top_left.way158_00.set_top.mem_lo1_way7,
9942 `L2DAT7.quad_top_left.way158_00.set_top.mem_hi1_way7, //128
9943
9944 //way70_01 bottom
9945 //bottom
9946 `L2DAT7.quad_top_left.way70_01.set_bot.mem_lo0_way0 , //[623:604]
9947 `L2DAT7.quad_top_left.way70_01.set_bot.mem_hi0_way0,
9948 `L2DAT7.quad_top_left.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9949 `L2DAT7.quad_top_left.way70_01.set_bot.mem_hi1_way0,
9950
9951 `L2DAT7.quad_top_left.way70_01.set_top.mem_lo0_way0 , //arg 345
9952 `L2DAT7.quad_top_left.way70_01.set_top.mem_hi0_way0,
9953 `L2DAT7.quad_top_left.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
9954 `L2DAT7.quad_top_left.way70_01.set_top.mem_hi1_way0,
9955
9956 `L2DAT7.quad_top_left.way70_01.set_bot.mem_lo0_way1,
9957 `L2DAT7.quad_top_left.way70_01.set_bot.mem_hi0_way1,
9958 `L2DAT7.quad_top_left.way70_01.set_bot.mem_lo1_way1,
9959 `L2DAT7.quad_top_left.way70_01.set_bot.mem_hi1_way1,
9960
9961 `L2DAT7.quad_top_left.way70_01.set_top.mem_lo0_way1,
9962 `L2DAT7.quad_top_left.way70_01.set_top.mem_hi0_way1,
9963 `L2DAT7.quad_top_left.way70_01.set_top.mem_lo1_way1,
9964 `L2DAT7.quad_top_left.way70_01.set_top.mem_hi1_way1,
9965
9966 `L2DAT7.quad_top_left.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9967 `L2DAT7.quad_top_left.way70_01.set_bot.mem_hi0_way2,
9968 `L2DAT7.quad_top_left.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9969 `L2DAT7.quad_top_left.way70_01.set_bot.mem_hi1_way2,
9970
9971 `L2DAT7.quad_top_left.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
9972 `L2DAT7.quad_top_left.way70_01.set_top.mem_hi0_way2,
9973 `L2DAT7.quad_top_left.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
9974 `L2DAT7.quad_top_left.way70_01.set_top.mem_hi1_way2,
9975
9976 `L2DAT7.quad_top_left.way70_01.set_bot.mem_lo0_way3,
9977 `L2DAT7.quad_top_left.way70_01.set_bot.mem_hi0_way3,
9978 `L2DAT7.quad_top_left.way70_01.set_bot.mem_lo1_way3,
9979 `L2DAT7.quad_top_left.way70_01.set_bot.mem_hi1_way3,
9980
9981 `L2DAT7.quad_top_left.way70_01.set_top.mem_lo0_way3,
9982 `L2DAT7.quad_top_left.way70_01.set_top.mem_hi0_way3,
9983 `L2DAT7.quad_top_left.way70_01.set_top.mem_lo1_way3,
9984 `L2DAT7.quad_top_left.way70_01.set_top.mem_hi1_way3,
9985
9986 `L2DAT7.quad_top_left.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9987 `L2DAT7.quad_top_left.way70_01.set_bot.mem_hi0_way4,
9988 `L2DAT7.quad_top_left.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9989 `L2DAT7.quad_top_left.way70_01.set_bot.mem_hi1_way4,
9990
9991 `L2DAT7.quad_top_left.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
9992 `L2DAT7.quad_top_left.way70_01.set_top.mem_hi0_way4,
9993 `L2DAT7.quad_top_left.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
9994 `L2DAT7.quad_top_left.way70_01.set_top.mem_hi1_way4,
9995
9996 `L2DAT7.quad_top_left.way70_01.set_bot.mem_lo0_way5,
9997 `L2DAT7.quad_top_left.way70_01.set_bot.mem_hi0_way5,
9998 `L2DAT7.quad_top_left.way70_01.set_bot.mem_lo1_way5,
9999 `L2DAT7.quad_top_left.way70_01.set_bot.mem_hi1_way5,
10000
10001 `L2DAT7.quad_top_left.way70_01.set_top.mem_lo0_way5,
10002 `L2DAT7.quad_top_left.way70_01.set_top.mem_hi0_way5 ,
10003 `L2DAT7.quad_top_left.way70_01.set_top.mem_lo1_way5,
10004 `L2DAT7.quad_top_left.way70_01.set_top.mem_hi1_way5,
10005
10006 `L2DAT7.quad_top_left.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10007 `L2DAT7.quad_top_left.way70_01.set_bot.mem_hi0_way6,
10008 `L2DAT7.quad_top_left.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10009 `L2DAT7.quad_top_left.way70_01.set_bot.mem_hi1_way6,
10010
10011 `L2DAT7.quad_top_left.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10012 `L2DAT7.quad_top_left.way70_01.set_top.mem_hi0_way6,
10013 `L2DAT7.quad_top_left.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10014 `L2DAT7.quad_top_left.way70_01.set_top.mem_hi1_way6,
10015
10016 `L2DAT7.quad_top_left.way70_01.set_bot.mem_lo0_way7,
10017 `L2DAT7.quad_top_left.way70_01.set_bot.mem_hi0_way7 ,
10018 `L2DAT7.quad_top_left.way70_01.set_bot.mem_lo1_way7,
10019 `L2DAT7.quad_top_left.way70_01.set_bot.mem_hi1_way7,
10020
10021 `L2DAT7.quad_top_left.way70_01.set_top.mem_lo0_way7,
10022 `L2DAT7.quad_top_left.way70_01.set_top.mem_hi0_way7 ,
10023 `L2DAT7.quad_top_left.way70_01.set_top.mem_lo1_way7,
10024 `L2DAT7.quad_top_left.way70_01.set_top.mem_hi1_way7, //192
10025
10026 //way [15:8]
10027 `L2DAT7.quad_top_left.way158_01.set_bot.mem_lo0_way0 , //arg 505
10028 `L2DAT7.quad_top_left.way158_01.set_bot.mem_hi0_way0,
10029 `L2DAT7.quad_top_left.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10030 `L2DAT7.quad_top_left.way158_01.set_bot.mem_hi1_way0,
10031 //index [8]
10032 `L2DAT7.quad_top_left.way158_01.set_top.mem_lo0_way0 , //arg 473
10033 `L2DAT7.quad_top_left.way158_01.set_top.mem_hi0_way0,
10034 `L2DAT7.quad_top_left.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10035 `L2DAT7.quad_top_left.way158_01.set_top.mem_hi1_way0,
10036
10037 `L2DAT7.quad_top_left.way158_01.set_bot.mem_lo0_way1,
10038 `L2DAT7.quad_top_left.way158_01.set_bot.mem_hi0_way1,
10039 `L2DAT7.quad_top_left.way158_01.set_bot.mem_lo1_way1,
10040 `L2DAT7.quad_top_left.way158_01.set_bot.mem_hi1_way1,
10041
10042 `L2DAT7.quad_top_left.way158_01.set_top.mem_lo0_way1,
10043 `L2DAT7.quad_top_left.way158_01.set_top.mem_hi0_way1,
10044 `L2DAT7.quad_top_left.way158_01.set_top.mem_lo1_way1,
10045 `L2DAT7.quad_top_left.way158_01.set_top.mem_hi1_way1,
10046
10047 `L2DAT7.quad_top_left.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10048 `L2DAT7.quad_top_left.way158_01.set_bot.mem_hi0_way2,
10049 `L2DAT7.quad_top_left.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10050 `L2DAT7.quad_top_left.way158_01.set_bot.mem_hi1_way2,
10051
10052 `L2DAT7.quad_top_left.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10053 `L2DAT7.quad_top_left.way158_01.set_top.mem_hi0_way2,
10054 `L2DAT7.quad_top_left.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10055 `L2DAT7.quad_top_left.way158_01.set_top.mem_hi1_way2,
10056
10057 `L2DAT7.quad_top_left.way158_01.set_bot.mem_lo0_way3,
10058 `L2DAT7.quad_top_left.way158_01.set_bot.mem_hi0_way3,
10059 `L2DAT7.quad_top_left.way158_01.set_bot.mem_lo1_way3,
10060 `L2DAT7.quad_top_left.way158_01.set_bot.mem_hi1_way3,
10061
10062 `L2DAT7.quad_top_left.way158_01.set_top.mem_lo0_way3,
10063 `L2DAT7.quad_top_left.way158_01.set_top.mem_hi0_way3,
10064 `L2DAT7.quad_top_left.way158_01.set_top.mem_lo1_way3,
10065 `L2DAT7.quad_top_left.way158_01.set_top.mem_hi1_way3,
10066
10067 `L2DAT7.quad_top_left.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10068 `L2DAT7.quad_top_left.way158_01.set_bot.mem_hi0_way4,
10069 `L2DAT7.quad_top_left.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10070 `L2DAT7.quad_top_left.way158_01.set_bot.mem_hi1_way4,
10071
10072 `L2DAT7.quad_top_left.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10073 `L2DAT7.quad_top_left.way158_01.set_top.mem_hi0_way4,
10074 `L2DAT7.quad_top_left.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10075 `L2DAT7.quad_top_left.way158_01.set_top.mem_hi1_way4,
10076
10077 `L2DAT7.quad_top_left.way158_01.set_bot.mem_lo0_way5,
10078 `L2DAT7.quad_top_left.way158_01.set_bot.mem_hi0_way5,
10079 `L2DAT7.quad_top_left.way158_01.set_bot.mem_lo1_way5,
10080 `L2DAT7.quad_top_left.way158_01.set_bot.mem_hi1_way5,
10081
10082 `L2DAT7.quad_top_left.way158_01.set_top.mem_lo0_way5,
10083 `L2DAT7.quad_top_left.way158_01.set_top.mem_hi0_way5,
10084 `L2DAT7.quad_top_left.way158_01.set_top.mem_lo1_way5,
10085 `L2DAT7.quad_top_left.way158_01.set_top.mem_hi1_way5,
10086
10087 `L2DAT7.quad_top_left.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10088 `L2DAT7.quad_top_left.way158_01.set_bot.mem_hi0_way6,
10089 `L2DAT7.quad_top_left.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10090 `L2DAT7.quad_top_left.way158_01.set_bot.mem_hi1_way6,
10091
10092 `L2DAT7.quad_top_left.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10093 `L2DAT7.quad_top_left.way158_01.set_top.mem_hi0_way6,
10094 `L2DAT7.quad_top_left.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10095 `L2DAT7.quad_top_left.way158_01.set_top.mem_hi1_way6,
10096
10097 `L2DAT7.quad_top_left.way158_01.set_bot.mem_lo0_way7,
10098 `L2DAT7.quad_top_left.way158_01.set_bot.mem_hi0_way7,
10099 `L2DAT7.quad_top_left.way158_01.set_bot.mem_lo1_way7,
10100 `L2DAT7.quad_top_left.way158_01.set_bot.mem_hi1_way7,
10101
10102 `L2DAT7.quad_top_left.way158_01.set_top.mem_lo0_way7,
10103 `L2DAT7.quad_top_left.way158_01.set_top.mem_hi0_way7,
10104 `L2DAT7.quad_top_left.way158_01.set_top.mem_lo1_way7,
10105 `L2DAT7.quad_top_left.way158_01.set_top.mem_hi1_way7, //256
10106 //right handside
10107 //bank 0 data
10108 //way [7:0]
10109 //[8] = 0 [255-0]
10110 `L2DAT7.quad_top_right.way07_00.set_bot.mem_lo0_way0 , //arg 313
10111 `L2DAT7.quad_top_right.way07_00.set_bot.mem_hi0_way0,
10112 `L2DAT7.quad_top_right.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10113 `L2DAT7.quad_top_right.way07_00.set_bot.mem_hi1_way0,
10114 //index [511-256]
10115 `L2DAT7.quad_top_right.way07_00.set_top.mem_lo0_way0 , //arg 281
10116 `L2DAT7.quad_top_right.way07_00.set_top.mem_hi0_way0,
10117 `L2DAT7.quad_top_right.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10118 `L2DAT7.quad_top_right.way07_00.set_top.mem_hi1_way0,
10119
10120 `L2DAT7.quad_top_right.way07_00.set_bot.mem_lo0_way1,
10121 `L2DAT7.quad_top_right.way07_00.set_bot.mem_hi0_way1,
10122 `L2DAT7.quad_top_right.way07_00.set_bot.mem_lo1_way1,
10123 `L2DAT7.quad_top_right.way07_00.set_bot.mem_hi1_way1,
10124
10125 `L2DAT7.quad_top_right.way07_00.set_top.mem_lo0_way1,
10126 `L2DAT7.quad_top_right.way07_00.set_top.mem_hi0_way1,
10127 `L2DAT7.quad_top_right.way07_00.set_top.mem_lo1_way1,
10128 `L2DAT7.quad_top_right.way07_00.set_top.mem_hi1_way1,
10129
10130 `L2DAT7.quad_top_right.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10131 `L2DAT7.quad_top_right.way07_00.set_bot.mem_hi0_way2,
10132 `L2DAT7.quad_top_right.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10133 `L2DAT7.quad_top_right.way07_00.set_bot.mem_hi1_way2,
10134
10135 `L2DAT7.quad_top_right.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10136 `L2DAT7.quad_top_right.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
10137 `L2DAT7.quad_top_right.way07_00.set_top.mem_lo1_way2,
10138 `L2DAT7.quad_top_right.way07_00.set_top.mem_hi1_way2,
10139
10140 `L2DAT7.quad_top_right.way07_00.set_bot.mem_lo0_way3,
10141 `L2DAT7.quad_top_right.way07_00.set_bot.mem_hi0_way3,
10142 `L2DAT7.quad_top_right.way07_00.set_bot.mem_lo1_way3,
10143 `L2DAT7.quad_top_right.way07_00.set_bot.mem_hi1_way3,
10144
10145 `L2DAT7.quad_top_right.way07_00.set_top.mem_lo0_way3,
10146 `L2DAT7.quad_top_right.way07_00.set_top.mem_hi0_way3,
10147 `L2DAT7.quad_top_right.way07_00.set_top.mem_lo1_way3,
10148 `L2DAT7.quad_top_right.way07_00.set_top.mem_hi1_way3,
10149
10150 `L2DAT7.quad_top_right.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10151 `L2DAT7.quad_top_right.way07_00.set_bot.mem_hi0_way4,
10152 `L2DAT7.quad_top_right.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10153 `L2DAT7.quad_top_right.way07_00.set_bot.mem_hi1_way4,
10154
10155 `L2DAT7.quad_top_right.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10156 `L2DAT7.quad_top_right.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
10157 `L2DAT7.quad_top_right.way07_00.set_top.mem_lo1_way4,
10158 `L2DAT7.quad_top_right.way07_00.set_top.mem_hi1_way4,
10159
10160 `L2DAT7.quad_top_right.way07_00.set_bot.mem_lo0_way5,
10161 `L2DAT7.quad_top_right.way07_00.set_bot.mem_hi0_way5,
10162 `L2DAT7.quad_top_right.way07_00.set_bot.mem_lo1_way5,
10163 `L2DAT7.quad_top_right.way07_00.set_bot.mem_hi1_way5,
10164
10165 `L2DAT7.quad_top_right.way07_00.set_top.mem_lo0_way5,
10166 `L2DAT7.quad_top_right.way07_00.set_top.mem_hi0_way5,
10167 `L2DAT7.quad_top_right.way07_00.set_top.mem_lo1_way5,
10168 `L2DAT7.quad_top_right.way07_00.set_top.mem_hi1_way5,
10169
10170 `L2DAT7.quad_top_right.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10171 `L2DAT7.quad_top_right.way07_00.set_bot.mem_hi0_way6,
10172 `L2DAT7.quad_top_right.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10173 `L2DAT7.quad_top_right.way07_00.set_bot.mem_hi1_way6,
10174
10175 `L2DAT7.quad_top_right.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10176 `L2DAT7.quad_top_right.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
10177 `L2DAT7.quad_top_right.way07_00.set_top.mem_lo1_way6,
10178 `L2DAT7.quad_top_right.way07_00.set_top.mem_hi1_way6,
10179
10180 `L2DAT7.quad_top_right.way07_00.set_bot.mem_lo0_way7,
10181 `L2DAT7.quad_top_right.way07_00.set_bot.mem_hi0_way7,
10182 `L2DAT7.quad_top_right.way07_00.set_bot.mem_lo1_way7,
10183 `L2DAT7.quad_top_right.way07_00.set_bot.mem_hi1_way7,
10184
10185 `L2DAT7.quad_top_right.way07_00.set_top.mem_lo0_way7,
10186 `L2DAT7.quad_top_right.way07_00.set_top.mem_hi0_way7,
10187 `L2DAT7.quad_top_right.way07_00.set_top.mem_lo1_way7,
10188 `L2DAT7.quad_top_right.way07_00.set_top.mem_hi1_way7, //toatl arg 64
10189
10190 //way158_00 top way [15:8]
10191 //index[255:0]
10192
10193 `L2DAT7.quad_top_right.way158_00.set_bot.mem_lo0_way0 , //arg 441
10194 `L2DAT7.quad_top_right.way158_00.set_bot.mem_hi0_way0,
10195 `L2DAT7.quad_top_right.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10196 `L2DAT7.quad_top_right.way158_00.set_bot.mem_hi1_way0,
10197 //index[511:256]
10198 `L2DAT7.quad_top_right.way158_00.set_top.mem_lo0_way0 , //arg 409
10199 `L2DAT7.quad_top_right.way158_00.set_top.mem_hi0_way0,
10200 `L2DAT7.quad_top_right.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10201 `L2DAT7.quad_top_right.way158_00.set_top.mem_hi1_way0,
10202
10203 `L2DAT7.quad_top_right.way158_00.set_bot.mem_lo0_way1,
10204 `L2DAT7.quad_top_right.way158_00.set_bot.mem_hi0_way1,
10205 `L2DAT7.quad_top_right.way158_00.set_bot.mem_lo1_way1,
10206 `L2DAT7.quad_top_right.way158_00.set_bot.mem_hi1_way1,
10207
10208 `L2DAT7.quad_top_right.way158_00.set_top.mem_lo0_way1,
10209 `L2DAT7.quad_top_right.way158_00.set_top.mem_hi0_way1,
10210 `L2DAT7.quad_top_right.way158_00.set_top.mem_lo1_way1,
10211 `L2DAT7.quad_top_right.way158_00.set_top.mem_hi1_way1,
10212
10213 `L2DAT7.quad_top_right.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10214 `L2DAT7.quad_top_right.way158_00.set_bot.mem_hi0_way2,
10215 `L2DAT7.quad_top_right.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10216 `L2DAT7.quad_top_right.way158_00.set_bot.mem_hi1_way2,
10217
10218 `L2DAT7.quad_top_right.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10219 `L2DAT7.quad_top_right.way158_00.set_top.mem_hi0_way2,
10220 `L2DAT7.quad_top_right.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10221 `L2DAT7.quad_top_right.way158_00.set_top.mem_hi1_way2,
10222
10223 `L2DAT7.quad_top_right.way158_00.set_bot.mem_lo0_way3,
10224 `L2DAT7.quad_top_right.way158_00.set_bot.mem_hi0_way3,
10225 `L2DAT7.quad_top_right.way158_00.set_bot.mem_lo1_way3,
10226 `L2DAT7.quad_top_right.way158_00.set_bot.mem_hi1_way3,
10227
10228 `L2DAT7.quad_top_right.way158_00.set_top.mem_lo0_way3,
10229 `L2DAT7.quad_top_right.way158_00.set_top.mem_hi0_way3,
10230 `L2DAT7.quad_top_right.way158_00.set_top.mem_lo1_way3,
10231 `L2DAT7.quad_top_right.way158_00.set_top.mem_hi1_way3,
10232
10233 `L2DAT7.quad_top_right.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10234 `L2DAT7.quad_top_right.way158_00.set_bot.mem_hi0_way4,
10235 `L2DAT7.quad_top_right.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10236 `L2DAT7.quad_top_right.way158_00.set_bot.mem_hi1_way4,
10237
10238 `L2DAT7.quad_top_right.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10239 `L2DAT7.quad_top_right.way158_00.set_top.mem_hi0_way4,
10240 `L2DAT7.quad_top_right.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10241 `L2DAT7.quad_top_right.way158_00.set_top.mem_hi1_way4,
10242
10243 `L2DAT7.quad_top_right.way158_00.set_bot.mem_lo0_way5,
10244 `L2DAT7.quad_top_right.way158_00.set_bot.mem_hi0_way5,
10245 `L2DAT7.quad_top_right.way158_00.set_bot.mem_lo1_way5,
10246 `L2DAT7.quad_top_right.way158_00.set_bot.mem_hi1_way5,
10247
10248 `L2DAT7.quad_top_right.way158_00.set_top.mem_lo0_way5,
10249 `L2DAT7.quad_top_right.way158_00.set_top.mem_hi0_way5,
10250 `L2DAT7.quad_top_right.way158_00.set_top.mem_lo1_way5,
10251 `L2DAT7.quad_top_right.way158_00.set_top.mem_hi1_way5,
10252
10253 `L2DAT7.quad_top_right.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10254 `L2DAT7.quad_top_right.way158_00.set_bot.mem_hi0_way6,
10255 `L2DAT7.quad_top_right.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10256 `L2DAT7.quad_top_right.way158_00.set_bot.mem_hi1_way6,
10257
10258 `L2DAT7.quad_top_right.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10259 `L2DAT7.quad_top_right.way158_00.set_top.mem_hi0_way6,
10260 `L2DAT7.quad_top_right.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10261 `L2DAT7.quad_top_right.way158_00.set_top.mem_hi1_way6,
10262
10263 `L2DAT7.quad_top_right.way158_00.set_bot.mem_lo0_way7,
10264 `L2DAT7.quad_top_right.way158_00.set_bot.mem_hi0_way7,
10265 `L2DAT7.quad_top_right.way158_00.set_bot.mem_lo1_way7,
10266 `L2DAT7.quad_top_right.way158_00.set_bot.mem_hi1_way7,
10267
10268 `L2DAT7.quad_top_right.way158_00.set_top.mem_lo0_way7,
10269 `L2DAT7.quad_top_right.way158_00.set_top.mem_hi0_way7,
10270 `L2DAT7.quad_top_right.way158_00.set_top.mem_lo1_way7,
10271 `L2DAT7.quad_top_right.way158_00.set_top.mem_hi1_way7, //128
10272
10273 //way70_01 bottom
10274 //bottom
10275 `L2DAT7.quad_top_right.way70_01.set_bot.mem_lo0_way0 , //[623:604]
10276 `L2DAT7.quad_top_right.way70_01.set_bot.mem_hi0_way0,
10277 `L2DAT7.quad_top_right.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10278 `L2DAT7.quad_top_right.way70_01.set_bot.mem_hi1_way0,
10279
10280 `L2DAT7.quad_top_right.way70_01.set_top.mem_lo0_way0 , //arg 345
10281 `L2DAT7.quad_top_right.way70_01.set_top.mem_hi0_way0,
10282 `L2DAT7.quad_top_right.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10283 `L2DAT7.quad_top_right.way70_01.set_top.mem_hi1_way0,
10284
10285 `L2DAT7.quad_top_right.way70_01.set_bot.mem_lo0_way1,
10286 `L2DAT7.quad_top_right.way70_01.set_bot.mem_hi0_way1,
10287 `L2DAT7.quad_top_right.way70_01.set_bot.mem_lo1_way1,
10288 `L2DAT7.quad_top_right.way70_01.set_bot.mem_hi1_way1,
10289
10290 `L2DAT7.quad_top_right.way70_01.set_top.mem_lo0_way1,
10291 `L2DAT7.quad_top_right.way70_01.set_top.mem_hi0_way1,
10292 `L2DAT7.quad_top_right.way70_01.set_top.mem_lo1_way1,
10293 `L2DAT7.quad_top_right.way70_01.set_top.mem_hi1_way1,
10294
10295 `L2DAT7.quad_top_right.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10296 `L2DAT7.quad_top_right.way70_01.set_bot.mem_hi0_way2,
10297 `L2DAT7.quad_top_right.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10298 `L2DAT7.quad_top_right.way70_01.set_bot.mem_hi1_way2,
10299
10300 `L2DAT7.quad_top_right.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10301 `L2DAT7.quad_top_right.way70_01.set_top.mem_hi0_way2,
10302 `L2DAT7.quad_top_right.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10303 `L2DAT7.quad_top_right.way70_01.set_top.mem_hi1_way2,
10304
10305 `L2DAT7.quad_top_right.way70_01.set_bot.mem_lo0_way3,
10306 `L2DAT7.quad_top_right.way70_01.set_bot.mem_hi0_way3,
10307 `L2DAT7.quad_top_right.way70_01.set_bot.mem_lo1_way3,
10308 `L2DAT7.quad_top_right.way70_01.set_bot.mem_hi1_way3,
10309
10310 `L2DAT7.quad_top_right.way70_01.set_top.mem_lo0_way3,
10311 `L2DAT7.quad_top_right.way70_01.set_top.mem_hi0_way3,
10312 `L2DAT7.quad_top_right.way70_01.set_top.mem_lo1_way3,
10313 `L2DAT7.quad_top_right.way70_01.set_top.mem_hi1_way3,
10314
10315 `L2DAT7.quad_top_right.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10316 `L2DAT7.quad_top_right.way70_01.set_bot.mem_hi0_way4,
10317 `L2DAT7.quad_top_right.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10318 `L2DAT7.quad_top_right.way70_01.set_bot.mem_hi1_way4,
10319
10320 `L2DAT7.quad_top_right.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10321 `L2DAT7.quad_top_right.way70_01.set_top.mem_hi0_way4,
10322 `L2DAT7.quad_top_right.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10323 `L2DAT7.quad_top_right.way70_01.set_top.mem_hi1_way4,
10324
10325 `L2DAT7.quad_top_right.way70_01.set_bot.mem_lo0_way5,
10326 `L2DAT7.quad_top_right.way70_01.set_bot.mem_hi0_way5,
10327 `L2DAT7.quad_top_right.way70_01.set_bot.mem_lo1_way5,
10328 `L2DAT7.quad_top_right.way70_01.set_bot.mem_hi1_way5,
10329
10330 `L2DAT7.quad_top_right.way70_01.set_top.mem_lo0_way5,
10331 `L2DAT7.quad_top_right.way70_01.set_top.mem_hi0_way5 ,
10332 `L2DAT7.quad_top_right.way70_01.set_top.mem_lo1_way5,
10333 `L2DAT7.quad_top_right.way70_01.set_top.mem_hi1_way5,
10334
10335 `L2DAT7.quad_top_right.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10336 `L2DAT7.quad_top_right.way70_01.set_bot.mem_hi0_way6,
10337 `L2DAT7.quad_top_right.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10338 `L2DAT7.quad_top_right.way70_01.set_bot.mem_hi1_way6,
10339
10340 `L2DAT7.quad_top_right.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10341 `L2DAT7.quad_top_right.way70_01.set_top.mem_hi0_way6,
10342 `L2DAT7.quad_top_right.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10343 `L2DAT7.quad_top_right.way70_01.set_top.mem_hi1_way6,
10344
10345 `L2DAT7.quad_top_right.way70_01.set_bot.mem_lo0_way7,
10346 `L2DAT7.quad_top_right.way70_01.set_bot.mem_hi0_way7 ,
10347 `L2DAT7.quad_top_right.way70_01.set_bot.mem_lo1_way7,
10348 `L2DAT7.quad_top_right.way70_01.set_bot.mem_hi1_way7,
10349
10350 `L2DAT7.quad_top_right.way70_01.set_top.mem_lo0_way7,
10351 `L2DAT7.quad_top_right.way70_01.set_top.mem_hi0_way7 ,
10352 `L2DAT7.quad_top_right.way70_01.set_top.mem_lo1_way7,
10353 `L2DAT7.quad_top_right.way70_01.set_top.mem_hi1_way7, //192
10354
10355 //way [15:8]
10356 `L2DAT7.quad_top_right.way158_01.set_bot.mem_lo0_way0 , //arg 505
10357 `L2DAT7.quad_top_right.way158_01.set_bot.mem_hi0_way0,
10358 `L2DAT7.quad_top_right.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10359 `L2DAT7.quad_top_right.way158_01.set_bot.mem_hi1_way0,
10360 //index [8]
10361 `L2DAT7.quad_top_right.way158_01.set_top.mem_lo0_way0 , //arg 473
10362 `L2DAT7.quad_top_right.way158_01.set_top.mem_hi0_way0,
10363 `L2DAT7.quad_top_right.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10364 `L2DAT7.quad_top_right.way158_01.set_top.mem_hi1_way0,
10365
10366 `L2DAT7.quad_top_right.way158_01.set_bot.mem_lo0_way1,
10367 `L2DAT7.quad_top_right.way158_01.set_bot.mem_hi0_way1,
10368 `L2DAT7.quad_top_right.way158_01.set_bot.mem_lo1_way1,
10369 `L2DAT7.quad_top_right.way158_01.set_bot.mem_hi1_way1,
10370
10371 `L2DAT7.quad_top_right.way158_01.set_top.mem_lo0_way1,
10372 `L2DAT7.quad_top_right.way158_01.set_top.mem_hi0_way1,
10373 `L2DAT7.quad_top_right.way158_01.set_top.mem_lo1_way1,
10374 `L2DAT7.quad_top_right.way158_01.set_top.mem_hi1_way1,
10375
10376 `L2DAT7.quad_top_right.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10377 `L2DAT7.quad_top_right.way158_01.set_bot.mem_hi0_way2,
10378 `L2DAT7.quad_top_right.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10379 `L2DAT7.quad_top_right.way158_01.set_bot.mem_hi1_way2,
10380
10381 `L2DAT7.quad_top_right.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10382 `L2DAT7.quad_top_right.way158_01.set_top.mem_hi0_way2,
10383 `L2DAT7.quad_top_right.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10384 `L2DAT7.quad_top_right.way158_01.set_top.mem_hi1_way2,
10385
10386 `L2DAT7.quad_top_right.way158_01.set_bot.mem_lo0_way3,
10387 `L2DAT7.quad_top_right.way158_01.set_bot.mem_hi0_way3,
10388 `L2DAT7.quad_top_right.way158_01.set_bot.mem_lo1_way3,
10389 `L2DAT7.quad_top_right.way158_01.set_bot.mem_hi1_way3,
10390
10391 `L2DAT7.quad_top_right.way158_01.set_top.mem_lo0_way3,
10392 `L2DAT7.quad_top_right.way158_01.set_top.mem_hi0_way3,
10393 `L2DAT7.quad_top_right.way158_01.set_top.mem_lo1_way3,
10394 `L2DAT7.quad_top_right.way158_01.set_top.mem_hi1_way3,
10395
10396 `L2DAT7.quad_top_right.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10397 `L2DAT7.quad_top_right.way158_01.set_bot.mem_hi0_way4,
10398 `L2DAT7.quad_top_right.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10399 `L2DAT7.quad_top_right.way158_01.set_bot.mem_hi1_way4,
10400
10401 `L2DAT7.quad_top_right.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10402 `L2DAT7.quad_top_right.way158_01.set_top.mem_hi0_way4,
10403 `L2DAT7.quad_top_right.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10404 `L2DAT7.quad_top_right.way158_01.set_top.mem_hi1_way4,
10405
10406 `L2DAT7.quad_top_right.way158_01.set_bot.mem_lo0_way5,
10407 `L2DAT7.quad_top_right.way158_01.set_bot.mem_hi0_way5,
10408 `L2DAT7.quad_top_right.way158_01.set_bot.mem_lo1_way5,
10409 `L2DAT7.quad_top_right.way158_01.set_bot.mem_hi1_way5,
10410
10411 `L2DAT7.quad_top_right.way158_01.set_top.mem_lo0_way5,
10412 `L2DAT7.quad_top_right.way158_01.set_top.mem_hi0_way5,
10413 `L2DAT7.quad_top_right.way158_01.set_top.mem_lo1_way5,
10414 `L2DAT7.quad_top_right.way158_01.set_top.mem_hi1_way5,
10415
10416 `L2DAT7.quad_top_right.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10417 `L2DAT7.quad_top_right.way158_01.set_bot.mem_hi0_way6,
10418 `L2DAT7.quad_top_right.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10419 `L2DAT7.quad_top_right.way158_01.set_bot.mem_hi1_way6,
10420
10421 `L2DAT7.quad_top_right.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10422 `L2DAT7.quad_top_right.way158_01.set_top.mem_hi0_way6,
10423 `L2DAT7.quad_top_right.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10424 `L2DAT7.quad_top_right.way158_01.set_top.mem_hi1_way6,
10425
10426 `L2DAT7.quad_top_right.way158_01.set_bot.mem_lo0_way7,
10427 `L2DAT7.quad_top_right.way158_01.set_bot.mem_hi0_way7,
10428 `L2DAT7.quad_top_right.way158_01.set_bot.mem_lo1_way7,
10429 `L2DAT7.quad_top_right.way158_01.set_bot.mem_hi1_way7,
10430
10431 `L2DAT7.quad_top_right.way158_01.set_top.mem_lo0_way7,
10432 `L2DAT7.quad_top_right.way158_01.set_top.mem_hi0_way7,
10433 `L2DAT7.quad_top_right.way158_01.set_top.mem_lo1_way7,
10434 `L2DAT7.quad_top_right.way158_01.set_top.mem_hi1_way7, //512
10435 //bottom part
10436 //bank 0 data
10437 //way [7:0]
10438 //[8] = 0 [255-0]
10439 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_lo0_way0 , //arg 313
10440 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_hi0_way0,
10441 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10442 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_hi1_way0,
10443 //index [511-256]
10444 `L2DAT7.quad_bot_left.way07_00.set_top.mem_lo0_way0 , //arg 281
10445 `L2DAT7.quad_bot_left.way07_00.set_top.mem_hi0_way0,
10446 `L2DAT7.quad_bot_left.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10447 `L2DAT7.quad_bot_left.way07_00.set_top.mem_hi1_way0,
10448
10449 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_lo0_way1,
10450 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_hi0_way1,
10451 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_lo1_way1,
10452 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_hi1_way1,
10453
10454 `L2DAT7.quad_bot_left.way07_00.set_top.mem_lo0_way1,
10455 `L2DAT7.quad_bot_left.way07_00.set_top.mem_hi0_way1,
10456 `L2DAT7.quad_bot_left.way07_00.set_top.mem_lo1_way1,
10457 `L2DAT7.quad_bot_left.way07_00.set_top.mem_hi1_way1,
10458
10459 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10460 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_hi0_way2,
10461 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10462 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_hi1_way2,
10463
10464 `L2DAT7.quad_bot_left.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10465 `L2DAT7.quad_bot_left.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
10466 `L2DAT7.quad_bot_left.way07_00.set_top.mem_lo1_way2,
10467 `L2DAT7.quad_bot_left.way07_00.set_top.mem_hi1_way2,
10468
10469 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_lo0_way3,
10470 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_hi0_way3,
10471 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_lo1_way3,
10472 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_hi1_way3,
10473
10474 `L2DAT7.quad_bot_left.way07_00.set_top.mem_lo0_way3,
10475 `L2DAT7.quad_bot_left.way07_00.set_top.mem_hi0_way3,
10476 `L2DAT7.quad_bot_left.way07_00.set_top.mem_lo1_way3,
10477 `L2DAT7.quad_bot_left.way07_00.set_top.mem_hi1_way3,
10478
10479 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10480 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_hi0_way4,
10481 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10482 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_hi1_way4,
10483
10484 `L2DAT7.quad_bot_left.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10485 `L2DAT7.quad_bot_left.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
10486 `L2DAT7.quad_bot_left.way07_00.set_top.mem_lo1_way4,
10487 `L2DAT7.quad_bot_left.way07_00.set_top.mem_hi1_way4,
10488
10489 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_lo0_way5,
10490 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_hi0_way5,
10491 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_lo1_way5,
10492 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_hi1_way5,
10493
10494 `L2DAT7.quad_bot_left.way07_00.set_top.mem_lo0_way5,
10495 `L2DAT7.quad_bot_left.way07_00.set_top.mem_hi0_way5,
10496 `L2DAT7.quad_bot_left.way07_00.set_top.mem_lo1_way5,
10497 `L2DAT7.quad_bot_left.way07_00.set_top.mem_hi1_way5,
10498
10499 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10500 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_hi0_way6,
10501 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10502 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_hi1_way6,
10503
10504 `L2DAT7.quad_bot_left.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10505 `L2DAT7.quad_bot_left.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
10506 `L2DAT7.quad_bot_left.way07_00.set_top.mem_lo1_way6,
10507 `L2DAT7.quad_bot_left.way07_00.set_top.mem_hi1_way6,
10508
10509 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_lo0_way7,
10510 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_hi0_way7,
10511 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_lo1_way7,
10512 `L2DAT7.quad_bot_left.way07_00.set_bot.mem_hi1_way7,
10513
10514 `L2DAT7.quad_bot_left.way07_00.set_top.mem_lo0_way7,
10515 `L2DAT7.quad_bot_left.way07_00.set_top.mem_hi0_way7,
10516 `L2DAT7.quad_bot_left.way07_00.set_top.mem_lo1_way7,
10517 `L2DAT7.quad_bot_left.way07_00.set_top.mem_hi1_way7, //toatl arg 64
10518
10519 //way158_00 top way [15:8]
10520 //index[255:0]
10521
10522 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_lo0_way0 , //arg 441
10523 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_hi0_way0,
10524 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10525 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_hi1_way0,
10526 //index[511:256]
10527 `L2DAT7.quad_bot_left.way158_00.set_top.mem_lo0_way0 , //arg 409
10528 `L2DAT7.quad_bot_left.way158_00.set_top.mem_hi0_way0,
10529 `L2DAT7.quad_bot_left.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10530 `L2DAT7.quad_bot_left.way158_00.set_top.mem_hi1_way0,
10531
10532 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_lo0_way1,
10533 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_hi0_way1,
10534 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_lo1_way1,
10535 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_hi1_way1,
10536
10537 `L2DAT7.quad_bot_left.way158_00.set_top.mem_lo0_way1,
10538 `L2DAT7.quad_bot_left.way158_00.set_top.mem_hi0_way1,
10539 `L2DAT7.quad_bot_left.way158_00.set_top.mem_lo1_way1,
10540 `L2DAT7.quad_bot_left.way158_00.set_top.mem_hi1_way1,
10541
10542 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10543 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_hi0_way2,
10544 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10545 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_hi1_way2,
10546
10547 `L2DAT7.quad_bot_left.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10548 `L2DAT7.quad_bot_left.way158_00.set_top.mem_hi0_way2,
10549 `L2DAT7.quad_bot_left.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10550 `L2DAT7.quad_bot_left.way158_00.set_top.mem_hi1_way2,
10551
10552 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_lo0_way3,
10553 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_hi0_way3,
10554 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_lo1_way3,
10555 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_hi1_way3,
10556
10557 `L2DAT7.quad_bot_left.way158_00.set_top.mem_lo0_way3,
10558 `L2DAT7.quad_bot_left.way158_00.set_top.mem_hi0_way3,
10559 `L2DAT7.quad_bot_left.way158_00.set_top.mem_lo1_way3,
10560 `L2DAT7.quad_bot_left.way158_00.set_top.mem_hi1_way3,
10561
10562 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10563 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_hi0_way4,
10564 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10565 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_hi1_way4,
10566
10567 `L2DAT7.quad_bot_left.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10568 `L2DAT7.quad_bot_left.way158_00.set_top.mem_hi0_way4,
10569 `L2DAT7.quad_bot_left.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10570 `L2DAT7.quad_bot_left.way158_00.set_top.mem_hi1_way4,
10571
10572 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_lo0_way5,
10573 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_hi0_way5,
10574 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_lo1_way5,
10575 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_hi1_way5,
10576
10577 `L2DAT7.quad_bot_left.way158_00.set_top.mem_lo0_way5,
10578 `L2DAT7.quad_bot_left.way158_00.set_top.mem_hi0_way5,
10579 `L2DAT7.quad_bot_left.way158_00.set_top.mem_lo1_way5,
10580 `L2DAT7.quad_bot_left.way158_00.set_top.mem_hi1_way5,
10581
10582 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10583 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_hi0_way6,
10584 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10585 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_hi1_way6,
10586
10587 `L2DAT7.quad_bot_left.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10588 `L2DAT7.quad_bot_left.way158_00.set_top.mem_hi0_way6,
10589 `L2DAT7.quad_bot_left.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10590 `L2DAT7.quad_bot_left.way158_00.set_top.mem_hi1_way6,
10591
10592 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_lo0_way7,
10593 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_hi0_way7,
10594 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_lo1_way7,
10595 `L2DAT7.quad_bot_left.way158_00.set_bot.mem_hi1_way7,
10596
10597 `L2DAT7.quad_bot_left.way158_00.set_top.mem_lo0_way7,
10598 `L2DAT7.quad_bot_left.way158_00.set_top.mem_hi0_way7,
10599 `L2DAT7.quad_bot_left.way158_00.set_top.mem_lo1_way7,
10600 `L2DAT7.quad_bot_left.way158_00.set_top.mem_hi1_way7, //128
10601
10602 //way70_01 bottom
10603 //bottom
10604 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_lo0_way0 , //[623:604]
10605 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_hi0_way0,
10606 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10607 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_hi1_way0,
10608
10609 `L2DAT7.quad_bot_left.way70_01.set_top.mem_lo0_way0 , //arg 345
10610 `L2DAT7.quad_bot_left.way70_01.set_top.mem_hi0_way0,
10611 `L2DAT7.quad_bot_left.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10612 `L2DAT7.quad_bot_left.way70_01.set_top.mem_hi1_way0,
10613
10614 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_lo0_way1,
10615 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_hi0_way1,
10616 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_lo1_way1,
10617 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_hi1_way1,
10618
10619 `L2DAT7.quad_bot_left.way70_01.set_top.mem_lo0_way1,
10620 `L2DAT7.quad_bot_left.way70_01.set_top.mem_hi0_way1,
10621 `L2DAT7.quad_bot_left.way70_01.set_top.mem_lo1_way1,
10622 `L2DAT7.quad_bot_left.way70_01.set_top.mem_hi1_way1,
10623
10624 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10625 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_hi0_way2,
10626 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10627 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_hi1_way2,
10628
10629 `L2DAT7.quad_bot_left.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10630 `L2DAT7.quad_bot_left.way70_01.set_top.mem_hi0_way2,
10631 `L2DAT7.quad_bot_left.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10632 `L2DAT7.quad_bot_left.way70_01.set_top.mem_hi1_way2,
10633
10634 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_lo0_way3,
10635 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_hi0_way3,
10636 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_lo1_way3,
10637 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_hi1_way3,
10638
10639 `L2DAT7.quad_bot_left.way70_01.set_top.mem_lo0_way3,
10640 `L2DAT7.quad_bot_left.way70_01.set_top.mem_hi0_way3,
10641 `L2DAT7.quad_bot_left.way70_01.set_top.mem_lo1_way3,
10642 `L2DAT7.quad_bot_left.way70_01.set_top.mem_hi1_way3,
10643
10644 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10645 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_hi0_way4,
10646 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10647 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_hi1_way4,
10648
10649 `L2DAT7.quad_bot_left.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10650 `L2DAT7.quad_bot_left.way70_01.set_top.mem_hi0_way4,
10651 `L2DAT7.quad_bot_left.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10652 `L2DAT7.quad_bot_left.way70_01.set_top.mem_hi1_way4,
10653
10654 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_lo0_way5,
10655 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_hi0_way5,
10656 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_lo1_way5,
10657 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_hi1_way5,
10658
10659 `L2DAT7.quad_bot_left.way70_01.set_top.mem_lo0_way5,
10660 `L2DAT7.quad_bot_left.way70_01.set_top.mem_hi0_way5 ,
10661 `L2DAT7.quad_bot_left.way70_01.set_top.mem_lo1_way5,
10662 `L2DAT7.quad_bot_left.way70_01.set_top.mem_hi1_way5,
10663
10664 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10665 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_hi0_way6,
10666 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10667 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_hi1_way6,
10668
10669 `L2DAT7.quad_bot_left.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10670 `L2DAT7.quad_bot_left.way70_01.set_top.mem_hi0_way6,
10671 `L2DAT7.quad_bot_left.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10672 `L2DAT7.quad_bot_left.way70_01.set_top.mem_hi1_way6,
10673
10674 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_lo0_way7,
10675 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_hi0_way7 ,
10676 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_lo1_way7,
10677 `L2DAT7.quad_bot_left.way70_01.set_bot.mem_hi1_way7,
10678
10679 `L2DAT7.quad_bot_left.way70_01.set_top.mem_lo0_way7,
10680 `L2DAT7.quad_bot_left.way70_01.set_top.mem_hi0_way7 ,
10681 `L2DAT7.quad_bot_left.way70_01.set_top.mem_lo1_way7,
10682 `L2DAT7.quad_bot_left.way70_01.set_top.mem_hi1_way7, //192
10683
10684 //way [15:8]
10685 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_lo0_way0 , //arg 505
10686 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_hi0_way0,
10687 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10688 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_hi1_way0,
10689 //index [8]
10690 `L2DAT7.quad_bot_left.way158_01.set_top.mem_lo0_way0 , //arg 473
10691 `L2DAT7.quad_bot_left.way158_01.set_top.mem_hi0_way0,
10692 `L2DAT7.quad_bot_left.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10693 `L2DAT7.quad_bot_left.way158_01.set_top.mem_hi1_way0,
10694
10695 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_lo0_way1,
10696 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_hi0_way1,
10697 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_lo1_way1,
10698 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_hi1_way1,
10699
10700 `L2DAT7.quad_bot_left.way158_01.set_top.mem_lo0_way1,
10701 `L2DAT7.quad_bot_left.way158_01.set_top.mem_hi0_way1,
10702 `L2DAT7.quad_bot_left.way158_01.set_top.mem_lo1_way1,
10703 `L2DAT7.quad_bot_left.way158_01.set_top.mem_hi1_way1,
10704
10705 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10706 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_hi0_way2,
10707 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10708 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_hi1_way2,
10709
10710 `L2DAT7.quad_bot_left.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10711 `L2DAT7.quad_bot_left.way158_01.set_top.mem_hi0_way2,
10712 `L2DAT7.quad_bot_left.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10713 `L2DAT7.quad_bot_left.way158_01.set_top.mem_hi1_way2,
10714
10715 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_lo0_way3,
10716 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_hi0_way3,
10717 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_lo1_way3,
10718 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_hi1_way3,
10719
10720 `L2DAT7.quad_bot_left.way158_01.set_top.mem_lo0_way3,
10721 `L2DAT7.quad_bot_left.way158_01.set_top.mem_hi0_way3,
10722 `L2DAT7.quad_bot_left.way158_01.set_top.mem_lo1_way3,
10723 `L2DAT7.quad_bot_left.way158_01.set_top.mem_hi1_way3,
10724
10725 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10726 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_hi0_way4,
10727 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10728 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_hi1_way4,
10729
10730 `L2DAT7.quad_bot_left.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10731 `L2DAT7.quad_bot_left.way158_01.set_top.mem_hi0_way4,
10732 `L2DAT7.quad_bot_left.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10733 `L2DAT7.quad_bot_left.way158_01.set_top.mem_hi1_way4,
10734
10735 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_lo0_way5,
10736 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_hi0_way5,
10737 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_lo1_way5,
10738 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_hi1_way5,
10739
10740 `L2DAT7.quad_bot_left.way158_01.set_top.mem_lo0_way5,
10741 `L2DAT7.quad_bot_left.way158_01.set_top.mem_hi0_way5,
10742 `L2DAT7.quad_bot_left.way158_01.set_top.mem_lo1_way5,
10743 `L2DAT7.quad_bot_left.way158_01.set_top.mem_hi1_way5,
10744
10745 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10746 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_hi0_way6,
10747 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10748 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_hi1_way6,
10749
10750 `L2DAT7.quad_bot_left.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10751 `L2DAT7.quad_bot_left.way158_01.set_top.mem_hi0_way6,
10752 `L2DAT7.quad_bot_left.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10753 `L2DAT7.quad_bot_left.way158_01.set_top.mem_hi1_way6,
10754
10755 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_lo0_way7,
10756 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_hi0_way7,
10757 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_lo1_way7,
10758 `L2DAT7.quad_bot_left.way158_01.set_bot.mem_hi1_way7,
10759
10760 `L2DAT7.quad_bot_left.way158_01.set_top.mem_lo0_way7,
10761 `L2DAT7.quad_bot_left.way158_01.set_top.mem_hi0_way7,
10762 `L2DAT7.quad_bot_left.way158_01.set_top.mem_lo1_way7,
10763 `L2DAT7.quad_bot_left.way158_01.set_top.mem_hi1_way7, //256
10764 //right handside
10765 //bank 0 data
10766 //way [7:0]
10767 //[8] = 0 [255-0]
10768 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_lo0_way0 , //arg 313
10769 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_hi0_way0,
10770 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10771 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_hi1_way0,
10772 //index [511-256]
10773 `L2DAT7.quad_bot_right.way07_00.set_top.mem_lo0_way0 , //arg 281
10774 `L2DAT7.quad_bot_right.way07_00.set_top.mem_hi0_way0,
10775 `L2DAT7.quad_bot_right.way07_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10776 `L2DAT7.quad_bot_right.way07_00.set_top.mem_hi1_way0,
10777
10778 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_lo0_way1,
10779 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_hi0_way1,
10780 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_lo1_way1,
10781 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_hi1_way1,
10782
10783 `L2DAT7.quad_bot_right.way07_00.set_top.mem_lo0_way1,
10784 `L2DAT7.quad_bot_right.way07_00.set_top.mem_hi0_way1,
10785 `L2DAT7.quad_bot_right.way07_00.set_top.mem_lo1_way1,
10786 `L2DAT7.quad_bot_right.way07_00.set_top.mem_hi1_way1,
10787
10788 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10789 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_hi0_way2,
10790 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10791 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_hi1_way2,
10792
10793 `L2DAT7.quad_bot_right.way07_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10794 `L2DAT7.quad_bot_right.way07_00.set_top.mem_hi0_way2 , //[18:0] mem_lo1_way0 [255:0];
10795 `L2DAT7.quad_bot_right.way07_00.set_top.mem_lo1_way2,
10796 `L2DAT7.quad_bot_right.way07_00.set_top.mem_hi1_way2,
10797
10798 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_lo0_way3,
10799 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_hi0_way3,
10800 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_lo1_way3,
10801 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_hi1_way3,
10802
10803 `L2DAT7.quad_bot_right.way07_00.set_top.mem_lo0_way3,
10804 `L2DAT7.quad_bot_right.way07_00.set_top.mem_hi0_way3,
10805 `L2DAT7.quad_bot_right.way07_00.set_top.mem_lo1_way3,
10806 `L2DAT7.quad_bot_right.way07_00.set_top.mem_hi1_way3,
10807
10808 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10809 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_hi0_way4,
10810 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10811 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_hi1_way4,
10812
10813 `L2DAT7.quad_bot_right.way07_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10814 `L2DAT7.quad_bot_right.way07_00.set_top.mem_hi0_way4 , //[18:0] mem_lo1_way0 [255:0];
10815 `L2DAT7.quad_bot_right.way07_00.set_top.mem_lo1_way4,
10816 `L2DAT7.quad_bot_right.way07_00.set_top.mem_hi1_way4,
10817
10818 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_lo0_way5,
10819 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_hi0_way5,
10820 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_lo1_way5,
10821 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_hi1_way5,
10822
10823 `L2DAT7.quad_bot_right.way07_00.set_top.mem_lo0_way5,
10824 `L2DAT7.quad_bot_right.way07_00.set_top.mem_hi0_way5,
10825 `L2DAT7.quad_bot_right.way07_00.set_top.mem_lo1_way5,
10826 `L2DAT7.quad_bot_right.way07_00.set_top.mem_hi1_way5,
10827
10828 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10829 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_hi0_way6,
10830 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10831 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_hi1_way6,
10832
10833 `L2DAT7.quad_bot_right.way07_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10834 `L2DAT7.quad_bot_right.way07_00.set_top.mem_hi0_way6 , //[18:0] mem_lo1_way0 [255:0];
10835 `L2DAT7.quad_bot_right.way07_00.set_top.mem_lo1_way6,
10836 `L2DAT7.quad_bot_right.way07_00.set_top.mem_hi1_way6,
10837
10838 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_lo0_way7,
10839 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_hi0_way7,
10840 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_lo1_way7,
10841 `L2DAT7.quad_bot_right.way07_00.set_bot.mem_hi1_way7,
10842
10843 `L2DAT7.quad_bot_right.way07_00.set_top.mem_lo0_way7,
10844 `L2DAT7.quad_bot_right.way07_00.set_top.mem_hi0_way7,
10845 `L2DAT7.quad_bot_right.way07_00.set_top.mem_lo1_way7,
10846 `L2DAT7.quad_bot_right.way07_00.set_top.mem_hi1_way7, //toatl arg 64
10847
10848 //way158_00 top way [15:8]
10849 //index[255:0]
10850
10851 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_lo0_way0 , //arg 441
10852 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_hi0_way0,
10853 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10854 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_hi1_way0,
10855 //index[511:256]
10856 `L2DAT7.quad_bot_right.way158_00.set_top.mem_lo0_way0 , //arg 409
10857 `L2DAT7.quad_bot_right.way158_00.set_top.mem_hi0_way0,
10858 `L2DAT7.quad_bot_right.way158_00.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10859 `L2DAT7.quad_bot_right.way158_00.set_top.mem_hi1_way0,
10860
10861 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_lo0_way1,
10862 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_hi0_way1,
10863 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_lo1_way1,
10864 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_hi1_way1,
10865
10866 `L2DAT7.quad_bot_right.way158_00.set_top.mem_lo0_way1,
10867 `L2DAT7.quad_bot_right.way158_00.set_top.mem_hi0_way1,
10868 `L2DAT7.quad_bot_right.way158_00.set_top.mem_lo1_way1,
10869 `L2DAT7.quad_bot_right.way158_00.set_top.mem_hi1_way1,
10870
10871 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10872 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_hi0_way2,
10873 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10874 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_hi1_way2,
10875
10876 `L2DAT7.quad_bot_right.way158_00.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10877 `L2DAT7.quad_bot_right.way158_00.set_top.mem_hi0_way2,
10878 `L2DAT7.quad_bot_right.way158_00.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10879 `L2DAT7.quad_bot_right.way158_00.set_top.mem_hi1_way2,
10880
10881 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_lo0_way3,
10882 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_hi0_way3,
10883 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_lo1_way3,
10884 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_hi1_way3,
10885
10886 `L2DAT7.quad_bot_right.way158_00.set_top.mem_lo0_way3,
10887 `L2DAT7.quad_bot_right.way158_00.set_top.mem_hi0_way3,
10888 `L2DAT7.quad_bot_right.way158_00.set_top.mem_lo1_way3,
10889 `L2DAT7.quad_bot_right.way158_00.set_top.mem_hi1_way3,
10890
10891 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10892 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_hi0_way4,
10893 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10894 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_hi1_way4,
10895
10896 `L2DAT7.quad_bot_right.way158_00.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10897 `L2DAT7.quad_bot_right.way158_00.set_top.mem_hi0_way4,
10898 `L2DAT7.quad_bot_right.way158_00.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10899 `L2DAT7.quad_bot_right.way158_00.set_top.mem_hi1_way4,
10900
10901 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_lo0_way5,
10902 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_hi0_way5,
10903 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_lo1_way5,
10904 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_hi1_way5,
10905
10906 `L2DAT7.quad_bot_right.way158_00.set_top.mem_lo0_way5,
10907 `L2DAT7.quad_bot_right.way158_00.set_top.mem_hi0_way5,
10908 `L2DAT7.quad_bot_right.way158_00.set_top.mem_lo1_way5,
10909 `L2DAT7.quad_bot_right.way158_00.set_top.mem_hi1_way5,
10910
10911 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10912 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_hi0_way6,
10913 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10914 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_hi1_way6,
10915
10916 `L2DAT7.quad_bot_right.way158_00.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10917 `L2DAT7.quad_bot_right.way158_00.set_top.mem_hi0_way6,
10918 `L2DAT7.quad_bot_right.way158_00.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10919 `L2DAT7.quad_bot_right.way158_00.set_top.mem_hi1_way6,
10920
10921 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_lo0_way7,
10922 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_hi0_way7,
10923 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_lo1_way7,
10924 `L2DAT7.quad_bot_right.way158_00.set_bot.mem_hi1_way7,
10925
10926 `L2DAT7.quad_bot_right.way158_00.set_top.mem_lo0_way7,
10927 `L2DAT7.quad_bot_right.way158_00.set_top.mem_hi0_way7,
10928 `L2DAT7.quad_bot_right.way158_00.set_top.mem_lo1_way7,
10929 `L2DAT7.quad_bot_right.way158_00.set_top.mem_hi1_way7, //128
10930
10931 //way70_01 bottom
10932 //bottom
10933 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_lo0_way0 , //[623:604]
10934 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_hi0_way0,
10935 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10936 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_hi1_way0,
10937
10938 `L2DAT7.quad_bot_right.way70_01.set_top.mem_lo0_way0 , //arg 345
10939 `L2DAT7.quad_bot_right.way70_01.set_top.mem_hi0_way0,
10940 `L2DAT7.quad_bot_right.way70_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
10941 `L2DAT7.quad_bot_right.way70_01.set_top.mem_hi1_way0,
10942
10943 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_lo0_way1,
10944 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_hi0_way1,
10945 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_lo1_way1,
10946 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_hi1_way1,
10947
10948 `L2DAT7.quad_bot_right.way70_01.set_top.mem_lo0_way1,
10949 `L2DAT7.quad_bot_right.way70_01.set_top.mem_hi0_way1,
10950 `L2DAT7.quad_bot_right.way70_01.set_top.mem_lo1_way1,
10951 `L2DAT7.quad_bot_right.way70_01.set_top.mem_hi1_way1,
10952
10953 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10954 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_hi0_way2,
10955 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10956 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_hi1_way2,
10957
10958 `L2DAT7.quad_bot_right.way70_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
10959 `L2DAT7.quad_bot_right.way70_01.set_top.mem_hi0_way2,
10960 `L2DAT7.quad_bot_right.way70_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
10961 `L2DAT7.quad_bot_right.way70_01.set_top.mem_hi1_way2,
10962
10963 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_lo0_way3,
10964 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_hi0_way3,
10965 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_lo1_way3,
10966 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_hi1_way3,
10967
10968 `L2DAT7.quad_bot_right.way70_01.set_top.mem_lo0_way3,
10969 `L2DAT7.quad_bot_right.way70_01.set_top.mem_hi0_way3,
10970 `L2DAT7.quad_bot_right.way70_01.set_top.mem_lo1_way3,
10971 `L2DAT7.quad_bot_right.way70_01.set_top.mem_hi1_way3,
10972
10973 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10974 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_hi0_way4,
10975 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10976 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_hi1_way4,
10977
10978 `L2DAT7.quad_bot_right.way70_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
10979 `L2DAT7.quad_bot_right.way70_01.set_top.mem_hi0_way4,
10980 `L2DAT7.quad_bot_right.way70_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
10981 `L2DAT7.quad_bot_right.way70_01.set_top.mem_hi1_way4,
10982
10983 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_lo0_way5,
10984 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_hi0_way5,
10985 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_lo1_way5,
10986 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_hi1_way5,
10987
10988 `L2DAT7.quad_bot_right.way70_01.set_top.mem_lo0_way5,
10989 `L2DAT7.quad_bot_right.way70_01.set_top.mem_hi0_way5 ,
10990 `L2DAT7.quad_bot_right.way70_01.set_top.mem_lo1_way5,
10991 `L2DAT7.quad_bot_right.way70_01.set_top.mem_hi1_way5,
10992
10993 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10994 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_hi0_way6,
10995 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
10996 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_hi1_way6,
10997
10998 `L2DAT7.quad_bot_right.way70_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
10999 `L2DAT7.quad_bot_right.way70_01.set_top.mem_hi0_way6,
11000 `L2DAT7.quad_bot_right.way70_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
11001 `L2DAT7.quad_bot_right.way70_01.set_top.mem_hi1_way6,
11002
11003 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_lo0_way7,
11004 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_hi0_way7 ,
11005 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_lo1_way7,
11006 `L2DAT7.quad_bot_right.way70_01.set_bot.mem_hi1_way7,
11007
11008 `L2DAT7.quad_bot_right.way70_01.set_top.mem_lo0_way7,
11009 `L2DAT7.quad_bot_right.way70_01.set_top.mem_hi0_way7 ,
11010 `L2DAT7.quad_bot_right.way70_01.set_top.mem_lo1_way7,
11011 `L2DAT7.quad_bot_right.way70_01.set_top.mem_hi1_way7, //192
11012
11013 //way [15:8]
11014 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_lo0_way0 , //arg 505
11015 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_hi0_way0,
11016 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
11017 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_hi1_way0,
11018 //index [8]
11019 `L2DAT7.quad_bot_right.way158_01.set_top.mem_lo0_way0 , //arg 473
11020 `L2DAT7.quad_bot_right.way158_01.set_top.mem_hi0_way0,
11021 `L2DAT7.quad_bot_right.way158_01.set_top.mem_lo1_way0 , //[18:0] mem_lo1_way0 [255:0];
11022 `L2DAT7.quad_bot_right.way158_01.set_top.mem_hi1_way0,
11023
11024 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_lo0_way1,
11025 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_hi0_way1,
11026 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_lo1_way1,
11027 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_hi1_way1,
11028
11029 `L2DAT7.quad_bot_right.way158_01.set_top.mem_lo0_way1,
11030 `L2DAT7.quad_bot_right.way158_01.set_top.mem_hi0_way1,
11031 `L2DAT7.quad_bot_right.way158_01.set_top.mem_lo1_way1,
11032 `L2DAT7.quad_bot_right.way158_01.set_top.mem_hi1_way1,
11033
11034 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
11035 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_hi0_way2,
11036 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
11037 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_hi1_way2,
11038
11039 `L2DAT7.quad_bot_right.way158_01.set_top.mem_lo0_way2 , //[19:0] mem_lo0_way0 [255:0];
11040 `L2DAT7.quad_bot_right.way158_01.set_top.mem_hi0_way2,
11041 `L2DAT7.quad_bot_right.way158_01.set_top.mem_lo1_way2 , //[18:0] mem_lo1_way0 [255:0];
11042 `L2DAT7.quad_bot_right.way158_01.set_top.mem_hi1_way2,
11043
11044 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_lo0_way3,
11045 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_hi0_way3,
11046 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_lo1_way3,
11047 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_hi1_way3,
11048
11049 `L2DAT7.quad_bot_right.way158_01.set_top.mem_lo0_way3,
11050 `L2DAT7.quad_bot_right.way158_01.set_top.mem_hi0_way3,
11051 `L2DAT7.quad_bot_right.way158_01.set_top.mem_lo1_way3,
11052 `L2DAT7.quad_bot_right.way158_01.set_top.mem_hi1_way3,
11053
11054 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
11055 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_hi0_way4,
11056 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
11057 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_hi1_way4,
11058
11059 `L2DAT7.quad_bot_right.way158_01.set_top.mem_lo0_way4 , //[19:0] mem_lo0_way0 [255:0];
11060 `L2DAT7.quad_bot_right.way158_01.set_top.mem_hi0_way4,
11061 `L2DAT7.quad_bot_right.way158_01.set_top.mem_lo1_way4 , //[18:0] mem_lo1_way0 [255:0];
11062 `L2DAT7.quad_bot_right.way158_01.set_top.mem_hi1_way4,
11063
11064 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_lo0_way5,
11065 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_hi0_way5,
11066 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_lo1_way5,
11067 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_hi1_way5,
11068
11069 `L2DAT7.quad_bot_right.way158_01.set_top.mem_lo0_way5,
11070 `L2DAT7.quad_bot_right.way158_01.set_top.mem_hi0_way5,
11071 `L2DAT7.quad_bot_right.way158_01.set_top.mem_lo1_way5,
11072 `L2DAT7.quad_bot_right.way158_01.set_top.mem_hi1_way5,
11073
11074 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
11075 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_hi0_way6,
11076 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
11077 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_hi1_way6,
11078
11079 `L2DAT7.quad_bot_right.way158_01.set_top.mem_lo0_way6 , //[19:0] mem_lo0_way0 [255:0];
11080 `L2DAT7.quad_bot_right.way158_01.set_top.mem_hi0_way6,
11081 `L2DAT7.quad_bot_right.way158_01.set_top.mem_lo1_way6 , //[18:0] mem_lo1_way0 [255:0];
11082 `L2DAT7.quad_bot_right.way158_01.set_top.mem_hi1_way6,
11083
11084 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_lo0_way7,
11085 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_hi0_way7,
11086 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_lo1_way7,
11087 `L2DAT7.quad_bot_right.way158_01.set_bot.mem_hi1_way7,
11088
11089 `L2DAT7.quad_bot_right.way158_01.set_top.mem_lo0_way7,
11090 `L2DAT7.quad_bot_right.way158_01.set_top.mem_hi0_way7,
11091 `L2DAT7.quad_bot_right.way158_01.set_top.mem_lo1_way7,
11092 `L2DAT7.quad_bot_right.way158_01.set_top.mem_hi1_way7 //512
11093 );
11094
11095 l1warm_start = 1;
11096 if(loadngo_mon)loadngo_enable = 1;
11097
11098 end
11099 end // always @ (posedge clk)
11100
11101 reg [7:0] cpu_status;
11102 initial
11103 begin
11104 cpu_status = 0;
11105 `ifdef CORE_0 cpu_status[0] = 1;`endif
11106 `ifdef CORE_1 cpu_status[1] = 1;`endif
11107 `ifdef CORE_2 cpu_status[2] = 1;`endif
11108 `ifdef CORE_3 cpu_status[3] = 1;`endif
11109 `ifdef CORE_4 cpu_status[4] = 1;`endif
11110 `ifdef CORE_5 cpu_status[5] = 1;`endif
11111 `ifdef CORE_6 cpu_status[6] = 1;`endif
11112 `ifdef CORE_7 cpu_status[7] = 1;`endif
11113
11114 end // initial begin
11115 always @(posedge clk) begin
11116 if(l1warm_enable && l1warm_start)begin
11117 l1warm_start = 0;
11118
11119 $n2_l1_warm(
11120 //bank00
11121 cpu_status,
11122 `L2TAG0.dc_row0.panel0.array.addr_array,//reg [12:0] addr_array[63:0] dcache
11123 `L2TAG0.dc_row0.panel0.array.valid,
11124 `L2TAG0.dc_row0.panel0.array.parity,
11125 `L2TAG0.dc_row0.panel0.array.addr_bit4,
11126
11127 `L2TAG0.dc_row0.panel1.array.addr_array,
11128 `L2TAG0.dc_row0.panel1.array.valid,
11129 `L2TAG0.dc_row0.panel1.array.parity,
11130 `L2TAG0.dc_row0.panel1.array.addr_bit4,
11131
11132 `L2TAG0.dc_row0.panel2.array.addr_array,
11133 `L2TAG0.dc_row0.panel2.array.valid,
11134 `L2TAG0.dc_row0.panel2.array.parity,
11135 `L2TAG0.dc_row0.panel2.array.addr_bit4,
11136
11137 `L2TAG0.dc_row0.panel3.array.addr_array,
11138 `L2TAG0.dc_row0.panel3.array.valid,
11139 `L2TAG0.dc_row0.panel3.array.parity,
11140 `L2TAG0.dc_row0.panel3.array.addr_bit4,
11141
11142 `L2TAG0.dc_row2.panel0.array.addr_array,
11143 `L2TAG0.dc_row2.panel0.array.valid,
11144 `L2TAG0.dc_row2.panel0.array.parity,
11145 `L2TAG0.dc_row2.panel0.array.addr_bit4,
11146
11147 `L2TAG0.dc_row2.panel1.array.addr_array,
11148 `L2TAG0.dc_row2.panel1.array.valid,
11149 `L2TAG0.dc_row2.panel1.array.parity,
11150 `L2TAG0.dc_row2.panel1.array.addr_bit4,
11151
11152 `L2TAG0.dc_row2.panel2.array.addr_array,
11153 `L2TAG0.dc_row2.panel2.array.valid,
11154 `L2TAG0.dc_row2.panel2.array.parity,
11155 `L2TAG0.dc_row2.panel2.array.addr_bit4,
11156
11157 `L2TAG0.dc_row2.panel3.array.addr_array,
11158 `L2TAG0.dc_row2.panel3.array.valid,
11159 `L2TAG0.dc_row2.panel3.array.parity,
11160 `L2TAG0.dc_row2.panel3.array.addr_bit4,
11161 //icache
11162 `L2TAG0.ic_row0.panel0.array.addr_array,//icache
11163 `L2TAG0.ic_row0.panel0.array.valid,
11164 `L2TAG0.ic_row0.panel0.array.parity,
11165 `L2TAG0.ic_row0.panel0.array.addr_bit4,
11166
11167 `L2TAG0.ic_row0.panel1.array.addr_array,
11168 `L2TAG0.ic_row0.panel1.array.valid,
11169 `L2TAG0.ic_row0.panel1.array.parity,
11170 `L2TAG0.ic_row0.panel1.array.addr_bit4,
11171 `L2TAG0.ic_row0.panel2.array.addr_array,
11172 `L2TAG0.ic_row0.panel2.array.valid,
11173 `L2TAG0.ic_row0.panel2.array.parity,
11174 `L2TAG0.ic_row0.panel2.array.addr_bit4,
11175 `L2TAG0.ic_row0.panel3.array.addr_array,
11176 `L2TAG0.ic_row0.panel3.array.valid,
11177 `L2TAG0.ic_row0.panel3.array.parity,
11178 `L2TAG0.ic_row0.panel3.array.addr_bit4,
11179
11180 `L2TAG0.ic_row2.panel0.array.addr_array,
11181 `L2TAG0.ic_row2.panel0.array.valid,
11182 `L2TAG0.ic_row2.panel0.array.parity,
11183 `L2TAG0.ic_row2.panel0.array.addr_bit4,
11184 `L2TAG0.ic_row2.panel1.array.addr_array,
11185 `L2TAG0.ic_row2.panel1.array.valid,
11186 `L2TAG0.ic_row2.panel1.array.parity,
11187 `L2TAG0.ic_row2.panel1.array.addr_bit4,
11188 `L2TAG0.ic_row2.panel2.array.addr_array,
11189 `L2TAG0.ic_row2.panel2.array.valid,
11190 `L2TAG0.ic_row2.panel2.array.parity,
11191 `L2TAG0.ic_row2.panel2.array.addr_bit4,
11192 `L2TAG0.ic_row2.panel3.array.addr_array,
11193 `L2TAG0.ic_row2.panel3.array.valid,
11194 `L2TAG0.ic_row2.panel3.array.parity,
11195 `L2TAG0.ic_row2.panel3.array.addr_bit4,
11196
11197 //bank 01
11198 `L2TAG1.dc_row0.panel0.array.addr_array,//reg [12:0] addr_array[63:0]
11199 `L2TAG1.dc_row0.panel0.array.valid,
11200 `L2TAG1.dc_row0.panel0.array.parity,
11201 `L2TAG1.dc_row0.panel0.array.addr_bit4,
11202 `L2TAG1.dc_row0.panel1.array.addr_array,
11203 `L2TAG1.dc_row0.panel1.array.valid,
11204 `L2TAG1.dc_row0.panel1.array.parity,
11205 `L2TAG1.dc_row0.panel1.array.addr_bit4,
11206 `L2TAG1.dc_row0.panel2.array.addr_array,
11207 `L2TAG1.dc_row0.panel2.array.valid,
11208 `L2TAG1.dc_row0.panel2.array.parity,
11209 `L2TAG1.dc_row0.panel2.array.addr_bit4,
11210 `L2TAG1.dc_row0.panel3.array.addr_array,
11211 `L2TAG1.dc_row0.panel3.array.valid,
11212 `L2TAG1.dc_row0.panel3.array.parity,
11213 `L2TAG1.dc_row0.panel3.array.addr_bit4,
11214
11215 `L2TAG1.dc_row2.panel0.array.addr_array,
11216 `L2TAG1.dc_row2.panel0.array.valid,
11217 `L2TAG1.dc_row2.panel0.array.parity,
11218 `L2TAG1.dc_row2.panel0.array.addr_bit4,
11219 `L2TAG1.dc_row2.panel1.array.addr_array,
11220 `L2TAG1.dc_row2.panel1.array.valid,
11221 `L2TAG1.dc_row2.panel1.array.parity,
11222 `L2TAG1.dc_row2.panel1.array.addr_bit4,
11223 `L2TAG1.dc_row2.panel2.array.addr_array,
11224 `L2TAG1.dc_row2.panel2.array.valid,
11225 `L2TAG1.dc_row2.panel2.array.parity,
11226 `L2TAG1.dc_row2.panel2.array.addr_bit4,
11227 `L2TAG1.dc_row2.panel3.array.addr_array,
11228 `L2TAG1.dc_row2.panel3.array.valid,
11229 `L2TAG1.dc_row2.panel3.array.parity,
11230 `L2TAG1.dc_row2.panel3.array.addr_bit4,
11231
11232 `L2TAG1.ic_row0.panel0.array.addr_array,
11233 `L2TAG1.ic_row0.panel0.array.valid,
11234 `L2TAG1.ic_row0.panel0.array.parity,
11235 `L2TAG1.ic_row0.panel0.array.addr_bit4,
11236 `L2TAG1.ic_row0.panel1.array.addr_array,
11237 `L2TAG1.ic_row0.panel1.array.valid,
11238 `L2TAG1.ic_row0.panel1.array.parity,
11239 `L2TAG1.ic_row0.panel1.array.addr_bit4,
11240 `L2TAG1.ic_row0.panel2.array.addr_array,
11241 `L2TAG1.ic_row0.panel2.array.valid,
11242 `L2TAG1.ic_row0.panel2.array.parity,
11243 `L2TAG1.ic_row0.panel2.array.addr_bit4,
11244 `L2TAG1.ic_row0.panel3.array.addr_array,
11245 `L2TAG1.ic_row0.panel3.array.valid,
11246 `L2TAG1.ic_row0.panel3.array.parity,
11247 `L2TAG1.ic_row0.panel3.array.addr_bit4,
11248
11249 `L2TAG1.ic_row2.panel0.array.addr_array,
11250 `L2TAG1.ic_row2.panel0.array.valid,
11251 `L2TAG1.ic_row2.panel0.array.parity,
11252 `L2TAG1.ic_row2.panel0.array.addr_bit4,
11253 `L2TAG1.ic_row2.panel1.array.addr_array,
11254 `L2TAG1.ic_row2.panel1.array.valid,
11255 `L2TAG1.ic_row2.panel1.array.parity,
11256 `L2TAG1.ic_row2.panel1.array.addr_bit4,
11257 `L2TAG1.ic_row2.panel2.array.addr_array,
11258 `L2TAG1.ic_row2.panel2.array.valid,
11259 `L2TAG1.ic_row2.panel2.array.parity,
11260 `L2TAG1.ic_row2.panel2.array.addr_bit4,
11261 `L2TAG1.ic_row2.panel3.array.addr_array,
11262 `L2TAG1.ic_row2.panel3.array.valid,
11263 `L2TAG1.ic_row2.panel3.array.parity,
11264 `L2TAG1.ic_row2.panel3.array.addr_bit4,
11265 //bank 02
11266 `L2TAG2.dc_row0.panel0.array.addr_array,//reg [12:0] addr_array[63:0]
11267 `L2TAG2.dc_row0.panel0.array.valid,
11268 `L2TAG2.dc_row0.panel0.array.parity,
11269 `L2TAG2.dc_row0.panel0.array.addr_bit4,
11270 `L2TAG2.dc_row0.panel1.array.addr_array,
11271 `L2TAG2.dc_row0.panel1.array.valid,
11272 `L2TAG2.dc_row0.panel1.array.parity,
11273 `L2TAG2.dc_row0.panel1.array.addr_bit4,
11274 `L2TAG2.dc_row0.panel2.array.addr_array,
11275 `L2TAG2.dc_row0.panel2.array.valid,
11276 `L2TAG2.dc_row0.panel2.array.parity,
11277 `L2TAG2.dc_row0.panel2.array.addr_bit4,
11278 `L2TAG2.dc_row0.panel3.array.addr_array,
11279 `L2TAG2.dc_row0.panel3.array.valid,
11280 `L2TAG2.dc_row0.panel3.array.parity,
11281 `L2TAG2.dc_row0.panel3.array.addr_bit4,
11282
11283 `L2TAG2.dc_row2.panel0.array.addr_array,
11284 `L2TAG2.dc_row2.panel0.array.valid,
11285 `L2TAG2.dc_row2.panel0.array.parity,
11286 `L2TAG2.dc_row2.panel0.array.addr_bit4,
11287 `L2TAG2.dc_row2.panel1.array.addr_array,
11288 `L2TAG2.dc_row2.panel1.array.valid,
11289 `L2TAG2.dc_row2.panel1.array.parity,
11290 `L2TAG2.dc_row2.panel1.array.addr_bit4,
11291 `L2TAG2.dc_row2.panel2.array.addr_array,
11292 `L2TAG2.dc_row2.panel2.array.valid,
11293 `L2TAG2.dc_row2.panel2.array.parity,
11294 `L2TAG2.dc_row2.panel2.array.addr_bit4,
11295 `L2TAG2.dc_row2.panel3.array.addr_array,
11296 `L2TAG2.dc_row2.panel3.array.valid,
11297 `L2TAG2.dc_row2.panel3.array.parity,
11298 `L2TAG2.dc_row2.panel3.array.addr_bit4,
11299
11300 `L2TAG2.ic_row0.panel0.array.addr_array,
11301 `L2TAG2.ic_row0.panel0.array.valid,
11302 `L2TAG2.ic_row0.panel0.array.parity,
11303 `L2TAG2.ic_row0.panel0.array.addr_bit4,
11304 `L2TAG2.ic_row0.panel1.array.addr_array,
11305 `L2TAG2.ic_row0.panel1.array.valid,
11306 `L2TAG2.ic_row0.panel1.array.parity,
11307 `L2TAG2.ic_row0.panel1.array.addr_bit4,
11308 `L2TAG2.ic_row0.panel2.array.addr_array,
11309 `L2TAG2.ic_row0.panel2.array.valid,
11310 `L2TAG2.ic_row0.panel2.array.parity,
11311 `L2TAG2.ic_row0.panel2.array.addr_bit4,
11312 `L2TAG2.ic_row0.panel3.array.addr_array,
11313 `L2TAG2.ic_row0.panel3.array.valid,
11314 `L2TAG2.ic_row0.panel3.array.parity,
11315 `L2TAG2.ic_row0.panel3.array.addr_bit4,
11316
11317 `L2TAG2.ic_row2.panel0.array.addr_array,
11318 `L2TAG2.ic_row2.panel0.array.valid,
11319 `L2TAG2.ic_row2.panel0.array.parity,
11320 `L2TAG2.ic_row2.panel0.array.addr_bit4,
11321 `L2TAG2.ic_row2.panel1.array.addr_array,
11322 `L2TAG2.ic_row2.panel1.array.valid,
11323 `L2TAG2.ic_row2.panel1.array.parity,
11324 `L2TAG2.ic_row2.panel1.array.addr_bit4,
11325 `L2TAG2.ic_row2.panel2.array.addr_array,
11326 `L2TAG2.ic_row2.panel2.array.valid,
11327 `L2TAG2.ic_row2.panel2.array.parity,
11328 `L2TAG2.ic_row2.panel2.array.addr_bit4,
11329 `L2TAG2.ic_row2.panel3.array.addr_array,
11330 `L2TAG2.ic_row2.panel3.array.valid,
11331 `L2TAG2.ic_row2.panel3.array.parity,
11332 `L2TAG2.ic_row2.panel3.array.addr_bit4,
11333 //bank 03
11334 `L2TAG3.dc_row0.panel0.array.addr_array,//reg [12:0] addr_array[63:0]
11335 `L2TAG3.dc_row0.panel0.array.valid,
11336 `L2TAG3.dc_row0.panel0.array.parity,
11337 `L2TAG3.dc_row0.panel0.array.addr_bit4,
11338 `L2TAG3.dc_row0.panel1.array.addr_array,
11339 `L2TAG3.dc_row0.panel1.array.valid,
11340 `L2TAG3.dc_row0.panel1.array.parity,
11341 `L2TAG3.dc_row0.panel1.array.addr_bit4,
11342 `L2TAG3.dc_row0.panel2.array.addr_array,
11343 `L2TAG3.dc_row0.panel2.array.valid,
11344 `L2TAG3.dc_row0.panel2.array.parity,
11345 `L2TAG3.dc_row0.panel2.array.addr_bit4,
11346 `L2TAG3.dc_row0.panel3.array.addr_array,
11347 `L2TAG3.dc_row0.panel3.array.valid,
11348 `L2TAG3.dc_row0.panel3.array.parity,
11349 `L2TAG3.dc_row0.panel3.array.addr_bit4,
11350
11351 `L2TAG3.dc_row2.panel0.array.addr_array,
11352 `L2TAG3.dc_row2.panel0.array.valid,
11353 `L2TAG3.dc_row2.panel0.array.parity,
11354 `L2TAG3.dc_row2.panel0.array.addr_bit4,
11355 `L2TAG3.dc_row2.panel1.array.addr_array,
11356 `L2TAG3.dc_row2.panel1.array.valid,
11357 `L2TAG3.dc_row2.panel1.array.parity,
11358 `L2TAG3.dc_row2.panel1.array.addr_bit4,
11359 `L2TAG3.dc_row2.panel2.array.addr_array,
11360 `L2TAG3.dc_row2.panel2.array.valid,
11361 `L2TAG3.dc_row2.panel2.array.parity,
11362 `L2TAG3.dc_row2.panel2.array.addr_bit4,
11363 `L2TAG3.dc_row2.panel3.array.addr_array,
11364 `L2TAG3.dc_row2.panel3.array.valid,
11365 `L2TAG3.dc_row2.panel3.array.parity,
11366 `L2TAG3.dc_row2.panel3.array.addr_bit4,
11367
11368 `L2TAG3.ic_row0.panel0.array.addr_array,
11369 `L2TAG3.ic_row0.panel0.array.valid,
11370 `L2TAG3.ic_row0.panel0.array.parity,
11371 `L2TAG3.ic_row0.panel0.array.addr_bit4,
11372 `L2TAG3.ic_row0.panel1.array.addr_array,
11373 `L2TAG3.ic_row0.panel1.array.valid,
11374 `L2TAG3.ic_row0.panel1.array.parity,
11375 `L2TAG3.ic_row0.panel1.array.addr_bit4,
11376 `L2TAG3.ic_row0.panel2.array.addr_array,
11377 `L2TAG3.ic_row0.panel2.array.valid,
11378 `L2TAG3.ic_row0.panel2.array.parity,
11379 `L2TAG3.ic_row0.panel2.array.addr_bit4,
11380 `L2TAG3.ic_row0.panel3.array.addr_array,
11381 `L2TAG3.ic_row0.panel3.array.valid,
11382 `L2TAG3.ic_row0.panel3.array.parity,
11383 `L2TAG3.ic_row0.panel3.array.addr_bit4,
11384
11385 `L2TAG3.ic_row2.panel0.array.addr_array,
11386 `L2TAG3.ic_row2.panel0.array.valid,
11387 `L2TAG3.ic_row2.panel0.array.parity,
11388 `L2TAG3.ic_row2.panel0.array.addr_bit4,
11389 `L2TAG3.ic_row2.panel1.array.addr_array,
11390 `L2TAG3.ic_row2.panel1.array.valid,
11391 `L2TAG3.ic_row2.panel1.array.parity,
11392 `L2TAG3.ic_row2.panel1.array.addr_bit4,
11393 `L2TAG3.ic_row2.panel2.array.addr_array,
11394 `L2TAG3.ic_row2.panel2.array.valid,
11395 `L2TAG3.ic_row2.panel2.array.parity,
11396 `L2TAG3.ic_row2.panel2.array.addr_bit4,
11397 `L2TAG3.ic_row2.panel3.array.addr_array,
11398 `L2TAG3.ic_row2.panel3.array.valid,
11399 `L2TAG3.ic_row2.panel3.array.parity,
11400 `L2TAG3.ic_row2.panel3.array.addr_bit4,
11401 //bank04
11402 `L2TAG4.dc_row0.panel0.array.addr_array,//reg [12:0] addr_array[63:0]
11403 `L2TAG4.dc_row0.panel0.array.valid,
11404 `L2TAG4.dc_row0.panel0.array.parity,
11405 `L2TAG4.dc_row0.panel0.array.addr_bit4,
11406 `L2TAG4.dc_row0.panel1.array.addr_array,
11407 `L2TAG4.dc_row0.panel1.array.valid,
11408 `L2TAG4.dc_row0.panel1.array.parity,
11409 `L2TAG4.dc_row0.panel1.array.addr_bit4,
11410 `L2TAG4.dc_row0.panel2.array.addr_array,
11411 `L2TAG4.dc_row0.panel2.array.valid,
11412 `L2TAG4.dc_row0.panel2.array.parity,
11413 `L2TAG4.dc_row0.panel2.array.addr_bit4,
11414 `L2TAG4.dc_row0.panel3.array.addr_array,
11415 `L2TAG4.dc_row0.panel3.array.valid,
11416 `L2TAG4.dc_row0.panel3.array.parity,
11417 `L2TAG4.dc_row0.panel3.array.addr_bit4,
11418
11419 `L2TAG4.dc_row2.panel0.array.addr_array,
11420 `L2TAG4.dc_row2.panel0.array.valid,
11421 `L2TAG4.dc_row2.panel0.array.parity,
11422 `L2TAG4.dc_row2.panel0.array.addr_bit4,
11423 `L2TAG4.dc_row2.panel1.array.addr_array,
11424 `L2TAG4.dc_row2.panel1.array.valid,
11425 `L2TAG4.dc_row2.panel1.array.parity,
11426 `L2TAG4.dc_row2.panel1.array.addr_bit4,
11427 `L2TAG4.dc_row2.panel2.array.addr_array,
11428 `L2TAG4.dc_row2.panel2.array.valid,
11429 `L2TAG4.dc_row2.panel2.array.parity,
11430 `L2TAG4.dc_row2.panel2.array.addr_bit4,
11431 `L2TAG4.dc_row2.panel3.array.addr_array,
11432 `L2TAG4.dc_row2.panel3.array.valid,
11433 `L2TAG4.dc_row2.panel3.array.parity,
11434 `L2TAG4.dc_row2.panel3.array.addr_bit4,
11435
11436 `L2TAG4.ic_row0.panel0.array.addr_array,
11437 `L2TAG4.ic_row0.panel0.array.valid,
11438 `L2TAG4.ic_row0.panel0.array.parity,
11439 `L2TAG4.ic_row0.panel0.array.addr_bit4,
11440 `L2TAG4.ic_row0.panel1.array.addr_array,
11441 `L2TAG4.ic_row0.panel1.array.valid,
11442 `L2TAG4.ic_row0.panel1.array.parity,
11443 `L2TAG4.ic_row0.panel1.array.addr_bit4,
11444 `L2TAG4.ic_row0.panel2.array.addr_array,
11445 `L2TAG4.ic_row0.panel2.array.valid,
11446 `L2TAG4.ic_row0.panel2.array.parity,
11447 `L2TAG4.ic_row0.panel2.array.addr_bit4,
11448 `L2TAG4.ic_row0.panel3.array.addr_array,
11449 `L2TAG4.ic_row0.panel3.array.valid,
11450 `L2TAG4.ic_row0.panel3.array.parity,
11451 `L2TAG4.ic_row0.panel3.array.addr_bit4,
11452
11453 `L2TAG4.ic_row2.panel0.array.addr_array,
11454 `L2TAG4.ic_row2.panel0.array.valid,
11455 `L2TAG4.ic_row2.panel0.array.parity,
11456 `L2TAG4.ic_row2.panel0.array.addr_bit4,
11457 `L2TAG4.ic_row2.panel1.array.addr_array,
11458 `L2TAG4.ic_row2.panel1.array.valid,
11459 `L2TAG4.ic_row2.panel1.array.parity,
11460 `L2TAG4.ic_row2.panel1.array.addr_bit4,
11461 `L2TAG4.ic_row2.panel2.array.addr_array,
11462 `L2TAG4.ic_row2.panel2.array.valid,
11463 `L2TAG4.ic_row2.panel2.array.parity,
11464 `L2TAG4.ic_row2.panel2.array.addr_bit4,
11465 `L2TAG4.ic_row2.panel3.array.addr_array,
11466 `L2TAG4.ic_row2.panel3.array.valid,
11467 `L2TAG4.ic_row2.panel3.array.parity,
11468 `L2TAG4.ic_row2.panel3.array.addr_bit4,
11469 //bank 05
11470 `L2TAG5.dc_row0.panel0.array.addr_array,//reg [12:0] addr_array[63:0]
11471 `L2TAG5.dc_row0.panel0.array.valid,
11472 `L2TAG5.dc_row0.panel0.array.parity,
11473 `L2TAG5.dc_row0.panel0.array.addr_bit4,
11474 `L2TAG5.dc_row0.panel1.array.addr_array,
11475 `L2TAG5.dc_row0.panel1.array.valid,
11476 `L2TAG5.dc_row0.panel1.array.parity,
11477 `L2TAG5.dc_row0.panel1.array.addr_bit4,
11478 `L2TAG5.dc_row0.panel2.array.addr_array,
11479 `L2TAG5.dc_row0.panel2.array.valid,
11480 `L2TAG5.dc_row0.panel2.array.parity,
11481 `L2TAG5.dc_row0.panel2.array.addr_bit4,
11482 `L2TAG5.dc_row0.panel3.array.addr_array,
11483 `L2TAG5.dc_row0.panel3.array.valid,
11484 `L2TAG5.dc_row0.panel3.array.parity,
11485 `L2TAG5.dc_row0.panel3.array.addr_bit4,
11486
11487 `L2TAG5.dc_row2.panel0.array.addr_array,
11488 `L2TAG5.dc_row2.panel0.array.valid,
11489 `L2TAG5.dc_row2.panel0.array.parity,
11490 `L2TAG5.dc_row2.panel0.array.addr_bit4,
11491 `L2TAG5.dc_row2.panel1.array.addr_array,
11492 `L2TAG5.dc_row2.panel1.array.valid,
11493 `L2TAG5.dc_row2.panel1.array.parity,
11494 `L2TAG5.dc_row2.panel1.array.addr_bit4,
11495 `L2TAG5.dc_row2.panel2.array.addr_array,
11496 `L2TAG5.dc_row2.panel2.array.valid,
11497 `L2TAG5.dc_row2.panel2.array.parity,
11498 `L2TAG5.dc_row2.panel2.array.addr_bit4,
11499 `L2TAG5.dc_row2.panel3.array.addr_array,
11500 `L2TAG5.dc_row2.panel3.array.valid,
11501 `L2TAG5.dc_row2.panel3.array.parity,
11502 `L2TAG5.dc_row2.panel3.array.addr_bit4,
11503
11504 `L2TAG5.ic_row0.panel0.array.addr_array,
11505 `L2TAG5.ic_row0.panel0.array.valid,
11506 `L2TAG5.ic_row0.panel0.array.parity,
11507 `L2TAG5.ic_row0.panel0.array.addr_bit4,
11508 `L2TAG5.ic_row0.panel1.array.addr_array,
11509 `L2TAG5.ic_row0.panel1.array.valid,
11510 `L2TAG5.ic_row0.panel1.array.parity,
11511 `L2TAG5.ic_row0.panel1.array.addr_bit4,
11512 `L2TAG5.ic_row0.panel2.array.addr_array,
11513 `L2TAG5.ic_row0.panel2.array.valid,
11514 `L2TAG5.ic_row0.panel2.array.parity,
11515 `L2TAG5.ic_row0.panel2.array.addr_bit4,
11516 `L2TAG5.ic_row0.panel3.array.addr_array,
11517 `L2TAG5.ic_row0.panel3.array.valid,
11518 `L2TAG5.ic_row0.panel3.array.parity,
11519 `L2TAG5.ic_row0.panel3.array.addr_bit4,
11520
11521 `L2TAG5.ic_row2.panel0.array.addr_array,
11522 `L2TAG5.ic_row2.panel0.array.valid,
11523 `L2TAG5.ic_row2.panel0.array.parity,
11524 `L2TAG5.ic_row2.panel0.array.addr_bit4,
11525 `L2TAG5.ic_row2.panel1.array.addr_array,
11526 `L2TAG5.ic_row2.panel1.array.valid,
11527 `L2TAG5.ic_row2.panel1.array.parity,
11528 `L2TAG5.ic_row2.panel1.array.addr_bit4,
11529 `L2TAG5.ic_row2.panel2.array.addr_array,
11530 `L2TAG5.ic_row2.panel2.array.valid,
11531 `L2TAG5.ic_row2.panel2.array.parity,
11532 `L2TAG5.ic_row2.panel2.array.addr_bit4,
11533 `L2TAG5.ic_row2.panel3.array.addr_array,
11534 `L2TAG5.ic_row2.panel3.array.valid,
11535 `L2TAG5.ic_row2.panel3.array.parity,
11536 `L2TAG5.ic_row2.panel3.array.addr_bit4,
11537 //bank 06
11538 `L2TAG6.dc_row0.panel0.array.addr_array,//reg [12:0] addr_array[63:0]
11539 `L2TAG6.dc_row0.panel0.array.valid,
11540 `L2TAG6.dc_row0.panel0.array.parity,
11541 `L2TAG6.dc_row0.panel0.array.addr_bit4,
11542 `L2TAG6.dc_row0.panel1.array.addr_array,
11543 `L2TAG6.dc_row0.panel1.array.valid,
11544 `L2TAG6.dc_row0.panel1.array.parity,
11545 `L2TAG6.dc_row0.panel1.array.addr_bit4,
11546 `L2TAG6.dc_row0.panel2.array.addr_array,
11547 `L2TAG6.dc_row0.panel2.array.valid,
11548 `L2TAG6.dc_row0.panel2.array.parity,
11549 `L2TAG6.dc_row0.panel2.array.addr_bit4,
11550 `L2TAG6.dc_row0.panel3.array.addr_array,
11551 `L2TAG6.dc_row0.panel3.array.valid,
11552 `L2TAG6.dc_row0.panel3.array.parity,
11553 `L2TAG6.dc_row0.panel3.array.addr_bit4,
11554
11555 `L2TAG6.dc_row2.panel0.array.addr_array,
11556 `L2TAG6.dc_row2.panel0.array.valid,
11557 `L2TAG6.dc_row2.panel0.array.parity,
11558 `L2TAG6.dc_row2.panel0.array.addr_bit4,
11559 `L2TAG6.dc_row2.panel1.array.addr_array,
11560 `L2TAG6.dc_row2.panel1.array.valid,
11561 `L2TAG6.dc_row2.panel1.array.parity,
11562 `L2TAG6.dc_row2.panel1.array.addr_bit4,
11563 `L2TAG6.dc_row2.panel2.array.addr_array,
11564 `L2TAG6.dc_row2.panel2.array.valid,
11565 `L2TAG6.dc_row2.panel2.array.parity,
11566 `L2TAG6.dc_row2.panel2.array.addr_bit4,
11567 `L2TAG6.dc_row2.panel3.array.addr_array,
11568 `L2TAG6.dc_row2.panel3.array.valid,
11569 `L2TAG6.dc_row2.panel3.array.parity,
11570 `L2TAG6.dc_row2.panel3.array.addr_bit4,
11571
11572 `L2TAG6.ic_row0.panel0.array.addr_array,
11573 `L2TAG6.ic_row0.panel0.array.valid,
11574 `L2TAG6.ic_row0.panel0.array.parity,
11575 `L2TAG6.ic_row0.panel0.array.addr_bit4,
11576 `L2TAG6.ic_row0.panel1.array.addr_array,
11577 `L2TAG6.ic_row0.panel1.array.valid,
11578 `L2TAG6.ic_row0.panel1.array.parity,
11579 `L2TAG6.ic_row0.panel1.array.addr_bit4,
11580 `L2TAG6.ic_row0.panel2.array.addr_array,
11581 `L2TAG6.ic_row0.panel2.array.valid,
11582 `L2TAG6.ic_row0.panel2.array.parity,
11583 `L2TAG6.ic_row0.panel2.array.addr_bit4,
11584 `L2TAG6.ic_row0.panel3.array.addr_array,
11585 `L2TAG6.ic_row0.panel3.array.valid,
11586 `L2TAG6.ic_row0.panel3.array.parity,
11587 `L2TAG6.ic_row0.panel3.array.addr_bit4,
11588
11589 `L2TAG6.ic_row2.panel0.array.addr_array,
11590 `L2TAG6.ic_row2.panel0.array.valid,
11591 `L2TAG6.ic_row2.panel0.array.parity,
11592 `L2TAG6.ic_row2.panel0.array.addr_bit4,
11593 `L2TAG6.ic_row2.panel1.array.addr_array,
11594 `L2TAG6.ic_row2.panel1.array.valid,
11595 `L2TAG6.ic_row2.panel1.array.parity,
11596 `L2TAG6.ic_row2.panel1.array.addr_bit4,
11597 `L2TAG6.ic_row2.panel2.array.addr_array,
11598 `L2TAG6.ic_row2.panel2.array.valid,
11599 `L2TAG6.ic_row2.panel2.array.parity,
11600 `L2TAG6.ic_row2.panel2.array.addr_bit4,
11601 `L2TAG6.ic_row2.panel3.array.addr_array,
11602 `L2TAG6.ic_row2.panel3.array.valid,
11603 `L2TAG6.ic_row2.panel3.array.parity,
11604 `L2TAG6.ic_row2.panel3.array.addr_bit4,
11605 //bank 07
11606 `L2TAG7.dc_row0.panel0.array.addr_array,//reg [12:0] addr_array[63:0]
11607 `L2TAG7.dc_row0.panel0.array.valid,
11608 `L2TAG7.dc_row0.panel0.array.parity,
11609 `L2TAG7.dc_row0.panel0.array.addr_bit4,
11610 `L2TAG7.dc_row0.panel1.array.addr_array,
11611 `L2TAG7.dc_row0.panel1.array.valid,
11612 `L2TAG7.dc_row0.panel1.array.parity,
11613 `L2TAG7.dc_row0.panel1.array.addr_bit4,
11614 `L2TAG7.dc_row0.panel2.array.addr_array,
11615 `L2TAG7.dc_row0.panel2.array.valid,
11616 `L2TAG7.dc_row0.panel2.array.parity,
11617 `L2TAG7.dc_row0.panel2.array.addr_bit4,
11618 `L2TAG7.dc_row0.panel3.array.addr_array,
11619 `L2TAG7.dc_row0.panel3.array.valid,
11620 `L2TAG7.dc_row0.panel3.array.parity,
11621 `L2TAG7.dc_row0.panel3.array.addr_bit4,
11622
11623 `L2TAG7.dc_row2.panel0.array.addr_array,
11624 `L2TAG7.dc_row2.panel0.array.valid,
11625 `L2TAG7.dc_row2.panel0.array.parity,
11626 `L2TAG7.dc_row2.panel0.array.addr_bit4,
11627 `L2TAG7.dc_row2.panel1.array.addr_array,
11628 `L2TAG7.dc_row2.panel1.array.valid,
11629 `L2TAG7.dc_row2.panel1.array.parity,
11630 `L2TAG7.dc_row2.panel1.array.addr_bit4,
11631 `L2TAG7.dc_row2.panel2.array.addr_array,
11632 `L2TAG7.dc_row2.panel2.array.valid,
11633 `L2TAG7.dc_row2.panel2.array.parity,
11634 `L2TAG7.dc_row2.panel2.array.addr_bit4,
11635 `L2TAG7.dc_row2.panel3.array.addr_array,
11636 `L2TAG7.dc_row2.panel3.array.valid,
11637 `L2TAG7.dc_row2.panel3.array.parity,
11638 `L2TAG7.dc_row2.panel3.array.addr_bit4,
11639
11640 `L2TAG7.ic_row0.panel0.array.addr_array,
11641 `L2TAG7.ic_row0.panel0.array.valid,
11642 `L2TAG7.ic_row0.panel0.array.parity,
11643 `L2TAG7.ic_row0.panel0.array.addr_bit4,
11644 `L2TAG7.ic_row0.panel1.array.addr_array,
11645 `L2TAG7.ic_row0.panel1.array.valid,
11646 `L2TAG7.ic_row0.panel1.array.parity,
11647 `L2TAG7.ic_row0.panel1.array.addr_bit4,
11648 `L2TAG7.ic_row0.panel2.array.addr_array,
11649 `L2TAG7.ic_row0.panel2.array.valid,
11650 `L2TAG7.ic_row0.panel2.array.parity,
11651 `L2TAG7.ic_row0.panel2.array.addr_bit4,
11652 `L2TAG7.ic_row0.panel3.array.addr_array,
11653 `L2TAG7.ic_row0.panel3.array.valid,
11654 `L2TAG7.ic_row0.panel3.array.parity,
11655 `L2TAG7.ic_row0.panel3.array.addr_bit4,
11656
11657 `L2TAG7.ic_row2.panel0.array.addr_array,
11658 `L2TAG7.ic_row2.panel0.array.valid,
11659 `L2TAG7.ic_row2.panel0.array.parity,
11660 `L2TAG7.ic_row2.panel0.array.addr_bit4,
11661 `L2TAG7.ic_row2.panel1.array.addr_array,
11662 `L2TAG7.ic_row2.panel1.array.valid,
11663 `L2TAG7.ic_row2.panel1.array.parity,
11664 `L2TAG7.ic_row2.panel1.array.addr_bit4,
11665 `L2TAG7.ic_row2.panel2.array.addr_array,
11666 `L2TAG7.ic_row2.panel2.array.valid,
11667 `L2TAG7.ic_row2.panel2.array.parity,
11668 `L2TAG7.ic_row2.panel2.array.addr_bit4,
11669 `L2TAG7.ic_row2.panel3.array.addr_array,
11670 `L2TAG7.ic_row2.panel3.array.valid,
11671 `L2TAG7.ic_row2.panel3.array.parity,
11672 `L2TAG7.ic_row2.panel3.array.addr_bit4,
11673 /*
11674 //sparc
11675 `ifdef CORE_0
11676 //data
11677 `SPARC_CORE.cpu.spc0.dca.array.way01.left.mem,
11678 `SPARC_CORE.cpu.spc0.dca.array.way01.right.mem,
11679 `SPARC_CORE.cpu.spc0.dca.array.way23.left.mem,
11680 `SPARC_CORE.cpu.spc0.dca.array.way23.right.mem,
11681 //dcache tag
11682 `SPARC_CORE.cpu.spc0.lsu.dta.way0.mem,//reg [`WIDTH-1:0] mem[`ENTRIES-1:0]; WIDTH 30 ENTRIES 128
11683 `SPARC_CORE.cpu.spc0.lsu.dta.way1.mem,
11684 `SPARC_CORE.cpu.spc0.lsu.dta.way2.mem,
11685 `SPARC_CORE.cpu.spc0.lsu.dta.way3.mem,
11686 //valid
11687 `SPARC_CORE.cpu.spc0.lsu.dva.array.mem,//reg [31:0] mem [31:0];
11688
11689 //icache data
11690 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_icd_cust.quad_0.w3_to_w0_bank.left_sb_array.mem,//reg [143:0] mem[63:0] ;
11691 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_icd_cust.quad_0.w3_to_w0_bank.right_sb_array.mem,//reg [135:0] mem[63:0] ;
11692
11693 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_icd_cust.quad_0.w7_to_w4_bank.left_sb_array.mem,
11694 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_icd_cust.quad_0.w7_to_w4_bank.right_sb_array.mem,
11695
11696 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_icd_cust.quad_1.w3_to_w0_bank.left_sb_array.mem,
11697 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_icd_cust.quad_1.w3_to_w0_bank.right_sb_array.mem,
11698
11699 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_icd_cust.quad_1.w7_to_w4_bank.left_sb_array.mem,
11700 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_icd_cust.quad_1.w7_to_w4_bank.right_sb_array.mem,
11701
11702 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_icd_cust.quad_2.w3_to_w0_bank.left_sb_array.mem,
11703 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_icd_cust.quad_2.w3_to_w0_bank.right_sb_array.mem,
11704
11705 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_icd_cust.quad_2.w7_to_w4_bank.left_sb_array.mem,
11706 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_icd_cust.quad_2.w7_to_w4_bank.right_sb_array.mem,
11707
11708 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_icd_cust.quad_3.w3_to_w0_bank.left_sb_array.mem,
11709 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_icd_cust.quad_3.w3_to_w0_bank.right_sb_array.mem,
11710
11711 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_icd_cust.quad_3.w7_to_w4_bank.left_sb_array.mem,
11712 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_icd_cust.quad_3.w7_to_w4_bank.right_sb_array.mem,
11713 //icache tag
11714 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_ict_cust.tag_way_0.mem,//reg [`WIDTH-1:0] mem[`ENTRIES-1:0];WIDTH 30 ENTRIES 64
11715 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_ict_cust.tag_way_1.mem,
11716 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_ict_cust.tag_way_2.mem,
11717 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_ict_cust.tag_way_3.mem,
11718 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_ict_cust.tag_way_4.mem,
11719 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_ict_cust.tag_way_5.mem,
11720 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_ict_cust.tag_way_6.mem,
11721 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_ict_cust.tag_way_7.mem,
11722
11723 `SPARC_CORE.cpu.spc0.ifu_ftu.ftu_icv_cust.array.mem,//reg [31:0] mem [31:0];
11724 `endif // `ifdef CORE_0
11725 //sparc
11726 `ifdef CORE_1
11727 //data
11728 `SPARC_CORE.cpu.spc1.dca.array.way01.left.mem,
11729 `SPARC_CORE.cpu.spc1.dca.array.way01.right.mem,
11730 `SPARC_CORE.cpu.spc1.dca.array.way23.left.mem,
11731 `SPARC_CORE.cpu.spc1.dca.array.way23.right.mem,
11732 //dcache tag
11733 `SPARC_CORE.cpu.spc1.lsu.dta.way0.mem,//reg [`WIDTH-1:0] mem[`ENTRIES-1:0]; WIDTH 30 ENTRIES 128
11734 `SPARC_CORE.cpu.spc1.lsu.dta.way1.mem,
11735 `SPARC_CORE.cpu.spc1.lsu.dta.way2.mem,
11736 `SPARC_CORE.cpu.spc1.lsu.dta.way3.mem,
11737 //valid
11738 `SPARC_CORE.cpu.spc1.lsu.dva.array.mem,//reg [31:0] mem [31:0];
11739
11740 //icache data
11741 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_icd_cust.quad_0.w3_to_w0_bank.left_sb_array.mem,//reg [143:0] mem[63:0] ;
11742 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_icd_cust.quad_0.w3_to_w0_bank.right_sb_array.mem,//reg [135:0] mem[63:0] ;
11743
11744 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_icd_cust.quad_0.w7_to_w4_bank.left_sb_array.mem,
11745 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_icd_cust.quad_0.w7_to_w4_bank.right_sb_array.mem,
11746
11747 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_icd_cust.quad_1.w3_to_w0_bank.left_sb_array.mem,
11748 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_icd_cust.quad_1.w3_to_w0_bank.right_sb_array.mem,
11749
11750 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_icd_cust.quad_1.w7_to_w4_bank.left_sb_array.mem,
11751 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_icd_cust.quad_1.w7_to_w4_bank.right_sb_array.mem,
11752
11753 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_icd_cust.quad_2.w3_to_w0_bank.left_sb_array.mem,
11754 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_icd_cust.quad_2.w3_to_w0_bank.right_sb_array.mem,
11755
11756 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_icd_cust.quad_2.w7_to_w4_bank.left_sb_array.mem,
11757 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_icd_cust.quad_2.w7_to_w4_bank.right_sb_array.mem,
11758
11759 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_icd_cust.quad_3.w3_to_w0_bank.left_sb_array.mem,
11760 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_icd_cust.quad_3.w3_to_w0_bank.right_sb_array.mem,
11761
11762 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_icd_cust.quad_3.w7_to_w4_bank.left_sb_array.mem,
11763 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_icd_cust.quad_3.w7_to_w4_bank.right_sb_array.mem,
11764 //icache tag
11765 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_ict_cust.tag_way_0.mem,//reg [`WIDTH-1:0] mem[`ENTRIES-1:0];WIDTH 30 ENTRIES 64
11766 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_ict_cust.tag_way_1.mem,
11767 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_ict_cust.tag_way_2.mem,
11768 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_ict_cust.tag_way_3.mem,
11769 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_ict_cust.tag_way_4.mem,
11770 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_ict_cust.tag_way_5.mem,
11771 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_ict_cust.tag_way_6.mem,
11772 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_ict_cust.tag_way_7.mem,
11773
11774 `SPARC_CORE.cpu.spc1.ifu_ftu.ftu_icv_cust.array.mem,//reg [31:0] mem [31:0];
11775 `endif // `ifdef CORE_0
11776 //sparc
11777 `ifdef CORE_2
11778 //data
11779 `SPARC_CORE.cpu.spc2.dca.array.way01.left.mem,
11780 `SPARC_CORE.cpu.spc2.dca.array.way01.right.mem,
11781 `SPARC_CORE.cpu.spc2.dca.array.way23.left.mem,
11782 `SPARC_CORE.cpu.spc2.dca.array.way23.right.mem,
11783 //dcache tag
11784 `SPARC_CORE.cpu.spc2.lsu.dta.way0.mem,//reg [`WIDTH-1:0] mem[`ENTRIES-1:0]; WIDTH 30 ENTRIES 128
11785 `SPARC_CORE.cpu.spc2.lsu.dta.way1.mem,
11786 `SPARC_CORE.cpu.spc2.lsu.dta.way2.mem,
11787 `SPARC_CORE.cpu.spc2.lsu.dta.way3.mem,
11788 //valid
11789 `SPARC_CORE.cpu.spc2.lsu.dva.array.mem,//reg [31:0] mem [31:0];
11790
11791 //icache data
11792 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_icd_cust.quad_0.w3_to_w0_bank.left_sb_array.mem,//reg [143:0] mem[63:0] ;
11793 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_icd_cust.quad_0.w3_to_w0_bank.right_sb_array.mem,//reg [135:0] mem[63:0] ;
11794
11795 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_icd_cust.quad_0.w7_to_w4_bank.left_sb_array.mem,
11796 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_icd_cust.quad_0.w7_to_w4_bank.right_sb_array.mem,
11797
11798 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_icd_cust.quad_1.w3_to_w0_bank.left_sb_array.mem,
11799 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_icd_cust.quad_1.w3_to_w0_bank.right_sb_array.mem,
11800
11801 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_icd_cust.quad_1.w7_to_w4_bank.left_sb_array.mem,
11802 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_icd_cust.quad_1.w7_to_w4_bank.right_sb_array.mem,
11803
11804 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_icd_cust.quad_2.w3_to_w0_bank.left_sb_array.mem,
11805 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_icd_cust.quad_2.w3_to_w0_bank.right_sb_array.mem,
11806
11807 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_icd_cust.quad_2.w7_to_w4_bank.left_sb_array.mem,
11808 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_icd_cust.quad_2.w7_to_w4_bank.right_sb_array.mem,
11809
11810 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_icd_cust.quad_3.w3_to_w0_bank.left_sb_array.mem,
11811 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_icd_cust.quad_3.w3_to_w0_bank.right_sb_array.mem,
11812
11813 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_icd_cust.quad_3.w7_to_w4_bank.left_sb_array.mem,
11814 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_icd_cust.quad_3.w7_to_w4_bank.right_sb_array.mem,
11815 //icache tag
11816 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_ict_cust.tag_way_0.mem,//reg [`WIDTH-1:0] mem[`ENTRIES-1:0];WIDTH 30 ENTRIES 64
11817 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_ict_cust.tag_way_1.mem,
11818 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_ict_cust.tag_way_2.mem,
11819 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_ict_cust.tag_way_3.mem,
11820 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_ict_cust.tag_way_4.mem,
11821 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_ict_cust.tag_way_5.mem,
11822 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_ict_cust.tag_way_6.mem,
11823 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_ict_cust.tag_way_7.mem,
11824
11825 `SPARC_CORE.cpu.spc2.ifu_ftu.ftu_icv_cust.array.mem,//reg [31:0] mem [31:0];
11826 `endif // `ifdef CORE_0
11827 //sparc
11828 `ifdef CORE_3
11829 //data
11830 `SPARC_CORE.cpu.spc3.dca.array.way01.left.mem,
11831 `SPARC_CORE.cpu.spc3.dca.array.way01.right.mem,
11832 `SPARC_CORE.cpu.spc3.dca.array.way23.left.mem,
11833 `SPARC_CORE.cpu.spc3.dca.array.way23.right.mem,
11834 //dcache tag
11835 `SPARC_CORE.cpu.spc3.lsu.dta.way0.mem,//reg [`WIDTH-1:0] mem[`ENTRIES-1:0]; WIDTH 30 ENTRIES 128
11836 `SPARC_CORE.cpu.spc3.lsu.dta.way1.mem,
11837 `SPARC_CORE.cpu.spc3.lsu.dta.way2.mem,
11838 `SPARC_CORE.cpu.spc3.lsu.dta.way3.mem,
11839 //valid
11840 `SPARC_CORE.cpu.spc3.lsu.dva.array.mem,//reg [31:0] mem [31:0];
11841
11842 //icache data
11843 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_icd_cust.quad_0.w3_to_w0_bank.left_sb_array.mem,//reg [143:0] mem[63:0] ;
11844 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_icd_cust.quad_0.w3_to_w0_bank.right_sb_array.mem,//reg [135:0] mem[63:0] ;
11845
11846 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_icd_cust.quad_0.w7_to_w4_bank.left_sb_array.mem,
11847 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_icd_cust.quad_0.w7_to_w4_bank.right_sb_array.mem,
11848
11849 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_icd_cust.quad_1.w3_to_w0_bank.left_sb_array.mem,
11850 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_icd_cust.quad_1.w3_to_w0_bank.right_sb_array.mem,
11851
11852 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_icd_cust.quad_1.w7_to_w4_bank.left_sb_array.mem,
11853 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_icd_cust.quad_1.w7_to_w4_bank.right_sb_array.mem,
11854
11855 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_icd_cust.quad_2.w3_to_w0_bank.left_sb_array.mem,
11856 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_icd_cust.quad_2.w3_to_w0_bank.right_sb_array.mem,
11857
11858 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_icd_cust.quad_2.w7_to_w4_bank.left_sb_array.mem,
11859 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_icd_cust.quad_2.w7_to_w4_bank.right_sb_array.mem,
11860
11861 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_icd_cust.quad_3.w3_to_w0_bank.left_sb_array.mem,
11862 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_icd_cust.quad_3.w3_to_w0_bank.right_sb_array.mem,
11863
11864 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_icd_cust.quad_3.w7_to_w4_bank.left_sb_array.mem,
11865 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_icd_cust.quad_3.w7_to_w4_bank.right_sb_array.mem,
11866 //icache tag
11867 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_ict_cust.tag_way_0.mem,//reg [`WIDTH-1:0] mem[`ENTRIES-1:0];WIDTH 30 ENTRIES 64
11868 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_ict_cust.tag_way_1.mem,
11869 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_ict_cust.tag_way_2.mem,
11870 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_ict_cust.tag_way_3.mem,
11871 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_ict_cust.tag_way_4.mem,
11872 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_ict_cust.tag_way_5.mem,
11873 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_ict_cust.tag_way_6.mem,
11874 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_ict_cust.tag_way_7.mem,
11875
11876 `SPARC_CORE.cpu.spc3.ifu_ftu.ftu_icv_cust.array.mem,//reg [31:0] mem [31:0];
11877 `endif // `ifdef CORE_0
11878 //sparc
11879 `ifdef CORE_4
11880 //data
11881 `SPARC_CORE.cpu.spc4.dca.array.way01.left.mem,
11882 `SPARC_CORE.cpu.spc4.dca.array.way01.right.mem,
11883 `SPARC_CORE.cpu.spc4.dca.array.way23.left.mem,
11884 `SPARC_CORE.cpu.spc4.dca.array.way23.right.mem,
11885 //dcache tag
11886 `SPARC_CORE.cpu.spc4.lsu.dta.way0.mem,//reg [`WIDTH-1:0] mem[`ENTRIES-1:0]; WIDTH 30 ENTRIES 128
11887 `SPARC_CORE.cpu.spc4.lsu.dta.way1.mem,
11888 `SPARC_CORE.cpu.spc4.lsu.dta.way2.mem,
11889 `SPARC_CORE.cpu.spc4.lsu.dta.way3.mem,
11890 //valid
11891 `SPARC_CORE.cpu.spc4.lsu.dva.array.mem,//reg [31:0] mem [31:0];
11892
11893 //icache data
11894 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_icd_cust.quad_0.w3_to_w0_bank.left_sb_array.mem,//reg [143:0] mem[63:0] ;
11895 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_icd_cust.quad_0.w3_to_w0_bank.right_sb_array.mem,//reg [135:0] mem[63:0] ;
11896
11897 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_icd_cust.quad_0.w7_to_w4_bank.left_sb_array.mem,
11898 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_icd_cust.quad_0.w7_to_w4_bank.right_sb_array.mem,
11899
11900 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_icd_cust.quad_1.w3_to_w0_bank.left_sb_array.mem,
11901 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_icd_cust.quad_1.w3_to_w0_bank.right_sb_array.mem,
11902
11903 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_icd_cust.quad_1.w7_to_w4_bank.left_sb_array.mem,
11904 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_icd_cust.quad_1.w7_to_w4_bank.right_sb_array.mem,
11905
11906 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_icd_cust.quad_2.w3_to_w0_bank.left_sb_array.mem,
11907 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_icd_cust.quad_2.w3_to_w0_bank.right_sb_array.mem,
11908
11909 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_icd_cust.quad_2.w7_to_w4_bank.left_sb_array.mem,
11910 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_icd_cust.quad_2.w7_to_w4_bank.right_sb_array.mem,
11911
11912 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_icd_cust.quad_3.w3_to_w0_bank.left_sb_array.mem,
11913 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_icd_cust.quad_3.w3_to_w0_bank.right_sb_array.mem,
11914
11915 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_icd_cust.quad_3.w7_to_w4_bank.left_sb_array.mem,
11916 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_icd_cust.quad_3.w7_to_w4_bank.right_sb_array.mem,
11917 //icache tag
11918 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_ict_cust.tag_way_0.mem,//reg [`WIDTH-1:0] mem[`ENTRIES-1:0];WIDTH 30 ENTRIES 64
11919 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_ict_cust.tag_way_1.mem,
11920 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_ict_cust.tag_way_2.mem,
11921 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_ict_cust.tag_way_3.mem,
11922 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_ict_cust.tag_way_4.mem,
11923 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_ict_cust.tag_way_5.mem,
11924 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_ict_cust.tag_way_6.mem,
11925 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_ict_cust.tag_way_7.mem,
11926
11927 `SPARC_CORE.cpu.spc4.ifu_ftu.ftu_icv_cust.array.mem,//reg [31:0] mem [31:0];
11928 `endif // `ifdef CORE_0
11929 //sparc
11930 `ifdef CORE_5
11931 //data
11932 `SPARC_CORE.cpu.spc5.dca.array.way01.left.mem,
11933 `SPARC_CORE.cpu.spc5.dca.array.way01.right.mem,
11934 `SPARC_CORE.cpu.spc5.dca.array.way23.left.mem,
11935 `SPARC_CORE.cpu.spc5.dca.array.way23.right.mem,
11936 //dcache tag
11937 `SPARC_CORE.cpu.spc5.lsu.dta.way0.mem,//reg [`WIDTH-1:0] mem[`ENTRIES-1:0]; WIDTH 30 ENTRIES 128
11938 `SPARC_CORE.cpu.spc5.lsu.dta.way1.mem,
11939 `SPARC_CORE.cpu.spc5.lsu.dta.way2.mem,
11940 `SPARC_CORE.cpu.spc5.lsu.dta.way3.mem,
11941 //valid
11942 `SPARC_CORE.cpu.spc5.lsu.dva.array.mem,//reg [31:0] mem [31:0];
11943
11944 //icache data
11945 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_icd_cust.quad_0.w3_to_w0_bank.left_sb_array.mem,//reg [143:0] mem[63:0] ;
11946 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_icd_cust.quad_0.w3_to_w0_bank.right_sb_array.mem,//reg [135:0] mem[63:0] ;
11947
11948 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_icd_cust.quad_0.w7_to_w4_bank.left_sb_array.mem,
11949 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_icd_cust.quad_0.w7_to_w4_bank.right_sb_array.mem,
11950
11951 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_icd_cust.quad_1.w3_to_w0_bank.left_sb_array.mem,
11952 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_icd_cust.quad_1.w3_to_w0_bank.right_sb_array.mem,
11953
11954 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_icd_cust.quad_1.w7_to_w4_bank.left_sb_array.mem,
11955 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_icd_cust.quad_1.w7_to_w4_bank.right_sb_array.mem,
11956
11957 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_icd_cust.quad_2.w3_to_w0_bank.left_sb_array.mem,
11958 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_icd_cust.quad_2.w3_to_w0_bank.right_sb_array.mem,
11959
11960 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_icd_cust.quad_2.w7_to_w4_bank.left_sb_array.mem,
11961 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_icd_cust.quad_2.w7_to_w4_bank.right_sb_array.mem,
11962
11963 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_icd_cust.quad_3.w3_to_w0_bank.left_sb_array.mem,
11964 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_icd_cust.quad_3.w3_to_w0_bank.right_sb_array.mem,
11965
11966 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_icd_cust.quad_3.w7_to_w4_bank.left_sb_array.mem,
11967 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_icd_cust.quad_3.w7_to_w4_bank.right_sb_array.mem,
11968 //icache tag
11969 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_ict_cust.tag_way_0.mem,//reg [`WIDTH-1:0] mem[`ENTRIES-1:0];WIDTH 30 ENTRIES 64
11970 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_ict_cust.tag_way_1.mem,
11971 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_ict_cust.tag_way_2.mem,
11972 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_ict_cust.tag_way_3.mem,
11973 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_ict_cust.tag_way_4.mem,
11974 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_ict_cust.tag_way_5.mem,
11975 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_ict_cust.tag_way_6.mem,
11976 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_ict_cust.tag_way_7.mem,
11977
11978 `SPARC_CORE.cpu.spc5.ifu_ftu.ftu_icv_cust.array.mem,//reg [31:0] mem [31:0];
11979 `endif // `ifdef CORE_0
11980 //sparc
11981 `ifdef CORE_6
11982 //data
11983 `SPARC_CORE.cpu.spc6.dca.array.way01.left.mem,
11984 `SPARC_CORE.cpu.spc6.dca.array.way01.right.mem,
11985 `SPARC_CORE.cpu.spc6.dca.array.way23.left.mem,
11986 `SPARC_CORE.cpu.spc6.dca.array.way23.right.mem,
11987 //dcache tag
11988 `SPARC_CORE.cpu.spc6.lsu.dta.way0.mem,//reg [`WIDTH-1:0] mem[`ENTRIES-1:0]; WIDTH 30 ENTRIES 128
11989 `SPARC_CORE.cpu.spc6.lsu.dta.way1.mem,
11990 `SPARC_CORE.cpu.spc6.lsu.dta.way2.mem,
11991 `SPARC_CORE.cpu.spc6.lsu.dta.way3.mem,
11992 //valid
11993 `SPARC_CORE.cpu.spc6.lsu.dva.array.mem,//reg [31:0] mem [31:0];
11994
11995 //icache data
11996 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_icd_cust.quad_0.w3_to_w0_bank.left_sb_array.mem,//reg [143:0] mem[63:0] ;
11997 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_icd_cust.quad_0.w3_to_w0_bank.right_sb_array.mem,//reg [135:0] mem[63:0] ;
11998
11999 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_icd_cust.quad_0.w7_to_w4_bank.left_sb_array.mem,
12000 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_icd_cust.quad_0.w7_to_w4_bank.right_sb_array.mem,
12001
12002 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_icd_cust.quad_1.w3_to_w0_bank.left_sb_array.mem,
12003 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_icd_cust.quad_1.w3_to_w0_bank.right_sb_array.mem,
12004
12005 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_icd_cust.quad_1.w7_to_w4_bank.left_sb_array.mem,
12006 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_icd_cust.quad_1.w7_to_w4_bank.right_sb_array.mem,
12007
12008 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_icd_cust.quad_2.w3_to_w0_bank.left_sb_array.mem,
12009 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_icd_cust.quad_2.w3_to_w0_bank.right_sb_array.mem,
12010
12011 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_icd_cust.quad_2.w7_to_w4_bank.left_sb_array.mem,
12012 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_icd_cust.quad_2.w7_to_w4_bank.right_sb_array.mem,
12013
12014 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_icd_cust.quad_3.w3_to_w0_bank.left_sb_array.mem,
12015 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_icd_cust.quad_3.w3_to_w0_bank.right_sb_array.mem,
12016
12017 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_icd_cust.quad_3.w7_to_w4_bank.left_sb_array.mem,
12018 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_icd_cust.quad_3.w7_to_w4_bank.right_sb_array.mem,
12019 //icache tag
12020 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_ict_cust.tag_way_0.mem,//reg [`WIDTH-1:0] mem[`ENTRIES-1:0];WIDTH 30 ENTRIES 64
12021 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_ict_cust.tag_way_1.mem,
12022 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_ict_cust.tag_way_2.mem,
12023 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_ict_cust.tag_way_3.mem,
12024 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_ict_cust.tag_way_4.mem,
12025 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_ict_cust.tag_way_5.mem,
12026 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_ict_cust.tag_way_6.mem,
12027 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_ict_cust.tag_way_7.mem,
12028
12029 `SPARC_CORE.cpu.spc6.ifu_ftu.ftu_icv_cust.array.mem,//reg [31:0] mem [31:0];
12030 `endif // `ifdef CORE_0
12031 //sparc
12032 `ifdef CORE_7
12033 //data
12034 `SPARC_CORE.cpu.spc7.dca.array.way01.left.mem,
12035 `SPARC_CORE.cpu.spc7.dca.array.way01.right.mem,
12036 `SPARC_CORE.cpu.spc7.dca.array.way23.left.mem,
12037 `SPARC_CORE.cpu.spc7.dca.array.way23.right.mem,
12038 //dcache tag
12039 `SPARC_CORE.cpu.spc7.lsu.dta.way0.mem,//reg [`WIDTH-1:0] mem[`ENTRIES-1:0]; WIDTH 30 ENTRIES 128
12040 `SPARC_CORE.cpu.spc7.lsu.dta.way1.mem,
12041 `SPARC_CORE.cpu.spc7.lsu.dta.way2.mem,
12042 `SPARC_CORE.cpu.spc7.lsu.dta.way3.mem,
12043 //valid
12044 `SPARC_CORE.cpu.spc7.lsu.dva.array.mem,//reg [31:0] mem [31:0];
12045
12046 //icache data
12047 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_icd_cust.quad_0.w3_to_w0_bank.left_sb_array.mem,//reg [143:0] mem[63:0] ;
12048 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_icd_cust.quad_0.w3_to_w0_bank.right_sb_array.mem,//reg [135:0] mem[63:0] ;
12049
12050 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_icd_cust.quad_0.w7_to_w4_bank.left_sb_array.mem,
12051 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_icd_cust.quad_0.w7_to_w4_bank.right_sb_array.mem,
12052
12053 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_icd_cust.quad_1.w3_to_w0_bank.left_sb_array.mem,
12054 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_icd_cust.quad_1.w3_to_w0_bank.right_sb_array.mem,
12055
12056 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_icd_cust.quad_1.w7_to_w4_bank.left_sb_array.mem,
12057 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_icd_cust.quad_1.w7_to_w4_bank.right_sb_array.mem,
12058
12059 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_icd_cust.quad_2.w3_to_w0_bank.left_sb_array.mem,
12060 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_icd_cust.quad_2.w3_to_w0_bank.right_sb_array.mem,
12061
12062 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_icd_cust.quad_2.w7_to_w4_bank.left_sb_array.mem,
12063 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_icd_cust.quad_2.w7_to_w4_bank.right_sb_array.mem,
12064
12065 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_icd_cust.quad_3.w3_to_w0_bank.left_sb_array.mem,
12066 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_icd_cust.quad_3.w3_to_w0_bank.right_sb_array.mem,
12067
12068 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_icd_cust.quad_3.w7_to_w4_bank.left_sb_array.mem,
12069 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_icd_cust.quad_3.w7_to_w4_bank.right_sb_array.mem,
12070 //icache tag
12071 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_ict_cust.tag_way_0.mem,//reg [`WIDTH-1:0] mem[`ENTRIES-1:0];WIDTH 30 ENTRIES 64
12072 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_ict_cust.tag_way_1.mem,
12073 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_ict_cust.tag_way_2.mem,
12074 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_ict_cust.tag_way_3.mem,
12075 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_ict_cust.tag_way_4.mem,
12076 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_ict_cust.tag_way_5.mem,
12077 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_ict_cust.tag_way_6.mem,
12078 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_ict_cust.tag_way_7.mem,
12079
12080 `SPARC_CORE.cpu.spc7.ifu_ftu.ftu_icv_cust.array.mem,//reg [31:0] mem [31:0];
12081 `endif // `ifdef CORE_0*/
12082 );
12083 end
12084 end
12085endmodule
12086
12087
12088