Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / verif / env / mcu / cmp_mem.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: cmp_mem.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35`ifdef MCUSAT
36 `include "mcu_dispmonDefines.vh"
37`else
38 `include "defines.vh"
39 `include "dispmonDefines.vh"
40`endif
41
42module cmp_mem ();
43 integer handle;
44 reg [29:0] data;
45 reg [1023:0] file;
46 integer suc;
47 integer shift;
48
49`ifdef MCUSAT
50`ifdef AXIS_DDR2_MODEL
51 task read_dram;
52 input reg [63:0] addr;
53 output reg [63:0] data;
54 input reg [31:0] shift;
55 begin
56 data = 0;
57 end
58endtask
59`else
60 // for vera to call
61 task read_dram;
62 input reg [63:0] addr;
63 output reg [63:0] data;
64 input reg [31:0] shift;
65 reg [63:0] rd_data_tmp;
66 reg [31:0] data_high;
67 reg [31:0] data_low;
68 begin
69 rd_data_tmp = $read_dram(addr, data_low, data_high, shift);
70 data = {data_high, data_low};
71 //$display("addr=%h, data_high=%h, data_low=%h, data=%h, shift=%d",addr,data_high,data_low, data, shift);
72 end
73 endtask
74`endif
75`endif
76
77
78 task fail;
79 input [1023:0] comment;
80 begin
81 `PR_DEBUG("cmp_mem", `DEBUG, "Simulation -> FAIL(%0s)", comment);
82 `TOP_MOD.fail_flag = 1'b1;
83 $finish;
84 end
85 endtask // fail
86
87 task check_counter;
88 begin
89`ifdef RTL_SCTAG
90 if(`SCPATH0.mbctl.mb_count_c4 != 0)begin
91 `PR_ERROR("cmp_mem", `ERROR, "BANK(0) mb_count_c4 = %d", `SCPATH0.mbctl.mb_count_c4);
92 fail("MB counter Not zero");
93 end
94 if(`SCPATH1.mbctl.mb_count_c4 != 0)begin
95 `PR_ERROR("cmp_mem", `ERROR, "BANK(1) mb_count_c4 = %d", `SCPATH1.mbctl.mb_count_c4);
96 fail("MB counter Not zero");
97 end
98 if(`SCPATH2.mbctl.mb_count_c4 != 0)begin
99 `PR_ERROR("cmp_mem", `ERROR, "BANK(2) mb_count_c4 = %d", `SCPATH2.mbctl.mb_count_c4);
100 fail("MB counter Not zero");
101 end // if (`SCPATH2.mbctl.mb_count_c4 != 0)
102 if(`SCPATH3.mbctl.mb_count_c4 != 0)begin
103 `PR_ERROR("cmp_mem", `ERROR, "BANK(3) mb_count_c4 = %d", `SCPATH3.mbctl.mb_count_c4);
104 fail("MB counter Not zero");
105 end
106 if(`SCPATH0.wbctl.wb_count != 0)begin
107 `PR_ERROR("cmp_mem", `ERROR, "BANK(0) wb_count = %d", `SCPATH0.wbctl.wb_count);
108 fail("WB counter Not zero");
109 end
110 if(`SCPATH1.wbctl.wb_count != 0)begin
111 `PR_ERROR("cmp_mem", `ERROR, "BANK(1) wb_count = %d", `SCPATH1.wbctl.wb_count);
112 fail("WB counter Not zero");
113 end
114 if(`SCPATH2.wbctl.wb_count != 0)begin
115 `PR_ERROR("cmp_mem", `ERROR, "BANK(2) wb_count = %d", `SCPATH2.wbctl.wb_count);
116 fail("WB counter Not zero");
117 end // if (`SCPATH2.mbctl.mb_count_c4 != 0)
118 if(`SCPATH3.wbctl.wb_count != 0)begin
119 `PR_ERROR("cmp_mem", `ERROR, "BANK(3) wb_count = %d", `SCPATH3.wbctl.wb_count);
120 fail("WB counter Not zero");
121 end
122 if(`SCPATH0.fbctl.fb_count != 0)begin
123 `PR_ERROR("cmp_mem", `ERROR, "BANK(0) fb_count = %d", `SCPATH0.fbctl.fb_count);
124 fail("FB counter Not zero");
125 end
126 if(`SCPATH1.fbctl.fb_count != 0)begin
127 `PR_ERROR("cmp_mem", `ERROR, "BANK(1) fb_count = %d", `SCPATH1.fbctl.fb_count);
128 fail("FB counter Not zero");
129 end
130 if(`SCPATH2.fbctl.fb_count != 0)begin
131 `PR_ERROR("cmp_mem", `ERROR, "BANK(2) fb_count = %d", `SCPATH2.fbctl.fb_count);
132 fail("FB counter Not zero");
133 end // if (`SCPATH2.mbctl.mb_count_c4 != 0)
134 if(`SCPATH3.fbctl.fb_count != 0)begin
135 `PR_ERROR("cmp_mem", `ERROR, "BANK(3) fb_count = %d", `SCPATH3.fbctl.fb_count);
136 fail("FB counter Not zero");
137 end
138`endif // ifdef SCTAG
139 end
140 endtask // check_counter
141
142 ////////////////////////////////////////
143 // Create & Initialize the DIMM Modules
144 reg [31:0] dimm_config;
145 reg [31:0] ck_mcu0;
146 reg [31:0] ck_mcu1;
147 reg [31:0] ck_mcu2;
148 reg [31:0] ck_mcu3;
149 reg [9:0] mem_dat_load_config;
150 reg [31:0] pbank;
151 integer i;
152
153reg x8;
154initial begin
155`ifdef X8
156 x8=1'b1;
157`else
158 x8=1'b0;
159`endif
160end
161
162 initial begin
163 dimm_config = 32'h0;
164
165`ifdef RTL_SPARC0
166 file = "mem.image";
167`else
168
169 if ($test$plusargs("1_FBDIMM")) mem_dat_load_config[3:0] = 4'b0001;
170 else if ($test$plusargs("2_FBDIMMS")) mem_dat_load_config[3:0] = 4'b0010;
171 else if ($test$plusargs("4_FBDIMMS")) mem_dat_load_config[3:0] = 4'b0100;
172 else if ($test$plusargs("8_FBDIMMS")) mem_dat_load_config[3:0] = 4'b1000;
173 else mem_dat_load_config[3:0] = 4'b0001;
174
175 if ($test$plusargs("DIMM_SIZE_256")) mem_dat_load_config[7:4] = 4'b0001;
176 else if ($test$plusargs("DIMM_SIZE_512")) mem_dat_load_config[7:4] = 4'b0010;
177 else if ($test$plusargs("DIMM_SIZE_1G")) mem_dat_load_config[7:4] = 4'b0100;
178 else if ($test$plusargs("DIMM_SIZE_2G")) mem_dat_load_config[7:4] = x8 ? 4'b0100 : 4'b1000;
179 else mem_dat_load_config[7:4] = x8 ? 4'b0100 : 4'b1000; // Default
180
181 if ($test$plusargs("STACK_DIMM")) mem_dat_load_config[8] = 1;
182 else mem_dat_load_config[8] = 0;
183
184 if ($test$plusargs("DUAL_CHANNEL")) mem_dat_load_config[9] = 4'b1;
185 else if ($test$plusargs("SNG_CHANNEL")) mem_dat_load_config[9] = 4'b0;
186 else mem_dat_load_config[9] = 4'b1; // Default
187
188 `PR_ALWAYS("cmp_mem", `ALWAYS, "Mem_dat_load_config = %0x", mem_dat_load_config);
189
190 case(mem_dat_load_config)
191 10'b0_0_0001_0001 : file = "sch_1dm_rk1_256Mb_mem.data";
192 10'b0_0_0001_0010 : file = "sch_2dm_rk1_256Mb_mem.data";
193 10'b0_0_0001_0100 : file = "sch_4dm_rk1_256Mb_mem.data";
194 10'b0_0_0001_1000 : file = "sch_8dm_rk1_256Mb_mem.data";
195 10'b0_0_0010_0001 : file = "sch_1dm_rk1_512Mb_mem.data";
196 10'b0_0_0010_0010 : file = "sch_2dm_rk1_512Mb_mem.data";
197 10'b0_0_0010_0100 : file = "sch_4dm_rk1_512Mb_mem.data";
198 10'b0_0_0010_1000 : file = "sch_8dm_rk1_512Mb_mem.data";
199 10'b0_0_0100_0001 : file = "sch_1dm_rk1_1Gb_mem.data";
200 10'b0_0_0100_0010 : file = "sch_2dm_rk1_1Gb_mem.data";
201 10'b0_0_0100_0100 : file = "sch_4dm_rk1_1Gb_mem.data";
202 10'b0_0_0100_1000 : file = "sch_8dm_rk1_1Gb_mem.data";
203 10'b0_0_1000_0001 : file = "sch_1dm_rk1_2Gb_mem.data";
204 10'b0_0_1000_0010 : file = "sch_2dm_rk1_2Gb_mem.data";
205 10'b0_0_1000_0100 : file = "sch_4dm_rk1_2Gb_mem.data";
206 10'b0_0_1000_1000 : file = "sch_8dm_rk1_2Gb_mem.data";
207 10'b0_1_0001_0001 : file = "sch_1dm_rk2_256Mb_mem.data";
208 10'b0_1_0001_0010 : file = "sch_2dm_rk2_256Mb_mem.data";
209 10'b0_1_0001_0100 : file = "sch_4dm_rk2_256Mb_mem.data";
210 10'b0_1_0001_1000 : file = "sch_8dm_rk2_256Mb_mem.data";
211 10'b0_1_0010_0001 : file = "sch_1dm_rk2_512Mb_mem.data";
212 10'b0_1_0010_0010 : file = "sch_2dm_rk2_512Mb_mem.data";
213 10'b0_1_0010_0100 : file = "sch_4dm_rk2_512Mb_mem.data";
214 10'b0_1_0010_1000 : file = "sch_8dm_rk2_512Mb_mem.data";
215 10'b0_1_0100_0001 : file = "sch_1dm_rk2_1Gb_mem.data";
216 10'b0_1_0100_0010 : file = "sch_2dm_rk2_1Gb_mem.data";
217 10'b0_1_0100_0100 : file = "sch_4dm_rk2_1Gb_mem.data";
218 10'b0_1_0100_1000 : file = "sch_8dm_rk2_1Gb_mem.data";
219 10'b0_1_1000_0001 : file = "sch_1dm_rk2_2Gb_mem.data";
220 10'b0_1_1000_0010 : file = "sch_2dm_rk2_2Gb_mem.data";
221 10'b0_1_1000_0100 : file = "sch_4dm_rk2_2Gb_mem.data";
222 10'b0_1_1000_1000 : file = "sch_8dm_rk2_2Gb_mem.data";
223 10'b1_0_0001_0001 : file = "dch_1dm_rk1_256Mb_mem.data";
224 10'b1_0_0001_0010 : file = "dch_2dm_rk1_256Mb_mem.data";
225 10'b1_0_0001_0100 : file = "dch_4dm_rk1_256Mb_mem.data";
226 10'b1_0_0001_1000 : file = "dch_8dm_rk1_256Mb_mem.data";
227 10'b1_0_0010_0001 : file = "dch_1dm_rk1_512Mb_mem.data";
228 10'b1_0_0010_0010 : file = "dch_2dm_rk1_512Mb_mem.data";
229 10'b1_0_0010_0100 : file = "dch_4dm_rk1_512Mb_mem.data";
230 10'b1_0_0010_1000 : file = "dch_8dm_rk1_512Mb_mem.data";
231 10'b1_0_0100_0001 : file = "dch_1dm_rk1_1Gb_mem.data";
232 10'b1_0_0100_0010 : file = "dch_2dm_rk1_1Gb_mem.data";
233 10'b1_0_0100_0100 : file = "dch_4dm_rk1_1Gb_mem.data";
234 10'b1_0_0100_1000 : file = "dch_8dm_rk1_1Gb_mem.data";
235 10'b1_0_1000_0001 : file = "dch_1dm_rk1_2Gb_mem.data";
236 10'b1_0_1000_0010 : file = "dch_2dm_rk1_2Gb_mem.data";
237 10'b1_0_1000_0100 : file = "dch_4dm_rk1_2Gb_mem.data";
238 10'b1_0_1000_1000 : file = "dch_8dm_rk1_2Gb_mem.data";
239 10'b1_1_0001_0001 : file = "dch_1dm_rk2_256Mb_mem.data";
240 10'b1_1_0001_0010 : file = "dch_2dm_rk2_256Mb_mem.data";
241 10'b1_1_0001_0100 : file = "dch_4dm_rk2_256Mb_mem.data";
242 10'b1_1_0001_1000 : file = "dch_8dm_rk2_256Mb_mem.data";
243 10'b1_1_0010_0001 : file = "dch_1dm_rk2_512Mb_mem.data";
244 10'b1_1_0010_0010 : file = "dch_2dm_rk2_512Mb_mem.data";
245 10'b1_1_0010_0100 : file = "dch_4dm_rk2_512Mb_mem.data";
246 10'b1_1_0010_1000 : file = "dch_8dm_rk2_512Mb_mem.data";
247 10'b1_1_0100_0001 : file = "dch_1dm_rk2_1Gb_mem.data";
248 10'b1_1_0100_0010 : file = "dch_2dm_rk2_1Gb_mem.data";
249 10'b1_1_0100_0100 : file = "dch_4dm_rk2_1Gb_mem.data";
250 10'b1_1_0100_1000 : file = "dch_8dm_rk2_1Gb_mem.data";
251 10'b1_1_1000_0001 : file = "dch_1dm_rk2_2Gb_mem.data";
252 10'b1_1_1000_0010 : file = "dch_2dm_rk2_2Gb_mem.data";
253 10'b1_1_1000_0100 : file = "dch_4dm_rk2_2Gb_mem.data";
254 10'b1_1_1000_1000 : file = "dch_8dm_rk2_2Gb_mem.data";
255 endcase
256
257 `endif //RTL_SPARC0
258
259//`ifdef DRAM_SAT
260
261 if ($test$plusargs("DIMM_SIZE_2G")) dimm_config = x8 ? dimm_config | 32'h02 : dimm_config | 32'h03;
262 else if ($test$plusargs("DIMM_SIZE_1G")) dimm_config = dimm_config | 32'h02;
263 else if ($test$plusargs("DIMM_SIZE_512")) dimm_config = dimm_config | 32'h01;
264 else if ($test$plusargs("DIMM_SIZE_256")) dimm_config = dimm_config | 32'h00;
265 else dimm_config = dimm_config | 32'h03; // default 2G
266
267
268 if ($test$plusargs("STACK_DIMM")) dimm_config = dimm_config | 32'h1000;
269 else if ($test$plusargs("RANK_DIMM")) dimm_config = dimm_config | 32'h2000;
270 else dimm_config = dimm_config | 32'h2000; // default RANK_DIMM
271
272 if ($test$plusargs("2_FBDIMMS")) dimm_config = dimm_config | 32'h10;
273 else if ($test$plusargs("4_FBDIMMS")) dimm_config = dimm_config | 32'h20;
274 else if ($test$plusargs("6_FBDIMMS")) dimm_config = dimm_config | 32'h40;
275 else if ($test$plusargs("8_FBDIMMS")) dimm_config = dimm_config | 32'h80;
276 else dimm_config = dimm_config | 32'h00; // default 1_FBDIMM
277
278 if ($test$plusargs("DUAL_CHANNEL")) dimm_config = dimm_config | 32'h100;
279 else if ($test$plusargs("SNG_CHANNEL")) dimm_config = dimm_config | 32'h200;
280 else dimm_config = dimm_config | 32'h100; // default DUAL_CHANNEL
281
282 if ($test$plusargs("RANK_HIGH")) dimm_config = dimm_config | 32'h400;
283 else if ($test$plusargs("RANK_LOW")) dimm_config = dimm_config | 32'h800;
284 else dimm_config = dimm_config | 32'h400; // defualt RANK_HIGH
285
286 if ($value$plusargs("CHIPKILL_MCU0=%d",ck_mcu0)) dimm_config = dimm_config | 32'h10000;
287 if ($value$plusargs("CHIPKILL_MCU1=%d",ck_mcu1)) dimm_config = dimm_config | 32'h10000;
288 if ($value$plusargs("CHIPKILL_MCU2=%d",ck_mcu2)) dimm_config = dimm_config | 32'h10000;
289 if ($value$plusargs("CHIPKILL_MCU3=%d",ck_mcu3)) dimm_config = dimm_config | 32'h10000;
290
291
292 if ($test$plusargs("PARTIAL_BANK_4BK")) dimm_config = dimm_config | 32'h40000;
293 else if ($test$plusargs("PARTIAL_BANK_2BK")) dimm_config = dimm_config | 32'h20000;
294 else dimm_config = dimm_config | 32'h00000;
295
296 if ($value$plusargs("bank_set_mask=%d",pbank))
297 begin
298 case(pbank)
299 4'b0001, 4'b0010, 4'b0100, 4'b1000: shift = 2;
300 4'b0011, 4'b0101, 4'b0110, 4'b1001, 4'b1010, 4'b1100: shift = 1;
301 endcase
302 end
303 else if($test$plusargs("RANDOM_4BANK"))
304 shift = 1;
305 else if($test$plusargs("RANDOM_2BANK"))
306 shift = 2;
307 else
308 shift = 0;
309
310 // hash PA support for FC only.
311 if ($test$plusargs("hash_on")) dimm_config = dimm_config | 32'h100000;
312
313 // X8 support for MCUSAT & FC
314 if ($test$plusargs("X8")) dimm_config = dimm_config | 32'h200000;
315
316`ifdef AXIS_DDR2_MODEL
317`else
318 `define FBD_1
319 `ifdef FBDIMM_NUM_2
320 `define FBD_2
321 `endif
322 `ifdef FBDIMM_NUM_4
323 `define FBD_2
324 `define FBD_4
325 `endif
326 `ifdef FBDIMM_NUM_6
327 `define FBD_2
328 `define FBD_4
329 `define FBD_6
330 `endif
331 `ifdef FBDIMM_NUM_8
332 `define FBD_2
333 `define FBD_4
334 `define FBD_6
335 `define FBD_8
336 `endif
337
338 // FOR STACK_DIMM
339 `ifdef STACK_DIMM
340 `define DBL_SIDE
341 `endif
342`endif
343
344 `ifdef FBD_1
345 // fbdimm0 OR 1_FBDIMMS
346
347//start1
348 `FBD_CH_PATH0.`DIMMPATH0.U00.handle = x8 ? 2*0 : 0;
349 `FBD_CH_PATH0.`DIMMPATH0.U01.handle = x8 ? 2*1 : 1;
350 `FBD_CH_PATH0.`DIMMPATH0.U02.handle = x8 ? 2*2 : 2;
351 `FBD_CH_PATH0.`DIMMPATH0.U03.handle = x8 ? 2*3 : 3;
352 `FBD_CH_PATH0.`DIMMPATH0.U05.handle = x8 ? 2*4 : 4;
353 `FBD_CH_PATH0.`DIMMPATH0.U06.handle = x8 ? 2*5 : 5;
354 `FBD_CH_PATH0.`DIMMPATH0.U07.handle = x8 ? 2*6 : 6;
355 `FBD_CH_PATH0.`DIMMPATH0.U08.handle = x8 ? 2*7 : 7;
356
357 `ifndef X8
358 `FBD_CH_PATH0.`DIMMPATH0.U09.handle = 8;
359 `FBD_CH_PATH0.`DIMMPATH0.U10.handle = 9;
360 `FBD_CH_PATH0.`DIMMPATH0.U11.handle = 10;
361 `FBD_CH_PATH0.`DIMMPATH0.U12.handle = 11;
362 `FBD_CH_PATH0.`DIMMPATH0.U14.handle = 12;
363 `FBD_CH_PATH0.`DIMMPATH0.U15.handle = 13;
364 `FBD_CH_PATH0.`DIMMPATH0.U16.handle = 14;
365 `FBD_CH_PATH0.`DIMMPATH0.U17.handle = 15;
366 `endif
367
368 `ifndef SNG_CHANNEL
369 `FBD_CH_PATH1.`DIMMPATH0.U00.handle = x8 ? 2*8 : 16;
370 `FBD_CH_PATH1.`DIMMPATH0.U01.handle = x8 ? 2*9 : 17;
371 `FBD_CH_PATH1.`DIMMPATH0.U02.handle = x8 ? 2*10 : 18;
372 `FBD_CH_PATH1.`DIMMPATH0.U03.handle = x8 ? 2*11 : 19;
373 `FBD_CH_PATH1.`DIMMPATH0.U05.handle = x8 ? 2*12 : 20;
374 `FBD_CH_PATH1.`DIMMPATH0.U06.handle = x8 ? 2*13 : 21;
375 `FBD_CH_PATH1.`DIMMPATH0.U07.handle = x8 ? 2*14 : 22;
376 `FBD_CH_PATH1.`DIMMPATH0.U08.handle = x8 ? 2*15 : 23;
377
378 `ifndef X8
379 `FBD_CH_PATH1.`DIMMPATH0.U09.handle = 24;
380 `FBD_CH_PATH1.`DIMMPATH0.U10.handle = 25;
381 `FBD_CH_PATH1.`DIMMPATH0.U11.handle = 26;
382 `FBD_CH_PATH1.`DIMMPATH0.U12.handle = 27;
383 `FBD_CH_PATH1.`DIMMPATH0.U14.handle = 28;
384 `FBD_CH_PATH1.`DIMMPATH0.U15.handle = 29;
385 `FBD_CH_PATH1.`DIMMPATH0.U16.handle = 30;
386 `FBD_CH_PATH1.`DIMMPATH0.U17.handle = 31;
387 `endif
388 `endif
389
390
391 `FBD_CH_PATH2.`DIMMPATH0.U00.handle = x8 ? 2*16 : 32;
392 `FBD_CH_PATH2.`DIMMPATH0.U01.handle = x8 ? 2*17 : 33;
393 `FBD_CH_PATH2.`DIMMPATH0.U02.handle = x8 ? 2*18 : 34;
394 `FBD_CH_PATH2.`DIMMPATH0.U03.handle = x8 ? 2*19 : 35;
395 `FBD_CH_PATH2.`DIMMPATH0.U05.handle = x8 ? 2*20 : 36;
396 `FBD_CH_PATH2.`DIMMPATH0.U06.handle = x8 ? 2*21 : 37;
397 `FBD_CH_PATH2.`DIMMPATH0.U07.handle = x8 ? 2*22 : 38;
398 `FBD_CH_PATH2.`DIMMPATH0.U08.handle = x8 ? 2*23 : 39;
399
400 `ifndef X8
401 `FBD_CH_PATH2.`DIMMPATH0.U09.handle = 40;
402 `FBD_CH_PATH2.`DIMMPATH0.U10.handle = 41;
403 `FBD_CH_PATH2.`DIMMPATH0.U11.handle = 42;
404 `FBD_CH_PATH2.`DIMMPATH0.U12.handle = 43;
405 `FBD_CH_PATH2.`DIMMPATH0.U14.handle = 44;
406 `FBD_CH_PATH2.`DIMMPATH0.U15.handle = 45;
407 `FBD_CH_PATH2.`DIMMPATH0.U16.handle = 46;
408 `FBD_CH_PATH2.`DIMMPATH0.U17.handle = 47;
409 `endif
410
411 `ifndef SNG_CHANNEL
412 `FBD_CH_PATH3.`DIMMPATH0.U00.handle = x8 ? 2*24 : 48;
413 `FBD_CH_PATH3.`DIMMPATH0.U01.handle = x8 ? 2*25 : 49;
414 `FBD_CH_PATH3.`DIMMPATH0.U02.handle = x8 ? 2*26 : 50;
415 `FBD_CH_PATH3.`DIMMPATH0.U03.handle = x8 ? 2*27 : 51;
416 `FBD_CH_PATH3.`DIMMPATH0.U05.handle = x8 ? 2*28 : 52;
417 `FBD_CH_PATH3.`DIMMPATH0.U06.handle = x8 ? 2*29 : 53;
418 `FBD_CH_PATH3.`DIMMPATH0.U07.handle = x8 ? 2*30 : 54;
419 `FBD_CH_PATH3.`DIMMPATH0.U08.handle = x8 ? 2*31 : 55;
420
421 `ifndef X8
422 `FBD_CH_PATH3.`DIMMPATH0.U09.handle = 56;
423 `FBD_CH_PATH3.`DIMMPATH0.U10.handle = 57;
424 `FBD_CH_PATH3.`DIMMPATH0.U11.handle = 58;
425 `FBD_CH_PATH3.`DIMMPATH0.U12.handle = 59;
426 `FBD_CH_PATH3.`DIMMPATH0.U14.handle = 60;
427 `FBD_CH_PATH3.`DIMMPATH0.U15.handle = 61;
428 `FBD_CH_PATH3.`DIMMPATH0.U16.handle = 62;
429 `FBD_CH_PATH3.`DIMMPATH0.U17.handle = 63;
430 `endif
431 `endif
432
433
434 `FBD_CH_PATH4.`DIMMPATH0.U00.handle = x8 ? 2*32 : 64;
435 `FBD_CH_PATH4.`DIMMPATH0.U01.handle = x8 ? 2*33 : 65;
436 `FBD_CH_PATH4.`DIMMPATH0.U02.handle = x8 ? 2*34 : 66;
437 `FBD_CH_PATH4.`DIMMPATH0.U03.handle = x8 ? 2*35 : 67;
438 `FBD_CH_PATH4.`DIMMPATH0.U05.handle = x8 ? 2*36 : 68;
439 `FBD_CH_PATH4.`DIMMPATH0.U06.handle = x8 ? 2*37 : 69;
440 `FBD_CH_PATH4.`DIMMPATH0.U07.handle = x8 ? 2*38 : 70;
441 `FBD_CH_PATH4.`DIMMPATH0.U08.handle = x8 ? 2*39 : 71;
442
443 `ifndef X8
444 `FBD_CH_PATH4.`DIMMPATH0.U09.handle = 72;
445 `FBD_CH_PATH4.`DIMMPATH0.U10.handle = 73;
446 `FBD_CH_PATH4.`DIMMPATH0.U11.handle = 74;
447 `FBD_CH_PATH4.`DIMMPATH0.U12.handle = 75;
448 `FBD_CH_PATH4.`DIMMPATH0.U14.handle = 76;
449 `FBD_CH_PATH4.`DIMMPATH0.U15.handle = 77;
450 `FBD_CH_PATH4.`DIMMPATH0.U16.handle = 78;
451 `FBD_CH_PATH4.`DIMMPATH0.U17.handle = 79;
452 `endif
453
454 `ifndef SNG_CHANNEL
455 `FBD_CH_PATH5.`DIMMPATH0.U00.handle = x8 ? 2*40 : 80;
456 `FBD_CH_PATH5.`DIMMPATH0.U01.handle = x8 ? 2*41 : 81;
457 `FBD_CH_PATH5.`DIMMPATH0.U02.handle = x8 ? 2*42 : 82;
458 `FBD_CH_PATH5.`DIMMPATH0.U03.handle = x8 ? 2*43 : 83;
459 `FBD_CH_PATH5.`DIMMPATH0.U05.handle = x8 ? 2*44 : 84;
460 `FBD_CH_PATH5.`DIMMPATH0.U06.handle = x8 ? 2*45 : 85;
461 `FBD_CH_PATH5.`DIMMPATH0.U07.handle = x8 ? 2*46 : 86;
462 `FBD_CH_PATH5.`DIMMPATH0.U08.handle = x8 ? 2*47 : 87;
463
464 `ifndef X8
465 `FBD_CH_PATH5.`DIMMPATH0.U09.handle = 88;
466 `FBD_CH_PATH5.`DIMMPATH0.U10.handle = 89;
467 `FBD_CH_PATH5.`DIMMPATH0.U11.handle = 90;
468 `FBD_CH_PATH5.`DIMMPATH0.U12.handle = 91;
469 `FBD_CH_PATH5.`DIMMPATH0.U14.handle = 92;
470 `FBD_CH_PATH5.`DIMMPATH0.U15.handle = 93;
471 `FBD_CH_PATH5.`DIMMPATH0.U16.handle = 94;
472 `FBD_CH_PATH5.`DIMMPATH0.U17.handle = 95;
473 `endif
474 `endif
475
476
477 `FBD_CH_PATH6.`DIMMPATH0.U00.handle = x8 ? 2*48 : 96;
478 `FBD_CH_PATH6.`DIMMPATH0.U01.handle = x8 ? 2*49 : 97;
479 `FBD_CH_PATH6.`DIMMPATH0.U02.handle = x8 ? 2*50 : 98;
480 `FBD_CH_PATH6.`DIMMPATH0.U03.handle = x8 ? 2*51 : 99;
481 `FBD_CH_PATH6.`DIMMPATH0.U05.handle = x8 ? 2*52 : 100;
482 `FBD_CH_PATH6.`DIMMPATH0.U06.handle = x8 ? 2*53 : 101;
483 `FBD_CH_PATH6.`DIMMPATH0.U07.handle = x8 ? 2*54 : 102;
484 `FBD_CH_PATH6.`DIMMPATH0.U08.handle = x8 ? 2*55 : 103;
485
486 `ifndef X8
487 `FBD_CH_PATH6.`DIMMPATH0.U09.handle = 104;
488 `FBD_CH_PATH6.`DIMMPATH0.U10.handle = 105;
489 `FBD_CH_PATH6.`DIMMPATH0.U11.handle = 106;
490 `FBD_CH_PATH6.`DIMMPATH0.U12.handle = 107;
491 `FBD_CH_PATH6.`DIMMPATH0.U14.handle = 108;
492 `FBD_CH_PATH6.`DIMMPATH0.U15.handle = 109;
493 `FBD_CH_PATH6.`DIMMPATH0.U16.handle = 110;
494 `FBD_CH_PATH6.`DIMMPATH0.U17.handle = 111;
495 `endif
496
497 `ifndef SNG_CHANNEL
498 `FBD_CH_PATH7.`DIMMPATH0.U00.handle = x8 ? 2*56 : 112;
499 `FBD_CH_PATH7.`DIMMPATH0.U01.handle = x8 ? 2*57 : 113;
500 `FBD_CH_PATH7.`DIMMPATH0.U02.handle = x8 ? 2*58 : 114;
501 `FBD_CH_PATH7.`DIMMPATH0.U03.handle = x8 ? 2*59 : 115;
502 `FBD_CH_PATH7.`DIMMPATH0.U05.handle = x8 ? 2*60 : 116;
503 `FBD_CH_PATH7.`DIMMPATH0.U06.handle = x8 ? 2*61 : 117;
504 `FBD_CH_PATH7.`DIMMPATH0.U07.handle = x8 ? 2*62 : 118;
505 `FBD_CH_PATH7.`DIMMPATH0.U08.handle = x8 ? 2*63 : 119;
506
507 `ifndef X8
508 `FBD_CH_PATH7.`DIMMPATH0.U09.handle = 120;
509 `FBD_CH_PATH7.`DIMMPATH0.U10.handle = 121;
510 `FBD_CH_PATH7.`DIMMPATH0.U11.handle = 122;
511 `FBD_CH_PATH7.`DIMMPATH0.U12.handle = 123;
512 `FBD_CH_PATH7.`DIMMPATH0.U14.handle = 124;
513 `FBD_CH_PATH7.`DIMMPATH0.U15.handle = 125;
514 `FBD_CH_PATH7.`DIMMPATH0.U16.handle = 126;
515 `FBD_CH_PATH7.`DIMMPATH0.U17.handle = 127;
516 `endif
517 `endif
518
519
520 `FBD_CH_PATH0.`DIMMPATH0.U04.handle = x8 ? 2*64 : 128;
521 `ifndef X8
522 `FBD_CH_PATH0.`DIMMPATH0.U13.handle = 129;
523 `endif
524
525 `ifndef SNG_CHANNEL
526 `FBD_CH_PATH1.`DIMMPATH0.U04.handle = x8 ? 2*65 : 130;
527 `ifndef X8
528 `FBD_CH_PATH1.`DIMMPATH0.U13.handle = 131;
529 `endif
530 `endif
531
532 `FBD_CH_PATH2.`DIMMPATH0.U04.handle = x8 ? 2*66 : 132;
533 `ifndef X8
534 `FBD_CH_PATH2.`DIMMPATH0.U13.handle = 133;
535 `endif
536
537 `ifndef SNG_CHANNEL
538 `FBD_CH_PATH3.`DIMMPATH0.U04.handle = x8 ? 2*67 : 134;
539 `ifndef X8
540 `FBD_CH_PATH3.`DIMMPATH0.U13.handle = 135;
541 `endif
542 `endif
543
544 `FBD_CH_PATH4.`DIMMPATH0.U04.handle = x8 ? 2*68 : 136;
545 `ifndef X8
546 `FBD_CH_PATH4.`DIMMPATH0.U13.handle = 137;
547 `endif
548
549 `ifndef SNG_CHANNEL
550 `FBD_CH_PATH5.`DIMMPATH0.U04.handle = x8 ? 2*69 : 138;
551 `ifndef X8
552 `FBD_CH_PATH5.`DIMMPATH0.U13.handle = 139;
553 `endif
554 `endif
555
556 `FBD_CH_PATH6.`DIMMPATH0.U04.handle = x8 ? 2*70 : 140;
557 `ifndef X8
558 `FBD_CH_PATH6.`DIMMPATH0.U13.handle = 141;
559 `endif
560
561 `ifndef SNG_CHANNEL
562 `FBD_CH_PATH7.`DIMMPATH0.U04.handle = x8 ? 2*71 : 142;
563 `ifndef X8
564 `FBD_CH_PATH7.`DIMMPATH0.U13.handle = 143;
565 `endif
566 `endif
567
568 `ifdef DBL_SIDE
569
570//start9
571 `FBD_CH_PATH0.`RANK_DIMMPATH0.U00.handle = x8 ? 2*576 : 0+1152;
572 `FBD_CH_PATH0.`RANK_DIMMPATH0.U01.handle = x8 ? 2*577 : 1+1152;
573 `FBD_CH_PATH0.`RANK_DIMMPATH0.U02.handle = x8 ? 2*578 : 2+1152;
574 `FBD_CH_PATH0.`RANK_DIMMPATH0.U03.handle = x8 ? 2*579 : 3+1152;
575 `FBD_CH_PATH0.`RANK_DIMMPATH0.U05.handle = x8 ? 2*580 : 4+1152;
576 `FBD_CH_PATH0.`RANK_DIMMPATH0.U06.handle = x8 ? 2*581 : 5+1152;
577 `FBD_CH_PATH0.`RANK_DIMMPATH0.U07.handle = x8 ? 2*582 : 6+1152;
578 `FBD_CH_PATH0.`RANK_DIMMPATH0.U08.handle = x8 ? 2*583 : 7+1152;
579
580 `ifndef X8
581 `FBD_CH_PATH0.`RANK_DIMMPATH0.U09.handle = 8+1152;
582 `FBD_CH_PATH0.`RANK_DIMMPATH0.U10.handle = 9+1152;
583 `FBD_CH_PATH0.`RANK_DIMMPATH0.U11.handle = 10+1152;
584 `FBD_CH_PATH0.`RANK_DIMMPATH0.U12.handle = 11+1152;
585 `FBD_CH_PATH0.`RANK_DIMMPATH0.U14.handle = 12+1152;
586 `FBD_CH_PATH0.`RANK_DIMMPATH0.U15.handle = 13+1152;
587 `FBD_CH_PATH0.`RANK_DIMMPATH0.U16.handle = 14+1152;
588 `FBD_CH_PATH0.`RANK_DIMMPATH0.U17.handle = 15+1152;
589 `endif
590
591 `ifndef SNG_CHANNEL
592 `FBD_CH_PATH1.`RANK_DIMMPATH0.U00.handle = x8 ? 2*584 : 16+1152;
593 `FBD_CH_PATH1.`RANK_DIMMPATH0.U01.handle = x8 ? 2*585 : 17+1152;
594 `FBD_CH_PATH1.`RANK_DIMMPATH0.U02.handle = x8 ? 2*586 : 18+1152;
595 `FBD_CH_PATH1.`RANK_DIMMPATH0.U03.handle = x8 ? 2*587 : 19+1152;
596 `FBD_CH_PATH1.`RANK_DIMMPATH0.U05.handle = x8 ? 2*588 : 20+1152;
597 `FBD_CH_PATH1.`RANK_DIMMPATH0.U06.handle = x8 ? 2*589 : 21+1152;
598 `FBD_CH_PATH1.`RANK_DIMMPATH0.U07.handle = x8 ? 2*590 : 22+1152;
599 `FBD_CH_PATH1.`RANK_DIMMPATH0.U08.handle = x8 ? 2*591 : 23+1152;
600
601 `ifndef X8
602 `FBD_CH_PATH1.`RANK_DIMMPATH0.U09.handle = 24+1152;
603 `FBD_CH_PATH1.`RANK_DIMMPATH0.U10.handle = 25+1152;
604 `FBD_CH_PATH1.`RANK_DIMMPATH0.U11.handle = 26+1152;
605 `FBD_CH_PATH1.`RANK_DIMMPATH0.U12.handle = 27+1152;
606 `FBD_CH_PATH1.`RANK_DIMMPATH0.U14.handle = 28+1152;
607 `FBD_CH_PATH1.`RANK_DIMMPATH0.U15.handle = 29+1152;
608 `FBD_CH_PATH1.`RANK_DIMMPATH0.U16.handle = 30+1152;
609 `FBD_CH_PATH1.`RANK_DIMMPATH0.U17.handle = 31+1152;
610 `endif
611 `endif
612
613
614 `FBD_CH_PATH2.`RANK_DIMMPATH0.U00.handle = x8 ? 2*592 : 32+1152;
615 `FBD_CH_PATH2.`RANK_DIMMPATH0.U01.handle = x8 ? 2*593 : 33+1152;
616 `FBD_CH_PATH2.`RANK_DIMMPATH0.U02.handle = x8 ? 2*594 : 34+1152;
617 `FBD_CH_PATH2.`RANK_DIMMPATH0.U03.handle = x8 ? 2*595 : 35+1152;
618 `FBD_CH_PATH2.`RANK_DIMMPATH0.U05.handle = x8 ? 2*596 : 36+1152;
619 `FBD_CH_PATH2.`RANK_DIMMPATH0.U06.handle = x8 ? 2*597 : 37+1152;
620 `FBD_CH_PATH2.`RANK_DIMMPATH0.U07.handle = x8 ? 2*598 : 38+1152;
621 `FBD_CH_PATH2.`RANK_DIMMPATH0.U08.handle = x8 ? 2*599 : 39+1152;
622
623 `ifndef X8
624 `FBD_CH_PATH2.`RANK_DIMMPATH0.U09.handle = 40+1152;
625 `FBD_CH_PATH2.`RANK_DIMMPATH0.U10.handle = 41+1152;
626 `FBD_CH_PATH2.`RANK_DIMMPATH0.U11.handle = 42+1152;
627 `FBD_CH_PATH2.`RANK_DIMMPATH0.U12.handle = 43+1152;
628 `FBD_CH_PATH2.`RANK_DIMMPATH0.U14.handle = 44+1152;
629 `FBD_CH_PATH2.`RANK_DIMMPATH0.U15.handle = 45+1152;
630 `FBD_CH_PATH2.`RANK_DIMMPATH0.U16.handle = 46+1152;
631 `FBD_CH_PATH2.`RANK_DIMMPATH0.U17.handle = 47+1152;
632 `endif
633
634 `ifndef SNG_CHANNEL
635 `FBD_CH_PATH3.`RANK_DIMMPATH0.U00.handle = x8 ? 2*600 : 48+1152;
636 `FBD_CH_PATH3.`RANK_DIMMPATH0.U01.handle = x8 ? 2*601 : 49+1152;
637 `FBD_CH_PATH3.`RANK_DIMMPATH0.U02.handle = x8 ? 2*602 : 50+1152;
638 `FBD_CH_PATH3.`RANK_DIMMPATH0.U03.handle = x8 ? 2*603 : 51+1152;
639 `FBD_CH_PATH3.`RANK_DIMMPATH0.U05.handle = x8 ? 2*604 : 52+1152;
640 `FBD_CH_PATH3.`RANK_DIMMPATH0.U06.handle = x8 ? 2*605 : 53+1152;
641 `FBD_CH_PATH3.`RANK_DIMMPATH0.U07.handle = x8 ? 2*606 : 54+1152;
642 `FBD_CH_PATH3.`RANK_DIMMPATH0.U08.handle = x8 ? 2*607 : 55+1152;
643
644 `ifndef X8
645 `FBD_CH_PATH3.`RANK_DIMMPATH0.U09.handle = 56+1152;
646 `FBD_CH_PATH3.`RANK_DIMMPATH0.U10.handle = 57+1152;
647 `FBD_CH_PATH3.`RANK_DIMMPATH0.U11.handle = 58+1152;
648 `FBD_CH_PATH3.`RANK_DIMMPATH0.U12.handle = 59+1152;
649 `FBD_CH_PATH3.`RANK_DIMMPATH0.U14.handle = 60+1152;
650 `FBD_CH_PATH3.`RANK_DIMMPATH0.U15.handle = 61+1152;
651 `FBD_CH_PATH3.`RANK_DIMMPATH0.U16.handle = 62+1152;
652 `FBD_CH_PATH3.`RANK_DIMMPATH0.U17.handle = 63+1152;
653 `endif
654 `endif
655
656
657 `FBD_CH_PATH4.`RANK_DIMMPATH0.U00.handle = x8 ? 2*608 : 64+1152;
658 `FBD_CH_PATH4.`RANK_DIMMPATH0.U01.handle = x8 ? 2*609 : 65+1152;
659 `FBD_CH_PATH4.`RANK_DIMMPATH0.U02.handle = x8 ? 2*610 : 66+1152;
660 `FBD_CH_PATH4.`RANK_DIMMPATH0.U03.handle = x8 ? 2*611 : 67+1152;
661 `FBD_CH_PATH4.`RANK_DIMMPATH0.U05.handle = x8 ? 2*612 : 68+1152;
662 `FBD_CH_PATH4.`RANK_DIMMPATH0.U06.handle = x8 ? 2*613 : 69+1152;
663 `FBD_CH_PATH4.`RANK_DIMMPATH0.U07.handle = x8 ? 2*614 : 70+1152;
664 `FBD_CH_PATH4.`RANK_DIMMPATH0.U08.handle = x8 ? 2*615 : 71+1152;
665
666 `ifndef X8
667 `FBD_CH_PATH4.`RANK_DIMMPATH0.U09.handle = 72+1152;
668 `FBD_CH_PATH4.`RANK_DIMMPATH0.U10.handle = 73+1152;
669 `FBD_CH_PATH4.`RANK_DIMMPATH0.U11.handle = 74+1152;
670 `FBD_CH_PATH4.`RANK_DIMMPATH0.U12.handle = 75+1152;
671 `FBD_CH_PATH4.`RANK_DIMMPATH0.U14.handle = 76+1152;
672 `FBD_CH_PATH4.`RANK_DIMMPATH0.U15.handle = 77+1152;
673 `FBD_CH_PATH4.`RANK_DIMMPATH0.U16.handle = 78+1152;
674 `FBD_CH_PATH4.`RANK_DIMMPATH0.U17.handle = 79+1152;
675 `endif
676
677 `ifndef SNG_CHANNEL
678 `FBD_CH_PATH5.`RANK_DIMMPATH0.U00.handle = x8 ? 2*616 : 80+1152;
679 `FBD_CH_PATH5.`RANK_DIMMPATH0.U01.handle = x8 ? 2*617 : 81+1152;
680 `FBD_CH_PATH5.`RANK_DIMMPATH0.U02.handle = x8 ? 2*618 : 82+1152;
681 `FBD_CH_PATH5.`RANK_DIMMPATH0.U03.handle = x8 ? 2*619 : 83+1152;
682 `FBD_CH_PATH5.`RANK_DIMMPATH0.U05.handle = x8 ? 2*620 : 84+1152;
683 `FBD_CH_PATH5.`RANK_DIMMPATH0.U06.handle = x8 ? 2*621 : 85+1152;
684 `FBD_CH_PATH5.`RANK_DIMMPATH0.U07.handle = x8 ? 2*622 : 86+1152;
685 `FBD_CH_PATH5.`RANK_DIMMPATH0.U08.handle = x8 ? 2*623 : 87+1152;
686
687 `ifndef X8
688 `FBD_CH_PATH5.`RANK_DIMMPATH0.U09.handle = 88+1152;
689 `FBD_CH_PATH5.`RANK_DIMMPATH0.U10.handle = 89+1152;
690 `FBD_CH_PATH5.`RANK_DIMMPATH0.U11.handle = 90+1152;
691 `FBD_CH_PATH5.`RANK_DIMMPATH0.U12.handle = 91+1152;
692 `FBD_CH_PATH5.`RANK_DIMMPATH0.U14.handle = 92+1152;
693 `FBD_CH_PATH5.`RANK_DIMMPATH0.U15.handle = 93+1152;
694 `FBD_CH_PATH5.`RANK_DIMMPATH0.U16.handle = 94+1152;
695 `FBD_CH_PATH5.`RANK_DIMMPATH0.U17.handle = 95+1152;
696 `endif
697 `endif
698
699
700 `FBD_CH_PATH6.`RANK_DIMMPATH0.U00.handle = x8 ? 2*624 : 96+1152;
701 `FBD_CH_PATH6.`RANK_DIMMPATH0.U01.handle = x8 ? 2*625 : 97+1152;
702 `FBD_CH_PATH6.`RANK_DIMMPATH0.U02.handle = x8 ? 2*626 : 98+1152;
703 `FBD_CH_PATH6.`RANK_DIMMPATH0.U03.handle = x8 ? 2*627 : 99+1152;
704 `FBD_CH_PATH6.`RANK_DIMMPATH0.U05.handle = x8 ? 2*628 : 100+1152;
705 `FBD_CH_PATH6.`RANK_DIMMPATH0.U06.handle = x8 ? 2*629 : 101+1152;
706 `FBD_CH_PATH6.`RANK_DIMMPATH0.U07.handle = x8 ? 2*630 : 102+1152;
707 `FBD_CH_PATH6.`RANK_DIMMPATH0.U08.handle = x8 ? 2*631 : 103+1152;
708
709 `ifndef X8
710 `FBD_CH_PATH6.`RANK_DIMMPATH0.U09.handle = 104+1152;
711 `FBD_CH_PATH6.`RANK_DIMMPATH0.U10.handle = 105+1152;
712 `FBD_CH_PATH6.`RANK_DIMMPATH0.U11.handle = 106+1152;
713 `FBD_CH_PATH6.`RANK_DIMMPATH0.U12.handle = 107+1152;
714 `FBD_CH_PATH6.`RANK_DIMMPATH0.U14.handle = 108+1152;
715 `FBD_CH_PATH6.`RANK_DIMMPATH0.U15.handle = 109+1152;
716 `FBD_CH_PATH6.`RANK_DIMMPATH0.U16.handle = 110+1152;
717 `FBD_CH_PATH6.`RANK_DIMMPATH0.U17.handle = 111+1152;
718 `endif
719
720 `ifndef SNG_CHANNEL
721 `FBD_CH_PATH7.`RANK_DIMMPATH0.U00.handle = x8 ? 2*632 : 112+1152;
722 `FBD_CH_PATH7.`RANK_DIMMPATH0.U01.handle = x8 ? 2*633 : 113+1152;
723 `FBD_CH_PATH7.`RANK_DIMMPATH0.U02.handle = x8 ? 2*634 : 114+1152;
724 `FBD_CH_PATH7.`RANK_DIMMPATH0.U03.handle = x8 ? 2*635 : 115+1152;
725 `FBD_CH_PATH7.`RANK_DIMMPATH0.U05.handle = x8 ? 2*636 : 116+1152;
726 `FBD_CH_PATH7.`RANK_DIMMPATH0.U06.handle = x8 ? 2*637 : 117+1152;
727 `FBD_CH_PATH7.`RANK_DIMMPATH0.U07.handle = x8 ? 2*638 : 118+1152;
728 `FBD_CH_PATH7.`RANK_DIMMPATH0.U08.handle = x8 ? 2*639 : 119+1152;
729
730 `ifndef X8
731 `FBD_CH_PATH7.`RANK_DIMMPATH0.U09.handle = 120+1152;
732 `FBD_CH_PATH7.`RANK_DIMMPATH0.U10.handle = 121+1152;
733 `FBD_CH_PATH7.`RANK_DIMMPATH0.U11.handle = 122+1152;
734 `FBD_CH_PATH7.`RANK_DIMMPATH0.U12.handle = 123+1152;
735 `FBD_CH_PATH7.`RANK_DIMMPATH0.U14.handle = 124+1152;
736 `FBD_CH_PATH7.`RANK_DIMMPATH0.U15.handle = 125+1152;
737 `FBD_CH_PATH7.`RANK_DIMMPATH0.U16.handle = 126+1152;
738 `FBD_CH_PATH7.`RANK_DIMMPATH0.U17.handle = 127+1152;
739 `endif
740 `endif
741
742
743 `FBD_CH_PATH0.`RANK_DIMMPATH0.U04.handle = x8 ? 2*640 : 128+1152;
744 `ifndef X8
745 `FBD_CH_PATH0.`RANK_DIMMPATH0.U13.handle = 129+1152;
746 `endif
747
748 `ifndef SNG_CHANNEL
749 `FBD_CH_PATH1.`RANK_DIMMPATH0.U04.handle = x8 ? 2*641 : 130+1152;
750 `ifndef X8
751 `FBD_CH_PATH1.`RANK_DIMMPATH0.U13.handle = 131+1152;
752 `endif
753 `endif
754
755 `FBD_CH_PATH2.`RANK_DIMMPATH0.U04.handle = x8 ? 2*642 : 132+1152;
756 `ifndef X8
757 `FBD_CH_PATH2.`RANK_DIMMPATH0.U13.handle = 133+1152;
758 `endif
759
760 `ifndef SNG_CHANNEL
761 `FBD_CH_PATH3.`RANK_DIMMPATH0.U04.handle = x8 ? 2*643 : 134+1152;
762 `ifndef X8
763 `FBD_CH_PATH3.`RANK_DIMMPATH0.U13.handle = 135+1152;
764 `endif
765 `endif
766
767 `FBD_CH_PATH4.`RANK_DIMMPATH0.U04.handle = x8 ? 2*644 : 136+1152;
768 `ifndef X8
769 `FBD_CH_PATH4.`RANK_DIMMPATH0.U13.handle = 137+1152;
770 `endif
771
772 `ifndef SNG_CHANNEL
773 `FBD_CH_PATH5.`RANK_DIMMPATH0.U04.handle = x8 ? 2*645 : 138+1152;
774 `ifndef X8
775 `FBD_CH_PATH5.`RANK_DIMMPATH0.U13.handle = 139+1152;
776 `endif
777 `endif
778
779 `FBD_CH_PATH6.`RANK_DIMMPATH0.U04.handle = x8 ? 2*646 : 140+1152;
780 `ifndef X8
781 `FBD_CH_PATH6.`RANK_DIMMPATH0.U13.handle = 141+1152;
782 `endif
783
784 `ifndef SNG_CHANNEL
785 `FBD_CH_PATH7.`RANK_DIMMPATH0.U04.handle = x8 ? 2*647 : 142+1152;
786 `ifndef X8
787 `FBD_CH_PATH7.`RANK_DIMMPATH0.U13.handle = 143+1152;
788 `endif
789 `endif
790
791 `endif
792
793 `endif
794
795 `ifdef FBD_2
796
797//start2
798 `FBD_CH_PATH0.`DIMMPATH1.U00.handle = x8 ? 2*72 : 0+144;
799 `FBD_CH_PATH0.`DIMMPATH1.U01.handle = x8 ? 2*73 : 1+144;
800 `FBD_CH_PATH0.`DIMMPATH1.U02.handle = x8 ? 2*74 : 2+144;
801 `FBD_CH_PATH0.`DIMMPATH1.U03.handle = x8 ? 2*75 : 3+144;
802 `FBD_CH_PATH0.`DIMMPATH1.U05.handle = x8 ? 2*76 : 4+144;
803 `FBD_CH_PATH0.`DIMMPATH1.U06.handle = x8 ? 2*77 : 5+144;
804 `FBD_CH_PATH0.`DIMMPATH1.U07.handle = x8 ? 2*78 : 6+144;
805 `FBD_CH_PATH0.`DIMMPATH1.U08.handle = x8 ? 2*79 : 7+144;
806 `ifndef X8
807 `FBD_CH_PATH0.`DIMMPATH1.U09.handle = 8+144;
808 `FBD_CH_PATH0.`DIMMPATH1.U10.handle = 9+144;
809 `FBD_CH_PATH0.`DIMMPATH1.U11.handle = 10+144;
810 `FBD_CH_PATH0.`DIMMPATH1.U12.handle = 11+144;
811 `FBD_CH_PATH0.`DIMMPATH1.U14.handle = 12+144;
812 `FBD_CH_PATH0.`DIMMPATH1.U15.handle = 13+144;
813 `FBD_CH_PATH0.`DIMMPATH1.U16.handle = 14+144;
814 `FBD_CH_PATH0.`DIMMPATH1.U17.handle = 15+144;
815 `endif
816
817 `ifndef SNG_CHANNEL
818 `FBD_CH_PATH1.`DIMMPATH1.U00.handle = x8 ? 2*80 : 16+144;
819 `FBD_CH_PATH1.`DIMMPATH1.U01.handle = x8 ? 2*81 : 17+144;
820 `FBD_CH_PATH1.`DIMMPATH1.U02.handle = x8 ? 2*82 : 18+144;
821 `FBD_CH_PATH1.`DIMMPATH1.U03.handle = x8 ? 2*83 : 19+144;
822 `FBD_CH_PATH1.`DIMMPATH1.U05.handle = x8 ? 2*84 : 20+144;
823 `FBD_CH_PATH1.`DIMMPATH1.U06.handle = x8 ? 2*85 : 21+144;
824 `FBD_CH_PATH1.`DIMMPATH1.U07.handle = x8 ? 2*86 : 22+144;
825 `FBD_CH_PATH1.`DIMMPATH1.U08.handle = x8 ? 2*87 : 23+144;
826 `ifndef X8
827 `FBD_CH_PATH1.`DIMMPATH1.U09.handle = 24+144;
828 `FBD_CH_PATH1.`DIMMPATH1.U10.handle = 25+144;
829 `FBD_CH_PATH1.`DIMMPATH1.U11.handle = 26+144;
830 `FBD_CH_PATH1.`DIMMPATH1.U12.handle = 27+144;
831 `FBD_CH_PATH1.`DIMMPATH1.U14.handle = 28+144;
832 `FBD_CH_PATH1.`DIMMPATH1.U15.handle = 29+144;
833 `FBD_CH_PATH1.`DIMMPATH1.U16.handle = 30+144;
834 `FBD_CH_PATH1.`DIMMPATH1.U17.handle = 31+144;
835 `endif
836 `endif
837
838
839 `FBD_CH_PATH2.`DIMMPATH1.U00.handle = x8 ? 2*88 : 32+144;
840 `FBD_CH_PATH2.`DIMMPATH1.U01.handle = x8 ? 2*89 : 33+144;
841 `FBD_CH_PATH2.`DIMMPATH1.U02.handle = x8 ? 2*90 : 34+144;
842 `FBD_CH_PATH2.`DIMMPATH1.U03.handle = x8 ? 2*91 : 35+144;
843 `FBD_CH_PATH2.`DIMMPATH1.U05.handle = x8 ? 2*92 : 36+144;
844 `FBD_CH_PATH2.`DIMMPATH1.U06.handle = x8 ? 2*93 : 37+144;
845 `FBD_CH_PATH2.`DIMMPATH1.U07.handle = x8 ? 2*94 : 38+144;
846 `FBD_CH_PATH2.`DIMMPATH1.U08.handle = x8 ? 2*95 : 39+144;
847 `ifndef X8
848 `FBD_CH_PATH2.`DIMMPATH1.U09.handle = 40+144;
849 `FBD_CH_PATH2.`DIMMPATH1.U10.handle = 41+144;
850 `FBD_CH_PATH2.`DIMMPATH1.U11.handle = 42+144;
851 `FBD_CH_PATH2.`DIMMPATH1.U12.handle = 43+144;
852 `FBD_CH_PATH2.`DIMMPATH1.U14.handle = 44+144;
853 `FBD_CH_PATH2.`DIMMPATH1.U15.handle = 45+144;
854 `FBD_CH_PATH2.`DIMMPATH1.U16.handle = 46+144;
855 `FBD_CH_PATH2.`DIMMPATH1.U17.handle = 47+144;
856 `endif
857
858 `ifndef SNG_CHANNEL
859 `FBD_CH_PATH3.`DIMMPATH1.U00.handle = x8 ? 2*96 : 48+144;
860 `FBD_CH_PATH3.`DIMMPATH1.U01.handle = x8 ? 2*97 : 49+144;
861 `FBD_CH_PATH3.`DIMMPATH1.U02.handle = x8 ? 2*98 : 50+144;
862 `FBD_CH_PATH3.`DIMMPATH1.U03.handle = x8 ? 2*99 : 51+144;
863 `FBD_CH_PATH3.`DIMMPATH1.U05.handle = x8 ? 2*100 : 52+144;
864 `FBD_CH_PATH3.`DIMMPATH1.U06.handle = x8 ? 2*101 : 53+144;
865 `FBD_CH_PATH3.`DIMMPATH1.U07.handle = x8 ? 2*102 : 54+144;
866 `FBD_CH_PATH3.`DIMMPATH1.U08.handle = x8 ? 2*103 : 55+144;
867 `ifndef X8
868 `FBD_CH_PATH3.`DIMMPATH1.U09.handle = 56+144;
869 `FBD_CH_PATH3.`DIMMPATH1.U10.handle = 57+144;
870 `FBD_CH_PATH3.`DIMMPATH1.U11.handle = 58+144;
871 `FBD_CH_PATH3.`DIMMPATH1.U12.handle = 59+144;
872 `FBD_CH_PATH3.`DIMMPATH1.U14.handle = 60+144;
873 `FBD_CH_PATH3.`DIMMPATH1.U15.handle = 61+144;
874 `FBD_CH_PATH3.`DIMMPATH1.U16.handle = 62+144;
875 `FBD_CH_PATH3.`DIMMPATH1.U17.handle = 63+144;
876 `endif
877 `endif
878
879
880
881 `FBD_CH_PATH4.`DIMMPATH1.U00.handle = x8 ? 2*104 : 64+144;
882 `FBD_CH_PATH4.`DIMMPATH1.U01.handle = x8 ? 2*105 : 65+144;
883 `FBD_CH_PATH4.`DIMMPATH1.U02.handle = x8 ? 2*106 : 66+144;
884 `FBD_CH_PATH4.`DIMMPATH1.U03.handle = x8 ? 2*107 : 67+144;
885 `FBD_CH_PATH4.`DIMMPATH1.U05.handle = x8 ? 2*108 : 68+144;
886 `FBD_CH_PATH4.`DIMMPATH1.U06.handle = x8 ? 2*109 : 69+144;
887 `FBD_CH_PATH4.`DIMMPATH1.U07.handle = x8 ? 2*110 : 70+144;
888 `FBD_CH_PATH4.`DIMMPATH1.U08.handle = x8 ? 2*111 : 71+144;
889 `ifndef X8
890 `FBD_CH_PATH4.`DIMMPATH1.U09.handle = 72+144;
891 `FBD_CH_PATH4.`DIMMPATH1.U10.handle = 73+144;
892 `FBD_CH_PATH4.`DIMMPATH1.U11.handle = 74+144;
893 `FBD_CH_PATH4.`DIMMPATH1.U12.handle = 75+144;
894 `FBD_CH_PATH4.`DIMMPATH1.U14.handle = 76+144;
895 `FBD_CH_PATH4.`DIMMPATH1.U15.handle = 77+144;
896 `FBD_CH_PATH4.`DIMMPATH1.U16.handle = 78+144;
897 `FBD_CH_PATH4.`DIMMPATH1.U17.handle = 79+144;
898 `endif
899
900 `ifndef SNG_CHANNEL
901 `FBD_CH_PATH5.`DIMMPATH1.U00.handle = x8 ? 2*112 : 80+144;
902 `FBD_CH_PATH5.`DIMMPATH1.U01.handle = x8 ? 2*113 : 81+144;
903 `FBD_CH_PATH5.`DIMMPATH1.U02.handle = x8 ? 2*114 : 82+144;
904 `FBD_CH_PATH5.`DIMMPATH1.U03.handle = x8 ? 2*115 : 83+144;
905 `FBD_CH_PATH5.`DIMMPATH1.U05.handle = x8 ? 2*116 : 84+144;
906 `FBD_CH_PATH5.`DIMMPATH1.U06.handle = x8 ? 2*117 : 85+144;
907 `FBD_CH_PATH5.`DIMMPATH1.U07.handle = x8 ? 2*118 : 86+144;
908 `FBD_CH_PATH5.`DIMMPATH1.U08.handle = x8 ? 2*119 : 87+144;
909 `ifndef X8
910 `FBD_CH_PATH5.`DIMMPATH1.U09.handle = 88+144;
911 `FBD_CH_PATH5.`DIMMPATH1.U10.handle = 89+144;
912 `FBD_CH_PATH5.`DIMMPATH1.U11.handle = 90+144;
913 `FBD_CH_PATH5.`DIMMPATH1.U12.handle = 91+144;
914 `FBD_CH_PATH5.`DIMMPATH1.U14.handle = 92+144;
915 `FBD_CH_PATH5.`DIMMPATH1.U15.handle = 93+144;
916 `FBD_CH_PATH5.`DIMMPATH1.U16.handle = 94+144;
917 `FBD_CH_PATH5.`DIMMPATH1.U17.handle = 95+144;
918 `endif
919 `endif
920
921
922
923 `FBD_CH_PATH6.`DIMMPATH1.U00.handle = x8 ? 2*120 : 96+144;
924 `FBD_CH_PATH6.`DIMMPATH1.U01.handle = x8 ? 2*121 : 97+144;
925 `FBD_CH_PATH6.`DIMMPATH1.U02.handle = x8 ? 2*122 : 98+144;
926 `FBD_CH_PATH6.`DIMMPATH1.U03.handle = x8 ? 2*123 : 99+144;
927 `FBD_CH_PATH6.`DIMMPATH1.U05.handle = x8 ? 2*124 : 100+144;
928 `FBD_CH_PATH6.`DIMMPATH1.U06.handle = x8 ? 2*125 : 101+144;
929 `FBD_CH_PATH6.`DIMMPATH1.U07.handle = x8 ? 2*126 : 102+144;
930 `FBD_CH_PATH6.`DIMMPATH1.U08.handle = x8 ? 2*127 : 103+144;
931 `ifndef X8
932 `FBD_CH_PATH6.`DIMMPATH1.U09.handle = 104+144;
933 `FBD_CH_PATH6.`DIMMPATH1.U10.handle = 105+144;
934 `FBD_CH_PATH6.`DIMMPATH1.U11.handle = 106+144;
935 `FBD_CH_PATH6.`DIMMPATH1.U12.handle = 107+144;
936 `FBD_CH_PATH6.`DIMMPATH1.U14.handle = 108+144;
937 `FBD_CH_PATH6.`DIMMPATH1.U15.handle = 109+144;
938 `FBD_CH_PATH6.`DIMMPATH1.U16.handle = 110+144;
939 `FBD_CH_PATH6.`DIMMPATH1.U17.handle = 111+144;
940 `endif
941
942 `ifndef SNG_CHANNEL
943 `FBD_CH_PATH7.`DIMMPATH1.U00.handle = x8 ? 2*128 : 112+144;
944 `FBD_CH_PATH7.`DIMMPATH1.U01.handle = x8 ? 2*129 : 113+144;
945 `FBD_CH_PATH7.`DIMMPATH1.U02.handle = x8 ? 2*130 : 114+144;
946 `FBD_CH_PATH7.`DIMMPATH1.U03.handle = x8 ? 2*131 : 115+144;
947 `FBD_CH_PATH7.`DIMMPATH1.U05.handle = x8 ? 2*132 : 116+144;
948 `FBD_CH_PATH7.`DIMMPATH1.U06.handle = x8 ? 2*133 : 117+144;
949 `FBD_CH_PATH7.`DIMMPATH1.U07.handle = x8 ? 2*134 : 118+144;
950 `FBD_CH_PATH7.`DIMMPATH1.U08.handle = x8 ? 2*135 : 119+144;
951 `ifndef X8
952 `FBD_CH_PATH7.`DIMMPATH1.U09.handle = 120+144;
953 `FBD_CH_PATH7.`DIMMPATH1.U10.handle = 121+144;
954 `FBD_CH_PATH7.`DIMMPATH1.U11.handle = 122+144;
955 `FBD_CH_PATH7.`DIMMPATH1.U12.handle = 123+144;
956 `FBD_CH_PATH7.`DIMMPATH1.U14.handle = 124+144;
957 `FBD_CH_PATH7.`DIMMPATH1.U15.handle = 125+144;
958 `FBD_CH_PATH7.`DIMMPATH1.U16.handle = 126+144;
959 `FBD_CH_PATH7.`DIMMPATH1.U17.handle = 127+144;
960 `endif
961 `endif
962
963
964
965 `FBD_CH_PATH0.`DIMMPATH1.U04.handle = x8 ? 2*136 : 128+144;
966 `ifndef X8
967 `FBD_CH_PATH0.`DIMMPATH1.U13.handle = 129+144;
968 `endif
969 `ifndef SNG_CHANNEL
970 `FBD_CH_PATH1.`DIMMPATH1.U04.handle = x8 ? 2*137 : 130+144;
971 `ifndef X8
972 `FBD_CH_PATH1.`DIMMPATH1.U13.handle = 131+144;
973 `endif
974 `endif
975
976
977 `FBD_CH_PATH2.`DIMMPATH1.U04.handle = x8 ? 2*138 : 132+144;
978 `ifndef X8
979 `FBD_CH_PATH2.`DIMMPATH1.U13.handle = 133+144;
980 `endif
981 `ifndef SNG_CHANNEL
982 `FBD_CH_PATH3.`DIMMPATH1.U04.handle = x8 ? 2*139 : 134+144;
983 `ifndef X8
984 `FBD_CH_PATH3.`DIMMPATH1.U13.handle = 135+144;
985 `endif
986 `endif
987
988
989 `FBD_CH_PATH4.`DIMMPATH1.U04.handle = x8 ? 2*140 : 136+144;
990 `ifndef X8
991 `FBD_CH_PATH4.`DIMMPATH1.U13.handle = 137+144;
992 `endif
993 `ifndef SNG_CHANNEL
994 `FBD_CH_PATH5.`DIMMPATH1.U04.handle = x8 ? 2*141 : 138+144;
995 `ifndef X8
996 `FBD_CH_PATH5.`DIMMPATH1.U13.handle = 139+144;
997 `endif
998 `endif
999
1000
1001 `FBD_CH_PATH6.`DIMMPATH1.U04.handle = x8 ? 2*142 : 140+144;
1002 `ifndef X8
1003 `FBD_CH_PATH6.`DIMMPATH1.U13.handle = 141+144;
1004 `endif
1005 `ifndef SNG_CHANNEL
1006 `FBD_CH_PATH7.`DIMMPATH1.U04.handle = x8 ? 2*143 : 142+144;
1007 `ifndef X8
1008 `FBD_CH_PATH7.`DIMMPATH1.U13.handle = 143+144;
1009 `endif
1010 `endif
1011
1012
1013 `ifdef DBL_SIDE
1014
1015//start10
1016 `FBD_CH_PATH0.`RANK_DIMMPATH1.U00.handle = x8 ? 2*648 : 0+1296;
1017 `FBD_CH_PATH0.`RANK_DIMMPATH1.U01.handle = x8 ? 2*649 : 1+1296;
1018 `FBD_CH_PATH0.`RANK_DIMMPATH1.U02.handle = x8 ? 2*650 : 2+1296;
1019 `FBD_CH_PATH0.`RANK_DIMMPATH1.U03.handle = x8 ? 2*651 : 3+1296;
1020 `FBD_CH_PATH0.`RANK_DIMMPATH1.U05.handle = x8 ? 2*652 : 4+1296;
1021 `FBD_CH_PATH0.`RANK_DIMMPATH1.U06.handle = x8 ? 2*653 : 5+1296;
1022 `FBD_CH_PATH0.`RANK_DIMMPATH1.U07.handle = x8 ? 2*654 : 6+1296;
1023 `FBD_CH_PATH0.`RANK_DIMMPATH1.U08.handle = x8 ? 2*655 : 7+1296;
1024 `ifndef X8
1025 `FBD_CH_PATH0.`RANK_DIMMPATH1.U09.handle = 8+1296;
1026 `FBD_CH_PATH0.`RANK_DIMMPATH1.U10.handle = 9+1296;
1027 `FBD_CH_PATH0.`RANK_DIMMPATH1.U11.handle = 10+1296;
1028 `FBD_CH_PATH0.`RANK_DIMMPATH1.U12.handle = 11+1296;
1029 `FBD_CH_PATH0.`RANK_DIMMPATH1.U14.handle = 12+1296;
1030 `FBD_CH_PATH0.`RANK_DIMMPATH1.U15.handle = 13+1296;
1031 `FBD_CH_PATH0.`RANK_DIMMPATH1.U16.handle = 14+1296;
1032 `FBD_CH_PATH0.`RANK_DIMMPATH1.U17.handle = 15+1296;
1033 `endif
1034
1035 `ifndef SNG_CHANNEL
1036 `FBD_CH_PATH1.`RANK_DIMMPATH1.U00.handle = x8 ? 2*656 : 16+1296;
1037 `FBD_CH_PATH1.`RANK_DIMMPATH1.U01.handle = x8 ? 2*657 : 17+1296;
1038 `FBD_CH_PATH1.`RANK_DIMMPATH1.U02.handle = x8 ? 2*658 : 18+1296;
1039 `FBD_CH_PATH1.`RANK_DIMMPATH1.U03.handle = x8 ? 2*659 : 19+1296;
1040 `FBD_CH_PATH1.`RANK_DIMMPATH1.U05.handle = x8 ? 2*660 : 20+1296;
1041 `FBD_CH_PATH1.`RANK_DIMMPATH1.U06.handle = x8 ? 2*661 : 21+1296;
1042 `FBD_CH_PATH1.`RANK_DIMMPATH1.U07.handle = x8 ? 2*662 : 22+1296;
1043 `FBD_CH_PATH1.`RANK_DIMMPATH1.U08.handle = x8 ? 2*663 : 23+1296;
1044 `ifndef X8
1045 `FBD_CH_PATH1.`RANK_DIMMPATH1.U09.handle = 24+1296;
1046 `FBD_CH_PATH1.`RANK_DIMMPATH1.U10.handle = 25+1296;
1047 `FBD_CH_PATH1.`RANK_DIMMPATH1.U11.handle = 26+1296;
1048 `FBD_CH_PATH1.`RANK_DIMMPATH1.U12.handle = 27+1296;
1049 `FBD_CH_PATH1.`RANK_DIMMPATH1.U14.handle = 28+1296;
1050 `FBD_CH_PATH1.`RANK_DIMMPATH1.U15.handle = 29+1296;
1051 `FBD_CH_PATH1.`RANK_DIMMPATH1.U16.handle = 30+1296;
1052 `FBD_CH_PATH1.`RANK_DIMMPATH1.U17.handle = 31+1296;
1053 `endif
1054 `endif
1055
1056
1057
1058 `FBD_CH_PATH2.`RANK_DIMMPATH1.U00.handle = x8 ? 2*664 : 32+1296;
1059 `FBD_CH_PATH2.`RANK_DIMMPATH1.U01.handle = x8 ? 2*665 : 33+1296;
1060 `FBD_CH_PATH2.`RANK_DIMMPATH1.U02.handle = x8 ? 2*666 : 34+1296;
1061 `FBD_CH_PATH2.`RANK_DIMMPATH1.U03.handle = x8 ? 2*667 : 35+1296;
1062 `FBD_CH_PATH2.`RANK_DIMMPATH1.U05.handle = x8 ? 2*668 : 36+1296;
1063 `FBD_CH_PATH2.`RANK_DIMMPATH1.U06.handle = x8 ? 2*669 : 37+1296;
1064 `FBD_CH_PATH2.`RANK_DIMMPATH1.U07.handle = x8 ? 2*670 : 38+1296;
1065 `FBD_CH_PATH2.`RANK_DIMMPATH1.U08.handle = x8 ? 2*671 : 39+1296;
1066 `ifndef X8
1067 `FBD_CH_PATH2.`RANK_DIMMPATH1.U09.handle = 40+1296;
1068 `FBD_CH_PATH2.`RANK_DIMMPATH1.U10.handle = 41+1296;
1069 `FBD_CH_PATH2.`RANK_DIMMPATH1.U11.handle = 42+1296;
1070 `FBD_CH_PATH2.`RANK_DIMMPATH1.U12.handle = 43+1296;
1071 `FBD_CH_PATH2.`RANK_DIMMPATH1.U14.handle = 44+1296;
1072 `FBD_CH_PATH2.`RANK_DIMMPATH1.U15.handle = 45+1296;
1073 `FBD_CH_PATH2.`RANK_DIMMPATH1.U16.handle = 46+1296;
1074 `FBD_CH_PATH2.`RANK_DIMMPATH1.U17.handle = 47+1296;
1075 `endif
1076
1077 `ifndef SNG_CHANNEL
1078 `FBD_CH_PATH3.`RANK_DIMMPATH1.U00.handle = x8 ? 2*672 : 48+1296;
1079 `FBD_CH_PATH3.`RANK_DIMMPATH1.U01.handle = x8 ? 2*673 : 49+1296;
1080 `FBD_CH_PATH3.`RANK_DIMMPATH1.U02.handle = x8 ? 2*674 : 50+1296;
1081 `FBD_CH_PATH3.`RANK_DIMMPATH1.U03.handle = x8 ? 2*675 : 51+1296;
1082 `FBD_CH_PATH3.`RANK_DIMMPATH1.U05.handle = x8 ? 2*676 : 52+1296;
1083 `FBD_CH_PATH3.`RANK_DIMMPATH1.U06.handle = x8 ? 2*677 : 53+1296;
1084 `FBD_CH_PATH3.`RANK_DIMMPATH1.U07.handle = x8 ? 2*678 : 54+1296;
1085 `FBD_CH_PATH3.`RANK_DIMMPATH1.U08.handle = x8 ? 2*679 : 55+1296;
1086 `ifndef X8
1087 `FBD_CH_PATH3.`RANK_DIMMPATH1.U09.handle = 56+1296;
1088 `FBD_CH_PATH3.`RANK_DIMMPATH1.U10.handle = 57+1296;
1089 `FBD_CH_PATH3.`RANK_DIMMPATH1.U11.handle = 58+1296;
1090 `FBD_CH_PATH3.`RANK_DIMMPATH1.U12.handle = 59+1296;
1091 `FBD_CH_PATH3.`RANK_DIMMPATH1.U14.handle = 60+1296;
1092 `FBD_CH_PATH3.`RANK_DIMMPATH1.U15.handle = 61+1296;
1093 `FBD_CH_PATH3.`RANK_DIMMPATH1.U16.handle = 62+1296;
1094 `FBD_CH_PATH3.`RANK_DIMMPATH1.U17.handle = 63+1296;
1095 `endif
1096 `endif
1097
1098
1099
1100 `FBD_CH_PATH4.`RANK_DIMMPATH1.U00.handle = x8 ? 2*680 : 64+1296;
1101 `FBD_CH_PATH4.`RANK_DIMMPATH1.U01.handle = x8 ? 2*681 : 65+1296;
1102 `FBD_CH_PATH4.`RANK_DIMMPATH1.U02.handle = x8 ? 2*682 : 66+1296;
1103 `FBD_CH_PATH4.`RANK_DIMMPATH1.U03.handle = x8 ? 2*683 : 67+1296;
1104 `FBD_CH_PATH4.`RANK_DIMMPATH1.U05.handle = x8 ? 2*684 : 68+1296;
1105 `FBD_CH_PATH4.`RANK_DIMMPATH1.U06.handle = x8 ? 2*685 : 69+1296;
1106 `FBD_CH_PATH4.`RANK_DIMMPATH1.U07.handle = x8 ? 2*686 : 70+1296;
1107 `FBD_CH_PATH4.`RANK_DIMMPATH1.U08.handle = x8 ? 2*687 : 71+1296;
1108 `ifndef X8
1109 `FBD_CH_PATH4.`RANK_DIMMPATH1.U09.handle = 72+1296;
1110 `FBD_CH_PATH4.`RANK_DIMMPATH1.U10.handle = 73+1296;
1111 `FBD_CH_PATH4.`RANK_DIMMPATH1.U11.handle = 74+1296;
1112 `FBD_CH_PATH4.`RANK_DIMMPATH1.U12.handle = 75+1296;
1113 `FBD_CH_PATH4.`RANK_DIMMPATH1.U14.handle = 76+1296;
1114 `FBD_CH_PATH4.`RANK_DIMMPATH1.U15.handle = 77+1296;
1115 `FBD_CH_PATH4.`RANK_DIMMPATH1.U16.handle = 78+1296;
1116 `FBD_CH_PATH4.`RANK_DIMMPATH1.U17.handle = 79+1296;
1117 `endif
1118
1119 `ifndef SNG_CHANNEL
1120 `FBD_CH_PATH5.`RANK_DIMMPATH1.U00.handle = x8 ? 2*688 : 80+1296;
1121 `FBD_CH_PATH5.`RANK_DIMMPATH1.U01.handle = x8 ? 2*689 : 81+1296;
1122 `FBD_CH_PATH5.`RANK_DIMMPATH1.U02.handle = x8 ? 2*690 : 82+1296;
1123 `FBD_CH_PATH5.`RANK_DIMMPATH1.U03.handle = x8 ? 2*691 : 83+1296;
1124 `FBD_CH_PATH5.`RANK_DIMMPATH1.U05.handle = x8 ? 2*692 : 84+1296;
1125 `FBD_CH_PATH5.`RANK_DIMMPATH1.U06.handle = x8 ? 2*693 : 85+1296;
1126 `FBD_CH_PATH5.`RANK_DIMMPATH1.U07.handle = x8 ? 2*694 : 86+1296;
1127 `FBD_CH_PATH5.`RANK_DIMMPATH1.U08.handle = x8 ? 2*695 : 87+1296;
1128 `ifndef X8
1129 `FBD_CH_PATH5.`RANK_DIMMPATH1.U09.handle = 88+1296;
1130 `FBD_CH_PATH5.`RANK_DIMMPATH1.U10.handle = 89+1296;
1131 `FBD_CH_PATH5.`RANK_DIMMPATH1.U11.handle = 90+1296;
1132 `FBD_CH_PATH5.`RANK_DIMMPATH1.U12.handle = 91+1296;
1133 `FBD_CH_PATH5.`RANK_DIMMPATH1.U14.handle = 92+1296;
1134 `FBD_CH_PATH5.`RANK_DIMMPATH1.U15.handle = 93+1296;
1135 `FBD_CH_PATH5.`RANK_DIMMPATH1.U16.handle = 94+1296;
1136 `FBD_CH_PATH5.`RANK_DIMMPATH1.U17.handle = 95+1296;
1137 `endif
1138 `endif
1139
1140
1141
1142 `FBD_CH_PATH6.`RANK_DIMMPATH1.U00.handle = x8 ? 2*696 : 96+1296;
1143 `FBD_CH_PATH6.`RANK_DIMMPATH1.U01.handle = x8 ? 2*697 : 97+1296;
1144 `FBD_CH_PATH6.`RANK_DIMMPATH1.U02.handle = x8 ? 2*698 : 98+1296;
1145 `FBD_CH_PATH6.`RANK_DIMMPATH1.U03.handle = x8 ? 2*699 : 99+1296;
1146 `FBD_CH_PATH6.`RANK_DIMMPATH1.U05.handle = x8 ? 2*700 : 100+1296;
1147 `FBD_CH_PATH6.`RANK_DIMMPATH1.U06.handle = x8 ? 2*701 : 101+1296;
1148 `FBD_CH_PATH6.`RANK_DIMMPATH1.U07.handle = x8 ? 2*702 : 102+1296;
1149 `FBD_CH_PATH6.`RANK_DIMMPATH1.U08.handle = x8 ? 2*703 : 103+1296;
1150 `ifndef X8
1151 `FBD_CH_PATH6.`RANK_DIMMPATH1.U09.handle = 104+1296;
1152 `FBD_CH_PATH6.`RANK_DIMMPATH1.U10.handle = 105+1296;
1153 `FBD_CH_PATH6.`RANK_DIMMPATH1.U11.handle = 106+1296;
1154 `FBD_CH_PATH6.`RANK_DIMMPATH1.U12.handle = 107+1296;
1155 `FBD_CH_PATH6.`RANK_DIMMPATH1.U14.handle = 108+1296;
1156 `FBD_CH_PATH6.`RANK_DIMMPATH1.U15.handle = 109+1296;
1157 `FBD_CH_PATH6.`RANK_DIMMPATH1.U16.handle = 110+1296;
1158 `FBD_CH_PATH6.`RANK_DIMMPATH1.U17.handle = 111+1296;
1159 `endif
1160
1161 `ifndef SNG_CHANNEL
1162 `FBD_CH_PATH7.`RANK_DIMMPATH1.U00.handle = x8 ? 2*704 : 112+1296;
1163 `FBD_CH_PATH7.`RANK_DIMMPATH1.U01.handle = x8 ? 2*705 : 113+1296;
1164 `FBD_CH_PATH7.`RANK_DIMMPATH1.U02.handle = x8 ? 2*706 : 114+1296;
1165 `FBD_CH_PATH7.`RANK_DIMMPATH1.U03.handle = x8 ? 2*707 : 115+1296;
1166 `FBD_CH_PATH7.`RANK_DIMMPATH1.U05.handle = x8 ? 2*708 : 116+1296;
1167 `FBD_CH_PATH7.`RANK_DIMMPATH1.U06.handle = x8 ? 2*709 : 117+1296;
1168 `FBD_CH_PATH7.`RANK_DIMMPATH1.U07.handle = x8 ? 2*710 : 118+1296;
1169 `FBD_CH_PATH7.`RANK_DIMMPATH1.U08.handle = x8 ? 2*711 : 119+1296;
1170 `ifndef X8
1171 `FBD_CH_PATH7.`RANK_DIMMPATH1.U09.handle = 120+1296;
1172 `FBD_CH_PATH7.`RANK_DIMMPATH1.U10.handle = 121+1296;
1173 `FBD_CH_PATH7.`RANK_DIMMPATH1.U11.handle = 122+1296;
1174 `FBD_CH_PATH7.`RANK_DIMMPATH1.U12.handle = 123+1296;
1175 `FBD_CH_PATH7.`RANK_DIMMPATH1.U14.handle = 124+1296;
1176 `FBD_CH_PATH7.`RANK_DIMMPATH1.U15.handle = 125+1296;
1177 `FBD_CH_PATH7.`RANK_DIMMPATH1.U16.handle = 126+1296;
1178 `FBD_CH_PATH7.`RANK_DIMMPATH1.U17.handle = 127+1296;
1179 `endif
1180 `endif
1181
1182
1183
1184 `FBD_CH_PATH0.`RANK_DIMMPATH1.U04.handle = x8 ? 2*712 : 128+1296;
1185 `ifndef X8
1186 `FBD_CH_PATH0.`RANK_DIMMPATH1.U13.handle = 129+1296;
1187 `endif
1188 `ifndef SNG_CHANNEL
1189 `FBD_CH_PATH1.`RANK_DIMMPATH1.U04.handle = x8 ? 2*713 : 130+1296;
1190 `ifndef X8
1191 `FBD_CH_PATH1.`RANK_DIMMPATH1.U13.handle = 131+1296;
1192 `endif
1193 `endif
1194
1195
1196 `FBD_CH_PATH2.`RANK_DIMMPATH1.U04.handle = x8 ? 2*714 : 132+1296;
1197 `ifndef X8
1198 `FBD_CH_PATH2.`RANK_DIMMPATH1.U13.handle = 133+1296;
1199 `endif
1200 `ifndef SNG_CHANNEL
1201 `FBD_CH_PATH3.`RANK_DIMMPATH1.U04.handle = x8 ? 2*715 : 134+1296;
1202 `ifndef X8
1203 `FBD_CH_PATH3.`RANK_DIMMPATH1.U13.handle = 135+1296;
1204 `endif
1205 `endif
1206
1207
1208 `FBD_CH_PATH4.`RANK_DIMMPATH1.U04.handle = x8 ? 2*716 : 136+1296;
1209 `ifndef X8
1210 `FBD_CH_PATH4.`RANK_DIMMPATH1.U13.handle = 137+1296;
1211 `endif
1212 `ifndef SNG_CHANNEL
1213 `FBD_CH_PATH5.`RANK_DIMMPATH1.U04.handle = x8 ? 2*717 : 138+1296;
1214 `ifndef X8
1215 `FBD_CH_PATH5.`RANK_DIMMPATH1.U13.handle = 139+1296;
1216 `endif
1217 `endif
1218
1219
1220 `FBD_CH_PATH6.`RANK_DIMMPATH1.U04.handle = x8 ? 2*718 : 140+1296;
1221 `ifndef X8
1222 `FBD_CH_PATH6.`RANK_DIMMPATH1.U13.handle = 141+1296;
1223 `endif
1224 `ifndef SNG_CHANNEL
1225 `FBD_CH_PATH7.`RANK_DIMMPATH1.U04.handle = x8 ? 2*719 : 142+1296;
1226 `ifndef X8
1227 `FBD_CH_PATH7.`RANK_DIMMPATH1.U13.handle = 143+1296;
1228 `endif
1229 `endif
1230
1231
1232 `endif
1233
1234 `endif
1235
1236 `ifdef FBD_4
1237
1238//start3
1239 `FBD_CH_PATH0.`DIMMPATH2.U00.handle = x8 ? 2*144 : 0+288;
1240 `FBD_CH_PATH0.`DIMMPATH2.U01.handle = x8 ? 2*145 : 1+288;
1241 `FBD_CH_PATH0.`DIMMPATH2.U02.handle = x8 ? 2*146 : 2+288;
1242 `FBD_CH_PATH0.`DIMMPATH2.U03.handle = x8 ? 2*147 : 3+288;
1243 `FBD_CH_PATH0.`DIMMPATH2.U05.handle = x8 ? 2*148 : 4+288;
1244 `FBD_CH_PATH0.`DIMMPATH2.U06.handle = x8 ? 2*149 : 5+288;
1245 `FBD_CH_PATH0.`DIMMPATH2.U07.handle = x8 ? 2*150 : 6+288;
1246 `FBD_CH_PATH0.`DIMMPATH2.U08.handle = x8 ? 2*151 : 7+288;
1247 `ifndef X8
1248 `FBD_CH_PATH0.`DIMMPATH2.U09.handle = 8+288;
1249 `FBD_CH_PATH0.`DIMMPATH2.U10.handle = 9+288;
1250 `FBD_CH_PATH0.`DIMMPATH2.U11.handle = 10+288;
1251 `FBD_CH_PATH0.`DIMMPATH2.U12.handle = 11+288;
1252 `FBD_CH_PATH0.`DIMMPATH2.U14.handle = 12+288;
1253 `FBD_CH_PATH0.`DIMMPATH2.U15.handle = 13+288;
1254 `FBD_CH_PATH0.`DIMMPATH2.U16.handle = 14+288;
1255 `FBD_CH_PATH0.`DIMMPATH2.U17.handle = 15+288;
1256 `endif
1257
1258 `ifndef SNG_CHANNEL
1259 `FBD_CH_PATH1.`DIMMPATH2.U00.handle = x8 ? 2*152 : 16+288;
1260 `FBD_CH_PATH1.`DIMMPATH2.U01.handle = x8 ? 2*153 : 17+288;
1261 `FBD_CH_PATH1.`DIMMPATH2.U02.handle = x8 ? 2*154 : 18+288;
1262 `FBD_CH_PATH1.`DIMMPATH2.U03.handle = x8 ? 2*155 : 19+288;
1263 `FBD_CH_PATH1.`DIMMPATH2.U05.handle = x8 ? 2*156 : 20+288;
1264 `FBD_CH_PATH1.`DIMMPATH2.U06.handle = x8 ? 2*157 : 21+288;
1265 `FBD_CH_PATH1.`DIMMPATH2.U07.handle = x8 ? 2*158 : 22+288;
1266 `FBD_CH_PATH1.`DIMMPATH2.U08.handle = x8 ? 2*159 : 23+288;
1267 `ifndef X8
1268 `FBD_CH_PATH1.`DIMMPATH2.U09.handle = 24+288;
1269 `FBD_CH_PATH1.`DIMMPATH2.U10.handle = 25+288;
1270 `FBD_CH_PATH1.`DIMMPATH2.U11.handle = 26+288;
1271 `FBD_CH_PATH1.`DIMMPATH2.U12.handle = 27+288;
1272 `FBD_CH_PATH1.`DIMMPATH2.U14.handle = 28+288;
1273 `FBD_CH_PATH1.`DIMMPATH2.U15.handle = 29+288;
1274 `FBD_CH_PATH1.`DIMMPATH2.U16.handle = 30+288;
1275 `FBD_CH_PATH1.`DIMMPATH2.U17.handle = 31+288;
1276 `endif
1277 `endif
1278
1279
1280
1281 `FBD_CH_PATH2.`DIMMPATH2.U00.handle = x8 ? 2*160 : 32+288;
1282 `FBD_CH_PATH2.`DIMMPATH2.U01.handle = x8 ? 2*161 : 33+288;
1283 `FBD_CH_PATH2.`DIMMPATH2.U02.handle = x8 ? 2*162 : 34+288;
1284 `FBD_CH_PATH2.`DIMMPATH2.U03.handle = x8 ? 2*163 : 35+288;
1285 `FBD_CH_PATH2.`DIMMPATH2.U05.handle = x8 ? 2*164 : 36+288;
1286 `FBD_CH_PATH2.`DIMMPATH2.U06.handle = x8 ? 2*165 : 37+288;
1287 `FBD_CH_PATH2.`DIMMPATH2.U07.handle = x8 ? 2*166 : 38+288;
1288 `FBD_CH_PATH2.`DIMMPATH2.U08.handle = x8 ? 2*167 : 39+288;
1289 `ifndef X8
1290 `FBD_CH_PATH2.`DIMMPATH2.U09.handle = 40+288;
1291 `FBD_CH_PATH2.`DIMMPATH2.U10.handle = 41+288;
1292 `FBD_CH_PATH2.`DIMMPATH2.U11.handle = 42+288;
1293 `FBD_CH_PATH2.`DIMMPATH2.U12.handle = 43+288;
1294 `FBD_CH_PATH2.`DIMMPATH2.U14.handle = 44+288;
1295 `FBD_CH_PATH2.`DIMMPATH2.U15.handle = 45+288;
1296 `FBD_CH_PATH2.`DIMMPATH2.U16.handle = 46+288;
1297 `FBD_CH_PATH2.`DIMMPATH2.U17.handle = 47+288;
1298 `endif
1299
1300 `ifndef SNG_CHANNEL
1301 `FBD_CH_PATH3.`DIMMPATH2.U00.handle = x8 ? 2*168 : 48+288;
1302 `FBD_CH_PATH3.`DIMMPATH2.U01.handle = x8 ? 2*169 : 49+288;
1303 `FBD_CH_PATH3.`DIMMPATH2.U02.handle = x8 ? 2*170 : 50+288;
1304 `FBD_CH_PATH3.`DIMMPATH2.U03.handle = x8 ? 2*171 : 51+288;
1305 `FBD_CH_PATH3.`DIMMPATH2.U05.handle = x8 ? 2*172 : 52+288;
1306 `FBD_CH_PATH3.`DIMMPATH2.U06.handle = x8 ? 2*173 : 53+288;
1307 `FBD_CH_PATH3.`DIMMPATH2.U07.handle = x8 ? 2*174 : 54+288;
1308 `FBD_CH_PATH3.`DIMMPATH2.U08.handle = x8 ? 2*175 : 55+288;
1309 `ifndef X8
1310 `FBD_CH_PATH3.`DIMMPATH2.U09.handle = 56+288;
1311 `FBD_CH_PATH3.`DIMMPATH2.U10.handle = 57+288;
1312 `FBD_CH_PATH3.`DIMMPATH2.U11.handle = 58+288;
1313 `FBD_CH_PATH3.`DIMMPATH2.U12.handle = 59+288;
1314 `FBD_CH_PATH3.`DIMMPATH2.U14.handle = 60+288;
1315 `FBD_CH_PATH3.`DIMMPATH2.U15.handle = 61+288;
1316 `FBD_CH_PATH3.`DIMMPATH2.U16.handle = 62+288;
1317 `FBD_CH_PATH3.`DIMMPATH2.U17.handle = 63+288;
1318 `endif
1319 `endif
1320
1321
1322
1323 `FBD_CH_PATH4.`DIMMPATH2.U00.handle = x8 ? 2*176 : 64+288;
1324 `FBD_CH_PATH4.`DIMMPATH2.U01.handle = x8 ? 2*177 : 65+288;
1325 `FBD_CH_PATH4.`DIMMPATH2.U02.handle = x8 ? 2*178 : 66+288;
1326 `FBD_CH_PATH4.`DIMMPATH2.U03.handle = x8 ? 2*179 : 67+288;
1327 `FBD_CH_PATH4.`DIMMPATH2.U05.handle = x8 ? 2*180 : 68+288;
1328 `FBD_CH_PATH4.`DIMMPATH2.U06.handle = x8 ? 2*181 : 69+288;
1329 `FBD_CH_PATH4.`DIMMPATH2.U07.handle = x8 ? 2*182 : 70+288;
1330 `FBD_CH_PATH4.`DIMMPATH2.U08.handle = x8 ? 2*183 : 71+288;
1331 `ifndef X8
1332 `FBD_CH_PATH4.`DIMMPATH2.U09.handle = 72+288;
1333 `FBD_CH_PATH4.`DIMMPATH2.U10.handle = 73+288;
1334 `FBD_CH_PATH4.`DIMMPATH2.U11.handle = 74+288;
1335 `FBD_CH_PATH4.`DIMMPATH2.U12.handle = 75+288;
1336 `FBD_CH_PATH4.`DIMMPATH2.U14.handle = 76+288;
1337 `FBD_CH_PATH4.`DIMMPATH2.U15.handle = 77+288;
1338 `FBD_CH_PATH4.`DIMMPATH2.U16.handle = 78+288;
1339 `FBD_CH_PATH4.`DIMMPATH2.U17.handle = 79+288;
1340 `endif
1341
1342 `ifndef SNG_CHANNEL
1343 `FBD_CH_PATH5.`DIMMPATH2.U00.handle = x8 ? 2*184 : 80+288;
1344 `FBD_CH_PATH5.`DIMMPATH2.U01.handle = x8 ? 2*185 : 81+288;
1345 `FBD_CH_PATH5.`DIMMPATH2.U02.handle = x8 ? 2*186 : 82+288;
1346 `FBD_CH_PATH5.`DIMMPATH2.U03.handle = x8 ? 2*187 : 83+288;
1347 `FBD_CH_PATH5.`DIMMPATH2.U05.handle = x8 ? 2*188 : 84+288;
1348 `FBD_CH_PATH5.`DIMMPATH2.U06.handle = x8 ? 2*189 : 85+288;
1349 `FBD_CH_PATH5.`DIMMPATH2.U07.handle = x8 ? 2*190 : 86+288;
1350 `FBD_CH_PATH5.`DIMMPATH2.U08.handle = x8 ? 2*191 : 87+288;
1351 `ifndef X8
1352 `FBD_CH_PATH5.`DIMMPATH2.U09.handle = 88+288;
1353 `FBD_CH_PATH5.`DIMMPATH2.U10.handle = 89+288;
1354 `FBD_CH_PATH5.`DIMMPATH2.U11.handle = 90+288;
1355 `FBD_CH_PATH5.`DIMMPATH2.U12.handle = 91+288;
1356 `FBD_CH_PATH5.`DIMMPATH2.U14.handle = 92+288;
1357 `FBD_CH_PATH5.`DIMMPATH2.U15.handle = 93+288;
1358 `FBD_CH_PATH5.`DIMMPATH2.U16.handle = 94+288;
1359 `FBD_CH_PATH5.`DIMMPATH2.U17.handle = 95+288;
1360 `endif
1361 `endif
1362
1363
1364
1365 `FBD_CH_PATH6.`DIMMPATH2.U00.handle = x8 ? 2*192 : 96+288;
1366 `FBD_CH_PATH6.`DIMMPATH2.U01.handle = x8 ? 2*193 : 97+288;
1367 `FBD_CH_PATH6.`DIMMPATH2.U02.handle = x8 ? 2*194 : 98+288;
1368 `FBD_CH_PATH6.`DIMMPATH2.U03.handle = x8 ? 2*195 : 99+288;
1369 `FBD_CH_PATH6.`DIMMPATH2.U05.handle = x8 ? 2*196 : 100+288;
1370 `FBD_CH_PATH6.`DIMMPATH2.U06.handle = x8 ? 2*197 : 101+288;
1371 `FBD_CH_PATH6.`DIMMPATH2.U07.handle = x8 ? 2*198 : 102+288;
1372 `FBD_CH_PATH6.`DIMMPATH2.U08.handle = x8 ? 2*199 : 103+288;
1373 `ifndef X8
1374 `FBD_CH_PATH6.`DIMMPATH2.U09.handle = 104+288;
1375 `FBD_CH_PATH6.`DIMMPATH2.U10.handle = 105+288;
1376 `FBD_CH_PATH6.`DIMMPATH2.U11.handle = 106+288;
1377 `FBD_CH_PATH6.`DIMMPATH2.U12.handle = 107+288;
1378 `FBD_CH_PATH6.`DIMMPATH2.U14.handle = 108+288;
1379 `FBD_CH_PATH6.`DIMMPATH2.U15.handle = 109+288;
1380 `FBD_CH_PATH6.`DIMMPATH2.U16.handle = 110+288;
1381 `FBD_CH_PATH6.`DIMMPATH2.U17.handle = 111+288;
1382 `endif
1383
1384 `ifndef SNG_CHANNEL
1385 `FBD_CH_PATH7.`DIMMPATH2.U00.handle = x8 ? 2*200 : 112+288;
1386 `FBD_CH_PATH7.`DIMMPATH2.U01.handle = x8 ? 2*201 : 113+288;
1387 `FBD_CH_PATH7.`DIMMPATH2.U02.handle = x8 ? 2*202 : 114+288;
1388 `FBD_CH_PATH7.`DIMMPATH2.U03.handle = x8 ? 2*203 : 115+288;
1389 `FBD_CH_PATH7.`DIMMPATH2.U05.handle = x8 ? 2*204 : 116+288;
1390 `FBD_CH_PATH7.`DIMMPATH2.U06.handle = x8 ? 2*205 : 117+288;
1391 `FBD_CH_PATH7.`DIMMPATH2.U07.handle = x8 ? 2*206 : 118+288;
1392 `FBD_CH_PATH7.`DIMMPATH2.U08.handle = x8 ? 2*207 : 119+288;
1393 `ifndef X8
1394 `FBD_CH_PATH7.`DIMMPATH2.U09.handle = 120+288;
1395 `FBD_CH_PATH7.`DIMMPATH2.U10.handle = 121+288;
1396 `FBD_CH_PATH7.`DIMMPATH2.U11.handle = 122+288;
1397 `FBD_CH_PATH7.`DIMMPATH2.U12.handle = 123+288;
1398 `FBD_CH_PATH7.`DIMMPATH2.U14.handle = 124+288;
1399 `FBD_CH_PATH7.`DIMMPATH2.U15.handle = 125+288;
1400 `FBD_CH_PATH7.`DIMMPATH2.U16.handle = 126+288;
1401 `FBD_CH_PATH7.`DIMMPATH2.U17.handle = 127+288;
1402 `endif
1403 `endif
1404
1405
1406
1407 `FBD_CH_PATH0.`DIMMPATH2.U04.handle = x8 ? 2*208 : 128+288;
1408 `ifndef X8
1409 `FBD_CH_PATH0.`DIMMPATH2.U13.handle = 129+288;
1410 `endif
1411 `ifndef SNG_CHANNEL
1412 `FBD_CH_PATH1.`DIMMPATH2.U04.handle = x8 ? 2*209 : 130+288;
1413 `ifndef X8
1414 `FBD_CH_PATH1.`DIMMPATH2.U13.handle = 131+288;
1415 `endif
1416 `endif
1417
1418
1419 `FBD_CH_PATH2.`DIMMPATH2.U04.handle = x8 ? 2*210 : 132+288;
1420 `ifndef X8
1421 `FBD_CH_PATH2.`DIMMPATH2.U13.handle = 133+288;
1422 `endif
1423 `ifndef SNG_CHANNEL
1424 `FBD_CH_PATH3.`DIMMPATH2.U04.handle = x8 ? 2*211 : 134+288;
1425 `ifndef X8
1426 `FBD_CH_PATH3.`DIMMPATH2.U13.handle = 135+288;
1427 `endif
1428 `endif
1429
1430
1431 `FBD_CH_PATH4.`DIMMPATH2.U04.handle = x8 ? 2*212 : 136+288;
1432 `ifndef X8
1433 `FBD_CH_PATH4.`DIMMPATH2.U13.handle = 137+288;
1434 `endif
1435 `ifndef SNG_CHANNEL
1436 `FBD_CH_PATH5.`DIMMPATH2.U04.handle = x8 ? 2*213 : 138+288;
1437 `ifndef X8
1438 `FBD_CH_PATH5.`DIMMPATH2.U13.handle = 139+288;
1439 `endif
1440 `endif
1441
1442
1443 `FBD_CH_PATH6.`DIMMPATH2.U04.handle = x8 ? 2*214 : 140+288;
1444 `ifndef X8
1445 `FBD_CH_PATH6.`DIMMPATH2.U13.handle = 141+288;
1446 `endif
1447 `ifndef SNG_CHANNEL
1448 `FBD_CH_PATH7.`DIMMPATH2.U04.handle = x8 ? 2*215 : 142+288;
1449 `ifndef X8
1450 `FBD_CH_PATH7.`DIMMPATH2.U13.handle = 143+288;
1451 `endif
1452 `endif
1453
1454
1455
1456//start4
1457 `FBD_CH_PATH0.`DIMMPATH3.U00.handle = x8 ? 2*216 : 0+432;
1458 `FBD_CH_PATH0.`DIMMPATH3.U01.handle = x8 ? 2*217 : 1+432;
1459 `FBD_CH_PATH0.`DIMMPATH3.U02.handle = x8 ? 2*218 : 2+432;
1460 `FBD_CH_PATH0.`DIMMPATH3.U03.handle = x8 ? 2*219 : 3+432;
1461 `FBD_CH_PATH0.`DIMMPATH3.U05.handle = x8 ? 2*220 : 4+432;
1462 `FBD_CH_PATH0.`DIMMPATH3.U06.handle = x8 ? 2*221 : 5+432;
1463 `FBD_CH_PATH0.`DIMMPATH3.U07.handle = x8 ? 2*222 : 6+432;
1464 `FBD_CH_PATH0.`DIMMPATH3.U08.handle = x8 ? 2*223 : 7+432;
1465 `ifndef X8
1466 `FBD_CH_PATH0.`DIMMPATH3.U09.handle = 8+432;
1467 `FBD_CH_PATH0.`DIMMPATH3.U10.handle = 9+432;
1468 `FBD_CH_PATH0.`DIMMPATH3.U11.handle = 10+432;
1469 `FBD_CH_PATH0.`DIMMPATH3.U12.handle = 11+432;
1470 `FBD_CH_PATH0.`DIMMPATH3.U14.handle = 12+432;
1471 `FBD_CH_PATH0.`DIMMPATH3.U15.handle = 13+432;
1472 `FBD_CH_PATH0.`DIMMPATH3.U16.handle = 14+432;
1473 `FBD_CH_PATH0.`DIMMPATH3.U17.handle = 15+432;
1474 `endif
1475
1476 `ifndef SNG_CHANNEL
1477 `FBD_CH_PATH1.`DIMMPATH3.U00.handle = x8 ? 2*224 : 16+432;
1478 `FBD_CH_PATH1.`DIMMPATH3.U01.handle = x8 ? 2*225 : 17+432;
1479 `FBD_CH_PATH1.`DIMMPATH3.U02.handle = x8 ? 2*226 : 18+432;
1480 `FBD_CH_PATH1.`DIMMPATH3.U03.handle = x8 ? 2*227 : 19+432;
1481 `FBD_CH_PATH1.`DIMMPATH3.U05.handle = x8 ? 2*228 : 20+432;
1482 `FBD_CH_PATH1.`DIMMPATH3.U06.handle = x8 ? 2*229 : 21+432;
1483 `FBD_CH_PATH1.`DIMMPATH3.U07.handle = x8 ? 2*230 : 22+432;
1484 `FBD_CH_PATH1.`DIMMPATH3.U08.handle = x8 ? 2*231 : 23+432;
1485 `ifndef X8
1486 `FBD_CH_PATH1.`DIMMPATH3.U09.handle = 24+432;
1487 `FBD_CH_PATH1.`DIMMPATH3.U10.handle = 25+432;
1488 `FBD_CH_PATH1.`DIMMPATH3.U11.handle = 26+432;
1489 `FBD_CH_PATH1.`DIMMPATH3.U12.handle = 27+432;
1490 `FBD_CH_PATH1.`DIMMPATH3.U14.handle = 28+432;
1491 `FBD_CH_PATH1.`DIMMPATH3.U15.handle = 29+432;
1492 `FBD_CH_PATH1.`DIMMPATH3.U16.handle = 30+432;
1493 `FBD_CH_PATH1.`DIMMPATH3.U17.handle = 31+432;
1494 `endif
1495 `endif
1496
1497
1498
1499 `FBD_CH_PATH2.`DIMMPATH3.U00.handle = x8 ? 2*232 : 32+432;
1500 `FBD_CH_PATH2.`DIMMPATH3.U01.handle = x8 ? 2*233 : 33+432;
1501 `FBD_CH_PATH2.`DIMMPATH3.U02.handle = x8 ? 2*234 : 34+432;
1502 `FBD_CH_PATH2.`DIMMPATH3.U03.handle = x8 ? 2*235 : 35+432;
1503 `FBD_CH_PATH2.`DIMMPATH3.U05.handle = x8 ? 2*236 : 36+432;
1504 `FBD_CH_PATH2.`DIMMPATH3.U06.handle = x8 ? 2*237 : 37+432;
1505 `FBD_CH_PATH2.`DIMMPATH3.U07.handle = x8 ? 2*238 : 38+432;
1506 `FBD_CH_PATH2.`DIMMPATH3.U08.handle = x8 ? 2*239 : 39+432;
1507 `ifndef X8
1508 `FBD_CH_PATH2.`DIMMPATH3.U09.handle = 40+432;
1509 `FBD_CH_PATH2.`DIMMPATH3.U10.handle = 41+432;
1510 `FBD_CH_PATH2.`DIMMPATH3.U11.handle = 42+432;
1511 `FBD_CH_PATH2.`DIMMPATH3.U12.handle = 43+432;
1512 `FBD_CH_PATH2.`DIMMPATH3.U14.handle = 44+432;
1513 `FBD_CH_PATH2.`DIMMPATH3.U15.handle = 45+432;
1514 `FBD_CH_PATH2.`DIMMPATH3.U16.handle = 46+432;
1515 `FBD_CH_PATH2.`DIMMPATH3.U17.handle = 47+432;
1516 `endif
1517
1518 `ifndef SNG_CHANNEL
1519 `FBD_CH_PATH3.`DIMMPATH3.U00.handle = x8 ? 2*240 : 48+432;
1520 `FBD_CH_PATH3.`DIMMPATH3.U01.handle = x8 ? 2*241 : 49+432;
1521 `FBD_CH_PATH3.`DIMMPATH3.U02.handle = x8 ? 2*242 : 50+432;
1522 `FBD_CH_PATH3.`DIMMPATH3.U03.handle = x8 ? 2*243 : 51+432;
1523 `FBD_CH_PATH3.`DIMMPATH3.U05.handle = x8 ? 2*244 : 52+432;
1524 `FBD_CH_PATH3.`DIMMPATH3.U06.handle = x8 ? 2*245 : 53+432;
1525 `FBD_CH_PATH3.`DIMMPATH3.U07.handle = x8 ? 2*246 : 54+432;
1526 `FBD_CH_PATH3.`DIMMPATH3.U08.handle = x8 ? 2*247 : 55+432;
1527 `ifndef X8
1528 `FBD_CH_PATH3.`DIMMPATH3.U09.handle = 56+432;
1529 `FBD_CH_PATH3.`DIMMPATH3.U10.handle = 57+432;
1530 `FBD_CH_PATH3.`DIMMPATH3.U11.handle = 58+432;
1531 `FBD_CH_PATH3.`DIMMPATH3.U12.handle = 59+432;
1532 `FBD_CH_PATH3.`DIMMPATH3.U14.handle = 60+432;
1533 `FBD_CH_PATH3.`DIMMPATH3.U15.handle = 61+432;
1534 `FBD_CH_PATH3.`DIMMPATH3.U16.handle = 62+432;
1535 `FBD_CH_PATH3.`DIMMPATH3.U17.handle = 63+432;
1536 `endif
1537 `endif
1538
1539
1540
1541 `FBD_CH_PATH4.`DIMMPATH3.U00.handle = x8 ? 2*248 : 64+432;
1542 `FBD_CH_PATH4.`DIMMPATH3.U01.handle = x8 ? 2*249 : 65+432;
1543 `FBD_CH_PATH4.`DIMMPATH3.U02.handle = x8 ? 2*250 : 66+432;
1544 `FBD_CH_PATH4.`DIMMPATH3.U03.handle = x8 ? 2*251 : 67+432;
1545 `FBD_CH_PATH4.`DIMMPATH3.U05.handle = x8 ? 2*252 : 68+432;
1546 `FBD_CH_PATH4.`DIMMPATH3.U06.handle = x8 ? 2*253 : 69+432;
1547 `FBD_CH_PATH4.`DIMMPATH3.U07.handle = x8 ? 2*254 : 70+432;
1548 `FBD_CH_PATH4.`DIMMPATH3.U08.handle = x8 ? 2*255 : 71+432;
1549 `ifndef X8
1550 `FBD_CH_PATH4.`DIMMPATH3.U09.handle = 72+432;
1551 `FBD_CH_PATH4.`DIMMPATH3.U10.handle = 73+432;
1552 `FBD_CH_PATH4.`DIMMPATH3.U11.handle = 74+432;
1553 `FBD_CH_PATH4.`DIMMPATH3.U12.handle = 75+432;
1554 `FBD_CH_PATH4.`DIMMPATH3.U14.handle = 76+432;
1555 `FBD_CH_PATH4.`DIMMPATH3.U15.handle = 77+432;
1556 `FBD_CH_PATH4.`DIMMPATH3.U16.handle = 78+432;
1557 `FBD_CH_PATH4.`DIMMPATH3.U17.handle = 79+432;
1558 `endif
1559
1560 `ifndef SNG_CHANNEL
1561 `FBD_CH_PATH5.`DIMMPATH3.U00.handle = x8 ? 2*256 : 80+432;
1562 `FBD_CH_PATH5.`DIMMPATH3.U01.handle = x8 ? 2*257 : 81+432;
1563 `FBD_CH_PATH5.`DIMMPATH3.U02.handle = x8 ? 2*258 : 82+432;
1564 `FBD_CH_PATH5.`DIMMPATH3.U03.handle = x8 ? 2*259 : 83+432;
1565 `FBD_CH_PATH5.`DIMMPATH3.U05.handle = x8 ? 2*260 : 84+432;
1566 `FBD_CH_PATH5.`DIMMPATH3.U06.handle = x8 ? 2*261 : 85+432;
1567 `FBD_CH_PATH5.`DIMMPATH3.U07.handle = x8 ? 2*262 : 86+432;
1568 `FBD_CH_PATH5.`DIMMPATH3.U08.handle = x8 ? 2*263 : 87+432;
1569 `ifndef X8
1570 `FBD_CH_PATH5.`DIMMPATH3.U09.handle = 88+432;
1571 `FBD_CH_PATH5.`DIMMPATH3.U10.handle = 89+432;
1572 `FBD_CH_PATH5.`DIMMPATH3.U11.handle = 90+432;
1573 `FBD_CH_PATH5.`DIMMPATH3.U12.handle = 91+432;
1574 `FBD_CH_PATH5.`DIMMPATH3.U14.handle = 92+432;
1575 `FBD_CH_PATH5.`DIMMPATH3.U15.handle = 93+432;
1576 `FBD_CH_PATH5.`DIMMPATH3.U16.handle = 94+432;
1577 `FBD_CH_PATH5.`DIMMPATH3.U17.handle = 95+432;
1578 `endif
1579 `endif
1580
1581
1582
1583 `FBD_CH_PATH6.`DIMMPATH3.U00.handle = x8 ? 2*264 : 96+432;
1584 `FBD_CH_PATH6.`DIMMPATH3.U01.handle = x8 ? 2*265 : 97+432;
1585 `FBD_CH_PATH6.`DIMMPATH3.U02.handle = x8 ? 2*266 : 98+432;
1586 `FBD_CH_PATH6.`DIMMPATH3.U03.handle = x8 ? 2*267 : 99+432;
1587 `FBD_CH_PATH6.`DIMMPATH3.U05.handle = x8 ? 2*268 : 100+432;
1588 `FBD_CH_PATH6.`DIMMPATH3.U06.handle = x8 ? 2*269 : 101+432;
1589 `FBD_CH_PATH6.`DIMMPATH3.U07.handle = x8 ? 2*270 : 102+432;
1590 `FBD_CH_PATH6.`DIMMPATH3.U08.handle = x8 ? 2*271 : 103+432;
1591 `ifndef X8
1592 `FBD_CH_PATH6.`DIMMPATH3.U09.handle = 104+432;
1593 `FBD_CH_PATH6.`DIMMPATH3.U10.handle = 105+432;
1594 `FBD_CH_PATH6.`DIMMPATH3.U11.handle = 106+432;
1595 `FBD_CH_PATH6.`DIMMPATH3.U12.handle = 107+432;
1596 `FBD_CH_PATH6.`DIMMPATH3.U14.handle = 108+432;
1597 `FBD_CH_PATH6.`DIMMPATH3.U15.handle = 109+432;
1598 `FBD_CH_PATH6.`DIMMPATH3.U16.handle = 110+432;
1599 `FBD_CH_PATH6.`DIMMPATH3.U17.handle = 111+432;
1600 `endif
1601
1602 `ifndef SNG_CHANNEL
1603 `FBD_CH_PATH7.`DIMMPATH3.U00.handle = x8 ? 2*272 : 112+432;
1604 `FBD_CH_PATH7.`DIMMPATH3.U01.handle = x8 ? 2*273 : 113+432;
1605 `FBD_CH_PATH7.`DIMMPATH3.U02.handle = x8 ? 2*274 : 114+432;
1606 `FBD_CH_PATH7.`DIMMPATH3.U03.handle = x8 ? 2*275 : 115+432;
1607 `FBD_CH_PATH7.`DIMMPATH3.U05.handle = x8 ? 2*276 : 116+432;
1608 `FBD_CH_PATH7.`DIMMPATH3.U06.handle = x8 ? 2*277 : 117+432;
1609 `FBD_CH_PATH7.`DIMMPATH3.U07.handle = x8 ? 2*278 : 118+432;
1610 `FBD_CH_PATH7.`DIMMPATH3.U08.handle = x8 ? 2*279 : 119+432;
1611 `ifndef X8
1612 `FBD_CH_PATH7.`DIMMPATH3.U09.handle = 120+432;
1613 `FBD_CH_PATH7.`DIMMPATH3.U10.handle = 121+432;
1614 `FBD_CH_PATH7.`DIMMPATH3.U11.handle = 122+432;
1615 `FBD_CH_PATH7.`DIMMPATH3.U12.handle = 123+432;
1616 `FBD_CH_PATH7.`DIMMPATH3.U14.handle = 124+432;
1617 `FBD_CH_PATH7.`DIMMPATH3.U15.handle = 125+432;
1618 `FBD_CH_PATH7.`DIMMPATH3.U16.handle = 126+432;
1619 `FBD_CH_PATH7.`DIMMPATH3.U17.handle = 127+432;
1620 `endif
1621 `endif
1622
1623
1624
1625 `FBD_CH_PATH0.`DIMMPATH3.U04.handle = x8 ? 2*280 : 128+432;
1626 `ifndef X8
1627 `FBD_CH_PATH0.`DIMMPATH3.U13.handle = 129+432;
1628 `endif
1629 `ifndef SNG_CHANNEL
1630 `FBD_CH_PATH1.`DIMMPATH3.U04.handle = x8 ? 2*281 : 130+432;
1631 `ifndef X8
1632 `FBD_CH_PATH1.`DIMMPATH3.U13.handle = 131+432;
1633 `endif
1634 `endif
1635
1636
1637 `FBD_CH_PATH2.`DIMMPATH3.U04.handle = x8 ? 2*282 : 132+432;
1638 `ifndef X8
1639 `FBD_CH_PATH2.`DIMMPATH3.U13.handle = 133+432;
1640 `endif
1641 `ifndef SNG_CHANNEL
1642 `FBD_CH_PATH3.`DIMMPATH3.U04.handle = x8 ? 2*283 : 134+432;
1643 `ifndef X8
1644 `FBD_CH_PATH3.`DIMMPATH3.U13.handle = 135+432;
1645 `endif
1646 `endif
1647
1648
1649 `FBD_CH_PATH4.`DIMMPATH3.U04.handle = x8 ? 2*284 : 136+432;
1650 `ifndef X8
1651 `FBD_CH_PATH4.`DIMMPATH3.U13.handle = 137+432;
1652 `endif
1653 `ifndef SNG_CHANNEL
1654 `FBD_CH_PATH5.`DIMMPATH3.U04.handle = x8 ? 2*285 : 138+432;
1655 `ifndef X8
1656 `FBD_CH_PATH5.`DIMMPATH3.U13.handle = 139+432;
1657 `endif
1658 `endif
1659
1660
1661 `FBD_CH_PATH6.`DIMMPATH3.U04.handle = x8 ? 2*286 : 140+432;
1662 `ifndef X8
1663 `FBD_CH_PATH6.`DIMMPATH3.U13.handle = 141+432;
1664 `endif
1665 `ifndef SNG_CHANNEL
1666 `FBD_CH_PATH7.`DIMMPATH3.U04.handle = x8 ? 2*287 : 142+432;
1667 `ifndef X8
1668 `FBD_CH_PATH7.`DIMMPATH3.U13.handle = 143+432;
1669 `endif
1670 `endif
1671
1672
1673 `ifdef DBL_SIDE
1674
1675//start11
1676 `FBD_CH_PATH0.`RANK_DIMMPATH2.U00.handle = x8 ? 2*720 : 0+1440;
1677 `FBD_CH_PATH0.`RANK_DIMMPATH2.U01.handle = x8 ? 2*721 : 1+1440;
1678 `FBD_CH_PATH0.`RANK_DIMMPATH2.U02.handle = x8 ? 2*722 : 2+1440;
1679 `FBD_CH_PATH0.`RANK_DIMMPATH2.U03.handle = x8 ? 2*723 : 3+1440;
1680 `FBD_CH_PATH0.`RANK_DIMMPATH2.U05.handle = x8 ? 2*724 : 4+1440;
1681 `FBD_CH_PATH0.`RANK_DIMMPATH2.U06.handle = x8 ? 2*725 : 5+1440;
1682 `FBD_CH_PATH0.`RANK_DIMMPATH2.U07.handle = x8 ? 2*726 : 6+1440;
1683 `FBD_CH_PATH0.`RANK_DIMMPATH2.U08.handle = x8 ? 2*727 : 7+1440;
1684 `ifndef X8
1685 `FBD_CH_PATH0.`RANK_DIMMPATH2.U09.handle = 8+1440;
1686 `FBD_CH_PATH0.`RANK_DIMMPATH2.U10.handle = 9+1440;
1687 `FBD_CH_PATH0.`RANK_DIMMPATH2.U11.handle = 10+1440;
1688 `FBD_CH_PATH0.`RANK_DIMMPATH2.U12.handle = 11+1440;
1689 `FBD_CH_PATH0.`RANK_DIMMPATH2.U14.handle = 12+1440;
1690 `FBD_CH_PATH0.`RANK_DIMMPATH2.U15.handle = 13+1440;
1691 `FBD_CH_PATH0.`RANK_DIMMPATH2.U16.handle = 14+1440;
1692 `FBD_CH_PATH0.`RANK_DIMMPATH2.U17.handle = 15+1440;
1693 `endif
1694
1695 `ifndef SNG_CHANNEL
1696 `FBD_CH_PATH1.`RANK_DIMMPATH2.U00.handle = x8 ? 2*728 : 16+1440;
1697 `FBD_CH_PATH1.`RANK_DIMMPATH2.U01.handle = x8 ? 2*729 : 17+1440;
1698 `FBD_CH_PATH1.`RANK_DIMMPATH2.U02.handle = x8 ? 2*730 : 18+1440;
1699 `FBD_CH_PATH1.`RANK_DIMMPATH2.U03.handle = x8 ? 2*731 : 19+1440;
1700 `FBD_CH_PATH1.`RANK_DIMMPATH2.U05.handle = x8 ? 2*732 : 20+1440;
1701 `FBD_CH_PATH1.`RANK_DIMMPATH2.U06.handle = x8 ? 2*733 : 21+1440;
1702 `FBD_CH_PATH1.`RANK_DIMMPATH2.U07.handle = x8 ? 2*734 : 22+1440;
1703 `FBD_CH_PATH1.`RANK_DIMMPATH2.U08.handle = x8 ? 2*735 : 23+1440;
1704 `ifndef X8
1705 `FBD_CH_PATH1.`RANK_DIMMPATH2.U09.handle = 24+1440;
1706 `FBD_CH_PATH1.`RANK_DIMMPATH2.U10.handle = 25+1440;
1707 `FBD_CH_PATH1.`RANK_DIMMPATH2.U11.handle = 26+1440;
1708 `FBD_CH_PATH1.`RANK_DIMMPATH2.U12.handle = 27+1440;
1709 `FBD_CH_PATH1.`RANK_DIMMPATH2.U14.handle = 28+1440;
1710 `FBD_CH_PATH1.`RANK_DIMMPATH2.U15.handle = 29+1440;
1711 `FBD_CH_PATH1.`RANK_DIMMPATH2.U16.handle = 30+1440;
1712 `FBD_CH_PATH1.`RANK_DIMMPATH2.U17.handle = 31+1440;
1713 `endif
1714 `endif
1715
1716
1717
1718 `FBD_CH_PATH2.`RANK_DIMMPATH2.U00.handle = x8 ? 2*736 : 32+1440;
1719 `FBD_CH_PATH2.`RANK_DIMMPATH2.U01.handle = x8 ? 2*737 : 33+1440;
1720 `FBD_CH_PATH2.`RANK_DIMMPATH2.U02.handle = x8 ? 2*738 : 34+1440;
1721 `FBD_CH_PATH2.`RANK_DIMMPATH2.U03.handle = x8 ? 2*739 : 35+1440;
1722 `FBD_CH_PATH2.`RANK_DIMMPATH2.U05.handle = x8 ? 2*740 : 36+1440;
1723 `FBD_CH_PATH2.`RANK_DIMMPATH2.U06.handle = x8 ? 2*741 : 37+1440;
1724 `FBD_CH_PATH2.`RANK_DIMMPATH2.U07.handle = x8 ? 2*742 : 38+1440;
1725 `FBD_CH_PATH2.`RANK_DIMMPATH2.U08.handle = x8 ? 2*743 : 39+1440;
1726 `ifndef X8
1727 `FBD_CH_PATH2.`RANK_DIMMPATH2.U09.handle = 40+1440;
1728 `FBD_CH_PATH2.`RANK_DIMMPATH2.U10.handle = 41+1440;
1729 `FBD_CH_PATH2.`RANK_DIMMPATH2.U11.handle = 42+1440;
1730 `FBD_CH_PATH2.`RANK_DIMMPATH2.U12.handle = 43+1440;
1731 `FBD_CH_PATH2.`RANK_DIMMPATH2.U14.handle = 44+1440;
1732 `FBD_CH_PATH2.`RANK_DIMMPATH2.U15.handle = 45+1440;
1733 `FBD_CH_PATH2.`RANK_DIMMPATH2.U16.handle = 46+1440;
1734 `FBD_CH_PATH2.`RANK_DIMMPATH2.U17.handle = 47+1440;
1735 `endif
1736
1737 `ifndef SNG_CHANNEL
1738 `FBD_CH_PATH3.`RANK_DIMMPATH2.U00.handle = x8 ? 2*744 : 48+1440;
1739 `FBD_CH_PATH3.`RANK_DIMMPATH2.U01.handle = x8 ? 2*745 : 49+1440;
1740 `FBD_CH_PATH3.`RANK_DIMMPATH2.U02.handle = x8 ? 2*746 : 50+1440;
1741 `FBD_CH_PATH3.`RANK_DIMMPATH2.U03.handle = x8 ? 2*747 : 51+1440;
1742 `FBD_CH_PATH3.`RANK_DIMMPATH2.U05.handle = x8 ? 2*748 : 52+1440;
1743 `FBD_CH_PATH3.`RANK_DIMMPATH2.U06.handle = x8 ? 2*749 : 53+1440;
1744 `FBD_CH_PATH3.`RANK_DIMMPATH2.U07.handle = x8 ? 2*750 : 54+1440;
1745 `FBD_CH_PATH3.`RANK_DIMMPATH2.U08.handle = x8 ? 2*751 : 55+1440;
1746 `ifndef X8
1747 `FBD_CH_PATH3.`RANK_DIMMPATH2.U09.handle = 56+1440;
1748 `FBD_CH_PATH3.`RANK_DIMMPATH2.U10.handle = 57+1440;
1749 `FBD_CH_PATH3.`RANK_DIMMPATH2.U11.handle = 58+1440;
1750 `FBD_CH_PATH3.`RANK_DIMMPATH2.U12.handle = 59+1440;
1751 `FBD_CH_PATH3.`RANK_DIMMPATH2.U14.handle = 60+1440;
1752 `FBD_CH_PATH3.`RANK_DIMMPATH2.U15.handle = 61+1440;
1753 `FBD_CH_PATH3.`RANK_DIMMPATH2.U16.handle = 62+1440;
1754 `FBD_CH_PATH3.`RANK_DIMMPATH2.U17.handle = 63+1440;
1755 `endif
1756 `endif
1757
1758
1759
1760 `FBD_CH_PATH4.`RANK_DIMMPATH2.U00.handle = x8 ? 2*752 : 64+1440;
1761 `FBD_CH_PATH4.`RANK_DIMMPATH2.U01.handle = x8 ? 2*753 : 65+1440;
1762 `FBD_CH_PATH4.`RANK_DIMMPATH2.U02.handle = x8 ? 2*754 : 66+1440;
1763 `FBD_CH_PATH4.`RANK_DIMMPATH2.U03.handle = x8 ? 2*755 : 67+1440;
1764 `FBD_CH_PATH4.`RANK_DIMMPATH2.U05.handle = x8 ? 2*756 : 68+1440;
1765 `FBD_CH_PATH4.`RANK_DIMMPATH2.U06.handle = x8 ? 2*757 : 69+1440;
1766 `FBD_CH_PATH4.`RANK_DIMMPATH2.U07.handle = x8 ? 2*758 : 70+1440;
1767 `FBD_CH_PATH4.`RANK_DIMMPATH2.U08.handle = x8 ? 2*759 : 71+1440;
1768 `ifndef X8
1769 `FBD_CH_PATH4.`RANK_DIMMPATH2.U09.handle = 72+1440;
1770 `FBD_CH_PATH4.`RANK_DIMMPATH2.U10.handle = 73+1440;
1771 `FBD_CH_PATH4.`RANK_DIMMPATH2.U11.handle = 74+1440;
1772 `FBD_CH_PATH4.`RANK_DIMMPATH2.U12.handle = 75+1440;
1773 `FBD_CH_PATH4.`RANK_DIMMPATH2.U14.handle = 76+1440;
1774 `FBD_CH_PATH4.`RANK_DIMMPATH2.U15.handle = 77+1440;
1775 `FBD_CH_PATH4.`RANK_DIMMPATH2.U16.handle = 78+1440;
1776 `FBD_CH_PATH4.`RANK_DIMMPATH2.U17.handle = 79+1440;
1777 `endif
1778
1779 `ifndef SNG_CHANNEL
1780 `FBD_CH_PATH5.`RANK_DIMMPATH2.U00.handle = x8 ? 2*760 : 80+1440;
1781 `FBD_CH_PATH5.`RANK_DIMMPATH2.U01.handle = x8 ? 2*761 : 81+1440;
1782 `FBD_CH_PATH5.`RANK_DIMMPATH2.U02.handle = x8 ? 2*762 : 82+1440;
1783 `FBD_CH_PATH5.`RANK_DIMMPATH2.U03.handle = x8 ? 2*763 : 83+1440;
1784 `FBD_CH_PATH5.`RANK_DIMMPATH2.U05.handle = x8 ? 2*764 : 84+1440;
1785 `FBD_CH_PATH5.`RANK_DIMMPATH2.U06.handle = x8 ? 2*765 : 85+1440;
1786 `FBD_CH_PATH5.`RANK_DIMMPATH2.U07.handle = x8 ? 2*766 : 86+1440;
1787 `FBD_CH_PATH5.`RANK_DIMMPATH2.U08.handle = x8 ? 2*767 : 87+1440;
1788 `ifndef X8
1789 `FBD_CH_PATH5.`RANK_DIMMPATH2.U09.handle = 88+1440;
1790 `FBD_CH_PATH5.`RANK_DIMMPATH2.U10.handle = 89+1440;
1791 `FBD_CH_PATH5.`RANK_DIMMPATH2.U11.handle = 90+1440;
1792 `FBD_CH_PATH5.`RANK_DIMMPATH2.U12.handle = 91+1440;
1793 `FBD_CH_PATH5.`RANK_DIMMPATH2.U14.handle = 92+1440;
1794 `FBD_CH_PATH5.`RANK_DIMMPATH2.U15.handle = 93+1440;
1795 `FBD_CH_PATH5.`RANK_DIMMPATH2.U16.handle = 94+1440;
1796 `FBD_CH_PATH5.`RANK_DIMMPATH2.U17.handle = 95+1440;
1797 `endif
1798 `endif
1799
1800
1801 `FBD_CH_PATH6.`RANK_DIMMPATH2.U00.handle = x8 ? 2*768 : 96+1440;
1802 `FBD_CH_PATH6.`RANK_DIMMPATH2.U01.handle = x8 ? 2*769 : 97+1440;
1803 `FBD_CH_PATH6.`RANK_DIMMPATH2.U02.handle = x8 ? 2*770 : 98+1440;
1804 `FBD_CH_PATH6.`RANK_DIMMPATH2.U03.handle = x8 ? 2*771 : 99+1440;
1805 `FBD_CH_PATH6.`RANK_DIMMPATH2.U05.handle = x8 ? 2*772 : 100+1440;
1806 `FBD_CH_PATH6.`RANK_DIMMPATH2.U06.handle = x8 ? 2*773 : 101+1440;
1807 `FBD_CH_PATH6.`RANK_DIMMPATH2.U07.handle = x8 ? 2*774 : 102+1440;
1808 `FBD_CH_PATH6.`RANK_DIMMPATH2.U08.handle = x8 ? 2*775 : 103+1440;
1809 `ifndef X8
1810 `FBD_CH_PATH6.`RANK_DIMMPATH2.U09.handle = 104+1440;
1811 `FBD_CH_PATH6.`RANK_DIMMPATH2.U10.handle = 105+1440;
1812 `FBD_CH_PATH6.`RANK_DIMMPATH2.U11.handle = 106+1440;
1813 `FBD_CH_PATH6.`RANK_DIMMPATH2.U12.handle = 107+1440;
1814 `FBD_CH_PATH6.`RANK_DIMMPATH2.U14.handle = 108+1440;
1815 `FBD_CH_PATH6.`RANK_DIMMPATH2.U15.handle = 109+1440;
1816 `FBD_CH_PATH6.`RANK_DIMMPATH2.U16.handle = 110+1440;
1817 `FBD_CH_PATH6.`RANK_DIMMPATH2.U17.handle = 111+1440;
1818 `endif
1819
1820 `ifndef SNG_CHANNEL
1821 `FBD_CH_PATH7.`RANK_DIMMPATH2.U00.handle = x8 ? 2*776 : 112+1440;
1822 `FBD_CH_PATH7.`RANK_DIMMPATH2.U01.handle = x8 ? 2*777 : 113+1440;
1823 `FBD_CH_PATH7.`RANK_DIMMPATH2.U02.handle = x8 ? 2*778 : 114+1440;
1824 `FBD_CH_PATH7.`RANK_DIMMPATH2.U03.handle = x8 ? 2*779 : 115+1440;
1825 `FBD_CH_PATH7.`RANK_DIMMPATH2.U05.handle = x8 ? 2*780 : 116+1440;
1826 `FBD_CH_PATH7.`RANK_DIMMPATH2.U06.handle = x8 ? 2*781 : 117+1440;
1827 `FBD_CH_PATH7.`RANK_DIMMPATH2.U07.handle = x8 ? 2*782 : 118+1440;
1828 `FBD_CH_PATH7.`RANK_DIMMPATH2.U08.handle = x8 ? 2*783 : 119+1440;
1829 `ifndef X8
1830 `FBD_CH_PATH7.`RANK_DIMMPATH2.U09.handle = 120+1440;
1831 `FBD_CH_PATH7.`RANK_DIMMPATH2.U10.handle = 121+1440;
1832 `FBD_CH_PATH7.`RANK_DIMMPATH2.U11.handle = 122+1440;
1833 `FBD_CH_PATH7.`RANK_DIMMPATH2.U12.handle = 123+1440;
1834 `FBD_CH_PATH7.`RANK_DIMMPATH2.U14.handle = 124+1440;
1835 `FBD_CH_PATH7.`RANK_DIMMPATH2.U15.handle = 125+1440;
1836 `FBD_CH_PATH7.`RANK_DIMMPATH2.U16.handle = 126+1440;
1837 `FBD_CH_PATH7.`RANK_DIMMPATH2.U17.handle = 127+1440;
1838 `endif
1839 `endif
1840
1841
1842
1843 `FBD_CH_PATH0.`RANK_DIMMPATH2.U04.handle = x8 ? 2*784 : 128+1440;
1844 `ifndef X8
1845 `FBD_CH_PATH0.`RANK_DIMMPATH2.U13.handle = 129+1440;
1846 `endif
1847 `ifndef SNG_CHANNEL
1848 `FBD_CH_PATH1.`RANK_DIMMPATH2.U04.handle = x8 ? 2*785 : 130+1440;
1849 `ifndef X8
1850 `FBD_CH_PATH1.`RANK_DIMMPATH2.U13.handle = 131+1440;
1851 `endif
1852 `endif
1853
1854
1855 `FBD_CH_PATH2.`RANK_DIMMPATH2.U04.handle = x8 ? 2*786 : 132+1440;
1856 `ifndef X8
1857 `FBD_CH_PATH2.`RANK_DIMMPATH2.U13.handle = 133+1440;
1858 `endif
1859 `ifndef SNG_CHANNEL
1860 `FBD_CH_PATH3.`RANK_DIMMPATH2.U04.handle = x8 ? 2*787 : 134+1440;
1861 `ifndef X8
1862 `FBD_CH_PATH3.`RANK_DIMMPATH2.U13.handle = 135+1440;
1863 `endif
1864 `endif
1865
1866
1867 `FBD_CH_PATH4.`RANK_DIMMPATH2.U04.handle = x8 ? 2*788 : 136+1440;
1868 `ifndef X8
1869 `FBD_CH_PATH4.`RANK_DIMMPATH2.U13.handle = 137+1440;
1870 `endif
1871 `ifndef SNG_CHANNEL
1872 `FBD_CH_PATH5.`RANK_DIMMPATH2.U04.handle = x8 ? 2*789 : 138+1440;
1873 `ifndef X8
1874 `FBD_CH_PATH5.`RANK_DIMMPATH2.U13.handle = 139+1440;
1875 `endif
1876 `endif
1877
1878
1879 `FBD_CH_PATH6.`RANK_DIMMPATH2.U04.handle = x8 ? 2*790 : 140+1440;
1880 `ifndef X8
1881 `FBD_CH_PATH6.`RANK_DIMMPATH2.U13.handle = 141+1440;
1882 `endif
1883 `ifndef SNG_CHANNEL
1884 `FBD_CH_PATH7.`RANK_DIMMPATH2.U04.handle = x8 ? 2*791 : 142+1440;
1885 `ifndef X8
1886 `FBD_CH_PATH7.`RANK_DIMMPATH2.U13.handle = 143+1440;
1887 `endif
1888 `endif
1889
1890
1891//start12
1892 `FBD_CH_PATH0.`RANK_DIMMPATH3.U00.handle = x8 ? 2*792 : 0+1584;
1893 `FBD_CH_PATH0.`RANK_DIMMPATH3.U01.handle = x8 ? 2*793 : 1+1584;
1894 `FBD_CH_PATH0.`RANK_DIMMPATH3.U02.handle = x8 ? 2*794 : 2+1584;
1895 `FBD_CH_PATH0.`RANK_DIMMPATH3.U03.handle = x8 ? 2*795 : 3+1584;
1896 `FBD_CH_PATH0.`RANK_DIMMPATH3.U05.handle = x8 ? 2*796 : 4+1584;
1897 `FBD_CH_PATH0.`RANK_DIMMPATH3.U06.handle = x8 ? 2*797 : 5+1584;
1898 `FBD_CH_PATH0.`RANK_DIMMPATH3.U07.handle = x8 ? 2*798 : 6+1584;
1899 `FBD_CH_PATH0.`RANK_DIMMPATH3.U08.handle = x8 ? 2*799 : 7+1584;
1900 `ifndef X8
1901 `FBD_CH_PATH0.`RANK_DIMMPATH3.U09.handle = 8+1584;
1902 `FBD_CH_PATH0.`RANK_DIMMPATH3.U10.handle = 9+1584;
1903 `FBD_CH_PATH0.`RANK_DIMMPATH3.U11.handle = 10+1584;
1904 `FBD_CH_PATH0.`RANK_DIMMPATH3.U12.handle = 11+1584;
1905 `FBD_CH_PATH0.`RANK_DIMMPATH3.U14.handle = 12+1584;
1906 `FBD_CH_PATH0.`RANK_DIMMPATH3.U15.handle = 13+1584;
1907 `FBD_CH_PATH0.`RANK_DIMMPATH3.U16.handle = 14+1584;
1908 `FBD_CH_PATH0.`RANK_DIMMPATH3.U17.handle = 15+1584;
1909 `endif
1910
1911 `ifndef SNG_CHANNEL
1912 `FBD_CH_PATH1.`RANK_DIMMPATH3.U00.handle = x8 ? 2*800 : 16+1584;
1913 `FBD_CH_PATH1.`RANK_DIMMPATH3.U01.handle = x8 ? 2*801 : 17+1584;
1914 `FBD_CH_PATH1.`RANK_DIMMPATH3.U02.handle = x8 ? 2*802 : 18+1584;
1915 `FBD_CH_PATH1.`RANK_DIMMPATH3.U03.handle = x8 ? 2*803 : 19+1584;
1916 `FBD_CH_PATH1.`RANK_DIMMPATH3.U05.handle = x8 ? 2*804 : 20+1584;
1917 `FBD_CH_PATH1.`RANK_DIMMPATH3.U06.handle = x8 ? 2*805 : 21+1584;
1918 `FBD_CH_PATH1.`RANK_DIMMPATH3.U07.handle = x8 ? 2*806 : 22+1584;
1919 `FBD_CH_PATH1.`RANK_DIMMPATH3.U08.handle = x8 ? 2*807 : 23+1584;
1920 `ifndef X8
1921 `FBD_CH_PATH1.`RANK_DIMMPATH3.U09.handle = 24+1584;
1922 `FBD_CH_PATH1.`RANK_DIMMPATH3.U10.handle = 25+1584;
1923 `FBD_CH_PATH1.`RANK_DIMMPATH3.U11.handle = 26+1584;
1924 `FBD_CH_PATH1.`RANK_DIMMPATH3.U12.handle = 27+1584;
1925 `FBD_CH_PATH1.`RANK_DIMMPATH3.U14.handle = 28+1584;
1926 `FBD_CH_PATH1.`RANK_DIMMPATH3.U15.handle = 29+1584;
1927 `FBD_CH_PATH1.`RANK_DIMMPATH3.U16.handle = 30+1584;
1928 `FBD_CH_PATH1.`RANK_DIMMPATH3.U17.handle = 31+1584;
1929 `endif
1930 `endif
1931
1932
1933
1934 `FBD_CH_PATH2.`RANK_DIMMPATH3.U00.handle = x8 ? 2*808 : 32+1584;
1935 `FBD_CH_PATH2.`RANK_DIMMPATH3.U01.handle = x8 ? 2*809 : 33+1584;
1936 `FBD_CH_PATH2.`RANK_DIMMPATH3.U02.handle = x8 ? 2*810 : 34+1584;
1937 `FBD_CH_PATH2.`RANK_DIMMPATH3.U03.handle = x8 ? 2*811 : 35+1584;
1938 `FBD_CH_PATH2.`RANK_DIMMPATH3.U05.handle = x8 ? 2*812 : 36+1584;
1939 `FBD_CH_PATH2.`RANK_DIMMPATH3.U06.handle = x8 ? 2*813 : 37+1584;
1940 `FBD_CH_PATH2.`RANK_DIMMPATH3.U07.handle = x8 ? 2*814 : 38+1584;
1941 `FBD_CH_PATH2.`RANK_DIMMPATH3.U08.handle = x8 ? 2*815 : 39+1584;
1942 `ifndef X8
1943 `FBD_CH_PATH2.`RANK_DIMMPATH3.U09.handle = 40+1584;
1944 `FBD_CH_PATH2.`RANK_DIMMPATH3.U10.handle = 41+1584;
1945 `FBD_CH_PATH2.`RANK_DIMMPATH3.U11.handle = 42+1584;
1946 `FBD_CH_PATH2.`RANK_DIMMPATH3.U12.handle = 43+1584;
1947 `FBD_CH_PATH2.`RANK_DIMMPATH3.U14.handle = 44+1584;
1948 `FBD_CH_PATH2.`RANK_DIMMPATH3.U15.handle = 45+1584;
1949 `FBD_CH_PATH2.`RANK_DIMMPATH3.U16.handle = 46+1584;
1950 `FBD_CH_PATH2.`RANK_DIMMPATH3.U17.handle = 47+1584;
1951 `endif
1952
1953 `ifndef SNG_CHANNEL
1954 `FBD_CH_PATH3.`RANK_DIMMPATH3.U00.handle = x8 ? 2*816 : 48+1584;
1955 `FBD_CH_PATH3.`RANK_DIMMPATH3.U01.handle = x8 ? 2*817 : 49+1584;
1956 `FBD_CH_PATH3.`RANK_DIMMPATH3.U02.handle = x8 ? 2*818 : 50+1584;
1957 `FBD_CH_PATH3.`RANK_DIMMPATH3.U03.handle = x8 ? 2*819 : 51+1584;
1958 `FBD_CH_PATH3.`RANK_DIMMPATH3.U05.handle = x8 ? 2*820 : 52+1584;
1959 `FBD_CH_PATH3.`RANK_DIMMPATH3.U06.handle = x8 ? 2*821 : 53+1584;
1960 `FBD_CH_PATH3.`RANK_DIMMPATH3.U07.handle = x8 ? 2*822 : 54+1584;
1961 `FBD_CH_PATH3.`RANK_DIMMPATH3.U08.handle = x8 ? 2*823 : 55+1584;
1962 `ifndef X8
1963 `FBD_CH_PATH3.`RANK_DIMMPATH3.U09.handle = 56+1584;
1964 `FBD_CH_PATH3.`RANK_DIMMPATH3.U10.handle = 57+1584;
1965 `FBD_CH_PATH3.`RANK_DIMMPATH3.U11.handle = 58+1584;
1966 `FBD_CH_PATH3.`RANK_DIMMPATH3.U12.handle = 59+1584;
1967 `FBD_CH_PATH3.`RANK_DIMMPATH3.U14.handle = 60+1584;
1968 `FBD_CH_PATH3.`RANK_DIMMPATH3.U15.handle = 61+1584;
1969 `FBD_CH_PATH3.`RANK_DIMMPATH3.U16.handle = 62+1584;
1970 `FBD_CH_PATH3.`RANK_DIMMPATH3.U17.handle = 63+1584;
1971 `endif
1972 `endif
1973
1974
1975
1976 `FBD_CH_PATH4.`RANK_DIMMPATH3.U00.handle = x8 ? 2*824 : 64+1584;
1977 `FBD_CH_PATH4.`RANK_DIMMPATH3.U01.handle = x8 ? 2*825 : 65+1584;
1978 `FBD_CH_PATH4.`RANK_DIMMPATH3.U02.handle = x8 ? 2*826 : 66+1584;
1979 `FBD_CH_PATH4.`RANK_DIMMPATH3.U03.handle = x8 ? 2*827 : 67+1584;
1980 `FBD_CH_PATH4.`RANK_DIMMPATH3.U05.handle = x8 ? 2*828 : 68+1584;
1981 `FBD_CH_PATH4.`RANK_DIMMPATH3.U06.handle = x8 ? 2*829 : 69+1584;
1982 `FBD_CH_PATH4.`RANK_DIMMPATH3.U07.handle = x8 ? 2*830 : 70+1584;
1983 `FBD_CH_PATH4.`RANK_DIMMPATH3.U08.handle = x8 ? 2*831 : 71+1584;
1984 `ifndef X8
1985 `FBD_CH_PATH4.`RANK_DIMMPATH3.U09.handle = 72+1584;
1986 `FBD_CH_PATH4.`RANK_DIMMPATH3.U10.handle = 73+1584;
1987 `FBD_CH_PATH4.`RANK_DIMMPATH3.U11.handle = 74+1584;
1988 `FBD_CH_PATH4.`RANK_DIMMPATH3.U12.handle = 75+1584;
1989 `FBD_CH_PATH4.`RANK_DIMMPATH3.U14.handle = 76+1584;
1990 `FBD_CH_PATH4.`RANK_DIMMPATH3.U15.handle = 77+1584;
1991 `FBD_CH_PATH4.`RANK_DIMMPATH3.U16.handle = 78+1584;
1992 `FBD_CH_PATH4.`RANK_DIMMPATH3.U17.handle = 79+1584;
1993 `endif
1994
1995 `ifndef SNG_CHANNEL
1996 `FBD_CH_PATH5.`RANK_DIMMPATH3.U00.handle = x8 ? 2*832 : 80+1584;
1997 `FBD_CH_PATH5.`RANK_DIMMPATH3.U01.handle = x8 ? 2*833 : 81+1584;
1998 `FBD_CH_PATH5.`RANK_DIMMPATH3.U02.handle = x8 ? 2*834 : 82+1584;
1999 `FBD_CH_PATH5.`RANK_DIMMPATH3.U03.handle = x8 ? 2*835 : 83+1584;
2000 `FBD_CH_PATH5.`RANK_DIMMPATH3.U05.handle = x8 ? 2*836 : 84+1584;
2001 `FBD_CH_PATH5.`RANK_DIMMPATH3.U06.handle = x8 ? 2*837 : 85+1584;
2002 `FBD_CH_PATH5.`RANK_DIMMPATH3.U07.handle = x8 ? 2*838 : 86+1584;
2003 `FBD_CH_PATH5.`RANK_DIMMPATH3.U08.handle = x8 ? 2*839 : 87+1584;
2004 `ifndef X8
2005 `FBD_CH_PATH5.`RANK_DIMMPATH3.U09.handle = 88+1584;
2006 `FBD_CH_PATH5.`RANK_DIMMPATH3.U10.handle = 89+1584;
2007 `FBD_CH_PATH5.`RANK_DIMMPATH3.U11.handle = 90+1584;
2008 `FBD_CH_PATH5.`RANK_DIMMPATH3.U12.handle = 91+1584;
2009 `FBD_CH_PATH5.`RANK_DIMMPATH3.U14.handle = 92+1584;
2010 `FBD_CH_PATH5.`RANK_DIMMPATH3.U15.handle = 93+1584;
2011 `FBD_CH_PATH5.`RANK_DIMMPATH3.U16.handle = 94+1584;
2012 `FBD_CH_PATH5.`RANK_DIMMPATH3.U17.handle = 95+1584;
2013 `endif
2014 `endif
2015
2016
2017
2018 `FBD_CH_PATH6.`RANK_DIMMPATH3.U00.handle = x8 ? 2*840 : 96+1584;
2019 `FBD_CH_PATH6.`RANK_DIMMPATH3.U01.handle = x8 ? 2*841 : 97+1584;
2020 `FBD_CH_PATH6.`RANK_DIMMPATH3.U02.handle = x8 ? 2*842 : 98+1584;
2021 `FBD_CH_PATH6.`RANK_DIMMPATH3.U03.handle = x8 ? 2*843 : 99+1584;
2022 `FBD_CH_PATH6.`RANK_DIMMPATH3.U05.handle = x8 ? 2*844 : 100+1584;
2023 `FBD_CH_PATH6.`RANK_DIMMPATH3.U06.handle = x8 ? 2*845 : 101+1584;
2024 `FBD_CH_PATH6.`RANK_DIMMPATH3.U07.handle = x8 ? 2*846 : 102+1584;
2025 `FBD_CH_PATH6.`RANK_DIMMPATH3.U08.handle = x8 ? 2*847 : 103+1584;
2026 `ifndef X8
2027 `FBD_CH_PATH6.`RANK_DIMMPATH3.U09.handle = 104+1584;
2028 `FBD_CH_PATH6.`RANK_DIMMPATH3.U10.handle = 105+1584;
2029 `FBD_CH_PATH6.`RANK_DIMMPATH3.U11.handle = 106+1584;
2030 `FBD_CH_PATH6.`RANK_DIMMPATH3.U12.handle = 107+1584;
2031 `FBD_CH_PATH6.`RANK_DIMMPATH3.U14.handle = 108+1584;
2032 `FBD_CH_PATH6.`RANK_DIMMPATH3.U15.handle = 109+1584;
2033 `FBD_CH_PATH6.`RANK_DIMMPATH3.U16.handle = 110+1584;
2034 `FBD_CH_PATH6.`RANK_DIMMPATH3.U17.handle = 111+1584;
2035 `endif
2036
2037 `ifndef SNG_CHANNEL
2038 `FBD_CH_PATH7.`RANK_DIMMPATH3.U00.handle = x8 ? 2*848 : 112+1584;
2039 `FBD_CH_PATH7.`RANK_DIMMPATH3.U01.handle = x8 ? 2*849 : 113+1584;
2040 `FBD_CH_PATH7.`RANK_DIMMPATH3.U02.handle = x8 ? 2*850 : 114+1584;
2041 `FBD_CH_PATH7.`RANK_DIMMPATH3.U03.handle = x8 ? 2*851 : 115+1584;
2042 `FBD_CH_PATH7.`RANK_DIMMPATH3.U05.handle = x8 ? 2*852 : 116+1584;
2043 `FBD_CH_PATH7.`RANK_DIMMPATH3.U06.handle = x8 ? 2*853 : 117+1584;
2044 `FBD_CH_PATH7.`RANK_DIMMPATH3.U07.handle = x8 ? 2*854 : 118+1584;
2045 `FBD_CH_PATH7.`RANK_DIMMPATH3.U08.handle = x8 ? 2*855 : 119+1584;
2046 `ifndef X8
2047 `FBD_CH_PATH7.`RANK_DIMMPATH3.U09.handle = 120+1584;
2048 `FBD_CH_PATH7.`RANK_DIMMPATH3.U10.handle = 121+1584;
2049 `FBD_CH_PATH7.`RANK_DIMMPATH3.U11.handle = 122+1584;
2050 `FBD_CH_PATH7.`RANK_DIMMPATH3.U12.handle = 123+1584;
2051 `FBD_CH_PATH7.`RANK_DIMMPATH3.U14.handle = 124+1584;
2052 `FBD_CH_PATH7.`RANK_DIMMPATH3.U15.handle = 125+1584;
2053 `FBD_CH_PATH7.`RANK_DIMMPATH3.U16.handle = 126+1584;
2054 `FBD_CH_PATH7.`RANK_DIMMPATH3.U17.handle = 127+1584;
2055 `endif
2056 `endif
2057
2058
2059
2060 `FBD_CH_PATH0.`RANK_DIMMPATH3.U04.handle = x8 ? 2*856 : 128+1584;
2061 `ifndef X8
2062 `FBD_CH_PATH0.`RANK_DIMMPATH3.U13.handle = 129+1584;
2063 `endif
2064 `ifndef SNG_CHANNEL
2065 `FBD_CH_PATH1.`RANK_DIMMPATH3.U04.handle = x8 ? 2*857 : 130+1584;
2066 `ifndef X8
2067 `FBD_CH_PATH1.`RANK_DIMMPATH3.U13.handle = 131+1584;
2068 `endif
2069 `endif
2070
2071
2072 `FBD_CH_PATH2.`RANK_DIMMPATH3.U04.handle = x8 ? 2*858 : 132+1584;
2073 `ifndef X8
2074 `FBD_CH_PATH2.`RANK_DIMMPATH3.U13.handle = 133+1584;
2075 `endif
2076 `ifndef SNG_CHANNEL
2077 `FBD_CH_PATH3.`RANK_DIMMPATH3.U04.handle = x8 ? 2*859 : 134+1584;
2078 `ifndef X8
2079 `FBD_CH_PATH3.`RANK_DIMMPATH3.U13.handle = 135+1584;
2080 `endif
2081 `endif
2082
2083
2084 `FBD_CH_PATH4.`RANK_DIMMPATH3.U04.handle = x8 ? 2*860 : 136+1584;
2085 `ifndef X8
2086 `FBD_CH_PATH4.`RANK_DIMMPATH3.U13.handle = 137+1584;
2087 `endif
2088 `ifndef SNG_CHANNEL
2089 `FBD_CH_PATH5.`RANK_DIMMPATH3.U04.handle = x8 ? 2*861 : 138+1584;
2090 `ifndef X8
2091 `FBD_CH_PATH5.`RANK_DIMMPATH3.U13.handle = 139+1584;
2092 `endif
2093 `endif
2094
2095
2096 `FBD_CH_PATH6.`RANK_DIMMPATH3.U04.handle = x8 ? 2*862 : 140+1584;
2097 `ifndef X8
2098 `FBD_CH_PATH6.`RANK_DIMMPATH3.U13.handle = 141+1584;
2099 `endif
2100 `ifndef SNG_CHANNEL
2101 `FBD_CH_PATH7.`RANK_DIMMPATH3.U04.handle = x8 ? 2*863 : 142+1584;
2102 `ifndef X8
2103 `FBD_CH_PATH7.`RANK_DIMMPATH3.U13.handle = 143+1584;
2104 `endif
2105 `endif
2106
2107
2108 `endif
2109
2110 `endif
2111
2112 `ifdef FBD_6
2113
2114//start5
2115 `FBD_CH_PATH0.`DIMMPATH4.U00.handle = x8 ? 2*288 : 0+576;
2116 `FBD_CH_PATH0.`DIMMPATH4.U01.handle = x8 ? 2*289 : 1+576;
2117 `FBD_CH_PATH0.`DIMMPATH4.U02.handle = x8 ? 2*290 : 2+576;
2118 `FBD_CH_PATH0.`DIMMPATH4.U03.handle = x8 ? 2*291 : 3+576;
2119 `FBD_CH_PATH0.`DIMMPATH4.U05.handle = x8 ? 2*292 : 4+576;
2120 `FBD_CH_PATH0.`DIMMPATH4.U06.handle = x8 ? 2*293 : 5+576;
2121 `FBD_CH_PATH0.`DIMMPATH4.U07.handle = x8 ? 2*294 : 6+576;
2122 `FBD_CH_PATH0.`DIMMPATH4.U08.handle = x8 ? 2*295 : 7+576;
2123 `ifndef X8
2124 `FBD_CH_PATH0.`DIMMPATH4.U09.handle = 8+576;
2125 `FBD_CH_PATH0.`DIMMPATH4.U10.handle = 9+576;
2126 `FBD_CH_PATH0.`DIMMPATH4.U11.handle = 10+576;
2127 `FBD_CH_PATH0.`DIMMPATH4.U12.handle = 11+576;
2128 `FBD_CH_PATH0.`DIMMPATH4.U14.handle = 12+576;
2129 `FBD_CH_PATH0.`DIMMPATH4.U15.handle = 13+576;
2130 `FBD_CH_PATH0.`DIMMPATH4.U16.handle = 14+576;
2131 `FBD_CH_PATH0.`DIMMPATH4.U17.handle = 15+576;
2132 `endif
2133
2134 `ifndef SNG_CHANNEL
2135 `FBD_CH_PATH1.`DIMMPATH4.U00.handle = x8 ? 2*296 : 16+576;
2136 `FBD_CH_PATH1.`DIMMPATH4.U01.handle = x8 ? 2*297 : 17+576;
2137 `FBD_CH_PATH1.`DIMMPATH4.U02.handle = x8 ? 2*298 : 18+576;
2138 `FBD_CH_PATH1.`DIMMPATH4.U03.handle = x8 ? 2*299 : 19+576;
2139 `FBD_CH_PATH1.`DIMMPATH4.U05.handle = x8 ? 2*300 : 20+576;
2140 `FBD_CH_PATH1.`DIMMPATH4.U06.handle = x8 ? 2*301 : 21+576;
2141 `FBD_CH_PATH1.`DIMMPATH4.U07.handle = x8 ? 2*302 : 22+576;
2142 `FBD_CH_PATH1.`DIMMPATH4.U08.handle = x8 ? 2*303 : 23+576;
2143 `ifndef X8
2144 `FBD_CH_PATH1.`DIMMPATH4.U09.handle = 24+576;
2145 `FBD_CH_PATH1.`DIMMPATH4.U10.handle = 25+576;
2146 `FBD_CH_PATH1.`DIMMPATH4.U11.handle = 26+576;
2147 `FBD_CH_PATH1.`DIMMPATH4.U12.handle = 27+576;
2148 `FBD_CH_PATH1.`DIMMPATH4.U14.handle = 28+576;
2149 `FBD_CH_PATH1.`DIMMPATH4.U15.handle = 29+576;
2150 `FBD_CH_PATH1.`DIMMPATH4.U16.handle = 30+576;
2151 `FBD_CH_PATH1.`DIMMPATH4.U17.handle = 31+576;
2152 `endif
2153 `endif
2154
2155
2156
2157 `FBD_CH_PATH2.`DIMMPATH4.U00.handle = x8 ? 2*304 : 32+576;
2158 `FBD_CH_PATH2.`DIMMPATH4.U01.handle = x8 ? 2*305 : 33+576;
2159 `FBD_CH_PATH2.`DIMMPATH4.U02.handle = x8 ? 2*306 : 34+576;
2160 `FBD_CH_PATH2.`DIMMPATH4.U03.handle = x8 ? 2*307 : 35+576;
2161 `FBD_CH_PATH2.`DIMMPATH4.U05.handle = x8 ? 2*308 : 36+576;
2162 `FBD_CH_PATH2.`DIMMPATH4.U06.handle = x8 ? 2*309 : 37+576;
2163 `FBD_CH_PATH2.`DIMMPATH4.U07.handle = x8 ? 2*310 : 38+576;
2164 `FBD_CH_PATH2.`DIMMPATH4.U08.handle = x8 ? 2*311 : 39+576;
2165 `ifndef X8
2166 `FBD_CH_PATH2.`DIMMPATH4.U09.handle = 40+576;
2167 `FBD_CH_PATH2.`DIMMPATH4.U10.handle = 41+576;
2168 `FBD_CH_PATH2.`DIMMPATH4.U11.handle = 42+576;
2169 `FBD_CH_PATH2.`DIMMPATH4.U12.handle = 43+576;
2170 `FBD_CH_PATH2.`DIMMPATH4.U14.handle = 44+576;
2171 `FBD_CH_PATH2.`DIMMPATH4.U15.handle = 45+576;
2172 `FBD_CH_PATH2.`DIMMPATH4.U16.handle = 46+576;
2173 `FBD_CH_PATH2.`DIMMPATH4.U17.handle = 47+576;
2174 `endif
2175
2176 `ifndef SNG_CHANNEL
2177 `FBD_CH_PATH3.`DIMMPATH4.U00.handle = x8 ? 2*312 : 48+576;
2178 `FBD_CH_PATH3.`DIMMPATH4.U01.handle = x8 ? 2*313 : 49+576;
2179 `FBD_CH_PATH3.`DIMMPATH4.U02.handle = x8 ? 2*314 : 50+576;
2180 `FBD_CH_PATH3.`DIMMPATH4.U03.handle = x8 ? 2*315 : 51+576;
2181 `FBD_CH_PATH3.`DIMMPATH4.U05.handle = x8 ? 2*316 : 52+576;
2182 `FBD_CH_PATH3.`DIMMPATH4.U06.handle = x8 ? 2*317 : 53+576;
2183 `FBD_CH_PATH3.`DIMMPATH4.U07.handle = x8 ? 2*318 : 54+576;
2184 `FBD_CH_PATH3.`DIMMPATH4.U08.handle = x8 ? 2*319 : 55+576;
2185 `ifndef X8
2186 `FBD_CH_PATH3.`DIMMPATH4.U09.handle = 56+576;
2187 `FBD_CH_PATH3.`DIMMPATH4.U10.handle = 57+576;
2188 `FBD_CH_PATH3.`DIMMPATH4.U11.handle = 58+576;
2189 `FBD_CH_PATH3.`DIMMPATH4.U12.handle = 59+576;
2190 `FBD_CH_PATH3.`DIMMPATH4.U14.handle = 60+576;
2191 `FBD_CH_PATH3.`DIMMPATH4.U15.handle = 61+576;
2192 `FBD_CH_PATH3.`DIMMPATH4.U16.handle = 62+576;
2193 `FBD_CH_PATH3.`DIMMPATH4.U17.handle = 63+576;
2194 `endif
2195 `endif
2196
2197
2198
2199 `FBD_CH_PATH4.`DIMMPATH4.U00.handle = x8 ? 2*320 : 64+576;
2200 `FBD_CH_PATH4.`DIMMPATH4.U01.handle = x8 ? 2*321 : 65+576;
2201 `FBD_CH_PATH4.`DIMMPATH4.U02.handle = x8 ? 2*322 : 66+576;
2202 `FBD_CH_PATH4.`DIMMPATH4.U03.handle = x8 ? 2*323 : 67+576;
2203 `FBD_CH_PATH4.`DIMMPATH4.U05.handle = x8 ? 2*324 : 68+576;
2204 `FBD_CH_PATH4.`DIMMPATH4.U06.handle = x8 ? 2*325 : 69+576;
2205 `FBD_CH_PATH4.`DIMMPATH4.U07.handle = x8 ? 2*326 : 70+576;
2206 `FBD_CH_PATH4.`DIMMPATH4.U08.handle = x8 ? 2*327 : 71+576;
2207 `ifndef X8
2208 `FBD_CH_PATH4.`DIMMPATH4.U09.handle = 72+576;
2209 `FBD_CH_PATH4.`DIMMPATH4.U10.handle = 73+576;
2210 `FBD_CH_PATH4.`DIMMPATH4.U11.handle = 74+576;
2211 `FBD_CH_PATH4.`DIMMPATH4.U12.handle = 75+576;
2212 `FBD_CH_PATH4.`DIMMPATH4.U14.handle = 76+576;
2213 `FBD_CH_PATH4.`DIMMPATH4.U15.handle = 77+576;
2214 `FBD_CH_PATH4.`DIMMPATH4.U16.handle = 78+576;
2215 `FBD_CH_PATH4.`DIMMPATH4.U17.handle = 79+576;
2216 `endif
2217
2218 `ifndef SNG_CHANNEL
2219 `FBD_CH_PATH5.`DIMMPATH4.U00.handle = x8 ? 2*328 : 80+576;
2220 `FBD_CH_PATH5.`DIMMPATH4.U01.handle = x8 ? 2*329 : 81+576;
2221 `FBD_CH_PATH5.`DIMMPATH4.U02.handle = x8 ? 2*330 : 82+576;
2222 `FBD_CH_PATH5.`DIMMPATH4.U03.handle = x8 ? 2*331 : 83+576;
2223 `FBD_CH_PATH5.`DIMMPATH4.U05.handle = x8 ? 2*332 : 84+576;
2224 `FBD_CH_PATH5.`DIMMPATH4.U06.handle = x8 ? 2*333 : 85+576;
2225 `FBD_CH_PATH5.`DIMMPATH4.U07.handle = x8 ? 2*334 : 86+576;
2226 `FBD_CH_PATH5.`DIMMPATH4.U08.handle = x8 ? 2*335 : 87+576;
2227 `ifndef X8
2228 `FBD_CH_PATH5.`DIMMPATH4.U09.handle = 88+576;
2229 `FBD_CH_PATH5.`DIMMPATH4.U10.handle = 89+576;
2230 `FBD_CH_PATH5.`DIMMPATH4.U11.handle = 90+576;
2231 `FBD_CH_PATH5.`DIMMPATH4.U12.handle = 91+576;
2232 `FBD_CH_PATH5.`DIMMPATH4.U14.handle = 92+576;
2233 `FBD_CH_PATH5.`DIMMPATH4.U15.handle = 93+576;
2234 `FBD_CH_PATH5.`DIMMPATH4.U16.handle = 94+576;
2235 `FBD_CH_PATH5.`DIMMPATH4.U17.handle = 95+576;
2236 `endif
2237 `endif
2238
2239
2240
2241 `FBD_CH_PATH6.`DIMMPATH4.U00.handle = x8 ? 2*336 : 96+576;
2242 `FBD_CH_PATH6.`DIMMPATH4.U01.handle = x8 ? 2*337 : 97+576;
2243 `FBD_CH_PATH6.`DIMMPATH4.U02.handle = x8 ? 2*338 : 98+576;
2244 `FBD_CH_PATH6.`DIMMPATH4.U03.handle = x8 ? 2*339 : 99+576;
2245 `FBD_CH_PATH6.`DIMMPATH4.U05.handle = x8 ? 2*340 : 100+576;
2246 `FBD_CH_PATH6.`DIMMPATH4.U06.handle = x8 ? 2*341 : 101+576;
2247 `FBD_CH_PATH6.`DIMMPATH4.U07.handle = x8 ? 2*342 : 102+576;
2248 `FBD_CH_PATH6.`DIMMPATH4.U08.handle = x8 ? 2*343 : 103+576;
2249 `ifndef X8
2250 `FBD_CH_PATH6.`DIMMPATH4.U09.handle = 104+576;
2251 `FBD_CH_PATH6.`DIMMPATH4.U10.handle = 105+576;
2252 `FBD_CH_PATH6.`DIMMPATH4.U11.handle = 106+576;
2253 `FBD_CH_PATH6.`DIMMPATH4.U12.handle = 107+576;
2254 `FBD_CH_PATH6.`DIMMPATH4.U14.handle = 108+576;
2255 `FBD_CH_PATH6.`DIMMPATH4.U15.handle = 109+576;
2256 `FBD_CH_PATH6.`DIMMPATH4.U16.handle = 110+576;
2257 `FBD_CH_PATH6.`DIMMPATH4.U17.handle = 111+576;
2258 `endif
2259
2260 `ifndef SNG_CHANNEL
2261 `FBD_CH_PATH7.`DIMMPATH4.U00.handle = x8 ? 2*344 : 112+576;
2262 `FBD_CH_PATH7.`DIMMPATH4.U01.handle = x8 ? 2*345 : 113+576;
2263 `FBD_CH_PATH7.`DIMMPATH4.U02.handle = x8 ? 2*346 : 114+576;
2264 `FBD_CH_PATH7.`DIMMPATH4.U03.handle = x8 ? 2*347 : 115+576;
2265 `FBD_CH_PATH7.`DIMMPATH4.U05.handle = x8 ? 2*348 : 116+576;
2266 `FBD_CH_PATH7.`DIMMPATH4.U06.handle = x8 ? 2*349 : 117+576;
2267 `FBD_CH_PATH7.`DIMMPATH4.U07.handle = x8 ? 2*350 : 118+576;
2268 `FBD_CH_PATH7.`DIMMPATH4.U08.handle = x8 ? 2*351 : 119+576;
2269 `ifndef X8
2270 `FBD_CH_PATH7.`DIMMPATH4.U09.handle = 120+576;
2271 `FBD_CH_PATH7.`DIMMPATH4.U10.handle = 121+576;
2272 `FBD_CH_PATH7.`DIMMPATH4.U11.handle = 122+576;
2273 `FBD_CH_PATH7.`DIMMPATH4.U12.handle = 123+576;
2274 `FBD_CH_PATH7.`DIMMPATH4.U14.handle = 124+576;
2275 `FBD_CH_PATH7.`DIMMPATH4.U15.handle = 125+576;
2276 `FBD_CH_PATH7.`DIMMPATH4.U16.handle = 126+576;
2277 `FBD_CH_PATH7.`DIMMPATH4.U17.handle = 127+576;
2278 `endif
2279 `endif
2280
2281
2282
2283 `FBD_CH_PATH0.`DIMMPATH4.U04.handle = x8 ? 2*352 : 128+576;
2284 `ifndef X8
2285 `FBD_CH_PATH0.`DIMMPATH4.U13.handle = 129+576;
2286 `endif
2287 `ifndef SNG_CHANNEL
2288 `FBD_CH_PATH1.`DIMMPATH4.U04.handle = x8 ? 2*353 : 130+576;
2289 `ifndef X8
2290 `FBD_CH_PATH1.`DIMMPATH4.U13.handle = 131+576;
2291 `endif
2292 `endif
2293
2294
2295 `FBD_CH_PATH2.`DIMMPATH4.U04.handle = x8 ? 2*354 : 132+576;
2296 `ifndef X8
2297 `FBD_CH_PATH2.`DIMMPATH4.U13.handle = 133+576;
2298 `endif
2299 `ifndef SNG_CHANNEL
2300 `FBD_CH_PATH3.`DIMMPATH4.U04.handle = x8 ? 2*355 : 134+576;
2301 `ifndef X8
2302 `FBD_CH_PATH3.`DIMMPATH4.U13.handle = 135+576;
2303 `endif
2304 `endif
2305
2306
2307 `FBD_CH_PATH4.`DIMMPATH4.U04.handle = x8 ? 2*356 : 136+576;
2308 `ifndef X8
2309 `FBD_CH_PATH4.`DIMMPATH4.U13.handle = 137+576;
2310 `endif
2311 `ifndef SNG_CHANNEL
2312 `FBD_CH_PATH5.`DIMMPATH4.U04.handle = x8 ? 2*357 : 138+576;
2313 `ifndef X8
2314 `FBD_CH_PATH5.`DIMMPATH4.U13.handle = 139+576;
2315 `endif
2316 `endif
2317
2318
2319 `FBD_CH_PATH6.`DIMMPATH4.U04.handle = x8 ? 2*358 : 140+576;
2320 `ifndef X8
2321 `FBD_CH_PATH6.`DIMMPATH4.U13.handle = 141+576;
2322 `endif
2323 `ifndef SNG_CHANNEL
2324 `FBD_CH_PATH7.`DIMMPATH4.U04.handle = x8 ? 2*359 : 142+576;
2325 `ifndef X8
2326 `FBD_CH_PATH7.`DIMMPATH4.U13.handle = 143+576;
2327 `endif
2328 `endif
2329
2330
2331//start6
2332 `FBD_CH_PATH0.`DIMMPATH5.U00.handle = x8 ? 2*360 : 0+720;
2333 `FBD_CH_PATH0.`DIMMPATH5.U01.handle = x8 ? 2*361 : 1+720;
2334 `FBD_CH_PATH0.`DIMMPATH5.U02.handle = x8 ? 2*362 : 2+720;
2335 `FBD_CH_PATH0.`DIMMPATH5.U03.handle = x8 ? 2*363 : 3+720;
2336 `FBD_CH_PATH0.`DIMMPATH5.U05.handle = x8 ? 2*364 : 4+720;
2337 `FBD_CH_PATH0.`DIMMPATH5.U06.handle = x8 ? 2*365 : 5+720;
2338 `FBD_CH_PATH0.`DIMMPATH5.U07.handle = x8 ? 2*366 : 6+720;
2339 `FBD_CH_PATH0.`DIMMPATH5.U08.handle = x8 ? 2*367 : 7+720;
2340 `ifndef X8
2341 `FBD_CH_PATH0.`DIMMPATH5.U09.handle = 8+720;
2342 `FBD_CH_PATH0.`DIMMPATH5.U10.handle = 9+720;
2343 `FBD_CH_PATH0.`DIMMPATH5.U11.handle = 10+720;
2344 `FBD_CH_PATH0.`DIMMPATH5.U12.handle = 11+720;
2345 `FBD_CH_PATH0.`DIMMPATH5.U14.handle = 12+720;
2346 `FBD_CH_PATH0.`DIMMPATH5.U15.handle = 13+720;
2347 `FBD_CH_PATH0.`DIMMPATH5.U16.handle = 14+720;
2348 `FBD_CH_PATH0.`DIMMPATH5.U17.handle = 15+720;
2349 `endif
2350
2351 `ifndef SNG_CHANNEL
2352 `FBD_CH_PATH1.`DIMMPATH5.U00.handle = x8 ? 2*368 : 16+720;
2353 `FBD_CH_PATH1.`DIMMPATH5.U01.handle = x8 ? 2*369 : 17+720;
2354 `FBD_CH_PATH1.`DIMMPATH5.U02.handle = x8 ? 2*370 : 18+720;
2355 `FBD_CH_PATH1.`DIMMPATH5.U03.handle = x8 ? 2*371 : 19+720;
2356 `FBD_CH_PATH1.`DIMMPATH5.U05.handle = x8 ? 2*372 : 20+720;
2357 `FBD_CH_PATH1.`DIMMPATH5.U06.handle = x8 ? 2*373 : 21+720;
2358 `FBD_CH_PATH1.`DIMMPATH5.U07.handle = x8 ? 2*374 : 22+720;
2359 `FBD_CH_PATH1.`DIMMPATH5.U08.handle = x8 ? 2*375 : 23+720;
2360 `ifndef X8
2361 `FBD_CH_PATH1.`DIMMPATH5.U09.handle = 24+720;
2362 `FBD_CH_PATH1.`DIMMPATH5.U10.handle = 25+720;
2363 `FBD_CH_PATH1.`DIMMPATH5.U11.handle = 26+720;
2364 `FBD_CH_PATH1.`DIMMPATH5.U12.handle = 27+720;
2365 `FBD_CH_PATH1.`DIMMPATH5.U14.handle = 28+720;
2366 `FBD_CH_PATH1.`DIMMPATH5.U15.handle = 29+720;
2367 `FBD_CH_PATH1.`DIMMPATH5.U16.handle = 30+720;
2368 `FBD_CH_PATH1.`DIMMPATH5.U17.handle = 31+720;
2369 `endif
2370 `endif
2371
2372
2373
2374 `FBD_CH_PATH2.`DIMMPATH5.U00.handle = x8 ? 2*376 : 32+720;
2375 `FBD_CH_PATH2.`DIMMPATH5.U01.handle = x8 ? 2*377 : 33+720;
2376 `FBD_CH_PATH2.`DIMMPATH5.U02.handle = x8 ? 2*378 : 34+720;
2377 `FBD_CH_PATH2.`DIMMPATH5.U03.handle = x8 ? 2*379 : 35+720;
2378 `FBD_CH_PATH2.`DIMMPATH5.U05.handle = x8 ? 2*380 : 36+720;
2379 `FBD_CH_PATH2.`DIMMPATH5.U06.handle = x8 ? 2*381 : 37+720;
2380 `FBD_CH_PATH2.`DIMMPATH5.U07.handle = x8 ? 2*382 : 38+720;
2381 `FBD_CH_PATH2.`DIMMPATH5.U08.handle = x8 ? 2*383 : 39+720;
2382 `ifndef X8
2383 `FBD_CH_PATH2.`DIMMPATH5.U09.handle = 40+720;
2384 `FBD_CH_PATH2.`DIMMPATH5.U10.handle = 41+720;
2385 `FBD_CH_PATH2.`DIMMPATH5.U11.handle = 42+720;
2386 `FBD_CH_PATH2.`DIMMPATH5.U12.handle = 43+720;
2387 `FBD_CH_PATH2.`DIMMPATH5.U14.handle = 44+720;
2388 `FBD_CH_PATH2.`DIMMPATH5.U15.handle = 45+720;
2389 `FBD_CH_PATH2.`DIMMPATH5.U16.handle = 46+720;
2390 `FBD_CH_PATH2.`DIMMPATH5.U17.handle = 47+720;
2391 `endif
2392
2393 `ifndef SNG_CHANNEL
2394 `FBD_CH_PATH3.`DIMMPATH5.U00.handle = x8 ? 2*384 : 48+720;
2395 `FBD_CH_PATH3.`DIMMPATH5.U01.handle = x8 ? 2*385 : 49+720;
2396 `FBD_CH_PATH3.`DIMMPATH5.U02.handle = x8 ? 2*386 : 50+720;
2397 `FBD_CH_PATH3.`DIMMPATH5.U03.handle = x8 ? 2*387 : 51+720;
2398 `FBD_CH_PATH3.`DIMMPATH5.U05.handle = x8 ? 2*388 : 52+720;
2399 `FBD_CH_PATH3.`DIMMPATH5.U06.handle = x8 ? 2*389 : 53+720;
2400 `FBD_CH_PATH3.`DIMMPATH5.U07.handle = x8 ? 2*390 : 54+720;
2401 `FBD_CH_PATH3.`DIMMPATH5.U08.handle = x8 ? 2*391 : 55+720;
2402 `ifndef X8
2403 `FBD_CH_PATH3.`DIMMPATH5.U09.handle = 56+720;
2404 `FBD_CH_PATH3.`DIMMPATH5.U10.handle = 57+720;
2405 `FBD_CH_PATH3.`DIMMPATH5.U11.handle = 58+720;
2406 `FBD_CH_PATH3.`DIMMPATH5.U12.handle = 59+720;
2407 `FBD_CH_PATH3.`DIMMPATH5.U14.handle = 60+720;
2408 `FBD_CH_PATH3.`DIMMPATH5.U15.handle = 61+720;
2409 `FBD_CH_PATH3.`DIMMPATH5.U16.handle = 62+720;
2410 `FBD_CH_PATH3.`DIMMPATH5.U17.handle = 63+720;
2411 `endif
2412 `endif
2413
2414
2415
2416 `FBD_CH_PATH4.`DIMMPATH5.U00.handle = x8 ? 2*392 : 64+720;
2417 `FBD_CH_PATH4.`DIMMPATH5.U01.handle = x8 ? 2*393 : 65+720;
2418 `FBD_CH_PATH4.`DIMMPATH5.U02.handle = x8 ? 2*394 : 66+720;
2419 `FBD_CH_PATH4.`DIMMPATH5.U03.handle = x8 ? 2*395 : 67+720;
2420 `FBD_CH_PATH4.`DIMMPATH5.U05.handle = x8 ? 2*396 : 68+720;
2421 `FBD_CH_PATH4.`DIMMPATH5.U06.handle = x8 ? 2*397 : 69+720;
2422 `FBD_CH_PATH4.`DIMMPATH5.U07.handle = x8 ? 2*398 : 70+720;
2423 `FBD_CH_PATH4.`DIMMPATH5.U08.handle = x8 ? 2*399 : 71+720;
2424 `ifndef X8
2425 `FBD_CH_PATH4.`DIMMPATH5.U09.handle = 72+720;
2426 `FBD_CH_PATH4.`DIMMPATH5.U10.handle = 73+720;
2427 `FBD_CH_PATH4.`DIMMPATH5.U11.handle = 74+720;
2428 `FBD_CH_PATH4.`DIMMPATH5.U12.handle = 75+720;
2429 `FBD_CH_PATH4.`DIMMPATH5.U14.handle = 76+720;
2430 `FBD_CH_PATH4.`DIMMPATH5.U15.handle = 77+720;
2431 `FBD_CH_PATH4.`DIMMPATH5.U16.handle = 78+720;
2432 `FBD_CH_PATH4.`DIMMPATH5.U17.handle = 79+720;
2433 `endif
2434
2435 `ifndef SNG_CHANNEL
2436 `FBD_CH_PATH5.`DIMMPATH5.U00.handle = x8 ? 2*400 : 80+720;
2437 `FBD_CH_PATH5.`DIMMPATH5.U01.handle = x8 ? 2*401 : 81+720;
2438 `FBD_CH_PATH5.`DIMMPATH5.U02.handle = x8 ? 2*402 : 82+720;
2439 `FBD_CH_PATH5.`DIMMPATH5.U03.handle = x8 ? 2*403 : 83+720;
2440 `FBD_CH_PATH5.`DIMMPATH5.U05.handle = x8 ? 2*404 : 84+720;
2441 `FBD_CH_PATH5.`DIMMPATH5.U06.handle = x8 ? 2*405 : 85+720;
2442 `FBD_CH_PATH5.`DIMMPATH5.U07.handle = x8 ? 2*406 : 86+720;
2443 `FBD_CH_PATH5.`DIMMPATH5.U08.handle = x8 ? 2*407 : 87+720;
2444 `ifndef X8
2445 `FBD_CH_PATH5.`DIMMPATH5.U09.handle = 88+720;
2446 `FBD_CH_PATH5.`DIMMPATH5.U10.handle = 89+720;
2447 `FBD_CH_PATH5.`DIMMPATH5.U11.handle = 90+720;
2448 `FBD_CH_PATH5.`DIMMPATH5.U12.handle = 91+720;
2449 `FBD_CH_PATH5.`DIMMPATH5.U14.handle = 92+720;
2450 `FBD_CH_PATH5.`DIMMPATH5.U15.handle = 93+720;
2451 `FBD_CH_PATH5.`DIMMPATH5.U16.handle = 94+720;
2452 `FBD_CH_PATH5.`DIMMPATH5.U17.handle = 95+720;
2453 `endif
2454 `endif
2455
2456
2457
2458 `FBD_CH_PATH6.`DIMMPATH5.U00.handle = x8 ? 2*408 : 96+720;
2459 `FBD_CH_PATH6.`DIMMPATH5.U01.handle = x8 ? 2*409 : 97+720;
2460 `FBD_CH_PATH6.`DIMMPATH5.U02.handle = x8 ? 2*410 : 98+720;
2461 `FBD_CH_PATH6.`DIMMPATH5.U03.handle = x8 ? 2*411 : 99+720;
2462 `FBD_CH_PATH6.`DIMMPATH5.U05.handle = x8 ? 2*412 : 100+720;
2463 `FBD_CH_PATH6.`DIMMPATH5.U06.handle = x8 ? 2*413 : 101+720;
2464 `FBD_CH_PATH6.`DIMMPATH5.U07.handle = x8 ? 2*414 : 102+720;
2465 `FBD_CH_PATH6.`DIMMPATH5.U08.handle = x8 ? 2*415 : 103+720;
2466 `ifndef X8
2467 `FBD_CH_PATH6.`DIMMPATH5.U09.handle = 104+720;
2468 `FBD_CH_PATH6.`DIMMPATH5.U10.handle = 105+720;
2469 `FBD_CH_PATH6.`DIMMPATH5.U11.handle = 106+720;
2470 `FBD_CH_PATH6.`DIMMPATH5.U12.handle = 107+720;
2471 `FBD_CH_PATH6.`DIMMPATH5.U14.handle = 108+720;
2472 `FBD_CH_PATH6.`DIMMPATH5.U15.handle = 109+720;
2473 `FBD_CH_PATH6.`DIMMPATH5.U16.handle = 110+720;
2474 `FBD_CH_PATH6.`DIMMPATH5.U17.handle = 111+720;
2475 `endif
2476
2477 `ifndef SNG_CHANNEL
2478 `FBD_CH_PATH7.`DIMMPATH5.U00.handle = x8 ? 2*416 : 112+720;
2479 `FBD_CH_PATH7.`DIMMPATH5.U01.handle = x8 ? 2*417 : 113+720;
2480 `FBD_CH_PATH7.`DIMMPATH5.U02.handle = x8 ? 2*418 : 114+720;
2481 `FBD_CH_PATH7.`DIMMPATH5.U03.handle = x8 ? 2*419 : 115+720;
2482 `FBD_CH_PATH7.`DIMMPATH5.U05.handle = x8 ? 2*420 : 116+720;
2483 `FBD_CH_PATH7.`DIMMPATH5.U06.handle = x8 ? 2*421 : 117+720;
2484 `FBD_CH_PATH7.`DIMMPATH5.U07.handle = x8 ? 2*422 : 118+720;
2485 `FBD_CH_PATH7.`DIMMPATH5.U08.handle = x8 ? 2*423 : 119+720;
2486 `ifndef X8
2487 `FBD_CH_PATH7.`DIMMPATH5.U09.handle = 120+720;
2488 `FBD_CH_PATH7.`DIMMPATH5.U10.handle = 121+720;
2489 `FBD_CH_PATH7.`DIMMPATH5.U11.handle = 122+720;
2490 `FBD_CH_PATH7.`DIMMPATH5.U12.handle = 123+720;
2491 `FBD_CH_PATH7.`DIMMPATH5.U14.handle = 124+720;
2492 `FBD_CH_PATH7.`DIMMPATH5.U15.handle = 125+720;
2493 `FBD_CH_PATH7.`DIMMPATH5.U16.handle = 126+720;
2494 `FBD_CH_PATH7.`DIMMPATH5.U17.handle = 127+720;
2495 `endif
2496 `endif
2497
2498
2499
2500 `FBD_CH_PATH0.`DIMMPATH5.U04.handle = x8 ? 2*424 : 128+720;
2501 `ifndef X8
2502 `FBD_CH_PATH0.`DIMMPATH5.U13.handle = 129+720;
2503 `endif
2504 `ifndef SNG_CHANNEL
2505 `FBD_CH_PATH1.`DIMMPATH5.U04.handle = x8 ? 2*425 : 130+720;
2506 `ifndef X8
2507 `FBD_CH_PATH1.`DIMMPATH5.U13.handle = 131+720;
2508 `endif
2509 `endif
2510
2511
2512 `FBD_CH_PATH2.`DIMMPATH5.U04.handle = x8 ? 2*426 : 132+720;
2513 `ifndef X8
2514 `FBD_CH_PATH2.`DIMMPATH5.U13.handle = 133+720;
2515 `endif
2516 `ifndef SNG_CHANNEL
2517 `FBD_CH_PATH3.`DIMMPATH5.U04.handle = x8 ? 2*427 : 134+720;
2518 `ifndef X8
2519 `FBD_CH_PATH3.`DIMMPATH5.U13.handle = 135+720;
2520 `endif
2521 `endif
2522
2523
2524 `FBD_CH_PATH4.`DIMMPATH5.U04.handle = x8 ? 2*428 : 136+720;
2525 `ifndef X8
2526 `FBD_CH_PATH4.`DIMMPATH5.U13.handle = 137+720;
2527 `endif
2528 `ifndef SNG_CHANNEL
2529 `FBD_CH_PATH5.`DIMMPATH5.U04.handle = x8 ? 2*429 : 138+720;
2530 `ifndef X8
2531 `FBD_CH_PATH5.`DIMMPATH5.U13.handle = 139+720;
2532 `endif
2533 `endif
2534
2535
2536 `FBD_CH_PATH6.`DIMMPATH5.U04.handle = x8 ? 2*430 : 140+720;
2537 `ifndef X8
2538 `FBD_CH_PATH6.`DIMMPATH5.U13.handle = 141+720;
2539 `endif
2540 `ifndef SNG_CHANNEL
2541 `FBD_CH_PATH7.`DIMMPATH5.U04.handle = x8 ? 2*431 : 142+720;
2542 `ifndef X8
2543 `FBD_CH_PATH7.`DIMMPATH5.U13.handle = 143+720;
2544 `endif
2545 `endif
2546
2547
2548 `ifdef DBL_SIDE
2549
2550//start13
2551 `FBD_CH_PATH0.`RANK_DIMMPATH4.U00.handle = x8 ? 2*864 : 0+1728;
2552 `FBD_CH_PATH0.`RANK_DIMMPATH4.U01.handle = x8 ? 2*865 : 1+1728;
2553 `FBD_CH_PATH0.`RANK_DIMMPATH4.U02.handle = x8 ? 2*866 : 2+1728;
2554 `FBD_CH_PATH0.`RANK_DIMMPATH4.U03.handle = x8 ? 2*867 : 3+1728;
2555 `FBD_CH_PATH0.`RANK_DIMMPATH4.U05.handle = x8 ? 2*868 : 4+1728;
2556 `FBD_CH_PATH0.`RANK_DIMMPATH4.U06.handle = x8 ? 2*869 : 5+1728;
2557 `FBD_CH_PATH0.`RANK_DIMMPATH4.U07.handle = x8 ? 2*870 : 6+1728;
2558 `FBD_CH_PATH0.`RANK_DIMMPATH4.U08.handle = x8 ? 2*871 : 7+1728;
2559 `ifndef X8
2560 `FBD_CH_PATH0.`RANK_DIMMPATH4.U09.handle = 8+1728;
2561 `FBD_CH_PATH0.`RANK_DIMMPATH4.U10.handle = 9+1728;
2562 `FBD_CH_PATH0.`RANK_DIMMPATH4.U11.handle = 10+1728;
2563 `FBD_CH_PATH0.`RANK_DIMMPATH4.U12.handle = 11+1728;
2564 `FBD_CH_PATH0.`RANK_DIMMPATH4.U14.handle = 12+1728;
2565 `FBD_CH_PATH0.`RANK_DIMMPATH4.U15.handle = 13+1728;
2566 `FBD_CH_PATH0.`RANK_DIMMPATH4.U16.handle = 14+1728;
2567 `FBD_CH_PATH0.`RANK_DIMMPATH4.U17.handle = 15+1728;
2568 `endif
2569
2570 `ifndef SNG_CHANNEL
2571 `FBD_CH_PATH1.`RANK_DIMMPATH4.U00.handle = x8 ? 2*872 : 16+1728;
2572 `FBD_CH_PATH1.`RANK_DIMMPATH4.U01.handle = x8 ? 2*873 : 17+1728;
2573 `FBD_CH_PATH1.`RANK_DIMMPATH4.U02.handle = x8 ? 2*874 : 18+1728;
2574 `FBD_CH_PATH1.`RANK_DIMMPATH4.U03.handle = x8 ? 2*875 : 19+1728;
2575 `FBD_CH_PATH1.`RANK_DIMMPATH4.U05.handle = x8 ? 2*876 : 20+1728;
2576 `FBD_CH_PATH1.`RANK_DIMMPATH4.U06.handle = x8 ? 2*877 : 21+1728;
2577 `FBD_CH_PATH1.`RANK_DIMMPATH4.U07.handle = x8 ? 2*878 : 22+1728;
2578 `FBD_CH_PATH1.`RANK_DIMMPATH4.U08.handle = x8 ? 2*879 : 23+1728;
2579 `ifndef X8
2580 `FBD_CH_PATH1.`RANK_DIMMPATH4.U09.handle = 24+1728;
2581 `FBD_CH_PATH1.`RANK_DIMMPATH4.U10.handle = 25+1728;
2582 `FBD_CH_PATH1.`RANK_DIMMPATH4.U11.handle = 26+1728;
2583 `FBD_CH_PATH1.`RANK_DIMMPATH4.U12.handle = 27+1728;
2584 `FBD_CH_PATH1.`RANK_DIMMPATH4.U14.handle = 28+1728;
2585 `FBD_CH_PATH1.`RANK_DIMMPATH4.U15.handle = 29+1728;
2586 `FBD_CH_PATH1.`RANK_DIMMPATH4.U16.handle = 30+1728;
2587 `FBD_CH_PATH1.`RANK_DIMMPATH4.U17.handle = 31+1728;
2588 `endif
2589 `endif
2590
2591
2592
2593 `FBD_CH_PATH2.`RANK_DIMMPATH4.U00.handle = x8 ? 2*880 : 32+1728;
2594 `FBD_CH_PATH2.`RANK_DIMMPATH4.U01.handle = x8 ? 2*881 : 33+1728;
2595 `FBD_CH_PATH2.`RANK_DIMMPATH4.U02.handle = x8 ? 2*882 : 34+1728;
2596 `FBD_CH_PATH2.`RANK_DIMMPATH4.U03.handle = x8 ? 2*883 : 35+1728;
2597 `FBD_CH_PATH2.`RANK_DIMMPATH4.U05.handle = x8 ? 2*884 : 36+1728;
2598 `FBD_CH_PATH2.`RANK_DIMMPATH4.U06.handle = x8 ? 2*885 : 37+1728;
2599 `FBD_CH_PATH2.`RANK_DIMMPATH4.U07.handle = x8 ? 2*886 : 38+1728;
2600 `FBD_CH_PATH2.`RANK_DIMMPATH4.U08.handle = x8 ? 2*887 : 39+1728;
2601 `ifndef X8
2602 `FBD_CH_PATH2.`RANK_DIMMPATH4.U09.handle = 40+1728;
2603 `FBD_CH_PATH2.`RANK_DIMMPATH4.U10.handle = 41+1728;
2604 `FBD_CH_PATH2.`RANK_DIMMPATH4.U11.handle = 42+1728;
2605 `FBD_CH_PATH2.`RANK_DIMMPATH4.U12.handle = 43+1728;
2606 `FBD_CH_PATH2.`RANK_DIMMPATH4.U14.handle = 44+1728;
2607 `FBD_CH_PATH2.`RANK_DIMMPATH4.U15.handle = 45+1728;
2608 `FBD_CH_PATH2.`RANK_DIMMPATH4.U16.handle = 46+1728;
2609 `FBD_CH_PATH2.`RANK_DIMMPATH4.U17.handle = 47+1728;
2610 `endif
2611
2612 `ifndef SNG_CHANNEL
2613 `FBD_CH_PATH3.`RANK_DIMMPATH4.U00.handle = x8 ? 2*888 : 48+1728;
2614 `FBD_CH_PATH3.`RANK_DIMMPATH4.U01.handle = x8 ? 2*889 : 49+1728;
2615 `FBD_CH_PATH3.`RANK_DIMMPATH4.U02.handle = x8 ? 2*890 : 50+1728;
2616 `FBD_CH_PATH3.`RANK_DIMMPATH4.U03.handle = x8 ? 2*891 : 51+1728;
2617 `FBD_CH_PATH3.`RANK_DIMMPATH4.U05.handle = x8 ? 2*892 : 52+1728;
2618 `FBD_CH_PATH3.`RANK_DIMMPATH4.U06.handle = x8 ? 2*893 : 53+1728;
2619 `FBD_CH_PATH3.`RANK_DIMMPATH4.U07.handle = x8 ? 2*894 : 54+1728;
2620 `FBD_CH_PATH3.`RANK_DIMMPATH4.U08.handle = x8 ? 2*895 : 55+1728;
2621 `ifndef X8
2622 `FBD_CH_PATH3.`RANK_DIMMPATH4.U09.handle = 56+1728;
2623 `FBD_CH_PATH3.`RANK_DIMMPATH4.U10.handle = 57+1728;
2624 `FBD_CH_PATH3.`RANK_DIMMPATH4.U11.handle = 58+1728;
2625 `FBD_CH_PATH3.`RANK_DIMMPATH4.U12.handle = 59+1728;
2626 `FBD_CH_PATH3.`RANK_DIMMPATH4.U14.handle = 60+1728;
2627 `FBD_CH_PATH3.`RANK_DIMMPATH4.U15.handle = 61+1728;
2628 `FBD_CH_PATH3.`RANK_DIMMPATH4.U16.handle = 62+1728;
2629 `FBD_CH_PATH3.`RANK_DIMMPATH4.U17.handle = 63+1728;
2630 `endif
2631 `endif
2632
2633
2634
2635 `FBD_CH_PATH4.`RANK_DIMMPATH4.U00.handle = x8 ? 2*896 : 64+1728;
2636 `FBD_CH_PATH4.`RANK_DIMMPATH4.U01.handle = x8 ? 2*897 : 65+1728;
2637 `FBD_CH_PATH4.`RANK_DIMMPATH4.U02.handle = x8 ? 2*898 : 66+1728;
2638 `FBD_CH_PATH4.`RANK_DIMMPATH4.U03.handle = x8 ? 2*899 : 67+1728;
2639 `FBD_CH_PATH4.`RANK_DIMMPATH4.U05.handle = x8 ? 2*900 : 68+1728;
2640 `FBD_CH_PATH4.`RANK_DIMMPATH4.U06.handle = x8 ? 2*901 : 69+1728;
2641 `FBD_CH_PATH4.`RANK_DIMMPATH4.U07.handle = x8 ? 2*902 : 70+1728;
2642 `FBD_CH_PATH4.`RANK_DIMMPATH4.U08.handle = x8 ? 2*903 : 71+1728;
2643 `ifndef X8
2644 `FBD_CH_PATH4.`RANK_DIMMPATH4.U09.handle = 72+1728;
2645 `FBD_CH_PATH4.`RANK_DIMMPATH4.U10.handle = 73+1728;
2646 `FBD_CH_PATH4.`RANK_DIMMPATH4.U11.handle = 74+1728;
2647 `FBD_CH_PATH4.`RANK_DIMMPATH4.U12.handle = 75+1728;
2648 `FBD_CH_PATH4.`RANK_DIMMPATH4.U14.handle = 76+1728;
2649 `FBD_CH_PATH4.`RANK_DIMMPATH4.U15.handle = 77+1728;
2650 `FBD_CH_PATH4.`RANK_DIMMPATH4.U16.handle = 78+1728;
2651 `FBD_CH_PATH4.`RANK_DIMMPATH4.U17.handle = 79+1728;
2652 `endif
2653
2654 `ifndef SNG_CHANNEL
2655 `FBD_CH_PATH5.`RANK_DIMMPATH4.U00.handle = x8 ? 2*904 : 80+1728;
2656 `FBD_CH_PATH5.`RANK_DIMMPATH4.U01.handle = x8 ? 2*905 : 81+1728;
2657 `FBD_CH_PATH5.`RANK_DIMMPATH4.U02.handle = x8 ? 2*906 : 82+1728;
2658 `FBD_CH_PATH5.`RANK_DIMMPATH4.U03.handle = x8 ? 2*907 : 83+1728;
2659 `FBD_CH_PATH5.`RANK_DIMMPATH4.U05.handle = x8 ? 2*908 : 84+1728;
2660 `FBD_CH_PATH5.`RANK_DIMMPATH4.U06.handle = x8 ? 2*909 : 85+1728;
2661 `FBD_CH_PATH5.`RANK_DIMMPATH4.U07.handle = x8 ? 2*910 : 86+1728;
2662 `FBD_CH_PATH5.`RANK_DIMMPATH4.U08.handle = x8 ? 2*911 : 87+1728;
2663 `ifndef X8
2664 `FBD_CH_PATH5.`RANK_DIMMPATH4.U09.handle = 88+1728;
2665 `FBD_CH_PATH5.`RANK_DIMMPATH4.U10.handle = 89+1728;
2666 `FBD_CH_PATH5.`RANK_DIMMPATH4.U11.handle = 90+1728;
2667 `FBD_CH_PATH5.`RANK_DIMMPATH4.U12.handle = 91+1728;
2668 `FBD_CH_PATH5.`RANK_DIMMPATH4.U14.handle = 92+1728;
2669 `FBD_CH_PATH5.`RANK_DIMMPATH4.U15.handle = 93+1728;
2670 `FBD_CH_PATH5.`RANK_DIMMPATH4.U16.handle = 94+1728;
2671 `FBD_CH_PATH5.`RANK_DIMMPATH4.U17.handle = 95+1728;
2672 `endif
2673 `endif
2674
2675
2676
2677 `FBD_CH_PATH6.`RANK_DIMMPATH4.U00.handle = x8 ? 2*912 : 96+1728;
2678 `FBD_CH_PATH6.`RANK_DIMMPATH4.U01.handle = x8 ? 2*913 : 97+1728;
2679 `FBD_CH_PATH6.`RANK_DIMMPATH4.U02.handle = x8 ? 2*914 : 98+1728;
2680 `FBD_CH_PATH6.`RANK_DIMMPATH4.U03.handle = x8 ? 2*915 : 99+1728;
2681 `FBD_CH_PATH6.`RANK_DIMMPATH4.U05.handle = x8 ? 2*916 : 100+1728;
2682 `FBD_CH_PATH6.`RANK_DIMMPATH4.U06.handle = x8 ? 2*917 : 101+1728;
2683 `FBD_CH_PATH6.`RANK_DIMMPATH4.U07.handle = x8 ? 2*918 : 102+1728;
2684 `FBD_CH_PATH6.`RANK_DIMMPATH4.U08.handle = x8 ? 2*919 : 103+1728;
2685 `ifndef X8
2686 `FBD_CH_PATH6.`RANK_DIMMPATH4.U09.handle = 104+1728;
2687 `FBD_CH_PATH6.`RANK_DIMMPATH4.U10.handle = 105+1728;
2688 `FBD_CH_PATH6.`RANK_DIMMPATH4.U11.handle = 106+1728;
2689 `FBD_CH_PATH6.`RANK_DIMMPATH4.U12.handle = 107+1728;
2690 `FBD_CH_PATH6.`RANK_DIMMPATH4.U14.handle = 108+1728;
2691 `FBD_CH_PATH6.`RANK_DIMMPATH4.U15.handle = 109+1728;
2692 `FBD_CH_PATH6.`RANK_DIMMPATH4.U16.handle = 110+1728;
2693 `FBD_CH_PATH6.`RANK_DIMMPATH4.U17.handle = 111+1728;
2694 `endif
2695
2696 `ifndef SNG_CHANNEL
2697 `FBD_CH_PATH7.`RANK_DIMMPATH4.U00.handle = x8 ? 2*920 : 112+1728;
2698 `FBD_CH_PATH7.`RANK_DIMMPATH4.U01.handle = x8 ? 2*921 : 113+1728;
2699 `FBD_CH_PATH7.`RANK_DIMMPATH4.U02.handle = x8 ? 2*922 : 114+1728;
2700 `FBD_CH_PATH7.`RANK_DIMMPATH4.U03.handle = x8 ? 2*923 : 115+1728;
2701 `FBD_CH_PATH7.`RANK_DIMMPATH4.U05.handle = x8 ? 2*924 : 116+1728;
2702 `FBD_CH_PATH7.`RANK_DIMMPATH4.U06.handle = x8 ? 2*925 : 117+1728;
2703 `FBD_CH_PATH7.`RANK_DIMMPATH4.U07.handle = x8 ? 2*926 : 118+1728;
2704 `FBD_CH_PATH7.`RANK_DIMMPATH4.U08.handle = x8 ? 2*927 : 119+1728;
2705 `ifndef X8
2706 `FBD_CH_PATH7.`RANK_DIMMPATH4.U09.handle = 120+1728;
2707 `FBD_CH_PATH7.`RANK_DIMMPATH4.U10.handle = 121+1728;
2708 `FBD_CH_PATH7.`RANK_DIMMPATH4.U11.handle = 122+1728;
2709 `FBD_CH_PATH7.`RANK_DIMMPATH4.U12.handle = 123+1728;
2710 `FBD_CH_PATH7.`RANK_DIMMPATH4.U14.handle = 124+1728;
2711 `FBD_CH_PATH7.`RANK_DIMMPATH4.U15.handle = 125+1728;
2712 `FBD_CH_PATH7.`RANK_DIMMPATH4.U16.handle = 126+1728;
2713 `FBD_CH_PATH7.`RANK_DIMMPATH4.U17.handle = 127+1728;
2714 `endif
2715 `endif
2716
2717
2718
2719 `FBD_CH_PATH0.`RANK_DIMMPATH4.U04.handle = x8 ? 2*928 : 128+1728;
2720 `ifndef X8
2721 `FBD_CH_PATH0.`RANK_DIMMPATH4.U13.handle = 129+1728;
2722 `endif
2723 `ifndef SNG_CHANNEL
2724 `FBD_CH_PATH1.`RANK_DIMMPATH4.U04.handle = x8 ? 2*929 : 130+1728;
2725 `ifndef X8
2726 `FBD_CH_PATH1.`RANK_DIMMPATH4.U13.handle = 131+1728;
2727 `endif
2728 `endif
2729
2730
2731 `FBD_CH_PATH2.`RANK_DIMMPATH4.U04.handle = x8 ? 2*930 : 132+1728;
2732 `ifndef X8
2733 `FBD_CH_PATH2.`RANK_DIMMPATH4.U13.handle = 133+1728;
2734 `endif
2735 `ifndef SNG_CHANNEL
2736 `FBD_CH_PATH3.`RANK_DIMMPATH4.U04.handle = x8 ? 2*931 : 134+1728;
2737 `ifndef X8
2738 `FBD_CH_PATH3.`RANK_DIMMPATH4.U13.handle = 135+1728;
2739 `endif
2740 `endif
2741
2742
2743 `FBD_CH_PATH4.`RANK_DIMMPATH4.U04.handle = x8 ? 2*932 : 136+1728;
2744 `ifndef X8
2745 `FBD_CH_PATH4.`RANK_DIMMPATH4.U13.handle = 137+1728;
2746 `endif
2747 `ifndef SNG_CHANNEL
2748 `FBD_CH_PATH5.`RANK_DIMMPATH4.U04.handle = x8 ? 2*933 : 138+1728;
2749 `ifndef X8
2750 `FBD_CH_PATH5.`RANK_DIMMPATH4.U13.handle = 139+1728;
2751 `endif
2752 `endif
2753
2754
2755 `FBD_CH_PATH6.`RANK_DIMMPATH4.U04.handle = x8 ? 2*934 : 140+1728;
2756 `ifndef X8
2757 `FBD_CH_PATH6.`RANK_DIMMPATH4.U13.handle = 141+1728;
2758 `endif
2759 `ifndef SNG_CHANNEL
2760 `FBD_CH_PATH7.`RANK_DIMMPATH4.U04.handle = x8 ? 2*935 : 142+1728;
2761 `ifndef X8
2762 `FBD_CH_PATH7.`RANK_DIMMPATH4.U13.handle = 143+1728;
2763 `endif
2764 `endif
2765
2766
2767
2768//start14
2769 `FBD_CH_PATH0.`RANK_DIMMPATH5.U00.handle = x8 ? 2*936 : 0+1872;
2770 `FBD_CH_PATH0.`RANK_DIMMPATH5.U01.handle = x8 ? 2*937 : 1+1872;
2771 `FBD_CH_PATH0.`RANK_DIMMPATH5.U02.handle = x8 ? 2*938 : 2+1872;
2772 `FBD_CH_PATH0.`RANK_DIMMPATH5.U03.handle = x8 ? 2*939 : 3+1872;
2773 `FBD_CH_PATH0.`RANK_DIMMPATH5.U05.handle = x8 ? 2*940 : 4+1872;
2774 `FBD_CH_PATH0.`RANK_DIMMPATH5.U06.handle = x8 ? 2*941 : 5+1872;
2775 `FBD_CH_PATH0.`RANK_DIMMPATH5.U07.handle = x8 ? 2*942 : 6+1872;
2776 `FBD_CH_PATH0.`RANK_DIMMPATH5.U08.handle = x8 ? 2*943 : 7+1872;
2777 `ifndef X8
2778 `FBD_CH_PATH0.`RANK_DIMMPATH5.U09.handle = 8+1872;
2779 `FBD_CH_PATH0.`RANK_DIMMPATH5.U10.handle = 9+1872;
2780 `FBD_CH_PATH0.`RANK_DIMMPATH5.U11.handle = 10+1872;
2781 `FBD_CH_PATH0.`RANK_DIMMPATH5.U12.handle = 11+1872;
2782 `FBD_CH_PATH0.`RANK_DIMMPATH5.U14.handle = 12+1872;
2783 `FBD_CH_PATH0.`RANK_DIMMPATH5.U15.handle = 13+1872;
2784 `FBD_CH_PATH0.`RANK_DIMMPATH5.U16.handle = 14+1872;
2785 `FBD_CH_PATH0.`RANK_DIMMPATH5.U17.handle = 15+1872;
2786 `endif
2787
2788 `ifndef SNG_CHANNEL
2789 `FBD_CH_PATH1.`RANK_DIMMPATH5.U00.handle = x8 ? 2*944 : 16+1872;
2790 `FBD_CH_PATH1.`RANK_DIMMPATH5.U01.handle = x8 ? 2*945 : 17+1872;
2791 `FBD_CH_PATH1.`RANK_DIMMPATH5.U02.handle = x8 ? 2*946 : 18+1872;
2792 `FBD_CH_PATH1.`RANK_DIMMPATH5.U03.handle = x8 ? 2*947 : 19+1872;
2793 `FBD_CH_PATH1.`RANK_DIMMPATH5.U05.handle = x8 ? 2*948 : 20+1872;
2794 `FBD_CH_PATH1.`RANK_DIMMPATH5.U06.handle = x8 ? 2*949 : 21+1872;
2795 `FBD_CH_PATH1.`RANK_DIMMPATH5.U07.handle = x8 ? 2*950 : 22+1872;
2796 `FBD_CH_PATH1.`RANK_DIMMPATH5.U08.handle = x8 ? 2*951 : 23+1872;
2797 `ifndef X8
2798 `FBD_CH_PATH1.`RANK_DIMMPATH5.U09.handle = 24+1872;
2799 `FBD_CH_PATH1.`RANK_DIMMPATH5.U10.handle = 25+1872;
2800 `FBD_CH_PATH1.`RANK_DIMMPATH5.U11.handle = 26+1872;
2801 `FBD_CH_PATH1.`RANK_DIMMPATH5.U12.handle = 27+1872;
2802 `FBD_CH_PATH1.`RANK_DIMMPATH5.U14.handle = 28+1872;
2803 `FBD_CH_PATH1.`RANK_DIMMPATH5.U15.handle = 29+1872;
2804 `FBD_CH_PATH1.`RANK_DIMMPATH5.U16.handle = 30+1872;
2805 `FBD_CH_PATH1.`RANK_DIMMPATH5.U17.handle = 31+1872;
2806 `endif
2807 `endif
2808
2809
2810
2811 `FBD_CH_PATH2.`RANK_DIMMPATH5.U00.handle = x8 ? 2*952 : 32+1872;
2812 `FBD_CH_PATH2.`RANK_DIMMPATH5.U01.handle = x8 ? 2*953 : 33+1872;
2813 `FBD_CH_PATH2.`RANK_DIMMPATH5.U02.handle = x8 ? 2*954 : 34+1872;
2814 `FBD_CH_PATH2.`RANK_DIMMPATH5.U03.handle = x8 ? 2*955 : 35+1872;
2815 `FBD_CH_PATH2.`RANK_DIMMPATH5.U05.handle = x8 ? 2*956 : 36+1872;
2816 `FBD_CH_PATH2.`RANK_DIMMPATH5.U06.handle = x8 ? 2*957 : 37+1872;
2817 `FBD_CH_PATH2.`RANK_DIMMPATH5.U07.handle = x8 ? 2*958 : 38+1872;
2818 `FBD_CH_PATH2.`RANK_DIMMPATH5.U08.handle = x8 ? 2*959 : 39+1872;
2819 `ifndef X8
2820 `FBD_CH_PATH2.`RANK_DIMMPATH5.U09.handle = 40+1872;
2821 `FBD_CH_PATH2.`RANK_DIMMPATH5.U10.handle = 41+1872;
2822 `FBD_CH_PATH2.`RANK_DIMMPATH5.U11.handle = 42+1872;
2823 `FBD_CH_PATH2.`RANK_DIMMPATH5.U12.handle = 43+1872;
2824 `FBD_CH_PATH2.`RANK_DIMMPATH5.U14.handle = 44+1872;
2825 `FBD_CH_PATH2.`RANK_DIMMPATH5.U15.handle = 45+1872;
2826 `FBD_CH_PATH2.`RANK_DIMMPATH5.U16.handle = 46+1872;
2827 `FBD_CH_PATH2.`RANK_DIMMPATH5.U17.handle = 47+1872;
2828 `endif
2829
2830 `ifndef SNG_CHANNEL
2831 `FBD_CH_PATH3.`RANK_DIMMPATH5.U00.handle = x8 ? 2*960 : 48+1872;
2832 `FBD_CH_PATH3.`RANK_DIMMPATH5.U01.handle = x8 ? 2*961 : 49+1872;
2833 `FBD_CH_PATH3.`RANK_DIMMPATH5.U02.handle = x8 ? 2*962 : 50+1872;
2834 `FBD_CH_PATH3.`RANK_DIMMPATH5.U03.handle = x8 ? 2*963 : 51+1872;
2835 `FBD_CH_PATH3.`RANK_DIMMPATH5.U05.handle = x8 ? 2*964 : 52+1872;
2836 `FBD_CH_PATH3.`RANK_DIMMPATH5.U06.handle = x8 ? 2*965 : 53+1872;
2837 `FBD_CH_PATH3.`RANK_DIMMPATH5.U07.handle = x8 ? 2*966 : 54+1872;
2838 `FBD_CH_PATH3.`RANK_DIMMPATH5.U08.handle = x8 ? 2*967 : 55+1872;
2839 `ifndef X8
2840 `FBD_CH_PATH3.`RANK_DIMMPATH5.U09.handle = 56+1872;
2841 `FBD_CH_PATH3.`RANK_DIMMPATH5.U10.handle = 57+1872;
2842 `FBD_CH_PATH3.`RANK_DIMMPATH5.U11.handle = 58+1872;
2843 `FBD_CH_PATH3.`RANK_DIMMPATH5.U12.handle = 59+1872;
2844 `FBD_CH_PATH3.`RANK_DIMMPATH5.U14.handle = 60+1872;
2845 `FBD_CH_PATH3.`RANK_DIMMPATH5.U15.handle = 61+1872;
2846 `FBD_CH_PATH3.`RANK_DIMMPATH5.U16.handle = 62+1872;
2847 `FBD_CH_PATH3.`RANK_DIMMPATH5.U17.handle = 63+1872;
2848 `endif
2849 `endif
2850
2851
2852
2853 `FBD_CH_PATH4.`RANK_DIMMPATH5.U00.handle = x8 ? 2*968 : 64+1872;
2854 `FBD_CH_PATH4.`RANK_DIMMPATH5.U01.handle = x8 ? 2*969 : 65+1872;
2855 `FBD_CH_PATH4.`RANK_DIMMPATH5.U02.handle = x8 ? 2*970 : 66+1872;
2856 `FBD_CH_PATH4.`RANK_DIMMPATH5.U03.handle = x8 ? 2*971 : 67+1872;
2857 `FBD_CH_PATH4.`RANK_DIMMPATH5.U05.handle = x8 ? 2*972 : 68+1872;
2858 `FBD_CH_PATH4.`RANK_DIMMPATH5.U06.handle = x8 ? 2*973 : 69+1872;
2859 `FBD_CH_PATH4.`RANK_DIMMPATH5.U07.handle = x8 ? 2*974 : 70+1872;
2860 `FBD_CH_PATH4.`RANK_DIMMPATH5.U08.handle = x8 ? 2*975 : 71+1872;
2861 `ifndef X8
2862 `FBD_CH_PATH4.`RANK_DIMMPATH5.U09.handle = 72+1872;
2863 `FBD_CH_PATH4.`RANK_DIMMPATH5.U10.handle = 73+1872;
2864 `FBD_CH_PATH4.`RANK_DIMMPATH5.U11.handle = 74+1872;
2865 `FBD_CH_PATH4.`RANK_DIMMPATH5.U12.handle = 75+1872;
2866 `FBD_CH_PATH4.`RANK_DIMMPATH5.U14.handle = 76+1872;
2867 `FBD_CH_PATH4.`RANK_DIMMPATH5.U15.handle = 77+1872;
2868 `FBD_CH_PATH4.`RANK_DIMMPATH5.U16.handle = 78+1872;
2869 `FBD_CH_PATH4.`RANK_DIMMPATH5.U17.handle = 79+1872;
2870 `endif
2871
2872 `ifndef SNG_CHANNEL
2873 `FBD_CH_PATH5.`RANK_DIMMPATH5.U00.handle = x8 ? 2*976 : 80+1872;
2874 `FBD_CH_PATH5.`RANK_DIMMPATH5.U01.handle = x8 ? 2*977 : 81+1872;
2875 `FBD_CH_PATH5.`RANK_DIMMPATH5.U02.handle = x8 ? 2*978 : 82+1872;
2876 `FBD_CH_PATH5.`RANK_DIMMPATH5.U03.handle = x8 ? 2*979 : 83+1872;
2877 `FBD_CH_PATH5.`RANK_DIMMPATH5.U05.handle = x8 ? 2*980 : 84+1872;
2878 `FBD_CH_PATH5.`RANK_DIMMPATH5.U06.handle = x8 ? 2*981 : 85+1872;
2879 `FBD_CH_PATH5.`RANK_DIMMPATH5.U07.handle = x8 ? 2*982 : 86+1872;
2880 `FBD_CH_PATH5.`RANK_DIMMPATH5.U08.handle = x8 ? 2*983 : 87+1872;
2881 `ifndef X8
2882 `FBD_CH_PATH5.`RANK_DIMMPATH5.U09.handle = 88+1872;
2883 `FBD_CH_PATH5.`RANK_DIMMPATH5.U10.handle = 89+1872;
2884 `FBD_CH_PATH5.`RANK_DIMMPATH5.U11.handle = 90+1872;
2885 `FBD_CH_PATH5.`RANK_DIMMPATH5.U12.handle = 91+1872;
2886 `FBD_CH_PATH5.`RANK_DIMMPATH5.U14.handle = 92+1872;
2887 `FBD_CH_PATH5.`RANK_DIMMPATH5.U15.handle = 93+1872;
2888 `FBD_CH_PATH5.`RANK_DIMMPATH5.U16.handle = 94+1872;
2889 `FBD_CH_PATH5.`RANK_DIMMPATH5.U17.handle = 95+1872;
2890 `endif
2891 `endif
2892
2893
2894
2895 `FBD_CH_PATH6.`RANK_DIMMPATH5.U00.handle = x8 ? 2*984 : 96+1872;
2896 `FBD_CH_PATH6.`RANK_DIMMPATH5.U01.handle = x8 ? 2*985 : 97+1872;
2897 `FBD_CH_PATH6.`RANK_DIMMPATH5.U02.handle = x8 ? 2*986 : 98+1872;
2898 `FBD_CH_PATH6.`RANK_DIMMPATH5.U03.handle = x8 ? 2*987 : 99+1872;
2899 `FBD_CH_PATH6.`RANK_DIMMPATH5.U05.handle = x8 ? 2*988 : 100+1872;
2900 `FBD_CH_PATH6.`RANK_DIMMPATH5.U06.handle = x8 ? 2*989 : 101+1872;
2901 `FBD_CH_PATH6.`RANK_DIMMPATH5.U07.handle = x8 ? 2*990 : 102+1872;
2902 `FBD_CH_PATH6.`RANK_DIMMPATH5.U08.handle = x8 ? 2*991 : 103+1872;
2903 `ifndef X8
2904 `FBD_CH_PATH6.`RANK_DIMMPATH5.U09.handle = 104+1872;
2905 `FBD_CH_PATH6.`RANK_DIMMPATH5.U10.handle = 105+1872;
2906 `FBD_CH_PATH6.`RANK_DIMMPATH5.U11.handle = 106+1872;
2907 `FBD_CH_PATH6.`RANK_DIMMPATH5.U12.handle = 107+1872;
2908 `FBD_CH_PATH6.`RANK_DIMMPATH5.U14.handle = 108+1872;
2909 `FBD_CH_PATH6.`RANK_DIMMPATH5.U15.handle = 109+1872;
2910 `FBD_CH_PATH6.`RANK_DIMMPATH5.U16.handle = 110+1872;
2911 `FBD_CH_PATH6.`RANK_DIMMPATH5.U17.handle = 111+1872;
2912 `endif
2913
2914 `ifndef SNG_CHANNEL
2915 `FBD_CH_PATH7.`RANK_DIMMPATH5.U00.handle = x8 ? 2*992 : 112+1872;
2916 `FBD_CH_PATH7.`RANK_DIMMPATH5.U01.handle = x8 ? 2*993 : 113+1872;
2917 `FBD_CH_PATH7.`RANK_DIMMPATH5.U02.handle = x8 ? 2*994 : 114+1872;
2918 `FBD_CH_PATH7.`RANK_DIMMPATH5.U03.handle = x8 ? 2*995 : 115+1872;
2919 `FBD_CH_PATH7.`RANK_DIMMPATH5.U05.handle = x8 ? 2*996 : 116+1872;
2920 `FBD_CH_PATH7.`RANK_DIMMPATH5.U06.handle = x8 ? 2*997 : 117+1872;
2921 `FBD_CH_PATH7.`RANK_DIMMPATH5.U07.handle = x8 ? 2*998 : 118+1872;
2922 `FBD_CH_PATH7.`RANK_DIMMPATH5.U08.handle = x8 ? 2*999 : 119+1872;
2923 `ifndef X8
2924 `FBD_CH_PATH7.`RANK_DIMMPATH5.U09.handle = 120+1872;
2925 `FBD_CH_PATH7.`RANK_DIMMPATH5.U10.handle = 121+1872;
2926 `FBD_CH_PATH7.`RANK_DIMMPATH5.U11.handle = 122+1872;
2927 `FBD_CH_PATH7.`RANK_DIMMPATH5.U12.handle = 123+1872;
2928 `FBD_CH_PATH7.`RANK_DIMMPATH5.U14.handle = 124+1872;
2929 `FBD_CH_PATH7.`RANK_DIMMPATH5.U15.handle = 125+1872;
2930 `FBD_CH_PATH7.`RANK_DIMMPATH5.U16.handle = 126+1872;
2931 `FBD_CH_PATH7.`RANK_DIMMPATH5.U17.handle = 127+1872;
2932 `endif
2933 `endif
2934
2935
2936
2937 `FBD_CH_PATH0.`RANK_DIMMPATH5.U04.handle = x8 ? 2*1000 : 128+1872;
2938 `ifndef X8
2939 `FBD_CH_PATH0.`RANK_DIMMPATH5.U13.handle = 129+1872;
2940 `endif
2941 `ifndef SNG_CHANNEL
2942 `FBD_CH_PATH1.`RANK_DIMMPATH5.U04.handle = x8 ? 2*1001 : 130+1872;
2943 `ifndef X8
2944 `FBD_CH_PATH1.`RANK_DIMMPATH5.U13.handle = 131+1872;
2945 `endif
2946 `endif
2947
2948
2949 `FBD_CH_PATH2.`RANK_DIMMPATH5.U04.handle = x8 ? 2*1002 : 132+1872;
2950 `ifndef X8
2951 `FBD_CH_PATH2.`RANK_DIMMPATH5.U13.handle = 133+1872;
2952 `endif
2953 `ifndef SNG_CHANNEL
2954 `FBD_CH_PATH3.`RANK_DIMMPATH5.U04.handle = x8 ? 2*1003 : 134+1872;
2955 `ifndef X8
2956 `FBD_CH_PATH3.`RANK_DIMMPATH5.U13.handle = 135+1872;
2957 `endif
2958 `endif
2959
2960
2961 `FBD_CH_PATH4.`RANK_DIMMPATH5.U04.handle = x8 ? 2*1004 : 136+1872;
2962 `ifndef X8
2963 `FBD_CH_PATH4.`RANK_DIMMPATH5.U13.handle = 137+1872;
2964 `endif
2965 `ifndef SNG_CHANNEL
2966 `FBD_CH_PATH5.`RANK_DIMMPATH5.U04.handle = x8 ? 2*1005 : 138+1872;
2967 `ifndef X8
2968 `FBD_CH_PATH5.`RANK_DIMMPATH5.U13.handle = 139+1872;
2969 `endif
2970 `endif
2971
2972
2973 `FBD_CH_PATH6.`RANK_DIMMPATH5.U04.handle = x8 ? 2*1006 : 140+1872;
2974 `ifndef X8
2975 `FBD_CH_PATH6.`RANK_DIMMPATH5.U13.handle = 141+1872;
2976 `endif
2977 `ifndef SNG_CHANNEL
2978 `FBD_CH_PATH7.`RANK_DIMMPATH5.U04.handle = x8 ? 2*1007 : 142+1872;
2979 `ifndef X8
2980 `FBD_CH_PATH7.`RANK_DIMMPATH5.U13.handle = 143+1872;
2981 `endif
2982 `endif
2983
2984
2985 `endif
2986
2987 `endif
2988
2989 `ifdef FBD_8
2990
2991//start7
2992 `FBD_CH_PATH0.`DIMMPATH6.U00.handle = x8 ? 2*432 : 0+864;
2993 `FBD_CH_PATH0.`DIMMPATH6.U01.handle = x8 ? 2*433 : 1+864;
2994 `FBD_CH_PATH0.`DIMMPATH6.U02.handle = x8 ? 2*434 : 2+864;
2995 `FBD_CH_PATH0.`DIMMPATH6.U03.handle = x8 ? 2*435 : 3+864;
2996 `FBD_CH_PATH0.`DIMMPATH6.U05.handle = x8 ? 2*436 : 4+864;
2997 `FBD_CH_PATH0.`DIMMPATH6.U06.handle = x8 ? 2*437 : 5+864;
2998 `FBD_CH_PATH0.`DIMMPATH6.U07.handle = x8 ? 2*438 : 6+864;
2999 `FBD_CH_PATH0.`DIMMPATH6.U08.handle = x8 ? 2*439 : 7+864;
3000 `ifndef X8
3001 `FBD_CH_PATH0.`DIMMPATH6.U09.handle = 8+864;
3002 `FBD_CH_PATH0.`DIMMPATH6.U10.handle = 9+864;
3003 `FBD_CH_PATH0.`DIMMPATH6.U11.handle = 10+864;
3004 `FBD_CH_PATH0.`DIMMPATH6.U12.handle = 11+864;
3005 `FBD_CH_PATH0.`DIMMPATH6.U14.handle = 12+864;
3006 `FBD_CH_PATH0.`DIMMPATH6.U15.handle = 13+864;
3007 `FBD_CH_PATH0.`DIMMPATH6.U16.handle = 14+864;
3008 `FBD_CH_PATH0.`DIMMPATH6.U17.handle = 15+864;
3009 `endif
3010
3011 `ifndef SNG_CHANNEL
3012 `FBD_CH_PATH1.`DIMMPATH6.U00.handle = x8 ? 2*440 : 16+864;
3013 `FBD_CH_PATH1.`DIMMPATH6.U01.handle = x8 ? 2*441 : 17+864;
3014 `FBD_CH_PATH1.`DIMMPATH6.U02.handle = x8 ? 2*442 : 18+864;
3015 `FBD_CH_PATH1.`DIMMPATH6.U03.handle = x8 ? 2*443 : 19+864;
3016 `FBD_CH_PATH1.`DIMMPATH6.U05.handle = x8 ? 2*444 : 20+864;
3017 `FBD_CH_PATH1.`DIMMPATH6.U06.handle = x8 ? 2*445 : 21+864;
3018 `FBD_CH_PATH1.`DIMMPATH6.U07.handle = x8 ? 2*446 : 22+864;
3019 `FBD_CH_PATH1.`DIMMPATH6.U08.handle = x8 ? 2*447 : 23+864;
3020 `ifndef X8
3021 `FBD_CH_PATH1.`DIMMPATH6.U09.handle = 24+864;
3022 `FBD_CH_PATH1.`DIMMPATH6.U10.handle = 25+864;
3023 `FBD_CH_PATH1.`DIMMPATH6.U11.handle = 26+864;
3024 `FBD_CH_PATH1.`DIMMPATH6.U12.handle = 27+864;
3025 `FBD_CH_PATH1.`DIMMPATH6.U14.handle = 28+864;
3026 `FBD_CH_PATH1.`DIMMPATH6.U15.handle = 29+864;
3027 `FBD_CH_PATH1.`DIMMPATH6.U16.handle = 30+864;
3028 `FBD_CH_PATH1.`DIMMPATH6.U17.handle = 31+864;
3029 `endif
3030 `endif
3031
3032
3033
3034 `FBD_CH_PATH2.`DIMMPATH6.U00.handle = x8 ? 2*448 : 32+864;
3035 `FBD_CH_PATH2.`DIMMPATH6.U01.handle = x8 ? 2*449 : 33+864;
3036 `FBD_CH_PATH2.`DIMMPATH6.U02.handle = x8 ? 2*450 : 34+864;
3037 `FBD_CH_PATH2.`DIMMPATH6.U03.handle = x8 ? 2*451 : 35+864;
3038 `FBD_CH_PATH2.`DIMMPATH6.U05.handle = x8 ? 2*452 : 36+864;
3039 `FBD_CH_PATH2.`DIMMPATH6.U06.handle = x8 ? 2*453 : 37+864;
3040 `FBD_CH_PATH2.`DIMMPATH6.U07.handle = x8 ? 2*454 : 38+864;
3041 `FBD_CH_PATH2.`DIMMPATH6.U08.handle = x8 ? 2*455 : 39+864;
3042 `ifndef X8
3043 `FBD_CH_PATH2.`DIMMPATH6.U09.handle = 40+864;
3044 `FBD_CH_PATH2.`DIMMPATH6.U10.handle = 41+864;
3045 `FBD_CH_PATH2.`DIMMPATH6.U11.handle = 42+864;
3046 `FBD_CH_PATH2.`DIMMPATH6.U12.handle = 43+864;
3047 `FBD_CH_PATH2.`DIMMPATH6.U14.handle = 44+864;
3048 `FBD_CH_PATH2.`DIMMPATH6.U15.handle = 45+864;
3049 `FBD_CH_PATH2.`DIMMPATH6.U16.handle = 46+864;
3050 `FBD_CH_PATH2.`DIMMPATH6.U17.handle = 47+864;
3051 `endif
3052
3053 `ifndef SNG_CHANNEL
3054 `FBD_CH_PATH3.`DIMMPATH6.U00.handle = x8 ? 2*456 : 48+864;
3055 `FBD_CH_PATH3.`DIMMPATH6.U01.handle = x8 ? 2*457 : 49+864;
3056 `FBD_CH_PATH3.`DIMMPATH6.U02.handle = x8 ? 2*458 : 50+864;
3057 `FBD_CH_PATH3.`DIMMPATH6.U03.handle = x8 ? 2*459 : 51+864;
3058 `FBD_CH_PATH3.`DIMMPATH6.U05.handle = x8 ? 2*460 : 52+864;
3059 `FBD_CH_PATH3.`DIMMPATH6.U06.handle = x8 ? 2*461 : 53+864;
3060 `FBD_CH_PATH3.`DIMMPATH6.U07.handle = x8 ? 2*462 : 54+864;
3061 `FBD_CH_PATH3.`DIMMPATH6.U08.handle = x8 ? 2*463 : 55+864;
3062 `ifndef X8
3063 `FBD_CH_PATH3.`DIMMPATH6.U09.handle = 56+864;
3064 `FBD_CH_PATH3.`DIMMPATH6.U10.handle = 57+864;
3065 `FBD_CH_PATH3.`DIMMPATH6.U11.handle = 58+864;
3066 `FBD_CH_PATH3.`DIMMPATH6.U12.handle = 59+864;
3067 `FBD_CH_PATH3.`DIMMPATH6.U14.handle = 60+864;
3068 `FBD_CH_PATH3.`DIMMPATH6.U15.handle = 61+864;
3069 `FBD_CH_PATH3.`DIMMPATH6.U16.handle = 62+864;
3070 `FBD_CH_PATH3.`DIMMPATH6.U17.handle = 63+864;
3071 `endif
3072 `endif
3073
3074
3075
3076 `FBD_CH_PATH4.`DIMMPATH6.U00.handle = x8 ? 2*464 : 64+864;
3077 `FBD_CH_PATH4.`DIMMPATH6.U01.handle = x8 ? 2*465 : 65+864;
3078 `FBD_CH_PATH4.`DIMMPATH6.U02.handle = x8 ? 2*466 : 66+864;
3079 `FBD_CH_PATH4.`DIMMPATH6.U03.handle = x8 ? 2*467 : 67+864;
3080 `FBD_CH_PATH4.`DIMMPATH6.U05.handle = x8 ? 2*468 : 68+864;
3081 `FBD_CH_PATH4.`DIMMPATH6.U06.handle = x8 ? 2*469 : 69+864;
3082 `FBD_CH_PATH4.`DIMMPATH6.U07.handle = x8 ? 2*470 : 70+864;
3083 `FBD_CH_PATH4.`DIMMPATH6.U08.handle = x8 ? 2*471 : 71+864;
3084 `ifndef X8
3085 `FBD_CH_PATH4.`DIMMPATH6.U09.handle = 72+864;
3086 `FBD_CH_PATH4.`DIMMPATH6.U10.handle = 73+864;
3087 `FBD_CH_PATH4.`DIMMPATH6.U11.handle = 74+864;
3088 `FBD_CH_PATH4.`DIMMPATH6.U12.handle = 75+864;
3089 `FBD_CH_PATH4.`DIMMPATH6.U14.handle = 76+864;
3090 `FBD_CH_PATH4.`DIMMPATH6.U15.handle = 77+864;
3091 `FBD_CH_PATH4.`DIMMPATH6.U16.handle = 78+864;
3092 `FBD_CH_PATH4.`DIMMPATH6.U17.handle = 79+864;
3093 `endif
3094
3095 `ifndef SNG_CHANNEL
3096 `FBD_CH_PATH5.`DIMMPATH6.U00.handle = x8 ? 2*472 : 80+864;
3097 `FBD_CH_PATH5.`DIMMPATH6.U01.handle = x8 ? 2*473 : 81+864;
3098 `FBD_CH_PATH5.`DIMMPATH6.U02.handle = x8 ? 2*474 : 82+864;
3099 `FBD_CH_PATH5.`DIMMPATH6.U03.handle = x8 ? 2*475 : 83+864;
3100 `FBD_CH_PATH5.`DIMMPATH6.U05.handle = x8 ? 2*476 : 84+864;
3101 `FBD_CH_PATH5.`DIMMPATH6.U06.handle = x8 ? 2*477 : 85+864;
3102 `FBD_CH_PATH5.`DIMMPATH6.U07.handle = x8 ? 2*478 : 86+864;
3103 `FBD_CH_PATH5.`DIMMPATH6.U08.handle = x8 ? 2*479 : 87+864;
3104 `ifndef X8
3105 `FBD_CH_PATH5.`DIMMPATH6.U09.handle = 88+864;
3106 `FBD_CH_PATH5.`DIMMPATH6.U10.handle = 89+864;
3107 `FBD_CH_PATH5.`DIMMPATH6.U11.handle = 90+864;
3108 `FBD_CH_PATH5.`DIMMPATH6.U12.handle = 91+864;
3109 `FBD_CH_PATH5.`DIMMPATH6.U14.handle = 92+864;
3110 `FBD_CH_PATH5.`DIMMPATH6.U15.handle = 93+864;
3111 `FBD_CH_PATH5.`DIMMPATH6.U16.handle = 94+864;
3112 `FBD_CH_PATH5.`DIMMPATH6.U17.handle = 95+864;
3113 `endif
3114 `endif
3115
3116
3117
3118 `FBD_CH_PATH6.`DIMMPATH6.U00.handle = x8 ? 2*480 : 96+864;
3119 `FBD_CH_PATH6.`DIMMPATH6.U01.handle = x8 ? 2*481 : 97+864;
3120 `FBD_CH_PATH6.`DIMMPATH6.U02.handle = x8 ? 2*482 : 98+864;
3121 `FBD_CH_PATH6.`DIMMPATH6.U03.handle = x8 ? 2*483 : 99+864;
3122 `FBD_CH_PATH6.`DIMMPATH6.U05.handle = x8 ? 2*484 : 100+864;
3123 `FBD_CH_PATH6.`DIMMPATH6.U06.handle = x8 ? 2*485 : 101+864;
3124 `FBD_CH_PATH6.`DIMMPATH6.U07.handle = x8 ? 2*486 : 102+864;
3125 `FBD_CH_PATH6.`DIMMPATH6.U08.handle = x8 ? 2*487 : 103+864;
3126 `ifndef X8
3127 `FBD_CH_PATH6.`DIMMPATH6.U09.handle = 104+864;
3128 `FBD_CH_PATH6.`DIMMPATH6.U10.handle = 105+864;
3129 `FBD_CH_PATH6.`DIMMPATH6.U11.handle = 106+864;
3130 `FBD_CH_PATH6.`DIMMPATH6.U12.handle = 107+864;
3131 `FBD_CH_PATH6.`DIMMPATH6.U14.handle = 108+864;
3132 `FBD_CH_PATH6.`DIMMPATH6.U15.handle = 109+864;
3133 `FBD_CH_PATH6.`DIMMPATH6.U16.handle = 110+864;
3134 `FBD_CH_PATH6.`DIMMPATH6.U17.handle = 111+864;
3135 `endif
3136
3137 `ifndef SNG_CHANNEL
3138 `FBD_CH_PATH7.`DIMMPATH6.U00.handle = x8 ? 2*488 : 112+864;
3139 `FBD_CH_PATH7.`DIMMPATH6.U01.handle = x8 ? 2*489 : 113+864;
3140 `FBD_CH_PATH7.`DIMMPATH6.U02.handle = x8 ? 2*490 : 114+864;
3141 `FBD_CH_PATH7.`DIMMPATH6.U03.handle = x8 ? 2*491 : 115+864;
3142 `FBD_CH_PATH7.`DIMMPATH6.U05.handle = x8 ? 2*492 : 116+864;
3143 `FBD_CH_PATH7.`DIMMPATH6.U06.handle = x8 ? 2*493 : 117+864;
3144 `FBD_CH_PATH7.`DIMMPATH6.U07.handle = x8 ? 2*494 : 118+864;
3145 `FBD_CH_PATH7.`DIMMPATH6.U08.handle = x8 ? 2*495 : 119+864;
3146 `ifndef X8
3147 `FBD_CH_PATH7.`DIMMPATH6.U09.handle = 120+864;
3148 `FBD_CH_PATH7.`DIMMPATH6.U10.handle = 121+864;
3149 `FBD_CH_PATH7.`DIMMPATH6.U11.handle = 122+864;
3150 `FBD_CH_PATH7.`DIMMPATH6.U12.handle = 123+864;
3151 `FBD_CH_PATH7.`DIMMPATH6.U14.handle = 124+864;
3152 `FBD_CH_PATH7.`DIMMPATH6.U15.handle = 125+864;
3153 `FBD_CH_PATH7.`DIMMPATH6.U16.handle = 126+864;
3154 `FBD_CH_PATH7.`DIMMPATH6.U17.handle = 127+864;
3155 `endif
3156 `endif
3157
3158
3159
3160 `FBD_CH_PATH0.`DIMMPATH6.U04.handle = x8 ? 2*496 : 128+864;
3161 `ifndef X8
3162 `FBD_CH_PATH0.`DIMMPATH6.U13.handle = 129+864;
3163 `endif
3164 `ifndef SNG_CHANNEL
3165 `FBD_CH_PATH1.`DIMMPATH6.U04.handle = x8 ? 2*497 : 130+864;
3166 `ifndef X8
3167 `FBD_CH_PATH1.`DIMMPATH6.U13.handle = 131+864;
3168 `endif
3169 `endif
3170
3171
3172 `FBD_CH_PATH2.`DIMMPATH6.U04.handle = x8 ? 2*498 : 132+864;
3173 `ifndef X8
3174 `FBD_CH_PATH2.`DIMMPATH6.U13.handle = 133+864;
3175 `endif
3176 `ifndef SNG_CHANNEL
3177 `FBD_CH_PATH3.`DIMMPATH6.U04.handle = x8 ? 2*499 : 134+864;
3178 `ifndef X8
3179 `FBD_CH_PATH3.`DIMMPATH6.U13.handle = 135+864;
3180 `endif
3181 `endif
3182
3183
3184 `FBD_CH_PATH4.`DIMMPATH6.U04.handle = x8 ? 2*500 : 136+864;
3185 `ifndef X8
3186 `FBD_CH_PATH4.`DIMMPATH6.U13.handle = 137+864;
3187 `endif
3188 `ifndef SNG_CHANNEL
3189 `FBD_CH_PATH5.`DIMMPATH6.U04.handle = x8 ? 2*501 : 138+864;
3190 `ifndef X8
3191 `FBD_CH_PATH5.`DIMMPATH6.U13.handle = 139+864;
3192 `endif
3193 `endif
3194
3195
3196 `FBD_CH_PATH6.`DIMMPATH6.U04.handle = x8 ? 2*502 : 140+864;
3197 `ifndef X8
3198 `FBD_CH_PATH6.`DIMMPATH6.U13.handle = 141+864;
3199 `endif
3200 `ifndef SNG_CHANNEL
3201 `FBD_CH_PATH7.`DIMMPATH6.U04.handle = x8 ? 2*503 : 142+864;
3202 `ifndef X8
3203 `FBD_CH_PATH7.`DIMMPATH6.U13.handle = 143+864;
3204 `endif
3205 `endif
3206
3207
3208//start8
3209 `FBD_CH_PATH0.`DIMMPATH7.U00.handle = x8 ? 2*504 : 0+1008;
3210 `FBD_CH_PATH0.`DIMMPATH7.U01.handle = x8 ? 2*505 : 1+1008;
3211 `FBD_CH_PATH0.`DIMMPATH7.U02.handle = x8 ? 2*506 : 2+1008;
3212 `FBD_CH_PATH0.`DIMMPATH7.U03.handle = x8 ? 2*507 : 3+1008;
3213 `FBD_CH_PATH0.`DIMMPATH7.U05.handle = x8 ? 2*508 : 4+1008;
3214 `FBD_CH_PATH0.`DIMMPATH7.U06.handle = x8 ? 2*509 : 5+1008;
3215 `FBD_CH_PATH0.`DIMMPATH7.U07.handle = x8 ? 2*510 : 6+1008;
3216 `FBD_CH_PATH0.`DIMMPATH7.U08.handle = x8 ? 2*511 : 7+1008;
3217 `ifndef X8
3218 `FBD_CH_PATH0.`DIMMPATH7.U09.handle = 8+1008;
3219 `FBD_CH_PATH0.`DIMMPATH7.U10.handle = 9+1008;
3220 `FBD_CH_PATH0.`DIMMPATH7.U11.handle = 10+1008;
3221 `FBD_CH_PATH0.`DIMMPATH7.U12.handle = 11+1008;
3222 `FBD_CH_PATH0.`DIMMPATH7.U14.handle = 12+1008;
3223 `FBD_CH_PATH0.`DIMMPATH7.U15.handle = 13+1008;
3224 `FBD_CH_PATH0.`DIMMPATH7.U16.handle = 14+1008;
3225 `FBD_CH_PATH0.`DIMMPATH7.U17.handle = 15+1008;
3226 `endif
3227
3228 `ifndef SNG_CHANNEL
3229 `FBD_CH_PATH1.`DIMMPATH7.U00.handle = x8 ? 2*512 : 16+1008;
3230 `FBD_CH_PATH1.`DIMMPATH7.U01.handle = x8 ? 2*513 : 17+1008;
3231 `FBD_CH_PATH1.`DIMMPATH7.U02.handle = x8 ? 2*514 : 18+1008;
3232 `FBD_CH_PATH1.`DIMMPATH7.U03.handle = x8 ? 2*515 : 19+1008;
3233 `FBD_CH_PATH1.`DIMMPATH7.U05.handle = x8 ? 2*516 : 20+1008;
3234 `FBD_CH_PATH1.`DIMMPATH7.U06.handle = x8 ? 2*517 : 21+1008;
3235 `FBD_CH_PATH1.`DIMMPATH7.U07.handle = x8 ? 2*518 : 22+1008;
3236 `FBD_CH_PATH1.`DIMMPATH7.U08.handle = x8 ? 2*519 : 23+1008;
3237 `ifndef X8
3238 `FBD_CH_PATH1.`DIMMPATH7.U09.handle = 24+1008;
3239 `FBD_CH_PATH1.`DIMMPATH7.U10.handle = 25+1008;
3240 `FBD_CH_PATH1.`DIMMPATH7.U11.handle = 26+1008;
3241 `FBD_CH_PATH1.`DIMMPATH7.U12.handle = 27+1008;
3242 `FBD_CH_PATH1.`DIMMPATH7.U14.handle = 28+1008;
3243 `FBD_CH_PATH1.`DIMMPATH7.U15.handle = 29+1008;
3244 `FBD_CH_PATH1.`DIMMPATH7.U16.handle = 30+1008;
3245 `FBD_CH_PATH1.`DIMMPATH7.U17.handle = 31+1008;
3246 `endif
3247 `endif
3248
3249
3250
3251 `FBD_CH_PATH2.`DIMMPATH7.U00.handle = x8 ? 2*520 : 32+1008;
3252 `FBD_CH_PATH2.`DIMMPATH7.U01.handle = x8 ? 2*521 : 33+1008;
3253 `FBD_CH_PATH2.`DIMMPATH7.U02.handle = x8 ? 2*522 : 34+1008;
3254 `FBD_CH_PATH2.`DIMMPATH7.U03.handle = x8 ? 2*523 : 35+1008;
3255 `FBD_CH_PATH2.`DIMMPATH7.U05.handle = x8 ? 2*524 : 36+1008;
3256 `FBD_CH_PATH2.`DIMMPATH7.U06.handle = x8 ? 2*525 : 37+1008;
3257 `FBD_CH_PATH2.`DIMMPATH7.U07.handle = x8 ? 2*526 : 38+1008;
3258 `FBD_CH_PATH2.`DIMMPATH7.U08.handle = x8 ? 2*527 : 39+1008;
3259 `ifndef X8
3260 `FBD_CH_PATH2.`DIMMPATH7.U09.handle = 40+1008;
3261 `FBD_CH_PATH2.`DIMMPATH7.U10.handle = 41+1008;
3262 `FBD_CH_PATH2.`DIMMPATH7.U11.handle = 42+1008;
3263 `FBD_CH_PATH2.`DIMMPATH7.U12.handle = 43+1008;
3264 `FBD_CH_PATH2.`DIMMPATH7.U14.handle = 44+1008;
3265 `FBD_CH_PATH2.`DIMMPATH7.U15.handle = 45+1008;
3266 `FBD_CH_PATH2.`DIMMPATH7.U16.handle = 46+1008;
3267 `FBD_CH_PATH2.`DIMMPATH7.U17.handle = 47+1008;
3268 `endif
3269
3270 `ifndef SNG_CHANNEL
3271 `FBD_CH_PATH3.`DIMMPATH7.U00.handle = x8 ? 2*528 : 48+1008;
3272 `FBD_CH_PATH3.`DIMMPATH7.U01.handle = x8 ? 2*529 : 49+1008;
3273 `FBD_CH_PATH3.`DIMMPATH7.U02.handle = x8 ? 2*530 : 50+1008;
3274 `FBD_CH_PATH3.`DIMMPATH7.U03.handle = x8 ? 2*531 : 51+1008;
3275 `FBD_CH_PATH3.`DIMMPATH7.U05.handle = x8 ? 2*532 : 52+1008;
3276 `FBD_CH_PATH3.`DIMMPATH7.U06.handle = x8 ? 2*533 : 53+1008;
3277 `FBD_CH_PATH3.`DIMMPATH7.U07.handle = x8 ? 2*534 : 54+1008;
3278 `FBD_CH_PATH3.`DIMMPATH7.U08.handle = x8 ? 2*535 : 55+1008;
3279 `ifndef X8
3280 `FBD_CH_PATH3.`DIMMPATH7.U09.handle = 56+1008;
3281 `FBD_CH_PATH3.`DIMMPATH7.U10.handle = 57+1008;
3282 `FBD_CH_PATH3.`DIMMPATH7.U11.handle = 58+1008;
3283 `FBD_CH_PATH3.`DIMMPATH7.U12.handle = 59+1008;
3284 `FBD_CH_PATH3.`DIMMPATH7.U14.handle = 60+1008;
3285 `FBD_CH_PATH3.`DIMMPATH7.U15.handle = 61+1008;
3286 `FBD_CH_PATH3.`DIMMPATH7.U16.handle = 62+1008;
3287 `FBD_CH_PATH3.`DIMMPATH7.U17.handle = 63+1008;
3288 `endif
3289 `endif
3290
3291
3292
3293 `FBD_CH_PATH4.`DIMMPATH7.U00.handle = x8 ? 2*536 : 64+1008;
3294 `FBD_CH_PATH4.`DIMMPATH7.U01.handle = x8 ? 2*537 : 65+1008;
3295 `FBD_CH_PATH4.`DIMMPATH7.U02.handle = x8 ? 2*538 : 66+1008;
3296 `FBD_CH_PATH4.`DIMMPATH7.U03.handle = x8 ? 2*539 : 67+1008;
3297 `FBD_CH_PATH4.`DIMMPATH7.U05.handle = x8 ? 2*540 : 68+1008;
3298 `FBD_CH_PATH4.`DIMMPATH7.U06.handle = x8 ? 2*541 : 69+1008;
3299 `FBD_CH_PATH4.`DIMMPATH7.U07.handle = x8 ? 2*542 : 70+1008;
3300 `FBD_CH_PATH4.`DIMMPATH7.U08.handle = x8 ? 2*543 : 71+1008;
3301 `ifndef X8
3302 `FBD_CH_PATH4.`DIMMPATH7.U09.handle = 72+1008;
3303 `FBD_CH_PATH4.`DIMMPATH7.U10.handle = 73+1008;
3304 `FBD_CH_PATH4.`DIMMPATH7.U11.handle = 74+1008;
3305 `FBD_CH_PATH4.`DIMMPATH7.U12.handle = 75+1008;
3306 `FBD_CH_PATH4.`DIMMPATH7.U14.handle = 76+1008;
3307 `FBD_CH_PATH4.`DIMMPATH7.U15.handle = 77+1008;
3308 `FBD_CH_PATH4.`DIMMPATH7.U16.handle = 78+1008;
3309 `FBD_CH_PATH4.`DIMMPATH7.U17.handle = 79+1008;
3310 `endif
3311
3312 `ifndef SNG_CHANNEL
3313 `FBD_CH_PATH5.`DIMMPATH7.U00.handle = x8 ? 2*544 : 80+1008;
3314 `FBD_CH_PATH5.`DIMMPATH7.U01.handle = x8 ? 2*545 : 81+1008;
3315 `FBD_CH_PATH5.`DIMMPATH7.U02.handle = x8 ? 2*546 : 82+1008;
3316 `FBD_CH_PATH5.`DIMMPATH7.U03.handle = x8 ? 2*547 : 83+1008;
3317 `FBD_CH_PATH5.`DIMMPATH7.U05.handle = x8 ? 2*548 : 84+1008;
3318 `FBD_CH_PATH5.`DIMMPATH7.U06.handle = x8 ? 2*549 : 85+1008;
3319 `FBD_CH_PATH5.`DIMMPATH7.U07.handle = x8 ? 2*550 : 86+1008;
3320 `FBD_CH_PATH5.`DIMMPATH7.U08.handle = x8 ? 2*551 : 87+1008;
3321 `ifndef X8
3322 `FBD_CH_PATH5.`DIMMPATH7.U09.handle = 88+1008;
3323 `FBD_CH_PATH5.`DIMMPATH7.U10.handle = 89+1008;
3324 `FBD_CH_PATH5.`DIMMPATH7.U11.handle = 90+1008;
3325 `FBD_CH_PATH5.`DIMMPATH7.U12.handle = 91+1008;
3326 `FBD_CH_PATH5.`DIMMPATH7.U14.handle = 92+1008;
3327 `FBD_CH_PATH5.`DIMMPATH7.U15.handle = 93+1008;
3328 `FBD_CH_PATH5.`DIMMPATH7.U16.handle = 94+1008;
3329 `FBD_CH_PATH5.`DIMMPATH7.U17.handle = 95+1008;
3330 `endif
3331 `endif
3332
3333
3334
3335 `FBD_CH_PATH6.`DIMMPATH7.U00.handle = x8 ? 2*552 : 96+1008;
3336 `FBD_CH_PATH6.`DIMMPATH7.U01.handle = x8 ? 2*553 : 97+1008;
3337 `FBD_CH_PATH6.`DIMMPATH7.U02.handle = x8 ? 2*554 : 98+1008;
3338 `FBD_CH_PATH6.`DIMMPATH7.U03.handle = x8 ? 2*555 : 99+1008;
3339 `FBD_CH_PATH6.`DIMMPATH7.U05.handle = x8 ? 2*556 : 100+1008;
3340 `FBD_CH_PATH6.`DIMMPATH7.U06.handle = x8 ? 2*557 : 101+1008;
3341 `FBD_CH_PATH6.`DIMMPATH7.U07.handle = x8 ? 2*558 : 102+1008;
3342 `FBD_CH_PATH6.`DIMMPATH7.U08.handle = x8 ? 2*559 : 103+1008;
3343 `ifndef X8
3344 `FBD_CH_PATH6.`DIMMPATH7.U09.handle = 104+1008;
3345 `FBD_CH_PATH6.`DIMMPATH7.U10.handle = 105+1008;
3346 `FBD_CH_PATH6.`DIMMPATH7.U11.handle = 106+1008;
3347 `FBD_CH_PATH6.`DIMMPATH7.U12.handle = 107+1008;
3348 `FBD_CH_PATH6.`DIMMPATH7.U14.handle = 108+1008;
3349 `FBD_CH_PATH6.`DIMMPATH7.U15.handle = 109+1008;
3350 `FBD_CH_PATH6.`DIMMPATH7.U16.handle = 110+1008;
3351 `FBD_CH_PATH6.`DIMMPATH7.U17.handle = 111+1008;
3352 `endif
3353
3354 `ifndef SNG_CHANNEL
3355 `FBD_CH_PATH7.`DIMMPATH7.U00.handle = x8 ? 2*560 : 112+1008;
3356 `FBD_CH_PATH7.`DIMMPATH7.U01.handle = x8 ? 2*561 : 113+1008;
3357 `FBD_CH_PATH7.`DIMMPATH7.U02.handle = x8 ? 2*562 : 114+1008;
3358 `FBD_CH_PATH7.`DIMMPATH7.U03.handle = x8 ? 2*563 : 115+1008;
3359 `FBD_CH_PATH7.`DIMMPATH7.U05.handle = x8 ? 2*564 : 116+1008;
3360 `FBD_CH_PATH7.`DIMMPATH7.U06.handle = x8 ? 2*565 : 117+1008;
3361 `FBD_CH_PATH7.`DIMMPATH7.U07.handle = x8 ? 2*566 : 118+1008;
3362 `FBD_CH_PATH7.`DIMMPATH7.U08.handle = x8 ? 2*567 : 119+1008;
3363 `ifndef X8
3364 `FBD_CH_PATH7.`DIMMPATH7.U09.handle = 120+1008;
3365 `FBD_CH_PATH7.`DIMMPATH7.U10.handle = 121+1008;
3366 `FBD_CH_PATH7.`DIMMPATH7.U11.handle = 122+1008;
3367 `FBD_CH_PATH7.`DIMMPATH7.U12.handle = 123+1008;
3368 `FBD_CH_PATH7.`DIMMPATH7.U14.handle = 124+1008;
3369 `FBD_CH_PATH7.`DIMMPATH7.U15.handle = 125+1008;
3370 `FBD_CH_PATH7.`DIMMPATH7.U16.handle = 126+1008;
3371 `FBD_CH_PATH7.`DIMMPATH7.U17.handle = 127+1008;
3372 `endif
3373 `endif
3374
3375
3376
3377 `FBD_CH_PATH0.`DIMMPATH7.U04.handle = x8 ? 2*568 : 128+1008;
3378 `ifndef X8
3379 `FBD_CH_PATH0.`DIMMPATH7.U13.handle = 129+1008;
3380 `endif
3381 `ifndef SNG_CHANNEL
3382 `FBD_CH_PATH1.`DIMMPATH7.U04.handle = x8 ? 2*569 : 130+1008;
3383 `ifndef X8
3384 `FBD_CH_PATH1.`DIMMPATH7.U13.handle = 131+1008;
3385 `endif
3386 `endif
3387
3388
3389 `FBD_CH_PATH2.`DIMMPATH7.U04.handle = x8 ? 2*570 : 132+1008;
3390 `ifndef X8
3391 `FBD_CH_PATH2.`DIMMPATH7.U13.handle = 133+1008;
3392 `endif
3393 `ifndef SNG_CHANNEL
3394 `FBD_CH_PATH3.`DIMMPATH7.U04.handle = x8 ? 2*571 : 134+1008;
3395 `ifndef X8
3396 `FBD_CH_PATH3.`DIMMPATH7.U13.handle = 135+1008;
3397 `endif
3398 `endif
3399
3400
3401 `FBD_CH_PATH4.`DIMMPATH7.U04.handle = x8 ? 2*572 : 136+1008;
3402 `ifndef X8
3403 `FBD_CH_PATH4.`DIMMPATH7.U13.handle = 137+1008;
3404 `endif
3405 `ifndef SNG_CHANNEL
3406 `FBD_CH_PATH5.`DIMMPATH7.U04.handle = x8 ? 2*573 : 138+1008;
3407 `ifndef X8
3408 `FBD_CH_PATH5.`DIMMPATH7.U13.handle = 139+1008;
3409 `endif
3410 `endif
3411
3412
3413 `FBD_CH_PATH6.`DIMMPATH7.U04.handle = x8 ? 2*574 : 140+1008;
3414 `ifndef X8
3415 `FBD_CH_PATH6.`DIMMPATH7.U13.handle = 141+1008;
3416 `endif
3417 `ifndef SNG_CHANNEL
3418 `FBD_CH_PATH7.`DIMMPATH7.U04.handle = x8 ? 2*575 : 142+1008;
3419 `ifndef X8
3420 `FBD_CH_PATH7.`DIMMPATH7.U13.handle = 143+1008;
3421 `endif
3422 `endif
3423
3424
3425 `ifdef DBL_SIDE
3426
3427//start15
3428 `FBD_CH_PATH0.`RANK_DIMMPATH6.U00.handle = x8 ? 2*1008 : 0+2016;
3429 `FBD_CH_PATH0.`RANK_DIMMPATH6.U01.handle = x8 ? 2*1009 : 1+2016;
3430 `FBD_CH_PATH0.`RANK_DIMMPATH6.U02.handle = x8 ? 2*1010 : 2+2016;
3431 `FBD_CH_PATH0.`RANK_DIMMPATH6.U03.handle = x8 ? 2*1011 : 3+2016;
3432 `FBD_CH_PATH0.`RANK_DIMMPATH6.U05.handle = x8 ? 2*1012 : 4+2016;
3433 `FBD_CH_PATH0.`RANK_DIMMPATH6.U06.handle = x8 ? 2*1013 : 5+2016;
3434 `FBD_CH_PATH0.`RANK_DIMMPATH6.U07.handle = x8 ? 2*1014 : 6+2016;
3435 `FBD_CH_PATH0.`RANK_DIMMPATH6.U08.handle = x8 ? 2*1015 : 7+2016;
3436 `ifndef X8
3437 `FBD_CH_PATH0.`RANK_DIMMPATH6.U09.handle = 8+2016;
3438 `FBD_CH_PATH0.`RANK_DIMMPATH6.U10.handle = 9+2016;
3439 `FBD_CH_PATH0.`RANK_DIMMPATH6.U11.handle = 10+2016;
3440 `FBD_CH_PATH0.`RANK_DIMMPATH6.U12.handle = 11+2016;
3441 `FBD_CH_PATH0.`RANK_DIMMPATH6.U14.handle = 12+2016;
3442 `FBD_CH_PATH0.`RANK_DIMMPATH6.U15.handle = 13+2016;
3443 `FBD_CH_PATH0.`RANK_DIMMPATH6.U16.handle = 14+2016;
3444 `FBD_CH_PATH0.`RANK_DIMMPATH6.U17.handle = 15+2016;
3445 `endif
3446
3447 `ifndef SNG_CHANNEL
3448 `FBD_CH_PATH1.`RANK_DIMMPATH6.U00.handle = x8 ? 2*1016 : 16+2016;
3449 `FBD_CH_PATH1.`RANK_DIMMPATH6.U01.handle = x8 ? 2*1017 : 17+2016;
3450 `FBD_CH_PATH1.`RANK_DIMMPATH6.U02.handle = x8 ? 2*1018 : 18+2016;
3451 `FBD_CH_PATH1.`RANK_DIMMPATH6.U03.handle = x8 ? 2*1019 : 19+2016;
3452 `FBD_CH_PATH1.`RANK_DIMMPATH6.U05.handle = x8 ? 2*1020 : 20+2016;
3453 `FBD_CH_PATH1.`RANK_DIMMPATH6.U06.handle = x8 ? 2*1021 : 21+2016;
3454 `FBD_CH_PATH1.`RANK_DIMMPATH6.U07.handle = x8 ? 2*1022 : 22+2016;
3455 `FBD_CH_PATH1.`RANK_DIMMPATH6.U08.handle = x8 ? 2*1023 : 23+2016;
3456 `ifndef X8
3457 `FBD_CH_PATH1.`RANK_DIMMPATH6.U09.handle = 24+2016;
3458 `FBD_CH_PATH1.`RANK_DIMMPATH6.U10.handle = 25+2016;
3459 `FBD_CH_PATH1.`RANK_DIMMPATH6.U11.handle = 26+2016;
3460 `FBD_CH_PATH1.`RANK_DIMMPATH6.U12.handle = 27+2016;
3461 `FBD_CH_PATH1.`RANK_DIMMPATH6.U14.handle = 28+2016;
3462 `FBD_CH_PATH1.`RANK_DIMMPATH6.U15.handle = 29+2016;
3463 `FBD_CH_PATH1.`RANK_DIMMPATH6.U16.handle = 30+2016;
3464 `FBD_CH_PATH1.`RANK_DIMMPATH6.U17.handle = 31+2016;
3465 `endif
3466 `endif
3467
3468
3469
3470 `FBD_CH_PATH2.`RANK_DIMMPATH6.U00.handle = x8 ? 2*1024 : 32+2016;
3471 `FBD_CH_PATH2.`RANK_DIMMPATH6.U01.handle = x8 ? 2*1025 : 33+2016;
3472 `FBD_CH_PATH2.`RANK_DIMMPATH6.U02.handle = x8 ? 2*1026 : 34+2016;
3473 `FBD_CH_PATH2.`RANK_DIMMPATH6.U03.handle = x8 ? 2*1027 : 35+2016;
3474 `FBD_CH_PATH2.`RANK_DIMMPATH6.U05.handle = x8 ? 2*1028 : 36+2016;
3475 `FBD_CH_PATH2.`RANK_DIMMPATH6.U06.handle = x8 ? 2*1029 : 37+2016;
3476 `FBD_CH_PATH2.`RANK_DIMMPATH6.U07.handle = x8 ? 2*1030 : 38+2016;
3477 `FBD_CH_PATH2.`RANK_DIMMPATH6.U08.handle = x8 ? 2*1031 : 39+2016;
3478 `ifndef X8
3479 `FBD_CH_PATH2.`RANK_DIMMPATH6.U09.handle = 40+2016;
3480 `FBD_CH_PATH2.`RANK_DIMMPATH6.U10.handle = 41+2016;
3481 `FBD_CH_PATH2.`RANK_DIMMPATH6.U11.handle = 42+2016;
3482 `FBD_CH_PATH2.`RANK_DIMMPATH6.U12.handle = 43+2016;
3483 `FBD_CH_PATH2.`RANK_DIMMPATH6.U14.handle = 44+2016;
3484 `FBD_CH_PATH2.`RANK_DIMMPATH6.U15.handle = 45+2016;
3485 `FBD_CH_PATH2.`RANK_DIMMPATH6.U16.handle = 46+2016;
3486 `FBD_CH_PATH2.`RANK_DIMMPATH6.U17.handle = 47+2016;
3487 `endif
3488
3489 `ifndef SNG_CHANNEL
3490 `FBD_CH_PATH3.`RANK_DIMMPATH6.U00.handle = x8 ? 2*1032 : 48+2016;
3491 `FBD_CH_PATH3.`RANK_DIMMPATH6.U01.handle = x8 ? 2*1033 : 49+2016;
3492 `FBD_CH_PATH3.`RANK_DIMMPATH6.U02.handle = x8 ? 2*1034 : 50+2016;
3493 `FBD_CH_PATH3.`RANK_DIMMPATH6.U03.handle = x8 ? 2*1035 : 51+2016;
3494 `FBD_CH_PATH3.`RANK_DIMMPATH6.U05.handle = x8 ? 2*1036 : 52+2016;
3495 `FBD_CH_PATH3.`RANK_DIMMPATH6.U06.handle = x8 ? 2*1037 : 53+2016;
3496 `FBD_CH_PATH3.`RANK_DIMMPATH6.U07.handle = x8 ? 2*1038 : 54+2016;
3497 `FBD_CH_PATH3.`RANK_DIMMPATH6.U08.handle = x8 ? 2*1039 : 55+2016;
3498 `ifndef X8
3499 `FBD_CH_PATH3.`RANK_DIMMPATH6.U09.handle = 56+2016;
3500 `FBD_CH_PATH3.`RANK_DIMMPATH6.U10.handle = 57+2016;
3501 `FBD_CH_PATH3.`RANK_DIMMPATH6.U11.handle = 58+2016;
3502 `FBD_CH_PATH3.`RANK_DIMMPATH6.U12.handle = 59+2016;
3503 `FBD_CH_PATH3.`RANK_DIMMPATH6.U14.handle = 60+2016;
3504 `FBD_CH_PATH3.`RANK_DIMMPATH6.U15.handle = 61+2016;
3505 `FBD_CH_PATH3.`RANK_DIMMPATH6.U16.handle = 62+2016;
3506 `FBD_CH_PATH3.`RANK_DIMMPATH6.U17.handle = 63+2016;
3507 `endif
3508 `endif
3509
3510
3511
3512 `FBD_CH_PATH4.`RANK_DIMMPATH6.U00.handle = x8 ? 2*1040 : 64+2016;
3513 `FBD_CH_PATH4.`RANK_DIMMPATH6.U01.handle = x8 ? 2*1041 : 65+2016;
3514 `FBD_CH_PATH4.`RANK_DIMMPATH6.U02.handle = x8 ? 2*1042 : 66+2016;
3515 `FBD_CH_PATH4.`RANK_DIMMPATH6.U03.handle = x8 ? 2*1043 : 67+2016;
3516 `FBD_CH_PATH4.`RANK_DIMMPATH6.U05.handle = x8 ? 2*1044 : 68+2016;
3517 `FBD_CH_PATH4.`RANK_DIMMPATH6.U06.handle = x8 ? 2*1045 : 69+2016;
3518 `FBD_CH_PATH4.`RANK_DIMMPATH6.U07.handle = x8 ? 2*1046 : 70+2016;
3519 `FBD_CH_PATH4.`RANK_DIMMPATH6.U08.handle = x8 ? 2*1047 : 71+2016;
3520 `ifndef X8
3521 `FBD_CH_PATH4.`RANK_DIMMPATH6.U09.handle = 72+2016;
3522 `FBD_CH_PATH4.`RANK_DIMMPATH6.U10.handle = 73+2016;
3523 `FBD_CH_PATH4.`RANK_DIMMPATH6.U11.handle = 74+2016;
3524 `FBD_CH_PATH4.`RANK_DIMMPATH6.U12.handle = 75+2016;
3525 `FBD_CH_PATH4.`RANK_DIMMPATH6.U14.handle = 76+2016;
3526 `FBD_CH_PATH4.`RANK_DIMMPATH6.U15.handle = 77+2016;
3527 `FBD_CH_PATH4.`RANK_DIMMPATH6.U16.handle = 78+2016;
3528 `FBD_CH_PATH4.`RANK_DIMMPATH6.U17.handle = 79+2016;
3529 `endif
3530
3531 `ifndef SNG_CHANNEL
3532 `FBD_CH_PATH5.`RANK_DIMMPATH6.U00.handle = x8 ? 2*1048 : 80+2016;
3533 `FBD_CH_PATH5.`RANK_DIMMPATH6.U01.handle = x8 ? 2*1049 : 81+2016;
3534 `FBD_CH_PATH5.`RANK_DIMMPATH6.U02.handle = x8 ? 2*1050 : 82+2016;
3535 `FBD_CH_PATH5.`RANK_DIMMPATH6.U03.handle = x8 ? 2*1051 : 83+2016;
3536 `FBD_CH_PATH5.`RANK_DIMMPATH6.U05.handle = x8 ? 2*1052 : 84+2016;
3537 `FBD_CH_PATH5.`RANK_DIMMPATH6.U06.handle = x8 ? 2*1053 : 85+2016;
3538 `FBD_CH_PATH5.`RANK_DIMMPATH6.U07.handle = x8 ? 2*1054 : 86+2016;
3539 `FBD_CH_PATH5.`RANK_DIMMPATH6.U08.handle = x8 ? 2*1055 : 87+2016;
3540 `ifndef X8
3541 `FBD_CH_PATH5.`RANK_DIMMPATH6.U09.handle = 88+2016;
3542 `FBD_CH_PATH5.`RANK_DIMMPATH6.U10.handle = 89+2016;
3543 `FBD_CH_PATH5.`RANK_DIMMPATH6.U11.handle = 90+2016;
3544 `FBD_CH_PATH5.`RANK_DIMMPATH6.U12.handle = 91+2016;
3545 `FBD_CH_PATH5.`RANK_DIMMPATH6.U14.handle = 92+2016;
3546 `FBD_CH_PATH5.`RANK_DIMMPATH6.U15.handle = 93+2016;
3547 `FBD_CH_PATH5.`RANK_DIMMPATH6.U16.handle = 94+2016;
3548 `FBD_CH_PATH5.`RANK_DIMMPATH6.U17.handle = 95+2016;
3549 `endif
3550 `endif
3551
3552
3553
3554 `FBD_CH_PATH6.`RANK_DIMMPATH6.U00.handle = x8 ? 2*1056 : 96+2016;
3555 `FBD_CH_PATH6.`RANK_DIMMPATH6.U01.handle = x8 ? 2*1057 : 97+2016;
3556 `FBD_CH_PATH6.`RANK_DIMMPATH6.U02.handle = x8 ? 2*1058 : 98+2016;
3557 `FBD_CH_PATH6.`RANK_DIMMPATH6.U03.handle = x8 ? 2*1059 : 99+2016;
3558 `FBD_CH_PATH6.`RANK_DIMMPATH6.U05.handle = x8 ? 2*1060 : 100+2016;
3559 `FBD_CH_PATH6.`RANK_DIMMPATH6.U06.handle = x8 ? 2*1061 : 101+2016;
3560 `FBD_CH_PATH6.`RANK_DIMMPATH6.U07.handle = x8 ? 2*1062 : 102+2016;
3561 `FBD_CH_PATH6.`RANK_DIMMPATH6.U08.handle = x8 ? 2*1063 : 103+2016;
3562 `ifndef X8
3563 `FBD_CH_PATH6.`RANK_DIMMPATH6.U09.handle = 104+2016;
3564 `FBD_CH_PATH6.`RANK_DIMMPATH6.U10.handle = 105+2016;
3565 `FBD_CH_PATH6.`RANK_DIMMPATH6.U11.handle = 106+2016;
3566 `FBD_CH_PATH6.`RANK_DIMMPATH6.U12.handle = 107+2016;
3567 `FBD_CH_PATH6.`RANK_DIMMPATH6.U14.handle = 108+2016;
3568 `FBD_CH_PATH6.`RANK_DIMMPATH6.U15.handle = 109+2016;
3569 `FBD_CH_PATH6.`RANK_DIMMPATH6.U16.handle = 110+2016;
3570 `FBD_CH_PATH6.`RANK_DIMMPATH6.U17.handle = 111+2016;
3571 `endif
3572
3573 `ifndef SNG_CHANNEL
3574 `FBD_CH_PATH7.`RANK_DIMMPATH6.U00.handle = x8 ? 2*1064 : 112+2016;
3575 `FBD_CH_PATH7.`RANK_DIMMPATH6.U01.handle = x8 ? 2*1065 : 113+2016;
3576 `FBD_CH_PATH7.`RANK_DIMMPATH6.U02.handle = x8 ? 2*1066 : 114+2016;
3577 `FBD_CH_PATH7.`RANK_DIMMPATH6.U03.handle = x8 ? 2*1067 : 115+2016;
3578 `FBD_CH_PATH7.`RANK_DIMMPATH6.U05.handle = x8 ? 2*1068 : 116+2016;
3579 `FBD_CH_PATH7.`RANK_DIMMPATH6.U06.handle = x8 ? 2*1069 : 117+2016;
3580 `FBD_CH_PATH7.`RANK_DIMMPATH6.U07.handle = x8 ? 2*1070 : 118+2016;
3581 `FBD_CH_PATH7.`RANK_DIMMPATH6.U08.handle = x8 ? 2*1071 : 119+2016;
3582 `ifndef X8
3583 `FBD_CH_PATH7.`RANK_DIMMPATH6.U09.handle = 120+2016;
3584 `FBD_CH_PATH7.`RANK_DIMMPATH6.U10.handle = 121+2016;
3585 `FBD_CH_PATH7.`RANK_DIMMPATH6.U11.handle = 122+2016;
3586 `FBD_CH_PATH7.`RANK_DIMMPATH6.U12.handle = 123+2016;
3587 `FBD_CH_PATH7.`RANK_DIMMPATH6.U14.handle = 124+2016;
3588 `FBD_CH_PATH7.`RANK_DIMMPATH6.U15.handle = 125+2016;
3589 `FBD_CH_PATH7.`RANK_DIMMPATH6.U16.handle = 126+2016;
3590 `FBD_CH_PATH7.`RANK_DIMMPATH6.U17.handle = 127+2016;
3591 `endif
3592 `endif
3593
3594
3595
3596 `FBD_CH_PATH0.`RANK_DIMMPATH6.U04.handle = x8 ? 2*1072 : 128+2016;
3597 `ifndef X8
3598 `FBD_CH_PATH0.`RANK_DIMMPATH6.U13.handle = 129+2016;
3599 `endif
3600 `ifndef SNG_CHANNEL
3601 `FBD_CH_PATH1.`RANK_DIMMPATH6.U04.handle = x8 ? 2*1073 : 130+2016;
3602 `ifndef X8
3603 `FBD_CH_PATH1.`RANK_DIMMPATH6.U13.handle = 131+2016;
3604 `endif
3605 `endif
3606
3607
3608 `FBD_CH_PATH2.`RANK_DIMMPATH6.U04.handle = x8 ? 2*1074 : 132+2016;
3609 `ifndef X8
3610 `FBD_CH_PATH2.`RANK_DIMMPATH6.U13.handle = 133+2016;
3611 `endif
3612 `ifndef SNG_CHANNEL
3613 `FBD_CH_PATH3.`RANK_DIMMPATH6.U04.handle = x8 ? 2*1075 : 134+2016;
3614 `ifndef X8
3615 `FBD_CH_PATH3.`RANK_DIMMPATH6.U13.handle = 135+2016;
3616 `endif
3617 `endif
3618
3619
3620 `FBD_CH_PATH4.`RANK_DIMMPATH6.U04.handle = x8 ? 2*1076 : 136+2016;
3621 `ifndef X8
3622 `FBD_CH_PATH4.`RANK_DIMMPATH6.U13.handle = 137+2016;
3623 `endif
3624 `ifndef SNG_CHANNEL
3625 `FBD_CH_PATH5.`RANK_DIMMPATH6.U04.handle = x8 ? 2*1077 : 138+2016;
3626 `ifndef X8
3627 `FBD_CH_PATH5.`RANK_DIMMPATH6.U13.handle = 139+2016;
3628 `endif
3629 `endif
3630
3631
3632 `FBD_CH_PATH6.`RANK_DIMMPATH6.U04.handle = x8 ? 2*1078 : 140+2016;
3633 `ifndef X8
3634 `FBD_CH_PATH6.`RANK_DIMMPATH6.U13.handle = 141+2016;
3635 `endif
3636 `ifndef SNG_CHANNEL
3637 `FBD_CH_PATH7.`RANK_DIMMPATH6.U04.handle = x8 ? 2*1079 : 142+2016;
3638 `ifndef X8
3639 `FBD_CH_PATH7.`RANK_DIMMPATH6.U13.handle = 143+2016;
3640 `endif
3641 `endif
3642
3643
3644
3645//start16
3646 `FBD_CH_PATH0.`RANK_DIMMPATH7.U00.handle = x8 ? 2*1080 : 0+2160;
3647 `FBD_CH_PATH0.`RANK_DIMMPATH7.U01.handle = x8 ? 2*1081 : 1+2160;
3648 `FBD_CH_PATH0.`RANK_DIMMPATH7.U02.handle = x8 ? 2*1082 : 2+2160;
3649 `FBD_CH_PATH0.`RANK_DIMMPATH7.U03.handle = x8 ? 2*1083 : 3+2160;
3650 `FBD_CH_PATH0.`RANK_DIMMPATH7.U05.handle = x8 ? 2*1084 : 4+2160;
3651 `FBD_CH_PATH0.`RANK_DIMMPATH7.U06.handle = x8 ? 2*1085 : 5+2160;
3652 `FBD_CH_PATH0.`RANK_DIMMPATH7.U07.handle = x8 ? 2*1086 : 6+2160;
3653 `FBD_CH_PATH0.`RANK_DIMMPATH7.U08.handle = x8 ? 2*1087 : 7+2160;
3654 `ifndef X8
3655 `FBD_CH_PATH0.`RANK_DIMMPATH7.U09.handle = 8+2160;
3656 `FBD_CH_PATH0.`RANK_DIMMPATH7.U10.handle = 9+2160;
3657 `FBD_CH_PATH0.`RANK_DIMMPATH7.U11.handle = 10+2160;
3658 `FBD_CH_PATH0.`RANK_DIMMPATH7.U12.handle = 11+2160;
3659 `FBD_CH_PATH0.`RANK_DIMMPATH7.U14.handle = 12+2160;
3660 `FBD_CH_PATH0.`RANK_DIMMPATH7.U15.handle = 13+2160;
3661 `FBD_CH_PATH0.`RANK_DIMMPATH7.U16.handle = 14+2160;
3662 `FBD_CH_PATH0.`RANK_DIMMPATH7.U17.handle = 15+2160;
3663 `endif
3664
3665 `ifndef SNG_CHANNEL
3666 `FBD_CH_PATH1.`RANK_DIMMPATH7.U00.handle = x8 ? 2*1088 : 16+2160;
3667 `FBD_CH_PATH1.`RANK_DIMMPATH7.U01.handle = x8 ? 2*1089 : 17+2160;
3668 `FBD_CH_PATH1.`RANK_DIMMPATH7.U02.handle = x8 ? 2*1090 : 18+2160;
3669 `FBD_CH_PATH1.`RANK_DIMMPATH7.U03.handle = x8 ? 2*1091 : 19+2160;
3670 `FBD_CH_PATH1.`RANK_DIMMPATH7.U05.handle = x8 ? 2*1092 : 20+2160;
3671 `FBD_CH_PATH1.`RANK_DIMMPATH7.U06.handle = x8 ? 2*1093 : 21+2160;
3672 `FBD_CH_PATH1.`RANK_DIMMPATH7.U07.handle = x8 ? 2*1094 : 22+2160;
3673 `FBD_CH_PATH1.`RANK_DIMMPATH7.U08.handle = x8 ? 2*1095 : 23+2160;
3674 `ifndef X8
3675 `FBD_CH_PATH1.`RANK_DIMMPATH7.U09.handle = 24+2160;
3676 `FBD_CH_PATH1.`RANK_DIMMPATH7.U10.handle = 25+2160;
3677 `FBD_CH_PATH1.`RANK_DIMMPATH7.U11.handle = 26+2160;
3678 `FBD_CH_PATH1.`RANK_DIMMPATH7.U12.handle = 27+2160;
3679 `FBD_CH_PATH1.`RANK_DIMMPATH7.U14.handle = 28+2160;
3680 `FBD_CH_PATH1.`RANK_DIMMPATH7.U15.handle = 29+2160;
3681 `FBD_CH_PATH1.`RANK_DIMMPATH7.U16.handle = 30+2160;
3682 `FBD_CH_PATH1.`RANK_DIMMPATH7.U17.handle = 31+2160;
3683 `endif
3684 `endif
3685
3686
3687
3688 `FBD_CH_PATH2.`RANK_DIMMPATH7.U00.handle = x8 ? 2*1096 : 32+2160;
3689 `FBD_CH_PATH2.`RANK_DIMMPATH7.U01.handle = x8 ? 2*1097 : 33+2160;
3690 `FBD_CH_PATH2.`RANK_DIMMPATH7.U02.handle = x8 ? 2*1098 : 34+2160;
3691 `FBD_CH_PATH2.`RANK_DIMMPATH7.U03.handle = x8 ? 2*1099 : 35+2160;
3692 `FBD_CH_PATH2.`RANK_DIMMPATH7.U05.handle = x8 ? 2*1100 : 36+2160;
3693 `FBD_CH_PATH2.`RANK_DIMMPATH7.U06.handle = x8 ? 2*1101 : 37+2160;
3694 `FBD_CH_PATH2.`RANK_DIMMPATH7.U07.handle = x8 ? 2*1102 : 38+2160;
3695 `FBD_CH_PATH2.`RANK_DIMMPATH7.U08.handle = x8 ? 2*1103 : 39+2160;
3696 `ifndef X8
3697 `FBD_CH_PATH2.`RANK_DIMMPATH7.U09.handle = 40+2160;
3698 `FBD_CH_PATH2.`RANK_DIMMPATH7.U10.handle = 41+2160;
3699 `FBD_CH_PATH2.`RANK_DIMMPATH7.U11.handle = 42+2160;
3700 `FBD_CH_PATH2.`RANK_DIMMPATH7.U12.handle = 43+2160;
3701 `FBD_CH_PATH2.`RANK_DIMMPATH7.U14.handle = 44+2160;
3702 `FBD_CH_PATH2.`RANK_DIMMPATH7.U15.handle = 45+2160;
3703 `FBD_CH_PATH2.`RANK_DIMMPATH7.U16.handle = 46+2160;
3704 `FBD_CH_PATH2.`RANK_DIMMPATH7.U17.handle = 47+2160;
3705 `endif
3706
3707 `ifndef SNG_CHANNEL
3708 `FBD_CH_PATH3.`RANK_DIMMPATH7.U00.handle = x8 ? 2*1104 : 48+2160;
3709 `FBD_CH_PATH3.`RANK_DIMMPATH7.U01.handle = x8 ? 2*1105 : 49+2160;
3710 `FBD_CH_PATH3.`RANK_DIMMPATH7.U02.handle = x8 ? 2*1106 : 50+2160;
3711 `FBD_CH_PATH3.`RANK_DIMMPATH7.U03.handle = x8 ? 2*1107 : 51+2160;
3712 `FBD_CH_PATH3.`RANK_DIMMPATH7.U05.handle = x8 ? 2*1108 : 52+2160;
3713 `FBD_CH_PATH3.`RANK_DIMMPATH7.U06.handle = x8 ? 2*1109 : 53+2160;
3714 `FBD_CH_PATH3.`RANK_DIMMPATH7.U07.handle = x8 ? 2*1110 : 54+2160;
3715 `FBD_CH_PATH3.`RANK_DIMMPATH7.U08.handle = x8 ? 2*1111 : 55+2160;
3716 `ifndef X8
3717 `FBD_CH_PATH3.`RANK_DIMMPATH7.U09.handle = 56+2160;
3718 `FBD_CH_PATH3.`RANK_DIMMPATH7.U10.handle = 57+2160;
3719 `FBD_CH_PATH3.`RANK_DIMMPATH7.U11.handle = 58+2160;
3720 `FBD_CH_PATH3.`RANK_DIMMPATH7.U12.handle = 59+2160;
3721 `FBD_CH_PATH3.`RANK_DIMMPATH7.U14.handle = 60+2160;
3722 `FBD_CH_PATH3.`RANK_DIMMPATH7.U15.handle = 61+2160;
3723 `FBD_CH_PATH3.`RANK_DIMMPATH7.U16.handle = 62+2160;
3724 `FBD_CH_PATH3.`RANK_DIMMPATH7.U17.handle = 63+2160;
3725 `endif
3726 `endif
3727
3728
3729
3730 `FBD_CH_PATH4.`RANK_DIMMPATH7.U00.handle = x8 ? 2*1112 : 64+2160;
3731 `FBD_CH_PATH4.`RANK_DIMMPATH7.U01.handle = x8 ? 2*1113 : 65+2160;
3732 `FBD_CH_PATH4.`RANK_DIMMPATH7.U02.handle = x8 ? 2*1114 : 66+2160;
3733 `FBD_CH_PATH4.`RANK_DIMMPATH7.U03.handle = x8 ? 2*1115 : 67+2160;
3734 `FBD_CH_PATH4.`RANK_DIMMPATH7.U05.handle = x8 ? 2*1116 : 68+2160;
3735 `FBD_CH_PATH4.`RANK_DIMMPATH7.U06.handle = x8 ? 2*1117 : 69+2160;
3736 `FBD_CH_PATH4.`RANK_DIMMPATH7.U07.handle = x8 ? 2*1118 : 70+2160;
3737 `FBD_CH_PATH4.`RANK_DIMMPATH7.U08.handle = x8 ? 2*1119 : 71+2160;
3738 `ifndef X8
3739 `FBD_CH_PATH4.`RANK_DIMMPATH7.U09.handle = 72+2160;
3740 `FBD_CH_PATH4.`RANK_DIMMPATH7.U10.handle = 73+2160;
3741 `FBD_CH_PATH4.`RANK_DIMMPATH7.U11.handle = 74+2160;
3742 `FBD_CH_PATH4.`RANK_DIMMPATH7.U12.handle = 75+2160;
3743 `FBD_CH_PATH4.`RANK_DIMMPATH7.U14.handle = 76+2160;
3744 `FBD_CH_PATH4.`RANK_DIMMPATH7.U15.handle = 77+2160;
3745 `FBD_CH_PATH4.`RANK_DIMMPATH7.U16.handle = 78+2160;
3746 `FBD_CH_PATH4.`RANK_DIMMPATH7.U17.handle = 79+2160;
3747 `endif
3748
3749 `ifndef SNG_CHANNEL
3750 `FBD_CH_PATH5.`RANK_DIMMPATH7.U00.handle = x8 ? 2*1120 : 80+2160;
3751 `FBD_CH_PATH5.`RANK_DIMMPATH7.U01.handle = x8 ? 2*1121 : 81+2160;
3752 `FBD_CH_PATH5.`RANK_DIMMPATH7.U02.handle = x8 ? 2*1122 : 82+2160;
3753 `FBD_CH_PATH5.`RANK_DIMMPATH7.U03.handle = x8 ? 2*1123 : 83+2160;
3754 `FBD_CH_PATH5.`RANK_DIMMPATH7.U05.handle = x8 ? 2*1124 : 84+2160;
3755 `FBD_CH_PATH5.`RANK_DIMMPATH7.U06.handle = x8 ? 2*1125 : 85+2160;
3756 `FBD_CH_PATH5.`RANK_DIMMPATH7.U07.handle = x8 ? 2*1126 : 86+2160;
3757 `FBD_CH_PATH5.`RANK_DIMMPATH7.U08.handle = x8 ? 2*1127 : 87+2160;
3758 `ifndef X8
3759 `FBD_CH_PATH5.`RANK_DIMMPATH7.U09.handle = 88+2160;
3760 `FBD_CH_PATH5.`RANK_DIMMPATH7.U10.handle = 89+2160;
3761 `FBD_CH_PATH5.`RANK_DIMMPATH7.U11.handle = 90+2160;
3762 `FBD_CH_PATH5.`RANK_DIMMPATH7.U12.handle = 91+2160;
3763 `FBD_CH_PATH5.`RANK_DIMMPATH7.U14.handle = 92+2160;
3764 `FBD_CH_PATH5.`RANK_DIMMPATH7.U15.handle = 93+2160;
3765 `FBD_CH_PATH5.`RANK_DIMMPATH7.U16.handle = 94+2160;
3766 `FBD_CH_PATH5.`RANK_DIMMPATH7.U17.handle = 95+2160;
3767 `endif
3768 `endif
3769
3770
3771
3772 `FBD_CH_PATH6.`RANK_DIMMPATH7.U00.handle = x8 ? 2*1128 : 96+2160;
3773 `FBD_CH_PATH6.`RANK_DIMMPATH7.U01.handle = x8 ? 2*1129 : 97+2160;
3774 `FBD_CH_PATH6.`RANK_DIMMPATH7.U02.handle = x8 ? 2*1130 : 98+2160;
3775 `FBD_CH_PATH6.`RANK_DIMMPATH7.U03.handle = x8 ? 2*1131 : 99+2160;
3776 `FBD_CH_PATH6.`RANK_DIMMPATH7.U05.handle = x8 ? 2*1132 : 100+2160;
3777 `FBD_CH_PATH6.`RANK_DIMMPATH7.U06.handle = x8 ? 2*1133 : 101+2160;
3778 `FBD_CH_PATH6.`RANK_DIMMPATH7.U07.handle = x8 ? 2*1134 : 102+2160;
3779 `FBD_CH_PATH6.`RANK_DIMMPATH7.U08.handle = x8 ? 2*1135 : 103+2160;
3780 `ifndef X8
3781 `FBD_CH_PATH6.`RANK_DIMMPATH7.U09.handle = 104+2160;
3782 `FBD_CH_PATH6.`RANK_DIMMPATH7.U10.handle = 105+2160;
3783 `FBD_CH_PATH6.`RANK_DIMMPATH7.U11.handle = 106+2160;
3784 `FBD_CH_PATH6.`RANK_DIMMPATH7.U12.handle = 107+2160;
3785 `FBD_CH_PATH6.`RANK_DIMMPATH7.U14.handle = 108+2160;
3786 `FBD_CH_PATH6.`RANK_DIMMPATH7.U15.handle = 109+2160;
3787 `FBD_CH_PATH6.`RANK_DIMMPATH7.U16.handle = 110+2160;
3788 `FBD_CH_PATH6.`RANK_DIMMPATH7.U17.handle = 111+2160;
3789 `endif
3790
3791 `ifndef SNG_CHANNEL
3792 `FBD_CH_PATH7.`RANK_DIMMPATH7.U00.handle = x8 ? 2*1136 : 112+2160;
3793 `FBD_CH_PATH7.`RANK_DIMMPATH7.U01.handle = x8 ? 2*1137 : 113+2160;
3794 `FBD_CH_PATH7.`RANK_DIMMPATH7.U02.handle = x8 ? 2*1138 : 114+2160;
3795 `FBD_CH_PATH7.`RANK_DIMMPATH7.U03.handle = x8 ? 2*1139 : 115+2160;
3796 `FBD_CH_PATH7.`RANK_DIMMPATH7.U05.handle = x8 ? 2*1140 : 116+2160;
3797 `FBD_CH_PATH7.`RANK_DIMMPATH7.U06.handle = x8 ? 2*1141 : 117+2160;
3798 `FBD_CH_PATH7.`RANK_DIMMPATH7.U07.handle = x8 ? 2*1142 : 118+2160;
3799 `FBD_CH_PATH7.`RANK_DIMMPATH7.U08.handle = x8 ? 2*1143 : 119+2160;
3800 `ifndef X8
3801 `FBD_CH_PATH7.`RANK_DIMMPATH7.U09.handle = 120+2160;
3802 `FBD_CH_PATH7.`RANK_DIMMPATH7.U10.handle = 121+2160;
3803 `FBD_CH_PATH7.`RANK_DIMMPATH7.U11.handle = 122+2160;
3804 `FBD_CH_PATH7.`RANK_DIMMPATH7.U12.handle = 123+2160;
3805 `FBD_CH_PATH7.`RANK_DIMMPATH7.U14.handle = 124+2160;
3806 `FBD_CH_PATH7.`RANK_DIMMPATH7.U15.handle = 125+2160;
3807 `FBD_CH_PATH7.`RANK_DIMMPATH7.U16.handle = 126+2160;
3808 `FBD_CH_PATH7.`RANK_DIMMPATH7.U17.handle = 127+2160;
3809 `endif
3810 `endif
3811
3812
3813
3814 `FBD_CH_PATH0.`RANK_DIMMPATH7.U04.handle = x8 ? 2*1144 : 128+2160;
3815 `ifndef X8
3816 `FBD_CH_PATH0.`RANK_DIMMPATH7.U13.handle = 129+2160;
3817 `endif
3818 `ifndef SNG_CHANNEL
3819 `FBD_CH_PATH1.`RANK_DIMMPATH7.U04.handle = x8 ? 2*1145 : 130+2160;
3820 `ifndef X8
3821 `FBD_CH_PATH1.`RANK_DIMMPATH7.U13.handle = 131+2160;
3822 `endif
3823 `endif
3824
3825
3826 `FBD_CH_PATH2.`RANK_DIMMPATH7.U04.handle = x8 ? 2*1146 : 132+2160;
3827 `ifndef X8
3828 `FBD_CH_PATH2.`RANK_DIMMPATH7.U13.handle = 133+2160;
3829 `endif
3830 `ifndef SNG_CHANNEL
3831 `FBD_CH_PATH3.`RANK_DIMMPATH7.U04.handle = x8 ? 2*1147 : 134+2160;
3832 `ifndef X8
3833 `FBD_CH_PATH3.`RANK_DIMMPATH7.U13.handle = 135+2160;
3834 `endif
3835 `endif
3836
3837
3838 `FBD_CH_PATH4.`RANK_DIMMPATH7.U04.handle = x8 ? 2*1148 : 136+2160;
3839 `ifndef X8
3840 `FBD_CH_PATH4.`RANK_DIMMPATH7.U13.handle = 137+2160;
3841 `endif
3842 `ifndef SNG_CHANNEL
3843 `FBD_CH_PATH5.`RANK_DIMMPATH7.U04.handle = x8 ? 2*1149 : 138+2160;
3844 `ifndef X8
3845 `FBD_CH_PATH5.`RANK_DIMMPATH7.U13.handle = 139+2160;
3846 `endif
3847 `endif
3848
3849
3850 `FBD_CH_PATH6.`RANK_DIMMPATH7.U04.handle = x8 ? 2*1150 : 140+2160;
3851 `ifndef X8
3852 `FBD_CH_PATH6.`RANK_DIMMPATH7.U13.handle = 141+2160;
3853 `endif
3854 `ifndef SNG_CHANNEL
3855 `FBD_CH_PATH7.`RANK_DIMMPATH7.U04.handle = x8 ? 2*1151 : 142+2160;
3856 `ifndef X8
3857 `FBD_CH_PATH7.`RANK_DIMMPATH7.U13.handle = 143+2160;
3858 `endif
3859 `endif
3860
3861
3862 `endif // DBL_SIDE
3863
3864 `endif // FBD_8
3865
3866// `endif // DRAM_SAT
3867
3868`ifndef AXIS_DDR2_MODEL
3869 #1
3870`ifndef MCUSAT
3871 if(`PARGS.bank_set_mask == 4'h3 || `PARGS.bank_set_mask == 4'h5 || `PARGS.bank_set_mask == 4'h6 || `PARGS.bank_set_mask == 4'h9 || `PARGS.bank_set_mask == 4'ha || `PARGS.bank_set_mask == 4'hc)
3872 shift = 1;
3873 else if(`PARGS.bank_set_mask_csr == 4'h3 || `PARGS.bank_set_mask_csr == 4'h5 || `PARGS.bank_set_mask_csr == 4'h6 || `PARGS.bank_set_mask_csr == 4'h9 || `PARGS.bank_set_mask_csr == 4'ha || `PARGS.bank_set_mask_csr == 4'hc)
3874 shift = 1;
3875 else if(`PARGS.bank_set_mask == 4'h1 || `PARGS.bank_set_mask == 4'h2 || `PARGS.bank_set_mask == 4'h4 || `PARGS.bank_set_mask == 4'h8)
3876 shift = 2;
3877 else if(`PARGS.bank_set_mask_csr == 4'h1 || `PARGS.bank_set_mask_csr == 4'h2 || `PARGS.bank_set_mask_csr == 4'h4 || `PARGS.bank_set_mask_csr == 4'h8)
3878 shift = 2;
3879`endif
3880 `PR_ALWAYS("cmp_mem", `ALWAYS, "Initializing Sparse Memory from %0s, shift = %0d, ck_mcu0 = %0d, ck_mcu1 = %0d, ck_mcu2 = %0d, ck_mcu3 = %0d", file, shift, ck_mcu0, ck_mcu1, ck_mcu2, ck_mcu3);
3881 $init_dram(file, dimm_config, ck_mcu0, ck_mcu1, ck_mcu2, ck_mcu3, shift);
3882`endif
3883//`endif // RTL_SPARC0
3884end // initial begin
3885
3886endmodule // cmp_mem