Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / verif / model / verilog / mem / fbdimm / design / nb_bit_lane_deskew.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: nb_bit_lane_deskew.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35`ifdef STINGRAY
36
37module nb_bit_lane_deskew(pn_in,pn_out,init,sclk);
38parameter DS=0;
39
40// interface signals
41input [13:0] pn_in;
42output [13:0] pn_out;
43input init;
44input sclk;
45
46reg [9:0] pn0_deskew,pn1_deskew,pn2_deskew,pn3_deskew;
47reg [9:0] pn4_deskew,pn5_deskew,pn6_deskew,pn7_deskew;
48reg [9:0] pn8_deskew,pn9_deskew,pn10_deskew,pn11_deskew;
49reg [9:0] pn12_deskew,pn13_deskew;
50reg dummy;
51
52initial begin
53
54pn0_deskew=10'h0;
55pn1_deskew=10'h0;
56pn2_deskew=10'h0;
57pn3_deskew=10'h0;
58pn4_deskew=10'h0;
59pn5_deskew=10'h0;
60pn6_deskew=10'h0;
61pn7_deskew=10'h0;
62pn8_deskew=10'h0;
63pn9_deskew=10'h0;
64pn10_deskew=10'h0;
65pn11_deskew=10'h0;
66pn12_deskew=10'h0;
67pn13_deskew=10'h0;
68
69`ifdef AXIS_FBDIMM_HW
70`else
71dummy=$value$plusargs("pn0_deskew=%h",pn0_deskew);
72dummy=$value$plusargs("pn1_deskew=%h",pn1_deskew);
73dummy=$value$plusargs("pn2_deskew=%h",pn2_deskew);
74dummy=$value$plusargs("pn3_deskew=%h",pn3_deskew);
75dummy=$value$plusargs("pn4_deskew=%h",pn4_deskew);
76dummy=$value$plusargs("pn5_deskew=%h",pn5_deskew);
77dummy=$value$plusargs("pn6_deskew=%h",pn6_deskew);
78dummy=$value$plusargs("pn7_deskew=%h",pn7_deskew);
79dummy=$value$plusargs("pn8_deskew=%h",pn8_deskew);
80dummy=$value$plusargs("pn9_deskew=%h",pn9_deskew);
81dummy=$value$plusargs("pn10_deskew=%h",pn10_deskew);
82dummy=$value$plusargs("pn11_deskew=%h",pn11_deskew);
83dummy=$value$plusargs("pn12_deskew=%h",pn12_deskew);
84dummy=$value$plusargs("pn13_deskew=%h",pn13_deskew);
85`endif
86
87end
88
89wire [13:0] pn_d1,pn_d2,pn_d3,pn_d4,pn_d5,pn_d6,pn_d7,pn_d8,pn_d9,pn_d10;
90wire [13:0] pn_d11,pn_d12,pn_d13,pn_d14,pn_d15,pn_d16,pn_d17,pn_d18,pn_d19,pn_d20;
91wire [13:0] pn_d21,pn_d22,pn_d23,pn_d24,pn_d25,pn_d26,pn_d27,pn_d28,pn_d29,pn_d30;
92wire [13:0] pn_d31,pn_d32,pn_d33,pn_d34,pn_d35,pn_d36,pn_d37,pn_d38,pn_d39,pn_d40;
93wire [13:0] pn_d41,pn_d42,pn_d43,pn_d44,pn_d45,pn_d46,pn_d47,pn_d48,pn_d49,pn_d50;
94wire [13:0] pn_d51,pn_d52,pn_d53,pn_d54,pn_d55,pn_d56,pn_d57,pn_d58,pn_d59,pn_d60;
95wire [13:0] pn_d61,pn_d62,pn_d63,pn_d64,pn_d65,pn_d66,pn_d67,pn_d68,pn_d69,pn_d70;
96wire [13:0] pn_d71,pn_d72,pn_d73,pn_d74,pn_d75,pn_d76,pn_d77,pn_d78,pn_d79,pn_d80;
97wire [13:0] pn_d81,pn_d82,pn_d83,pn_d84,pn_d85,pn_d86,pn_d87,pn_d88,pn_d89,pn_d90;
98wire [13:0] pn_d91,pn_d92,pn_d93,pn_d94,pn_d95,pn_d96,pn_d97,pn_d98,pn_d99,pn_d100;
99wire [13:0] pn_d101,pn_d102,pn_d103,pn_d104,pn_d105,pn_d106,pn_d107,pn_d108,pn_d109,pn_d110;
100wire [13:0] pn_d111,pn_d112,pn_d113,pn_d114,pn_d115,pn_d116,pn_d117,pn_d118,pn_d119,pn_d120;
101wire [13:0] pn_d121,pn_d122,pn_d123,pn_d124,pn_d125,pn_d126,pn_d127,pn_d128,pn_d129,pn_d130;
102wire [13:0] pn_d131,pn_d132,pn_d133,pn_d134,pn_d135,pn_d136,pn_d137,pn_d138,pn_d139,pn_d140;
103wire [13:0] pn_d141,pn_d142,pn_d143,pn_d144,pn_d145,pn_d146,pn_d147,pn_d148,pn_d149,pn_d150;
104
105 assign pn_out[0] = ( pn0_deskew == 10'h0 ) ? pn_in[0] :
106 ( pn0_deskew == 10'h1 ) ? pn_d1[0] :
107 ( pn0_deskew == 10'h2 ) ? pn_d2[0] :
108 ( pn0_deskew == 10'h3 ) ? pn_d3[0] :
109 ( pn0_deskew == 10'h4 ) ? pn_d4[0] :
110 ( pn0_deskew == 10'h5 ) ? pn_d5[0] :
111 ( pn0_deskew == 10'h6 ) ? pn_d6[0] :
112 ( pn0_deskew == 10'h7 ) ? pn_d7[0] :
113 ( pn0_deskew == 10'h8 ) ? pn_d8[0] :
114 ( pn0_deskew == 10'h9 ) ? pn_d9[0] :
115 ( pn0_deskew == 10'ha ) ? pn_d10[0] :
116 ( pn0_deskew == 10'hb ) ? pn_d11[0] :
117 ( pn0_deskew == 10'hc ) ? pn_d12[0] :
118 ( pn0_deskew == 10'hd ) ? pn_d13[0] :
119 ( pn0_deskew == 10'he ) ? pn_d14[0] :
120 ( pn0_deskew == 10'hf ) ? pn_d15[0] :
121 ( pn0_deskew == 10'h10 ) ? pn_d16[0] :
122 ( pn0_deskew == 10'h11 ) ? pn_d17[0] :
123 ( pn0_deskew == 10'h12 ) ? pn_d18[0] :
124 ( pn0_deskew == 10'h13 ) ? pn_d19[0] :
125 ( pn0_deskew == 10'h14 ) ? pn_d20[0] :
126 ( pn0_deskew == 10'h15 ) ? pn_d21[0] :
127 ( pn0_deskew == 10'h16 ) ? pn_d22[0] :
128 ( pn0_deskew == 10'h17 ) ? pn_d23[0] :
129 ( pn0_deskew == 10'h18 ) ? pn_d24[0] :
130 ( pn0_deskew == 10'h19 ) ? pn_d25[0] :
131 ( pn0_deskew == 10'h1a ) ? pn_d26[0] :
132 ( pn0_deskew == 10'h1b ) ? pn_d27[0] :
133 ( pn0_deskew == 10'h1c ) ? pn_d28[0] :
134 ( pn0_deskew == 10'h1d ) ? pn_d29[0] :
135 ( pn0_deskew == 10'h1e ) ? pn_d30[0] :
136 ( pn0_deskew == 10'h1f ) ? pn_d31[0] :
137 ( pn0_deskew == 10'h20 ) ? pn_d32[0] :
138 ( pn0_deskew == 10'h21 ) ? pn_d33[0] :
139 ( pn0_deskew == 10'h22 ) ? pn_d34[0] :
140 ( pn0_deskew == 10'h23 ) ? pn_d35[0] :
141 ( pn0_deskew == 10'h24 ) ? pn_d36[0] :
142 ( pn0_deskew == 10'h25 ) ? pn_d37[0] :
143 ( pn0_deskew == 10'h26 ) ? pn_d38[0] :
144 ( pn0_deskew == 10'h27 ) ? pn_d39[0] :
145 ( pn0_deskew == 10'h28 ) ? pn_d40[0] :
146 ( pn0_deskew == 10'h29 ) ? pn_d41[0] :
147 ( pn0_deskew == 10'h2a ) ? pn_d42[0] :
148 ( pn0_deskew == 10'h2b ) ? pn_d43[0] :
149 ( pn0_deskew == 10'h2c ) ? pn_d44[0] :
150 ( pn0_deskew == 10'h2d ) ? pn_d45[0] :
151 ( pn0_deskew == 10'h2e ) ? pn_d46[0] :
152 ( pn0_deskew == 10'h2f ) ? pn_d47[0] :
153 ( pn0_deskew == 10'h30 ) ? pn_d48[0] :
154 ( pn0_deskew == 10'h31 ) ? pn_d49[0] :
155 ( pn0_deskew == 10'h32 ) ? pn_d50[0] :
156 ( pn0_deskew == 10'h33 ) ? pn_d51[0] :
157 ( pn0_deskew == 10'h34 ) ? pn_d52[0] :
158 ( pn0_deskew == 10'h35 ) ? pn_d53[0] :
159 ( pn0_deskew == 10'h36 ) ? pn_d54[0] :
160 ( pn0_deskew == 10'h37 ) ? pn_d55[0] :
161 ( pn0_deskew == 10'h38 ) ? pn_d56[0] :
162 ( pn0_deskew == 10'h39 ) ? pn_d57[0] :
163 ( pn0_deskew == 10'h3a ) ? pn_d58[0] :
164 ( pn0_deskew == 10'h3b ) ? pn_d59[0] :
165 ( pn0_deskew == 10'h3c ) ? pn_d60[0] :
166 ( pn0_deskew == 10'h3d ) ? pn_d61[0] :
167 ( pn0_deskew == 10'h3e ) ? pn_d62[0] :
168 ( pn0_deskew == 10'h3f ) ? pn_d63[0] :
169 ( pn0_deskew == 10'h40 ) ? pn_d64[0] :
170 ( pn0_deskew == 10'h41 ) ? pn_d65[0] :
171 ( pn0_deskew == 10'h42 ) ? pn_d66[0] :
172 ( pn0_deskew == 10'h43 ) ? pn_d67[0] :
173 ( pn0_deskew == 10'h44 ) ? pn_d68[0] :
174 ( pn0_deskew == 10'h45 ) ? pn_d69[0] :
175 ( pn0_deskew == 10'h46 ) ? pn_d70[0] :
176 ( pn0_deskew == 10'h47 ) ? pn_d71[0] :
177 ( pn0_deskew == 10'h48 ) ? pn_d72[0] :
178 ( pn0_deskew == 10'h49 ) ? pn_d73[0] :
179 ( pn0_deskew == 10'h4a ) ? pn_d74[0] :
180 ( pn0_deskew == 10'h4b ) ? pn_d75[0] :
181 ( pn0_deskew == 10'h4c ) ? pn_d76[0] :
182 ( pn0_deskew == 10'h4d ) ? pn_d77[0] :
183 ( pn0_deskew == 10'h4e ) ? pn_d78[0] :
184 ( pn0_deskew == 10'h4f ) ? pn_d79[0] :
185 ( pn0_deskew == 10'h50 ) ? pn_d80[0] :
186 ( pn0_deskew == 10'h51 ) ? pn_d81[0] :
187 ( pn0_deskew == 10'h52 ) ? pn_d82[0] :
188 ( pn0_deskew == 10'h53 ) ? pn_d83[0] :
189 ( pn0_deskew == 10'h54 ) ? pn_d84[0] :
190 ( pn0_deskew == 10'h55 ) ? pn_d85[0] :
191 ( pn0_deskew == 10'h56 ) ? pn_d86[0] :
192 ( pn0_deskew == 10'h57 ) ? pn_d87[0] :
193 ( pn0_deskew == 10'h58 ) ? pn_d88[0] :
194 ( pn0_deskew == 10'h59 ) ? pn_d89[0] :
195 ( pn0_deskew == 10'h5a ) ? pn_d90[0] :
196 ( pn0_deskew == 10'h5b ) ? pn_d91[0] :
197 ( pn0_deskew == 10'h5c ) ? pn_d92[0] :
198 ( pn0_deskew == 10'h5d ) ? pn_d93[0] :
199 ( pn0_deskew == 10'h5e ) ? pn_d94[0] :
200 ( pn0_deskew == 10'h5f ) ? pn_d95[0] :
201 ( pn0_deskew == 10'h60 ) ? pn_d96[0] :
202 ( pn0_deskew == 10'h61 ) ? pn_d97[0] :
203 ( pn0_deskew == 10'h62 ) ? pn_d98[0] :
204 ( pn0_deskew == 10'h63 ) ? pn_d99[0] :
205 ( pn0_deskew == 10'h64 ) ? pn_d100[0] :
206 ( pn0_deskew == 10'h65 ) ? pn_d101[0] :
207 ( pn0_deskew == 10'h66 ) ? pn_d102[0] :
208 ( pn0_deskew == 10'h67 ) ? pn_d103[0] :
209 ( pn0_deskew == 10'h68 ) ? pn_d104[0] :
210 ( pn0_deskew == 10'h69 ) ? pn_d105[0] :
211 ( pn0_deskew == 10'h6a ) ? pn_d106[0] :
212 ( pn0_deskew == 10'h6b ) ? pn_d107[0] :
213 ( pn0_deskew == 10'h6c ) ? pn_d108[0] :
214 ( pn0_deskew == 10'h6d ) ? pn_d109[0] :
215 ( pn0_deskew == 10'h6e ) ? pn_d110[0] :
216 ( pn0_deskew == 10'h6f ) ? pn_d111[0] :
217 ( pn0_deskew == 10'h70 ) ? pn_d112[0] :
218 ( pn0_deskew == 10'h71 ) ? pn_d113[0] :
219 ( pn0_deskew == 10'h72 ) ? pn_d114[0] :
220 ( pn0_deskew == 10'h73 ) ? pn_d115[0] :
221 ( pn0_deskew == 10'h74 ) ? pn_d116[0] :
222 ( pn0_deskew == 10'h75 ) ? pn_d117[0] :
223 ( pn0_deskew == 10'h76 ) ? pn_d118[0] :
224 ( pn0_deskew == 10'h77 ) ? pn_d119[0] :
225 ( pn0_deskew == 10'h78 ) ? pn_d120[0] :
226 ( pn0_deskew == 10'h79 ) ? pn_d121[0] :
227 ( pn0_deskew == 10'h7a ) ? pn_d122[0] :
228 ( pn0_deskew == 10'h7b ) ? pn_d123[0] :
229 ( pn0_deskew == 10'h7c ) ? pn_d124[0] :
230 ( pn0_deskew == 10'h7d ) ? pn_d125[0] :
231 ( pn0_deskew == 10'h7e ) ? pn_d126[0] :
232 ( pn0_deskew == 10'h7f ) ? pn_d127[0] :
233 ( pn0_deskew == 10'h80 ) ? pn_d128[0] :
234 ( pn0_deskew == 10'h81 ) ? pn_d129[0] :
235 ( pn0_deskew == 10'h82 ) ? pn_d130[0] :
236 ( pn0_deskew == 10'h83 ) ? pn_d131[0] :
237 ( pn0_deskew == 10'h84 ) ? pn_d132[0] :
238 ( pn0_deskew == 10'h85 ) ? pn_d133[0] :
239 ( pn0_deskew == 10'h86 ) ? pn_d134[0] :
240 ( pn0_deskew == 10'h87 ) ? pn_d135[0] :
241 ( pn0_deskew == 10'h88 ) ? pn_d136[0] :
242 ( pn0_deskew == 10'h89 ) ? pn_d137[0] :
243 ( pn0_deskew == 10'h8a ) ? pn_d138[0] :
244 ( pn0_deskew == 10'h8b ) ? pn_d139[0] :
245 ( pn0_deskew == 10'h8c ) ? pn_d140[0] :
246 ( pn0_deskew == 10'h8d ) ? pn_d141[0] :
247 ( pn0_deskew == 10'h8e ) ? pn_d142[0] :
248 ( pn0_deskew == 10'h8f ) ? pn_d143[0] :
249 ( pn0_deskew == 10'h90 ) ? pn_d144[0] :
250 ( pn0_deskew == 10'h91 ) ? pn_d145[0] : pn_in[0];
251assign pn_out[1] = ( pn1_deskew == 10'h0 ) ? pn_in[1] :
252 ( pn1_deskew == 10'h1 ) ? pn_d1[1] :
253 ( pn1_deskew == 10'h2 ) ? pn_d2[1] :
254 ( pn1_deskew == 10'h3 ) ? pn_d3[1] :
255 ( pn1_deskew == 10'h4 ) ? pn_d4[1] :
256 ( pn1_deskew == 10'h5 ) ? pn_d5[1] :
257 ( pn1_deskew == 10'h6 ) ? pn_d6[1] :
258 ( pn1_deskew == 10'h7 ) ? pn_d7[1] :
259 ( pn1_deskew == 10'h8 ) ? pn_d8[1] :
260 ( pn1_deskew == 10'h9 ) ? pn_d9[1] :
261 ( pn1_deskew == 10'ha ) ? pn_d10[1] :
262 ( pn1_deskew == 10'hb ) ? pn_d11[1] :
263 ( pn1_deskew == 10'hc ) ? pn_d12[1] :
264 ( pn1_deskew == 10'hd ) ? pn_d13[1] :
265 ( pn1_deskew == 10'he ) ? pn_d14[1] :
266 ( pn1_deskew == 10'hf ) ? pn_d15[1] :
267 ( pn1_deskew == 10'h10 ) ? pn_d16[1] :
268 ( pn1_deskew == 10'h11 ) ? pn_d17[1] :
269 ( pn1_deskew == 10'h12 ) ? pn_d18[1] :
270 ( pn1_deskew == 10'h13 ) ? pn_d19[1] :
271 ( pn1_deskew == 10'h14 ) ? pn_d20[1] :
272 ( pn1_deskew == 10'h15 ) ? pn_d21[1] :
273 ( pn1_deskew == 10'h16 ) ? pn_d22[1] :
274 ( pn1_deskew == 10'h17 ) ? pn_d23[1] :
275 ( pn1_deskew == 10'h18 ) ? pn_d24[1] :
276 ( pn1_deskew == 10'h19 ) ? pn_d25[1] :
277 ( pn1_deskew == 10'h1a ) ? pn_d26[1] :
278 ( pn1_deskew == 10'h1b ) ? pn_d27[1] :
279 ( pn1_deskew == 10'h1c ) ? pn_d28[1] :
280 ( pn1_deskew == 10'h1d ) ? pn_d29[1] :
281 ( pn1_deskew == 10'h1e ) ? pn_d30[1] :
282 ( pn1_deskew == 10'h1f ) ? pn_d31[1] :
283 ( pn1_deskew == 10'h20 ) ? pn_d32[1] :
284 ( pn1_deskew == 10'h21 ) ? pn_d33[1] :
285 ( pn1_deskew == 10'h22 ) ? pn_d34[1] :
286 ( pn1_deskew == 10'h23 ) ? pn_d35[1] :
287 ( pn1_deskew == 10'h24 ) ? pn_d36[1] :
288 ( pn1_deskew == 10'h25 ) ? pn_d37[1] :
289 ( pn1_deskew == 10'h26 ) ? pn_d38[1] :
290 ( pn1_deskew == 10'h27 ) ? pn_d39[1] :
291 ( pn1_deskew == 10'h28 ) ? pn_d40[1] :
292 ( pn1_deskew == 10'h29 ) ? pn_d41[1] :
293 ( pn1_deskew == 10'h2a ) ? pn_d42[1] :
294 ( pn1_deskew == 10'h2b ) ? pn_d43[1] :
295 ( pn1_deskew == 10'h2c ) ? pn_d44[1] :
296 ( pn1_deskew == 10'h2d ) ? pn_d45[1] :
297 ( pn1_deskew == 10'h2e ) ? pn_d46[1] :
298 ( pn1_deskew == 10'h2f ) ? pn_d47[1] :
299 ( pn1_deskew == 10'h30 ) ? pn_d48[1] :
300 ( pn1_deskew == 10'h31 ) ? pn_d49[1] :
301 ( pn1_deskew == 10'h32 ) ? pn_d50[1] :
302 ( pn1_deskew == 10'h33 ) ? pn_d51[1] :
303 ( pn1_deskew == 10'h34 ) ? pn_d52[1] :
304 ( pn1_deskew == 10'h35 ) ? pn_d53[1] :
305 ( pn1_deskew == 10'h36 ) ? pn_d54[1] :
306 ( pn1_deskew == 10'h37 ) ? pn_d55[1] :
307 ( pn1_deskew == 10'h38 ) ? pn_d56[1] :
308 ( pn1_deskew == 10'h39 ) ? pn_d57[1] :
309 ( pn1_deskew == 10'h3a ) ? pn_d58[1] :
310 ( pn1_deskew == 10'h3b ) ? pn_d59[1] :
311 ( pn1_deskew == 10'h3c ) ? pn_d60[1] :
312 ( pn1_deskew == 10'h3d ) ? pn_d61[1] :
313 ( pn1_deskew == 10'h3e ) ? pn_d62[1] :
314 ( pn1_deskew == 10'h3f ) ? pn_d63[1] :
315 ( pn1_deskew == 10'h40 ) ? pn_d64[1] :
316 ( pn1_deskew == 10'h41 ) ? pn_d65[1] :
317 ( pn1_deskew == 10'h42 ) ? pn_d66[1] :
318 ( pn1_deskew == 10'h43 ) ? pn_d67[1] :
319 ( pn1_deskew == 10'h44 ) ? pn_d68[1] :
320 ( pn1_deskew == 10'h45 ) ? pn_d69[1] :
321 ( pn1_deskew == 10'h46 ) ? pn_d70[1] :
322 ( pn1_deskew == 10'h47 ) ? pn_d71[1] :
323 ( pn1_deskew == 10'h48 ) ? pn_d72[1] :
324 ( pn1_deskew == 10'h49 ) ? pn_d73[1] :
325 ( pn1_deskew == 10'h4a ) ? pn_d74[1] :
326 ( pn1_deskew == 10'h4b ) ? pn_d75[1] :
327 ( pn1_deskew == 10'h4c ) ? pn_d76[1] :
328 ( pn1_deskew == 10'h4d ) ? pn_d77[1] :
329 ( pn1_deskew == 10'h4e ) ? pn_d78[1] :
330 ( pn1_deskew == 10'h4f ) ? pn_d79[1] :
331 ( pn1_deskew == 10'h50 ) ? pn_d80[1] :
332 ( pn1_deskew == 10'h51 ) ? pn_d81[1] :
333 ( pn1_deskew == 10'h52 ) ? pn_d82[1] :
334 ( pn1_deskew == 10'h53 ) ? pn_d83[1] :
335 ( pn1_deskew == 10'h54 ) ? pn_d84[1] :
336 ( pn1_deskew == 10'h55 ) ? pn_d85[1] :
337 ( pn1_deskew == 10'h56 ) ? pn_d86[1] :
338 ( pn1_deskew == 10'h57 ) ? pn_d87[1] :
339 ( pn1_deskew == 10'h58 ) ? pn_d88[1] :
340 ( pn1_deskew == 10'h59 ) ? pn_d89[1] :
341 ( pn1_deskew == 10'h5a ) ? pn_d90[1] :
342 ( pn1_deskew == 10'h5b ) ? pn_d91[1] :
343 ( pn1_deskew == 10'h5c ) ? pn_d92[1] :
344 ( pn1_deskew == 10'h5d ) ? pn_d93[1] :
345 ( pn1_deskew == 10'h5e ) ? pn_d94[1] :
346 ( pn1_deskew == 10'h5f ) ? pn_d95[1] :
347 ( pn1_deskew == 10'h60 ) ? pn_d96[1] :
348 ( pn1_deskew == 10'h61 ) ? pn_d97[1] :
349 ( pn1_deskew == 10'h62 ) ? pn_d98[1] :
350 ( pn1_deskew == 10'h63 ) ? pn_d99[1] :
351 ( pn1_deskew == 10'h64 ) ? pn_d100[1] :
352 ( pn1_deskew == 10'h65 ) ? pn_d101[1] :
353 ( pn1_deskew == 10'h66 ) ? pn_d102[1] :
354 ( pn1_deskew == 10'h67 ) ? pn_d103[1] :
355 ( pn1_deskew == 10'h68 ) ? pn_d104[1] :
356 ( pn1_deskew == 10'h69 ) ? pn_d105[1] :
357 ( pn1_deskew == 10'h6a ) ? pn_d106[1] :
358 ( pn1_deskew == 10'h6b ) ? pn_d107[1] :
359 ( pn1_deskew == 10'h6c ) ? pn_d108[1] :
360 ( pn1_deskew == 10'h6d ) ? pn_d109[1] :
361 ( pn1_deskew == 10'h6e ) ? pn_d110[1] :
362 ( pn1_deskew == 10'h6f ) ? pn_d111[1] :
363 ( pn1_deskew == 10'h70 ) ? pn_d112[1] :
364 ( pn1_deskew == 10'h71 ) ? pn_d113[1] :
365 ( pn1_deskew == 10'h72 ) ? pn_d114[1] :
366 ( pn1_deskew == 10'h73 ) ? pn_d115[1] :
367 ( pn1_deskew == 10'h74 ) ? pn_d116[1] :
368 ( pn1_deskew == 10'h75 ) ? pn_d117[1] :
369 ( pn1_deskew == 10'h76 ) ? pn_d118[1] :
370 ( pn1_deskew == 10'h77 ) ? pn_d119[1] :
371 ( pn1_deskew == 10'h78 ) ? pn_d120[1] :
372 ( pn1_deskew == 10'h79 ) ? pn_d121[1] :
373 ( pn1_deskew == 10'h7a ) ? pn_d122[1] :
374 ( pn1_deskew == 10'h7b ) ? pn_d123[1] :
375 ( pn1_deskew == 10'h7c ) ? pn_d124[1] :
376 ( pn1_deskew == 10'h7d ) ? pn_d125[1] :
377 ( pn1_deskew == 10'h7e ) ? pn_d126[1] :
378 ( pn1_deskew == 10'h7f ) ? pn_d127[1] :
379 ( pn1_deskew == 10'h80 ) ? pn_d128[1] :
380 ( pn1_deskew == 10'h81 ) ? pn_d129[1] :
381 ( pn1_deskew == 10'h82 ) ? pn_d130[1] :
382 ( pn1_deskew == 10'h83 ) ? pn_d131[1] :
383 ( pn1_deskew == 10'h84 ) ? pn_d132[1] :
384 ( pn1_deskew == 10'h85 ) ? pn_d133[1] :
385 ( pn1_deskew == 10'h86 ) ? pn_d134[1] :
386 ( pn1_deskew == 10'h87 ) ? pn_d135[1] :
387 ( pn1_deskew == 10'h88 ) ? pn_d136[1] :
388 ( pn1_deskew == 10'h89 ) ? pn_d137[1] :
389 ( pn1_deskew == 10'h8a ) ? pn_d138[1] :
390 ( pn1_deskew == 10'h8b ) ? pn_d139[1] :
391 ( pn1_deskew == 10'h8c ) ? pn_d140[1] :
392 ( pn1_deskew == 10'h8d ) ? pn_d141[1] :
393 ( pn1_deskew == 10'h8e ) ? pn_d142[1] :
394 ( pn1_deskew == 10'h8f ) ? pn_d143[1] :
395 ( pn1_deskew == 10'h90 ) ? pn_d144[1] :
396 ( pn1_deskew == 10'h91 ) ? pn_d145[1] : pn_in[1];
397assign pn_out[2] = ( pn2_deskew == 10'h0 ) ? pn_in[2] :
398 ( pn2_deskew == 10'h1 ) ? pn_d1[2] :
399 ( pn2_deskew == 10'h2 ) ? pn_d2[2] :
400 ( pn2_deskew == 10'h3 ) ? pn_d3[2] :
401 ( pn2_deskew == 10'h4 ) ? pn_d4[2] :
402 ( pn2_deskew == 10'h5 ) ? pn_d5[2] :
403 ( pn2_deskew == 10'h6 ) ? pn_d6[2] :
404 ( pn2_deskew == 10'h7 ) ? pn_d7[2] :
405 ( pn2_deskew == 10'h8 ) ? pn_d8[2] :
406 ( pn2_deskew == 10'h9 ) ? pn_d9[2] :
407 ( pn2_deskew == 10'ha ) ? pn_d10[2] :
408 ( pn2_deskew == 10'hb ) ? pn_d11[2] :
409 ( pn2_deskew == 10'hc ) ? pn_d12[2] :
410 ( pn2_deskew == 10'hd ) ? pn_d13[2] :
411 ( pn2_deskew == 10'he ) ? pn_d14[2] :
412 ( pn2_deskew == 10'hf ) ? pn_d15[2] :
413 ( pn2_deskew == 10'h10 ) ? pn_d16[2] :
414 ( pn2_deskew == 10'h11 ) ? pn_d17[2] :
415 ( pn2_deskew == 10'h12 ) ? pn_d18[2] :
416 ( pn2_deskew == 10'h13 ) ? pn_d19[2] :
417 ( pn2_deskew == 10'h14 ) ? pn_d20[2] :
418 ( pn2_deskew == 10'h15 ) ? pn_d21[2] :
419 ( pn2_deskew == 10'h16 ) ? pn_d22[2] :
420 ( pn2_deskew == 10'h17 ) ? pn_d23[2] :
421 ( pn2_deskew == 10'h18 ) ? pn_d24[2] :
422 ( pn2_deskew == 10'h19 ) ? pn_d25[2] :
423 ( pn2_deskew == 10'h1a ) ? pn_d26[2] :
424 ( pn2_deskew == 10'h1b ) ? pn_d27[2] :
425 ( pn2_deskew == 10'h1c ) ? pn_d28[2] :
426 ( pn2_deskew == 10'h1d ) ? pn_d29[2] :
427 ( pn2_deskew == 10'h1e ) ? pn_d30[2] :
428 ( pn2_deskew == 10'h1f ) ? pn_d31[2] :
429 ( pn2_deskew == 10'h20 ) ? pn_d32[2] :
430 ( pn2_deskew == 10'h21 ) ? pn_d33[2] :
431 ( pn2_deskew == 10'h22 ) ? pn_d34[2] :
432 ( pn2_deskew == 10'h23 ) ? pn_d35[2] :
433 ( pn2_deskew == 10'h24 ) ? pn_d36[2] :
434 ( pn2_deskew == 10'h25 ) ? pn_d37[2] :
435 ( pn2_deskew == 10'h26 ) ? pn_d38[2] :
436 ( pn2_deskew == 10'h27 ) ? pn_d39[2] :
437 ( pn2_deskew == 10'h28 ) ? pn_d40[2] :
438 ( pn2_deskew == 10'h29 ) ? pn_d41[2] :
439 ( pn2_deskew == 10'h2a ) ? pn_d42[2] :
440 ( pn2_deskew == 10'h2b ) ? pn_d43[2] :
441 ( pn2_deskew == 10'h2c ) ? pn_d44[2] :
442 ( pn2_deskew == 10'h2d ) ? pn_d45[2] :
443 ( pn2_deskew == 10'h2e ) ? pn_d46[2] :
444 ( pn2_deskew == 10'h2f ) ? pn_d47[2] :
445 ( pn2_deskew == 10'h30 ) ? pn_d48[2] :
446 ( pn2_deskew == 10'h31 ) ? pn_d49[2] :
447 ( pn2_deskew == 10'h32 ) ? pn_d50[2] :
448 ( pn2_deskew == 10'h33 ) ? pn_d51[2] :
449 ( pn2_deskew == 10'h34 ) ? pn_d52[2] :
450 ( pn2_deskew == 10'h35 ) ? pn_d53[2] :
451 ( pn2_deskew == 10'h36 ) ? pn_d54[2] :
452 ( pn2_deskew == 10'h37 ) ? pn_d55[2] :
453 ( pn2_deskew == 10'h38 ) ? pn_d56[2] :
454 ( pn2_deskew == 10'h39 ) ? pn_d57[2] :
455 ( pn2_deskew == 10'h3a ) ? pn_d58[2] :
456 ( pn2_deskew == 10'h3b ) ? pn_d59[2] :
457 ( pn2_deskew == 10'h3c ) ? pn_d60[2] :
458 ( pn2_deskew == 10'h3d ) ? pn_d61[2] :
459 ( pn2_deskew == 10'h3e ) ? pn_d62[2] :
460 ( pn2_deskew == 10'h3f ) ? pn_d63[2] :
461 ( pn2_deskew == 10'h40 ) ? pn_d64[2] :
462 ( pn2_deskew == 10'h41 ) ? pn_d65[2] :
463 ( pn2_deskew == 10'h42 ) ? pn_d66[2] :
464 ( pn2_deskew == 10'h43 ) ? pn_d67[2] :
465 ( pn2_deskew == 10'h44 ) ? pn_d68[2] :
466 ( pn2_deskew == 10'h45 ) ? pn_d69[2] :
467 ( pn2_deskew == 10'h46 ) ? pn_d70[2] :
468 ( pn2_deskew == 10'h47 ) ? pn_d71[2] :
469 ( pn2_deskew == 10'h48 ) ? pn_d72[2] :
470 ( pn2_deskew == 10'h49 ) ? pn_d73[2] :
471 ( pn2_deskew == 10'h4a ) ? pn_d74[2] :
472 ( pn2_deskew == 10'h4b ) ? pn_d75[2] :
473 ( pn2_deskew == 10'h4c ) ? pn_d76[2] :
474 ( pn2_deskew == 10'h4d ) ? pn_d77[2] :
475 ( pn2_deskew == 10'h4e ) ? pn_d78[2] :
476 ( pn2_deskew == 10'h4f ) ? pn_d79[2] :
477 ( pn2_deskew == 10'h50 ) ? pn_d80[2] :
478 ( pn2_deskew == 10'h51 ) ? pn_d81[2] :
479 ( pn2_deskew == 10'h52 ) ? pn_d82[2] :
480 ( pn2_deskew == 10'h53 ) ? pn_d83[2] :
481 ( pn2_deskew == 10'h54 ) ? pn_d84[2] :
482 ( pn2_deskew == 10'h55 ) ? pn_d85[2] :
483 ( pn2_deskew == 10'h56 ) ? pn_d86[2] :
484 ( pn2_deskew == 10'h57 ) ? pn_d87[2] :
485 ( pn2_deskew == 10'h58 ) ? pn_d88[2] :
486 ( pn2_deskew == 10'h59 ) ? pn_d89[2] :
487 ( pn2_deskew == 10'h5a ) ? pn_d90[2] :
488 ( pn2_deskew == 10'h5b ) ? pn_d91[2] :
489 ( pn2_deskew == 10'h5c ) ? pn_d92[2] :
490 ( pn2_deskew == 10'h5d ) ? pn_d93[2] :
491 ( pn2_deskew == 10'h5e ) ? pn_d94[2] :
492 ( pn2_deskew == 10'h5f ) ? pn_d95[2] :
493 ( pn2_deskew == 10'h60 ) ? pn_d96[2] :
494 ( pn2_deskew == 10'h61 ) ? pn_d97[2] :
495 ( pn2_deskew == 10'h62 ) ? pn_d98[2] :
496 ( pn2_deskew == 10'h63 ) ? pn_d99[2] :
497 ( pn2_deskew == 10'h64 ) ? pn_d100[2] :
498 ( pn2_deskew == 10'h65 ) ? pn_d101[2] :
499 ( pn2_deskew == 10'h66 ) ? pn_d102[2] :
500 ( pn2_deskew == 10'h67 ) ? pn_d103[2] :
501 ( pn2_deskew == 10'h68 ) ? pn_d104[2] :
502 ( pn2_deskew == 10'h69 ) ? pn_d105[2] :
503 ( pn2_deskew == 10'h6a ) ? pn_d106[2] :
504 ( pn2_deskew == 10'h6b ) ? pn_d107[2] :
505 ( pn2_deskew == 10'h6c ) ? pn_d108[2] :
506 ( pn2_deskew == 10'h6d ) ? pn_d109[2] :
507 ( pn2_deskew == 10'h6e ) ? pn_d110[2] :
508 ( pn2_deskew == 10'h6f ) ? pn_d111[2] :
509 ( pn2_deskew == 10'h70 ) ? pn_d112[2] :
510 ( pn2_deskew == 10'h71 ) ? pn_d113[2] :
511 ( pn2_deskew == 10'h72 ) ? pn_d114[2] :
512 ( pn2_deskew == 10'h73 ) ? pn_d115[2] :
513 ( pn2_deskew == 10'h74 ) ? pn_d116[2] :
514 ( pn2_deskew == 10'h75 ) ? pn_d117[2] :
515 ( pn2_deskew == 10'h76 ) ? pn_d118[2] :
516 ( pn2_deskew == 10'h77 ) ? pn_d119[2] :
517 ( pn2_deskew == 10'h78 ) ? pn_d120[2] :
518 ( pn2_deskew == 10'h79 ) ? pn_d121[2] :
519 ( pn2_deskew == 10'h7a ) ? pn_d122[2] :
520 ( pn2_deskew == 10'h7b ) ? pn_d123[2] :
521 ( pn2_deskew == 10'h7c ) ? pn_d124[2] :
522 ( pn2_deskew == 10'h7d ) ? pn_d125[2] :
523 ( pn2_deskew == 10'h7e ) ? pn_d126[2] :
524 ( pn2_deskew == 10'h7f ) ? pn_d127[2] :
525 ( pn2_deskew == 10'h80 ) ? pn_d128[2] :
526 ( pn2_deskew == 10'h81 ) ? pn_d129[2] :
527 ( pn2_deskew == 10'h82 ) ? pn_d130[2] :
528 ( pn2_deskew == 10'h83 ) ? pn_d131[2] :
529 ( pn2_deskew == 10'h84 ) ? pn_d132[2] :
530 ( pn2_deskew == 10'h85 ) ? pn_d133[2] :
531 ( pn2_deskew == 10'h86 ) ? pn_d134[2] :
532 ( pn2_deskew == 10'h87 ) ? pn_d135[2] :
533 ( pn2_deskew == 10'h88 ) ? pn_d136[2] :
534 ( pn2_deskew == 10'h89 ) ? pn_d137[2] :
535 ( pn2_deskew == 10'h8a ) ? pn_d138[2] :
536 ( pn2_deskew == 10'h8b ) ? pn_d139[2] :
537 ( pn2_deskew == 10'h8c ) ? pn_d140[2] :
538 ( pn2_deskew == 10'h8d ) ? pn_d141[2] :
539 ( pn2_deskew == 10'h8e ) ? pn_d142[2] :
540 ( pn2_deskew == 10'h8f ) ? pn_d143[2] :
541 ( pn2_deskew == 10'h90 ) ? pn_d144[2] :
542 ( pn2_deskew == 10'h91 ) ? pn_d145[2] : pn_in[2];
543assign pn_out[3] = ( pn3_deskew == 10'h0 ) ? pn_in[3] :
544 ( pn3_deskew == 10'h1 ) ? pn_d1[3] :
545 ( pn3_deskew == 10'h2 ) ? pn_d2[3] :
546 ( pn3_deskew == 10'h3 ) ? pn_d3[3] :
547 ( pn3_deskew == 10'h4 ) ? pn_d4[3] :
548 ( pn3_deskew == 10'h5 ) ? pn_d5[3] :
549 ( pn3_deskew == 10'h6 ) ? pn_d6[3] :
550 ( pn3_deskew == 10'h7 ) ? pn_d7[3] :
551 ( pn3_deskew == 10'h8 ) ? pn_d8[3] :
552 ( pn3_deskew == 10'h9 ) ? pn_d9[3] :
553 ( pn3_deskew == 10'ha ) ? pn_d10[3] :
554 ( pn3_deskew == 10'hb ) ? pn_d11[3] :
555 ( pn3_deskew == 10'hc ) ? pn_d12[3] :
556 ( pn3_deskew == 10'hd ) ? pn_d13[3] :
557 ( pn3_deskew == 10'he ) ? pn_d14[3] :
558 ( pn3_deskew == 10'hf ) ? pn_d15[3] :
559 ( pn3_deskew == 10'h10 ) ? pn_d16[3] :
560 ( pn3_deskew == 10'h11 ) ? pn_d17[3] :
561 ( pn3_deskew == 10'h12 ) ? pn_d18[3] :
562 ( pn3_deskew == 10'h13 ) ? pn_d19[3] :
563 ( pn3_deskew == 10'h14 ) ? pn_d20[3] :
564 ( pn3_deskew == 10'h15 ) ? pn_d21[3] :
565 ( pn3_deskew == 10'h16 ) ? pn_d22[3] :
566 ( pn3_deskew == 10'h17 ) ? pn_d23[3] :
567 ( pn3_deskew == 10'h18 ) ? pn_d24[3] :
568 ( pn3_deskew == 10'h19 ) ? pn_d25[3] :
569 ( pn3_deskew == 10'h1a ) ? pn_d26[3] :
570 ( pn3_deskew == 10'h1b ) ? pn_d27[3] :
571 ( pn3_deskew == 10'h1c ) ? pn_d28[3] :
572 ( pn3_deskew == 10'h1d ) ? pn_d29[3] :
573 ( pn3_deskew == 10'h1e ) ? pn_d30[3] :
574 ( pn3_deskew == 10'h1f ) ? pn_d31[3] :
575 ( pn3_deskew == 10'h20 ) ? pn_d32[3] :
576 ( pn3_deskew == 10'h21 ) ? pn_d33[3] :
577 ( pn3_deskew == 10'h22 ) ? pn_d34[3] :
578 ( pn3_deskew == 10'h23 ) ? pn_d35[3] :
579 ( pn3_deskew == 10'h24 ) ? pn_d36[3] :
580 ( pn3_deskew == 10'h25 ) ? pn_d37[3] :
581 ( pn3_deskew == 10'h26 ) ? pn_d38[3] :
582 ( pn3_deskew == 10'h27 ) ? pn_d39[3] :
583 ( pn3_deskew == 10'h28 ) ? pn_d40[3] :
584 ( pn3_deskew == 10'h29 ) ? pn_d41[3] :
585 ( pn3_deskew == 10'h2a ) ? pn_d42[3] :
586 ( pn3_deskew == 10'h2b ) ? pn_d43[3] :
587 ( pn3_deskew == 10'h2c ) ? pn_d44[3] :
588 ( pn3_deskew == 10'h2d ) ? pn_d45[3] :
589 ( pn3_deskew == 10'h2e ) ? pn_d46[3] :
590 ( pn3_deskew == 10'h2f ) ? pn_d47[3] :
591 ( pn3_deskew == 10'h30 ) ? pn_d48[3] :
592 ( pn3_deskew == 10'h31 ) ? pn_d49[3] :
593 ( pn3_deskew == 10'h32 ) ? pn_d50[3] :
594 ( pn3_deskew == 10'h33 ) ? pn_d51[3] :
595 ( pn3_deskew == 10'h34 ) ? pn_d52[3] :
596 ( pn3_deskew == 10'h35 ) ? pn_d53[3] :
597 ( pn3_deskew == 10'h36 ) ? pn_d54[3] :
598 ( pn3_deskew == 10'h37 ) ? pn_d55[3] :
599 ( pn3_deskew == 10'h38 ) ? pn_d56[3] :
600 ( pn3_deskew == 10'h39 ) ? pn_d57[3] :
601 ( pn3_deskew == 10'h3a ) ? pn_d58[3] :
602 ( pn3_deskew == 10'h3b ) ? pn_d59[3] :
603 ( pn3_deskew == 10'h3c ) ? pn_d60[3] :
604 ( pn3_deskew == 10'h3d ) ? pn_d61[3] :
605 ( pn3_deskew == 10'h3e ) ? pn_d62[3] :
606 ( pn3_deskew == 10'h3f ) ? pn_d63[3] :
607 ( pn3_deskew == 10'h40 ) ? pn_d64[3] :
608 ( pn3_deskew == 10'h41 ) ? pn_d65[3] :
609 ( pn3_deskew == 10'h42 ) ? pn_d66[3] :
610 ( pn3_deskew == 10'h43 ) ? pn_d67[3] :
611 ( pn3_deskew == 10'h44 ) ? pn_d68[3] :
612 ( pn3_deskew == 10'h45 ) ? pn_d69[3] :
613 ( pn3_deskew == 10'h46 ) ? pn_d70[3] :
614 ( pn3_deskew == 10'h47 ) ? pn_d71[3] :
615 ( pn3_deskew == 10'h48 ) ? pn_d72[3] :
616 ( pn3_deskew == 10'h49 ) ? pn_d73[3] :
617 ( pn3_deskew == 10'h4a ) ? pn_d74[3] :
618 ( pn3_deskew == 10'h4b ) ? pn_d75[3] :
619 ( pn3_deskew == 10'h4c ) ? pn_d76[3] :
620 ( pn3_deskew == 10'h4d ) ? pn_d77[3] :
621 ( pn3_deskew == 10'h4e ) ? pn_d78[3] :
622 ( pn3_deskew == 10'h4f ) ? pn_d79[3] :
623 ( pn3_deskew == 10'h50 ) ? pn_d80[3] :
624 ( pn3_deskew == 10'h51 ) ? pn_d81[3] :
625 ( pn3_deskew == 10'h52 ) ? pn_d82[3] :
626 ( pn3_deskew == 10'h53 ) ? pn_d83[3] :
627 ( pn3_deskew == 10'h54 ) ? pn_d84[3] :
628 ( pn3_deskew == 10'h55 ) ? pn_d85[3] :
629 ( pn3_deskew == 10'h56 ) ? pn_d86[3] :
630 ( pn3_deskew == 10'h57 ) ? pn_d87[3] :
631 ( pn3_deskew == 10'h58 ) ? pn_d88[3] :
632 ( pn3_deskew == 10'h59 ) ? pn_d89[3] :
633 ( pn3_deskew == 10'h5a ) ? pn_d90[3] :
634 ( pn3_deskew == 10'h5b ) ? pn_d91[3] :
635 ( pn3_deskew == 10'h5c ) ? pn_d92[3] :
636 ( pn3_deskew == 10'h5d ) ? pn_d93[3] :
637 ( pn3_deskew == 10'h5e ) ? pn_d94[3] :
638 ( pn3_deskew == 10'h5f ) ? pn_d95[3] :
639 ( pn3_deskew == 10'h60 ) ? pn_d96[3] :
640 ( pn3_deskew == 10'h61 ) ? pn_d97[3] :
641 ( pn3_deskew == 10'h62 ) ? pn_d98[3] :
642 ( pn3_deskew == 10'h63 ) ? pn_d99[3] :
643 ( pn3_deskew == 10'h64 ) ? pn_d100[3] :
644 ( pn3_deskew == 10'h65 ) ? pn_d101[3] :
645 ( pn3_deskew == 10'h66 ) ? pn_d102[3] :
646 ( pn3_deskew == 10'h67 ) ? pn_d103[3] :
647 ( pn3_deskew == 10'h68 ) ? pn_d104[3] :
648 ( pn3_deskew == 10'h69 ) ? pn_d105[3] :
649 ( pn3_deskew == 10'h6a ) ? pn_d106[3] :
650 ( pn3_deskew == 10'h6b ) ? pn_d107[3] :
651 ( pn3_deskew == 10'h6c ) ? pn_d108[3] :
652 ( pn3_deskew == 10'h6d ) ? pn_d109[3] :
653 ( pn3_deskew == 10'h6e ) ? pn_d110[3] :
654 ( pn3_deskew == 10'h6f ) ? pn_d111[3] :
655 ( pn3_deskew == 10'h70 ) ? pn_d112[3] :
656 ( pn3_deskew == 10'h71 ) ? pn_d113[3] :
657 ( pn3_deskew == 10'h72 ) ? pn_d114[3] :
658 ( pn3_deskew == 10'h73 ) ? pn_d115[3] :
659 ( pn3_deskew == 10'h74 ) ? pn_d116[3] :
660 ( pn3_deskew == 10'h75 ) ? pn_d117[3] :
661 ( pn3_deskew == 10'h76 ) ? pn_d118[3] :
662 ( pn3_deskew == 10'h77 ) ? pn_d119[3] :
663 ( pn3_deskew == 10'h78 ) ? pn_d120[3] :
664 ( pn3_deskew == 10'h79 ) ? pn_d121[3] :
665 ( pn3_deskew == 10'h7a ) ? pn_d122[3] :
666 ( pn3_deskew == 10'h7b ) ? pn_d123[3] :
667 ( pn3_deskew == 10'h7c ) ? pn_d124[3] :
668 ( pn3_deskew == 10'h7d ) ? pn_d125[3] :
669 ( pn3_deskew == 10'h7e ) ? pn_d126[3] :
670 ( pn3_deskew == 10'h7f ) ? pn_d127[3] :
671 ( pn3_deskew == 10'h80 ) ? pn_d128[3] :
672 ( pn3_deskew == 10'h81 ) ? pn_d129[3] :
673 ( pn3_deskew == 10'h82 ) ? pn_d130[3] :
674 ( pn3_deskew == 10'h83 ) ? pn_d131[3] :
675 ( pn3_deskew == 10'h84 ) ? pn_d132[3] :
676 ( pn3_deskew == 10'h85 ) ? pn_d133[3] :
677 ( pn3_deskew == 10'h86 ) ? pn_d134[3] :
678 ( pn3_deskew == 10'h87 ) ? pn_d135[3] :
679 ( pn3_deskew == 10'h88 ) ? pn_d136[3] :
680 ( pn3_deskew == 10'h89 ) ? pn_d137[3] :
681 ( pn3_deskew == 10'h8a ) ? pn_d138[3] :
682 ( pn3_deskew == 10'h8b ) ? pn_d139[3] :
683 ( pn3_deskew == 10'h8c ) ? pn_d140[3] :
684 ( pn3_deskew == 10'h8d ) ? pn_d141[3] :
685 ( pn3_deskew == 10'h8e ) ? pn_d142[3] :
686 ( pn3_deskew == 10'h8f ) ? pn_d143[3] :
687 ( pn3_deskew == 10'h90 ) ? pn_d144[3] :
688 ( pn3_deskew == 10'h91 ) ? pn_d145[3] : pn_in[3];
689assign pn_out[4] = ( pn4_deskew == 10'h0 ) ? pn_in[4] :
690 ( pn4_deskew == 10'h1 ) ? pn_d1[4] :
691 ( pn4_deskew == 10'h2 ) ? pn_d2[4] :
692 ( pn4_deskew == 10'h3 ) ? pn_d3[4] :
693 ( pn4_deskew == 10'h4 ) ? pn_d4[4] :
694 ( pn4_deskew == 10'h5 ) ? pn_d5[4] :
695 ( pn4_deskew == 10'h6 ) ? pn_d6[4] :
696 ( pn4_deskew == 10'h7 ) ? pn_d7[4] :
697 ( pn4_deskew == 10'h8 ) ? pn_d8[4] :
698 ( pn4_deskew == 10'h9 ) ? pn_d9[4] :
699 ( pn4_deskew == 10'ha ) ? pn_d10[4] :
700 ( pn4_deskew == 10'hb ) ? pn_d11[4] :
701 ( pn4_deskew == 10'hc ) ? pn_d12[4] :
702 ( pn4_deskew == 10'hd ) ? pn_d13[4] :
703 ( pn4_deskew == 10'he ) ? pn_d14[4] :
704 ( pn4_deskew == 10'hf ) ? pn_d15[4] :
705 ( pn4_deskew == 10'h10 ) ? pn_d16[4] :
706 ( pn4_deskew == 10'h11 ) ? pn_d17[4] :
707 ( pn4_deskew == 10'h12 ) ? pn_d18[4] :
708 ( pn4_deskew == 10'h13 ) ? pn_d19[4] :
709 ( pn4_deskew == 10'h14 ) ? pn_d20[4] :
710 ( pn4_deskew == 10'h15 ) ? pn_d21[4] :
711 ( pn4_deskew == 10'h16 ) ? pn_d22[4] :
712 ( pn4_deskew == 10'h17 ) ? pn_d23[4] :
713 ( pn4_deskew == 10'h18 ) ? pn_d24[4] :
714 ( pn4_deskew == 10'h19 ) ? pn_d25[4] :
715 ( pn4_deskew == 10'h1a ) ? pn_d26[4] :
716 ( pn4_deskew == 10'h1b ) ? pn_d27[4] :
717 ( pn4_deskew == 10'h1c ) ? pn_d28[4] :
718 ( pn4_deskew == 10'h1d ) ? pn_d29[4] :
719 ( pn4_deskew == 10'h1e ) ? pn_d30[4] :
720 ( pn4_deskew == 10'h1f ) ? pn_d31[4] :
721 ( pn4_deskew == 10'h20 ) ? pn_d32[4] :
722 ( pn4_deskew == 10'h21 ) ? pn_d33[4] :
723 ( pn4_deskew == 10'h22 ) ? pn_d34[4] :
724 ( pn4_deskew == 10'h23 ) ? pn_d35[4] :
725 ( pn4_deskew == 10'h24 ) ? pn_d36[4] :
726 ( pn4_deskew == 10'h25 ) ? pn_d37[4] :
727 ( pn4_deskew == 10'h26 ) ? pn_d38[4] :
728 ( pn4_deskew == 10'h27 ) ? pn_d39[4] :
729 ( pn4_deskew == 10'h28 ) ? pn_d40[4] :
730 ( pn4_deskew == 10'h29 ) ? pn_d41[4] :
731 ( pn4_deskew == 10'h2a ) ? pn_d42[4] :
732 ( pn4_deskew == 10'h2b ) ? pn_d43[4] :
733 ( pn4_deskew == 10'h2c ) ? pn_d44[4] :
734 ( pn4_deskew == 10'h2d ) ? pn_d45[4] :
735 ( pn4_deskew == 10'h2e ) ? pn_d46[4] :
736 ( pn4_deskew == 10'h2f ) ? pn_d47[4] :
737 ( pn4_deskew == 10'h30 ) ? pn_d48[4] :
738 ( pn4_deskew == 10'h31 ) ? pn_d49[4] :
739 ( pn4_deskew == 10'h32 ) ? pn_d50[4] :
740 ( pn4_deskew == 10'h33 ) ? pn_d51[4] :
741 ( pn4_deskew == 10'h34 ) ? pn_d52[4] :
742 ( pn4_deskew == 10'h35 ) ? pn_d53[4] :
743 ( pn4_deskew == 10'h36 ) ? pn_d54[4] :
744 ( pn4_deskew == 10'h37 ) ? pn_d55[4] :
745 ( pn4_deskew == 10'h38 ) ? pn_d56[4] :
746 ( pn4_deskew == 10'h39 ) ? pn_d57[4] :
747 ( pn4_deskew == 10'h3a ) ? pn_d58[4] :
748 ( pn4_deskew == 10'h3b ) ? pn_d59[4] :
749 ( pn4_deskew == 10'h3c ) ? pn_d60[4] :
750 ( pn4_deskew == 10'h3d ) ? pn_d61[4] :
751 ( pn4_deskew == 10'h3e ) ? pn_d62[4] :
752 ( pn4_deskew == 10'h3f ) ? pn_d63[4] :
753 ( pn4_deskew == 10'h40 ) ? pn_d64[4] :
754 ( pn4_deskew == 10'h41 ) ? pn_d65[4] :
755 ( pn4_deskew == 10'h42 ) ? pn_d66[4] :
756 ( pn4_deskew == 10'h43 ) ? pn_d67[4] :
757 ( pn4_deskew == 10'h44 ) ? pn_d68[4] :
758 ( pn4_deskew == 10'h45 ) ? pn_d69[4] :
759 ( pn4_deskew == 10'h46 ) ? pn_d70[4] :
760 ( pn4_deskew == 10'h47 ) ? pn_d71[4] :
761 ( pn4_deskew == 10'h48 ) ? pn_d72[4] :
762 ( pn4_deskew == 10'h49 ) ? pn_d73[4] :
763 ( pn4_deskew == 10'h4a ) ? pn_d74[4] :
764 ( pn4_deskew == 10'h4b ) ? pn_d75[4] :
765 ( pn4_deskew == 10'h4c ) ? pn_d76[4] :
766 ( pn4_deskew == 10'h4d ) ? pn_d77[4] :
767 ( pn4_deskew == 10'h4e ) ? pn_d78[4] :
768 ( pn4_deskew == 10'h4f ) ? pn_d79[4] :
769 ( pn4_deskew == 10'h50 ) ? pn_d80[4] :
770 ( pn4_deskew == 10'h51 ) ? pn_d81[4] :
771 ( pn4_deskew == 10'h52 ) ? pn_d82[4] :
772 ( pn4_deskew == 10'h53 ) ? pn_d83[4] :
773 ( pn4_deskew == 10'h54 ) ? pn_d84[4] :
774 ( pn4_deskew == 10'h55 ) ? pn_d85[4] :
775 ( pn4_deskew == 10'h56 ) ? pn_d86[4] :
776 ( pn4_deskew == 10'h57 ) ? pn_d87[4] :
777 ( pn4_deskew == 10'h58 ) ? pn_d88[4] :
778 ( pn4_deskew == 10'h59 ) ? pn_d89[4] :
779 ( pn4_deskew == 10'h5a ) ? pn_d90[4] :
780 ( pn4_deskew == 10'h5b ) ? pn_d91[4] :
781 ( pn4_deskew == 10'h5c ) ? pn_d92[4] :
782 ( pn4_deskew == 10'h5d ) ? pn_d93[4] :
783 ( pn4_deskew == 10'h5e ) ? pn_d94[4] :
784 ( pn4_deskew == 10'h5f ) ? pn_d95[4] :
785 ( pn4_deskew == 10'h60 ) ? pn_d96[4] :
786 ( pn4_deskew == 10'h61 ) ? pn_d97[4] :
787 ( pn4_deskew == 10'h62 ) ? pn_d98[4] :
788 ( pn4_deskew == 10'h63 ) ? pn_d99[4] :
789 ( pn4_deskew == 10'h64 ) ? pn_d100[4] :
790 ( pn4_deskew == 10'h65 ) ? pn_d101[4] :
791 ( pn4_deskew == 10'h66 ) ? pn_d102[4] :
792 ( pn4_deskew == 10'h67 ) ? pn_d103[4] :
793 ( pn4_deskew == 10'h68 ) ? pn_d104[4] :
794 ( pn4_deskew == 10'h69 ) ? pn_d105[4] :
795 ( pn4_deskew == 10'h6a ) ? pn_d106[4] :
796 ( pn4_deskew == 10'h6b ) ? pn_d107[4] :
797 ( pn4_deskew == 10'h6c ) ? pn_d108[4] :
798 ( pn4_deskew == 10'h6d ) ? pn_d109[4] :
799 ( pn4_deskew == 10'h6e ) ? pn_d110[4] :
800 ( pn4_deskew == 10'h6f ) ? pn_d111[4] :
801 ( pn4_deskew == 10'h70 ) ? pn_d112[4] :
802 ( pn4_deskew == 10'h71 ) ? pn_d113[4] :
803 ( pn4_deskew == 10'h72 ) ? pn_d114[4] :
804 ( pn4_deskew == 10'h73 ) ? pn_d115[4] :
805 ( pn4_deskew == 10'h74 ) ? pn_d116[4] :
806 ( pn4_deskew == 10'h75 ) ? pn_d117[4] :
807 ( pn4_deskew == 10'h76 ) ? pn_d118[4] :
808 ( pn4_deskew == 10'h77 ) ? pn_d119[4] :
809 ( pn4_deskew == 10'h78 ) ? pn_d120[4] :
810 ( pn4_deskew == 10'h79 ) ? pn_d121[4] :
811 ( pn4_deskew == 10'h7a ) ? pn_d122[4] :
812 ( pn4_deskew == 10'h7b ) ? pn_d123[4] :
813 ( pn4_deskew == 10'h7c ) ? pn_d124[4] :
814 ( pn4_deskew == 10'h7d ) ? pn_d125[4] :
815 ( pn4_deskew == 10'h7e ) ? pn_d126[4] :
816 ( pn4_deskew == 10'h7f ) ? pn_d127[4] :
817 ( pn4_deskew == 10'h80 ) ? pn_d128[4] :
818 ( pn4_deskew == 10'h81 ) ? pn_d129[4] :
819 ( pn4_deskew == 10'h82 ) ? pn_d130[4] :
820 ( pn4_deskew == 10'h83 ) ? pn_d131[4] :
821 ( pn4_deskew == 10'h84 ) ? pn_d132[4] :
822 ( pn4_deskew == 10'h85 ) ? pn_d133[4] :
823 ( pn4_deskew == 10'h86 ) ? pn_d134[4] :
824 ( pn4_deskew == 10'h87 ) ? pn_d135[4] :
825 ( pn4_deskew == 10'h88 ) ? pn_d136[4] :
826 ( pn4_deskew == 10'h89 ) ? pn_d137[4] :
827 ( pn4_deskew == 10'h8a ) ? pn_d138[4] :
828 ( pn4_deskew == 10'h8b ) ? pn_d139[4] :
829 ( pn4_deskew == 10'h8c ) ? pn_d140[4] :
830 ( pn4_deskew == 10'h8d ) ? pn_d141[4] :
831 ( pn4_deskew == 10'h8e ) ? pn_d142[4] :
832 ( pn4_deskew == 10'h8f ) ? pn_d143[4] :
833 ( pn4_deskew == 10'h90 ) ? pn_d144[4] :
834 ( pn4_deskew == 10'h91 ) ? pn_d145[4] : pn_in[4];
835assign pn_out[5] = ( pn5_deskew == 10'h0 ) ? pn_in[5] :
836 ( pn5_deskew == 10'h1 ) ? pn_d1[5] :
837 ( pn5_deskew == 10'h2 ) ? pn_d2[5] :
838 ( pn5_deskew == 10'h3 ) ? pn_d3[5] :
839 ( pn5_deskew == 10'h4 ) ? pn_d4[5] :
840 ( pn5_deskew == 10'h5 ) ? pn_d5[5] :
841 ( pn5_deskew == 10'h6 ) ? pn_d6[5] :
842 ( pn5_deskew == 10'h7 ) ? pn_d7[5] :
843 ( pn5_deskew == 10'h8 ) ? pn_d8[5] :
844 ( pn5_deskew == 10'h9 ) ? pn_d9[5] :
845 ( pn5_deskew == 10'ha ) ? pn_d10[5] :
846 ( pn5_deskew == 10'hb ) ? pn_d11[5] :
847 ( pn5_deskew == 10'hc ) ? pn_d12[5] :
848 ( pn5_deskew == 10'hd ) ? pn_d13[5] :
849 ( pn5_deskew == 10'he ) ? pn_d14[5] :
850 ( pn5_deskew == 10'hf ) ? pn_d15[5] :
851 ( pn5_deskew == 10'h10 ) ? pn_d16[5] :
852 ( pn5_deskew == 10'h11 ) ? pn_d17[5] :
853 ( pn5_deskew == 10'h12 ) ? pn_d18[5] :
854 ( pn5_deskew == 10'h13 ) ? pn_d19[5] :
855 ( pn5_deskew == 10'h14 ) ? pn_d20[5] :
856 ( pn5_deskew == 10'h15 ) ? pn_d21[5] :
857 ( pn5_deskew == 10'h16 ) ? pn_d22[5] :
858 ( pn5_deskew == 10'h17 ) ? pn_d23[5] :
859 ( pn5_deskew == 10'h18 ) ? pn_d24[5] :
860 ( pn5_deskew == 10'h19 ) ? pn_d25[5] :
861 ( pn5_deskew == 10'h1a ) ? pn_d26[5] :
862 ( pn5_deskew == 10'h1b ) ? pn_d27[5] :
863 ( pn5_deskew == 10'h1c ) ? pn_d28[5] :
864 ( pn5_deskew == 10'h1d ) ? pn_d29[5] :
865 ( pn5_deskew == 10'h1e ) ? pn_d30[5] :
866 ( pn5_deskew == 10'h1f ) ? pn_d31[5] :
867 ( pn5_deskew == 10'h20 ) ? pn_d32[5] :
868 ( pn5_deskew == 10'h21 ) ? pn_d33[5] :
869 ( pn5_deskew == 10'h22 ) ? pn_d34[5] :
870 ( pn5_deskew == 10'h23 ) ? pn_d35[5] :
871 ( pn5_deskew == 10'h24 ) ? pn_d36[5] :
872 ( pn5_deskew == 10'h25 ) ? pn_d37[5] :
873 ( pn5_deskew == 10'h26 ) ? pn_d38[5] :
874 ( pn5_deskew == 10'h27 ) ? pn_d39[5] :
875 ( pn5_deskew == 10'h28 ) ? pn_d40[5] :
876 ( pn5_deskew == 10'h29 ) ? pn_d41[5] :
877 ( pn5_deskew == 10'h2a ) ? pn_d42[5] :
878 ( pn5_deskew == 10'h2b ) ? pn_d43[5] :
879 ( pn5_deskew == 10'h2c ) ? pn_d44[5] :
880 ( pn5_deskew == 10'h2d ) ? pn_d45[5] :
881 ( pn5_deskew == 10'h2e ) ? pn_d46[5] :
882 ( pn5_deskew == 10'h2f ) ? pn_d47[5] :
883 ( pn5_deskew == 10'h30 ) ? pn_d48[5] :
884 ( pn5_deskew == 10'h31 ) ? pn_d49[5] :
885 ( pn5_deskew == 10'h32 ) ? pn_d50[5] :
886 ( pn5_deskew == 10'h33 ) ? pn_d51[5] :
887 ( pn5_deskew == 10'h34 ) ? pn_d52[5] :
888 ( pn5_deskew == 10'h35 ) ? pn_d53[5] :
889 ( pn5_deskew == 10'h36 ) ? pn_d54[5] :
890 ( pn5_deskew == 10'h37 ) ? pn_d55[5] :
891 ( pn5_deskew == 10'h38 ) ? pn_d56[5] :
892 ( pn5_deskew == 10'h39 ) ? pn_d57[5] :
893 ( pn5_deskew == 10'h3a ) ? pn_d58[5] :
894 ( pn5_deskew == 10'h3b ) ? pn_d59[5] :
895 ( pn5_deskew == 10'h3c ) ? pn_d60[5] :
896 ( pn5_deskew == 10'h3d ) ? pn_d61[5] :
897 ( pn5_deskew == 10'h3e ) ? pn_d62[5] :
898 ( pn5_deskew == 10'h3f ) ? pn_d63[5] :
899 ( pn5_deskew == 10'h40 ) ? pn_d64[5] :
900 ( pn5_deskew == 10'h41 ) ? pn_d65[5] :
901 ( pn5_deskew == 10'h42 ) ? pn_d66[5] :
902 ( pn5_deskew == 10'h43 ) ? pn_d67[5] :
903 ( pn5_deskew == 10'h44 ) ? pn_d68[5] :
904 ( pn5_deskew == 10'h45 ) ? pn_d69[5] :
905 ( pn5_deskew == 10'h46 ) ? pn_d70[5] :
906 ( pn5_deskew == 10'h47 ) ? pn_d71[5] :
907 ( pn5_deskew == 10'h48 ) ? pn_d72[5] :
908 ( pn5_deskew == 10'h49 ) ? pn_d73[5] :
909 ( pn5_deskew == 10'h4a ) ? pn_d74[5] :
910 ( pn5_deskew == 10'h4b ) ? pn_d75[5] :
911 ( pn5_deskew == 10'h4c ) ? pn_d76[5] :
912 ( pn5_deskew == 10'h4d ) ? pn_d77[5] :
913 ( pn5_deskew == 10'h4e ) ? pn_d78[5] :
914 ( pn5_deskew == 10'h4f ) ? pn_d79[5] :
915 ( pn5_deskew == 10'h50 ) ? pn_d80[5] :
916 ( pn5_deskew == 10'h51 ) ? pn_d81[5] :
917 ( pn5_deskew == 10'h52 ) ? pn_d82[5] :
918 ( pn5_deskew == 10'h53 ) ? pn_d83[5] :
919 ( pn5_deskew == 10'h54 ) ? pn_d84[5] :
920 ( pn5_deskew == 10'h55 ) ? pn_d85[5] :
921 ( pn5_deskew == 10'h56 ) ? pn_d86[5] :
922 ( pn5_deskew == 10'h57 ) ? pn_d87[5] :
923 ( pn5_deskew == 10'h58 ) ? pn_d88[5] :
924 ( pn5_deskew == 10'h59 ) ? pn_d89[5] :
925 ( pn5_deskew == 10'h5a ) ? pn_d90[5] :
926 ( pn5_deskew == 10'h5b ) ? pn_d91[5] :
927 ( pn5_deskew == 10'h5c ) ? pn_d92[5] :
928 ( pn5_deskew == 10'h5d ) ? pn_d93[5] :
929 ( pn5_deskew == 10'h5e ) ? pn_d94[5] :
930 ( pn5_deskew == 10'h5f ) ? pn_d95[5] :
931 ( pn5_deskew == 10'h60 ) ? pn_d96[5] :
932 ( pn5_deskew == 10'h61 ) ? pn_d97[5] :
933 ( pn5_deskew == 10'h62 ) ? pn_d98[5] :
934 ( pn5_deskew == 10'h63 ) ? pn_d99[5] :
935 ( pn5_deskew == 10'h64 ) ? pn_d100[5] :
936 ( pn5_deskew == 10'h65 ) ? pn_d101[5] :
937 ( pn5_deskew == 10'h66 ) ? pn_d102[5] :
938 ( pn5_deskew == 10'h67 ) ? pn_d103[5] :
939 ( pn5_deskew == 10'h68 ) ? pn_d104[5] :
940 ( pn5_deskew == 10'h69 ) ? pn_d105[5] :
941 ( pn5_deskew == 10'h6a ) ? pn_d106[5] :
942 ( pn5_deskew == 10'h6b ) ? pn_d107[5] :
943 ( pn5_deskew == 10'h6c ) ? pn_d108[5] :
944 ( pn5_deskew == 10'h6d ) ? pn_d109[5] :
945 ( pn5_deskew == 10'h6e ) ? pn_d110[5] :
946 ( pn5_deskew == 10'h6f ) ? pn_d111[5] :
947 ( pn5_deskew == 10'h70 ) ? pn_d112[5] :
948 ( pn5_deskew == 10'h71 ) ? pn_d113[5] :
949 ( pn5_deskew == 10'h72 ) ? pn_d114[5] :
950 ( pn5_deskew == 10'h73 ) ? pn_d115[5] :
951 ( pn5_deskew == 10'h74 ) ? pn_d116[5] :
952 ( pn5_deskew == 10'h75 ) ? pn_d117[5] :
953 ( pn5_deskew == 10'h76 ) ? pn_d118[5] :
954 ( pn5_deskew == 10'h77 ) ? pn_d119[5] :
955 ( pn5_deskew == 10'h78 ) ? pn_d120[5] :
956 ( pn5_deskew == 10'h79 ) ? pn_d121[5] :
957 ( pn5_deskew == 10'h7a ) ? pn_d122[5] :
958 ( pn5_deskew == 10'h7b ) ? pn_d123[5] :
959 ( pn5_deskew == 10'h7c ) ? pn_d124[5] :
960 ( pn5_deskew == 10'h7d ) ? pn_d125[5] :
961 ( pn5_deskew == 10'h7e ) ? pn_d126[5] :
962 ( pn5_deskew == 10'h7f ) ? pn_d127[5] :
963 ( pn5_deskew == 10'h80 ) ? pn_d128[5] :
964 ( pn5_deskew == 10'h81 ) ? pn_d129[5] :
965 ( pn5_deskew == 10'h82 ) ? pn_d130[5] :
966 ( pn5_deskew == 10'h83 ) ? pn_d131[5] :
967 ( pn5_deskew == 10'h84 ) ? pn_d132[5] :
968 ( pn5_deskew == 10'h85 ) ? pn_d133[5] :
969 ( pn5_deskew == 10'h86 ) ? pn_d134[5] :
970 ( pn5_deskew == 10'h87 ) ? pn_d135[5] :
971 ( pn5_deskew == 10'h88 ) ? pn_d136[5] :
972 ( pn5_deskew == 10'h89 ) ? pn_d137[5] :
973 ( pn5_deskew == 10'h8a ) ? pn_d138[5] :
974 ( pn5_deskew == 10'h8b ) ? pn_d139[5] :
975 ( pn5_deskew == 10'h8c ) ? pn_d140[5] :
976 ( pn5_deskew == 10'h8d ) ? pn_d141[5] :
977 ( pn5_deskew == 10'h8e ) ? pn_d142[5] :
978 ( pn5_deskew == 10'h8f ) ? pn_d143[5] :
979 ( pn5_deskew == 10'h90 ) ? pn_d144[5] :
980 ( pn5_deskew == 10'h91 ) ? pn_d145[5] : pn_in[5];
981assign pn_out[6] = ( pn6_deskew == 10'h0 ) ? pn_in[6] :
982 ( pn6_deskew == 10'h1 ) ? pn_d1[6] :
983 ( pn6_deskew == 10'h2 ) ? pn_d2[6] :
984 ( pn6_deskew == 10'h3 ) ? pn_d3[6] :
985 ( pn6_deskew == 10'h4 ) ? pn_d4[6] :
986 ( pn6_deskew == 10'h5 ) ? pn_d5[6] :
987 ( pn6_deskew == 10'h6 ) ? pn_d6[6] :
988 ( pn6_deskew == 10'h7 ) ? pn_d7[6] :
989 ( pn6_deskew == 10'h8 ) ? pn_d8[6] :
990 ( pn6_deskew == 10'h9 ) ? pn_d9[6] :
991 ( pn6_deskew == 10'ha ) ? pn_d10[6] :
992 ( pn6_deskew == 10'hb ) ? pn_d11[6] :
993 ( pn6_deskew == 10'hc ) ? pn_d12[6] :
994 ( pn6_deskew == 10'hd ) ? pn_d13[6] :
995 ( pn6_deskew == 10'he ) ? pn_d14[6] :
996 ( pn6_deskew == 10'hf ) ? pn_d15[6] :
997 ( pn6_deskew == 10'h10 ) ? pn_d16[6] :
998 ( pn6_deskew == 10'h11 ) ? pn_d17[6] :
999 ( pn6_deskew == 10'h12 ) ? pn_d18[6] :
1000 ( pn6_deskew == 10'h13 ) ? pn_d19[6] :
1001 ( pn6_deskew == 10'h14 ) ? pn_d20[6] :
1002 ( pn6_deskew == 10'h15 ) ? pn_d21[6] :
1003 ( pn6_deskew == 10'h16 ) ? pn_d22[6] :
1004 ( pn6_deskew == 10'h17 ) ? pn_d23[6] :
1005 ( pn6_deskew == 10'h18 ) ? pn_d24[6] :
1006 ( pn6_deskew == 10'h19 ) ? pn_d25[6] :
1007 ( pn6_deskew == 10'h1a ) ? pn_d26[6] :
1008 ( pn6_deskew == 10'h1b ) ? pn_d27[6] :
1009 ( pn6_deskew == 10'h1c ) ? pn_d28[6] :
1010 ( pn6_deskew == 10'h1d ) ? pn_d29[6] :
1011 ( pn6_deskew == 10'h1e ) ? pn_d30[6] :
1012 ( pn6_deskew == 10'h1f ) ? pn_d31[6] :
1013 ( pn6_deskew == 10'h20 ) ? pn_d32[6] :
1014 ( pn6_deskew == 10'h21 ) ? pn_d33[6] :
1015 ( pn6_deskew == 10'h22 ) ? pn_d34[6] :
1016 ( pn6_deskew == 10'h23 ) ? pn_d35[6] :
1017 ( pn6_deskew == 10'h24 ) ? pn_d36[6] :
1018 ( pn6_deskew == 10'h25 ) ? pn_d37[6] :
1019 ( pn6_deskew == 10'h26 ) ? pn_d38[6] :
1020 ( pn6_deskew == 10'h27 ) ? pn_d39[6] :
1021 ( pn6_deskew == 10'h28 ) ? pn_d40[6] :
1022 ( pn6_deskew == 10'h29 ) ? pn_d41[6] :
1023 ( pn6_deskew == 10'h2a ) ? pn_d42[6] :
1024 ( pn6_deskew == 10'h2b ) ? pn_d43[6] :
1025 ( pn6_deskew == 10'h2c ) ? pn_d44[6] :
1026 ( pn6_deskew == 10'h2d ) ? pn_d45[6] :
1027 ( pn6_deskew == 10'h2e ) ? pn_d46[6] :
1028 ( pn6_deskew == 10'h2f ) ? pn_d47[6] :
1029 ( pn6_deskew == 10'h30 ) ? pn_d48[6] :
1030 ( pn6_deskew == 10'h31 ) ? pn_d49[6] :
1031 ( pn6_deskew == 10'h32 ) ? pn_d50[6] :
1032 ( pn6_deskew == 10'h33 ) ? pn_d51[6] :
1033 ( pn6_deskew == 10'h34 ) ? pn_d52[6] :
1034 ( pn6_deskew == 10'h35 ) ? pn_d53[6] :
1035 ( pn6_deskew == 10'h36 ) ? pn_d54[6] :
1036 ( pn6_deskew == 10'h37 ) ? pn_d55[6] :
1037 ( pn6_deskew == 10'h38 ) ? pn_d56[6] :
1038 ( pn6_deskew == 10'h39 ) ? pn_d57[6] :
1039 ( pn6_deskew == 10'h3a ) ? pn_d58[6] :
1040 ( pn6_deskew == 10'h3b ) ? pn_d59[6] :
1041 ( pn6_deskew == 10'h3c ) ? pn_d60[6] :
1042 ( pn6_deskew == 10'h3d ) ? pn_d61[6] :
1043 ( pn6_deskew == 10'h3e ) ? pn_d62[6] :
1044 ( pn6_deskew == 10'h3f ) ? pn_d63[6] :
1045 ( pn6_deskew == 10'h40 ) ? pn_d64[6] :
1046 ( pn6_deskew == 10'h41 ) ? pn_d65[6] :
1047 ( pn6_deskew == 10'h42 ) ? pn_d66[6] :
1048 ( pn6_deskew == 10'h43 ) ? pn_d67[6] :
1049 ( pn6_deskew == 10'h44 ) ? pn_d68[6] :
1050 ( pn6_deskew == 10'h45 ) ? pn_d69[6] :
1051 ( pn6_deskew == 10'h46 ) ? pn_d70[6] :
1052 ( pn6_deskew == 10'h47 ) ? pn_d71[6] :
1053 ( pn6_deskew == 10'h48 ) ? pn_d72[6] :
1054 ( pn6_deskew == 10'h49 ) ? pn_d73[6] :
1055 ( pn6_deskew == 10'h4a ) ? pn_d74[6] :
1056 ( pn6_deskew == 10'h4b ) ? pn_d75[6] :
1057 ( pn6_deskew == 10'h4c ) ? pn_d76[6] :
1058 ( pn6_deskew == 10'h4d ) ? pn_d77[6] :
1059 ( pn6_deskew == 10'h4e ) ? pn_d78[6] :
1060 ( pn6_deskew == 10'h4f ) ? pn_d79[6] :
1061 ( pn6_deskew == 10'h50 ) ? pn_d80[6] :
1062 ( pn6_deskew == 10'h51 ) ? pn_d81[6] :
1063 ( pn6_deskew == 10'h52 ) ? pn_d82[6] :
1064 ( pn6_deskew == 10'h53 ) ? pn_d83[6] :
1065 ( pn6_deskew == 10'h54 ) ? pn_d84[6] :
1066 ( pn6_deskew == 10'h55 ) ? pn_d85[6] :
1067 ( pn6_deskew == 10'h56 ) ? pn_d86[6] :
1068 ( pn6_deskew == 10'h57 ) ? pn_d87[6] :
1069 ( pn6_deskew == 10'h58 ) ? pn_d88[6] :
1070 ( pn6_deskew == 10'h59 ) ? pn_d89[6] :
1071 ( pn6_deskew == 10'h5a ) ? pn_d90[6] :
1072 ( pn6_deskew == 10'h5b ) ? pn_d91[6] :
1073 ( pn6_deskew == 10'h5c ) ? pn_d92[6] :
1074 ( pn6_deskew == 10'h5d ) ? pn_d93[6] :
1075 ( pn6_deskew == 10'h5e ) ? pn_d94[6] :
1076 ( pn6_deskew == 10'h5f ) ? pn_d95[6] :
1077 ( pn6_deskew == 10'h60 ) ? pn_d96[6] :
1078 ( pn6_deskew == 10'h61 ) ? pn_d97[6] :
1079 ( pn6_deskew == 10'h62 ) ? pn_d98[6] :
1080 ( pn6_deskew == 10'h63 ) ? pn_d99[6] :
1081 ( pn6_deskew == 10'h64 ) ? pn_d100[6] :
1082 ( pn6_deskew == 10'h65 ) ? pn_d101[6] :
1083 ( pn6_deskew == 10'h66 ) ? pn_d102[6] :
1084 ( pn6_deskew == 10'h67 ) ? pn_d103[6] :
1085 ( pn6_deskew == 10'h68 ) ? pn_d104[6] :
1086 ( pn6_deskew == 10'h69 ) ? pn_d105[6] :
1087 ( pn6_deskew == 10'h6a ) ? pn_d106[6] :
1088 ( pn6_deskew == 10'h6b ) ? pn_d107[6] :
1089 ( pn6_deskew == 10'h6c ) ? pn_d108[6] :
1090 ( pn6_deskew == 10'h6d ) ? pn_d109[6] :
1091 ( pn6_deskew == 10'h6e ) ? pn_d110[6] :
1092 ( pn6_deskew == 10'h6f ) ? pn_d111[6] :
1093 ( pn6_deskew == 10'h70 ) ? pn_d112[6] :
1094 ( pn6_deskew == 10'h71 ) ? pn_d113[6] :
1095 ( pn6_deskew == 10'h72 ) ? pn_d114[6] :
1096 ( pn6_deskew == 10'h73 ) ? pn_d115[6] :
1097 ( pn6_deskew == 10'h74 ) ? pn_d116[6] :
1098 ( pn6_deskew == 10'h75 ) ? pn_d117[6] :
1099 ( pn6_deskew == 10'h76 ) ? pn_d118[6] :
1100 ( pn6_deskew == 10'h77 ) ? pn_d119[6] :
1101 ( pn6_deskew == 10'h78 ) ? pn_d120[6] :
1102 ( pn6_deskew == 10'h79 ) ? pn_d121[6] :
1103 ( pn6_deskew == 10'h7a ) ? pn_d122[6] :
1104 ( pn6_deskew == 10'h7b ) ? pn_d123[6] :
1105 ( pn6_deskew == 10'h7c ) ? pn_d124[6] :
1106 ( pn6_deskew == 10'h7d ) ? pn_d125[6] :
1107 ( pn6_deskew == 10'h7e ) ? pn_d126[6] :
1108 ( pn6_deskew == 10'h7f ) ? pn_d127[6] :
1109 ( pn6_deskew == 10'h80 ) ? pn_d128[6] :
1110 ( pn6_deskew == 10'h81 ) ? pn_d129[6] :
1111 ( pn6_deskew == 10'h82 ) ? pn_d130[6] :
1112 ( pn6_deskew == 10'h83 ) ? pn_d131[6] :
1113 ( pn6_deskew == 10'h84 ) ? pn_d132[6] :
1114 ( pn6_deskew == 10'h85 ) ? pn_d133[6] :
1115 ( pn6_deskew == 10'h86 ) ? pn_d134[6] :
1116 ( pn6_deskew == 10'h87 ) ? pn_d135[6] :
1117 ( pn6_deskew == 10'h88 ) ? pn_d136[6] :
1118 ( pn6_deskew == 10'h89 ) ? pn_d137[6] :
1119 ( pn6_deskew == 10'h8a ) ? pn_d138[6] :
1120 ( pn6_deskew == 10'h8b ) ? pn_d139[6] :
1121 ( pn6_deskew == 10'h8c ) ? pn_d140[6] :
1122 ( pn6_deskew == 10'h8d ) ? pn_d141[6] :
1123 ( pn6_deskew == 10'h8e ) ? pn_d142[6] :
1124 ( pn6_deskew == 10'h8f ) ? pn_d143[6] :
1125 ( pn6_deskew == 10'h90 ) ? pn_d144[6] :
1126 ( pn6_deskew == 10'h91 ) ? pn_d145[6] : pn_in[6];
1127assign pn_out[7] = ( pn7_deskew == 10'h0 ) ? pn_in[7] :
1128 ( pn7_deskew == 10'h1 ) ? pn_d1[7] :
1129 ( pn7_deskew == 10'h2 ) ? pn_d2[7] :
1130 ( pn7_deskew == 10'h3 ) ? pn_d3[7] :
1131 ( pn7_deskew == 10'h4 ) ? pn_d4[7] :
1132 ( pn7_deskew == 10'h5 ) ? pn_d5[7] :
1133 ( pn7_deskew == 10'h6 ) ? pn_d6[7] :
1134 ( pn7_deskew == 10'h7 ) ? pn_d7[7] :
1135 ( pn7_deskew == 10'h8 ) ? pn_d8[7] :
1136 ( pn7_deskew == 10'h9 ) ? pn_d9[7] :
1137 ( pn7_deskew == 10'ha ) ? pn_d10[7] :
1138 ( pn7_deskew == 10'hb ) ? pn_d11[7] :
1139 ( pn7_deskew == 10'hc ) ? pn_d12[7] :
1140 ( pn7_deskew == 10'hd ) ? pn_d13[7] :
1141 ( pn7_deskew == 10'he ) ? pn_d14[7] :
1142 ( pn7_deskew == 10'hf ) ? pn_d15[7] :
1143 ( pn7_deskew == 10'h10 ) ? pn_d16[7] :
1144 ( pn7_deskew == 10'h11 ) ? pn_d17[7] :
1145 ( pn7_deskew == 10'h12 ) ? pn_d18[7] :
1146 ( pn7_deskew == 10'h13 ) ? pn_d19[7] :
1147 ( pn7_deskew == 10'h14 ) ? pn_d20[7] :
1148 ( pn7_deskew == 10'h15 ) ? pn_d21[7] :
1149 ( pn7_deskew == 10'h16 ) ? pn_d22[7] :
1150 ( pn7_deskew == 10'h17 ) ? pn_d23[7] :
1151 ( pn7_deskew == 10'h18 ) ? pn_d24[7] :
1152 ( pn7_deskew == 10'h19 ) ? pn_d25[7] :
1153 ( pn7_deskew == 10'h1a ) ? pn_d26[7] :
1154 ( pn7_deskew == 10'h1b ) ? pn_d27[7] :
1155 ( pn7_deskew == 10'h1c ) ? pn_d28[7] :
1156 ( pn7_deskew == 10'h1d ) ? pn_d29[7] :
1157 ( pn7_deskew == 10'h1e ) ? pn_d30[7] :
1158 ( pn7_deskew == 10'h1f ) ? pn_d31[7] :
1159 ( pn7_deskew == 10'h20 ) ? pn_d32[7] :
1160 ( pn7_deskew == 10'h21 ) ? pn_d33[7] :
1161 ( pn7_deskew == 10'h22 ) ? pn_d34[7] :
1162 ( pn7_deskew == 10'h23 ) ? pn_d35[7] :
1163 ( pn7_deskew == 10'h24 ) ? pn_d36[7] :
1164 ( pn7_deskew == 10'h25 ) ? pn_d37[7] :
1165 ( pn7_deskew == 10'h26 ) ? pn_d38[7] :
1166 ( pn7_deskew == 10'h27 ) ? pn_d39[7] :
1167 ( pn7_deskew == 10'h28 ) ? pn_d40[7] :
1168 ( pn7_deskew == 10'h29 ) ? pn_d41[7] :
1169 ( pn7_deskew == 10'h2a ) ? pn_d42[7] :
1170 ( pn7_deskew == 10'h2b ) ? pn_d43[7] :
1171 ( pn7_deskew == 10'h2c ) ? pn_d44[7] :
1172 ( pn7_deskew == 10'h2d ) ? pn_d45[7] :
1173 ( pn7_deskew == 10'h2e ) ? pn_d46[7] :
1174 ( pn7_deskew == 10'h2f ) ? pn_d47[7] :
1175 ( pn7_deskew == 10'h30 ) ? pn_d48[7] :
1176 ( pn7_deskew == 10'h31 ) ? pn_d49[7] :
1177 ( pn7_deskew == 10'h32 ) ? pn_d50[7] :
1178 ( pn7_deskew == 10'h33 ) ? pn_d51[7] :
1179 ( pn7_deskew == 10'h34 ) ? pn_d52[7] :
1180 ( pn7_deskew == 10'h35 ) ? pn_d53[7] :
1181 ( pn7_deskew == 10'h36 ) ? pn_d54[7] :
1182 ( pn7_deskew == 10'h37 ) ? pn_d55[7] :
1183 ( pn7_deskew == 10'h38 ) ? pn_d56[7] :
1184 ( pn7_deskew == 10'h39 ) ? pn_d57[7] :
1185 ( pn7_deskew == 10'h3a ) ? pn_d58[7] :
1186 ( pn7_deskew == 10'h3b ) ? pn_d59[7] :
1187 ( pn7_deskew == 10'h3c ) ? pn_d60[7] :
1188 ( pn7_deskew == 10'h3d ) ? pn_d61[7] :
1189 ( pn7_deskew == 10'h3e ) ? pn_d62[7] :
1190 ( pn7_deskew == 10'h3f ) ? pn_d63[7] :
1191 ( pn7_deskew == 10'h40 ) ? pn_d64[7] :
1192 ( pn7_deskew == 10'h41 ) ? pn_d65[7] :
1193 ( pn7_deskew == 10'h42 ) ? pn_d66[7] :
1194 ( pn7_deskew == 10'h43 ) ? pn_d67[7] :
1195 ( pn7_deskew == 10'h44 ) ? pn_d68[7] :
1196 ( pn7_deskew == 10'h45 ) ? pn_d69[7] :
1197 ( pn7_deskew == 10'h46 ) ? pn_d70[7] :
1198 ( pn7_deskew == 10'h47 ) ? pn_d71[7] :
1199 ( pn7_deskew == 10'h48 ) ? pn_d72[7] :
1200 ( pn7_deskew == 10'h49 ) ? pn_d73[7] :
1201 ( pn7_deskew == 10'h4a ) ? pn_d74[7] :
1202 ( pn7_deskew == 10'h4b ) ? pn_d75[7] :
1203 ( pn7_deskew == 10'h4c ) ? pn_d76[7] :
1204 ( pn7_deskew == 10'h4d ) ? pn_d77[7] :
1205 ( pn7_deskew == 10'h4e ) ? pn_d78[7] :
1206 ( pn7_deskew == 10'h4f ) ? pn_d79[7] :
1207 ( pn7_deskew == 10'h50 ) ? pn_d80[7] :
1208 ( pn7_deskew == 10'h51 ) ? pn_d81[7] :
1209 ( pn7_deskew == 10'h52 ) ? pn_d82[7] :
1210 ( pn7_deskew == 10'h53 ) ? pn_d83[7] :
1211 ( pn7_deskew == 10'h54 ) ? pn_d84[7] :
1212 ( pn7_deskew == 10'h55 ) ? pn_d85[7] :
1213 ( pn7_deskew == 10'h56 ) ? pn_d86[7] :
1214 ( pn7_deskew == 10'h57 ) ? pn_d87[7] :
1215 ( pn7_deskew == 10'h58 ) ? pn_d88[7] :
1216 ( pn7_deskew == 10'h59 ) ? pn_d89[7] :
1217 ( pn7_deskew == 10'h5a ) ? pn_d90[7] :
1218 ( pn7_deskew == 10'h5b ) ? pn_d91[7] :
1219 ( pn7_deskew == 10'h5c ) ? pn_d92[7] :
1220 ( pn7_deskew == 10'h5d ) ? pn_d93[7] :
1221 ( pn7_deskew == 10'h5e ) ? pn_d94[7] :
1222 ( pn7_deskew == 10'h5f ) ? pn_d95[7] :
1223 ( pn7_deskew == 10'h60 ) ? pn_d96[7] :
1224 ( pn7_deskew == 10'h61 ) ? pn_d97[7] :
1225 ( pn7_deskew == 10'h62 ) ? pn_d98[7] :
1226 ( pn7_deskew == 10'h63 ) ? pn_d99[7] :
1227 ( pn7_deskew == 10'h64 ) ? pn_d100[7] :
1228 ( pn7_deskew == 10'h65 ) ? pn_d101[7] :
1229 ( pn7_deskew == 10'h66 ) ? pn_d102[7] :
1230 ( pn7_deskew == 10'h67 ) ? pn_d103[7] :
1231 ( pn7_deskew == 10'h68 ) ? pn_d104[7] :
1232 ( pn7_deskew == 10'h69 ) ? pn_d105[7] :
1233 ( pn7_deskew == 10'h6a ) ? pn_d106[7] :
1234 ( pn7_deskew == 10'h6b ) ? pn_d107[7] :
1235 ( pn7_deskew == 10'h6c ) ? pn_d108[7] :
1236 ( pn7_deskew == 10'h6d ) ? pn_d109[7] :
1237 ( pn7_deskew == 10'h6e ) ? pn_d110[7] :
1238 ( pn7_deskew == 10'h6f ) ? pn_d111[7] :
1239 ( pn7_deskew == 10'h70 ) ? pn_d112[7] :
1240 ( pn7_deskew == 10'h71 ) ? pn_d113[7] :
1241 ( pn7_deskew == 10'h72 ) ? pn_d114[7] :
1242 ( pn7_deskew == 10'h73 ) ? pn_d115[7] :
1243 ( pn7_deskew == 10'h74 ) ? pn_d116[7] :
1244 ( pn7_deskew == 10'h75 ) ? pn_d117[7] :
1245 ( pn7_deskew == 10'h76 ) ? pn_d118[7] :
1246 ( pn7_deskew == 10'h77 ) ? pn_d119[7] :
1247 ( pn7_deskew == 10'h78 ) ? pn_d120[7] :
1248 ( pn7_deskew == 10'h79 ) ? pn_d121[7] :
1249 ( pn7_deskew == 10'h7a ) ? pn_d122[7] :
1250 ( pn7_deskew == 10'h7b ) ? pn_d123[7] :
1251 ( pn7_deskew == 10'h7c ) ? pn_d124[7] :
1252 ( pn7_deskew == 10'h7d ) ? pn_d125[7] :
1253 ( pn7_deskew == 10'h7e ) ? pn_d126[7] :
1254 ( pn7_deskew == 10'h7f ) ? pn_d127[7] :
1255 ( pn7_deskew == 10'h80 ) ? pn_d128[7] :
1256 ( pn7_deskew == 10'h81 ) ? pn_d129[7] :
1257 ( pn7_deskew == 10'h82 ) ? pn_d130[7] :
1258 ( pn7_deskew == 10'h83 ) ? pn_d131[7] :
1259 ( pn7_deskew == 10'h84 ) ? pn_d132[7] :
1260 ( pn7_deskew == 10'h85 ) ? pn_d133[7] :
1261 ( pn7_deskew == 10'h86 ) ? pn_d134[7] :
1262 ( pn7_deskew == 10'h87 ) ? pn_d135[7] :
1263 ( pn7_deskew == 10'h88 ) ? pn_d136[7] :
1264 ( pn7_deskew == 10'h89 ) ? pn_d137[7] :
1265 ( pn7_deskew == 10'h8a ) ? pn_d138[7] :
1266 ( pn7_deskew == 10'h8b ) ? pn_d139[7] :
1267 ( pn7_deskew == 10'h8c ) ? pn_d140[7] :
1268 ( pn7_deskew == 10'h8d ) ? pn_d141[7] :
1269 ( pn7_deskew == 10'h8e ) ? pn_d142[7] :
1270 ( pn7_deskew == 10'h8f ) ? pn_d143[7] :
1271 ( pn7_deskew == 10'h90 ) ? pn_d144[7] :
1272 ( pn7_deskew == 10'h91 ) ? pn_d145[7] : pn_in[7];
1273assign pn_out[8] = ( pn8_deskew == 10'h0 ) ? pn_in[8] :
1274 ( pn8_deskew == 10'h1 ) ? pn_d1[8] :
1275 ( pn8_deskew == 10'h2 ) ? pn_d2[8] :
1276 ( pn8_deskew == 10'h3 ) ? pn_d3[8] :
1277 ( pn8_deskew == 10'h4 ) ? pn_d4[8] :
1278 ( pn8_deskew == 10'h5 ) ? pn_d5[8] :
1279 ( pn8_deskew == 10'h6 ) ? pn_d6[8] :
1280 ( pn8_deskew == 10'h7 ) ? pn_d7[8] :
1281 ( pn8_deskew == 10'h8 ) ? pn_d8[8] :
1282 ( pn8_deskew == 10'h9 ) ? pn_d9[8] :
1283 ( pn8_deskew == 10'ha ) ? pn_d10[8] :
1284 ( pn8_deskew == 10'hb ) ? pn_d11[8] :
1285 ( pn8_deskew == 10'hc ) ? pn_d12[8] :
1286 ( pn8_deskew == 10'hd ) ? pn_d13[8] :
1287 ( pn8_deskew == 10'he ) ? pn_d14[8] :
1288 ( pn8_deskew == 10'hf ) ? pn_d15[8] :
1289 ( pn8_deskew == 10'h10 ) ? pn_d16[8] :
1290 ( pn8_deskew == 10'h11 ) ? pn_d17[8] :
1291 ( pn8_deskew == 10'h12 ) ? pn_d18[8] :
1292 ( pn8_deskew == 10'h13 ) ? pn_d19[8] :
1293 ( pn8_deskew == 10'h14 ) ? pn_d20[8] :
1294 ( pn8_deskew == 10'h15 ) ? pn_d21[8] :
1295 ( pn8_deskew == 10'h16 ) ? pn_d22[8] :
1296 ( pn8_deskew == 10'h17 ) ? pn_d23[8] :
1297 ( pn8_deskew == 10'h18 ) ? pn_d24[8] :
1298 ( pn8_deskew == 10'h19 ) ? pn_d25[8] :
1299 ( pn8_deskew == 10'h1a ) ? pn_d26[8] :
1300 ( pn8_deskew == 10'h1b ) ? pn_d27[8] :
1301 ( pn8_deskew == 10'h1c ) ? pn_d28[8] :
1302 ( pn8_deskew == 10'h1d ) ? pn_d29[8] :
1303 ( pn8_deskew == 10'h1e ) ? pn_d30[8] :
1304 ( pn8_deskew == 10'h1f ) ? pn_d31[8] :
1305 ( pn8_deskew == 10'h20 ) ? pn_d32[8] :
1306 ( pn8_deskew == 10'h21 ) ? pn_d33[8] :
1307 ( pn8_deskew == 10'h22 ) ? pn_d34[8] :
1308 ( pn8_deskew == 10'h23 ) ? pn_d35[8] :
1309 ( pn8_deskew == 10'h24 ) ? pn_d36[8] :
1310 ( pn8_deskew == 10'h25 ) ? pn_d37[8] :
1311 ( pn8_deskew == 10'h26 ) ? pn_d38[8] :
1312 ( pn8_deskew == 10'h27 ) ? pn_d39[8] :
1313 ( pn8_deskew == 10'h28 ) ? pn_d40[8] :
1314 ( pn8_deskew == 10'h29 ) ? pn_d41[8] :
1315 ( pn8_deskew == 10'h2a ) ? pn_d42[8] :
1316 ( pn8_deskew == 10'h2b ) ? pn_d43[8] :
1317 ( pn8_deskew == 10'h2c ) ? pn_d44[8] :
1318 ( pn8_deskew == 10'h2d ) ? pn_d45[8] :
1319 ( pn8_deskew == 10'h2e ) ? pn_d46[8] :
1320 ( pn8_deskew == 10'h2f ) ? pn_d47[8] :
1321 ( pn8_deskew == 10'h30 ) ? pn_d48[8] :
1322 ( pn8_deskew == 10'h31 ) ? pn_d49[8] :
1323 ( pn8_deskew == 10'h32 ) ? pn_d50[8] :
1324 ( pn8_deskew == 10'h33 ) ? pn_d51[8] :
1325 ( pn8_deskew == 10'h34 ) ? pn_d52[8] :
1326 ( pn8_deskew == 10'h35 ) ? pn_d53[8] :
1327 ( pn8_deskew == 10'h36 ) ? pn_d54[8] :
1328 ( pn8_deskew == 10'h37 ) ? pn_d55[8] :
1329 ( pn8_deskew == 10'h38 ) ? pn_d56[8] :
1330 ( pn8_deskew == 10'h39 ) ? pn_d57[8] :
1331 ( pn8_deskew == 10'h3a ) ? pn_d58[8] :
1332 ( pn8_deskew == 10'h3b ) ? pn_d59[8] :
1333 ( pn8_deskew == 10'h3c ) ? pn_d60[8] :
1334 ( pn8_deskew == 10'h3d ) ? pn_d61[8] :
1335 ( pn8_deskew == 10'h3e ) ? pn_d62[8] :
1336 ( pn8_deskew == 10'h3f ) ? pn_d63[8] :
1337 ( pn8_deskew == 10'h40 ) ? pn_d64[8] :
1338 ( pn8_deskew == 10'h41 ) ? pn_d65[8] :
1339 ( pn8_deskew == 10'h42 ) ? pn_d66[8] :
1340 ( pn8_deskew == 10'h43 ) ? pn_d67[8] :
1341 ( pn8_deskew == 10'h44 ) ? pn_d68[8] :
1342 ( pn8_deskew == 10'h45 ) ? pn_d69[8] :
1343 ( pn8_deskew == 10'h46 ) ? pn_d70[8] :
1344 ( pn8_deskew == 10'h47 ) ? pn_d71[8] :
1345 ( pn8_deskew == 10'h48 ) ? pn_d72[8] :
1346 ( pn8_deskew == 10'h49 ) ? pn_d73[8] :
1347 ( pn8_deskew == 10'h4a ) ? pn_d74[8] :
1348 ( pn8_deskew == 10'h4b ) ? pn_d75[8] :
1349 ( pn8_deskew == 10'h4c ) ? pn_d76[8] :
1350 ( pn8_deskew == 10'h4d ) ? pn_d77[8] :
1351 ( pn8_deskew == 10'h4e ) ? pn_d78[8] :
1352 ( pn8_deskew == 10'h4f ) ? pn_d79[8] :
1353 ( pn8_deskew == 10'h50 ) ? pn_d80[8] :
1354 ( pn8_deskew == 10'h51 ) ? pn_d81[8] :
1355 ( pn8_deskew == 10'h52 ) ? pn_d82[8] :
1356 ( pn8_deskew == 10'h53 ) ? pn_d83[8] :
1357 ( pn8_deskew == 10'h54 ) ? pn_d84[8] :
1358 ( pn8_deskew == 10'h55 ) ? pn_d85[8] :
1359 ( pn8_deskew == 10'h56 ) ? pn_d86[8] :
1360 ( pn8_deskew == 10'h57 ) ? pn_d87[8] :
1361 ( pn8_deskew == 10'h58 ) ? pn_d88[8] :
1362 ( pn8_deskew == 10'h59 ) ? pn_d89[8] :
1363 ( pn8_deskew == 10'h5a ) ? pn_d90[8] :
1364 ( pn8_deskew == 10'h5b ) ? pn_d91[8] :
1365 ( pn8_deskew == 10'h5c ) ? pn_d92[8] :
1366 ( pn8_deskew == 10'h5d ) ? pn_d93[8] :
1367 ( pn8_deskew == 10'h5e ) ? pn_d94[8] :
1368 ( pn8_deskew == 10'h5f ) ? pn_d95[8] :
1369 ( pn8_deskew == 10'h60 ) ? pn_d96[8] :
1370 ( pn8_deskew == 10'h61 ) ? pn_d97[8] :
1371 ( pn8_deskew == 10'h62 ) ? pn_d98[8] :
1372 ( pn8_deskew == 10'h63 ) ? pn_d99[8] :
1373 ( pn8_deskew == 10'h64 ) ? pn_d100[8] :
1374 ( pn8_deskew == 10'h65 ) ? pn_d101[8] :
1375 ( pn8_deskew == 10'h66 ) ? pn_d102[8] :
1376 ( pn8_deskew == 10'h67 ) ? pn_d103[8] :
1377 ( pn8_deskew == 10'h68 ) ? pn_d104[8] :
1378 ( pn8_deskew == 10'h69 ) ? pn_d105[8] :
1379 ( pn8_deskew == 10'h6a ) ? pn_d106[8] :
1380 ( pn8_deskew == 10'h6b ) ? pn_d107[8] :
1381 ( pn8_deskew == 10'h6c ) ? pn_d108[8] :
1382 ( pn8_deskew == 10'h6d ) ? pn_d109[8] :
1383 ( pn8_deskew == 10'h6e ) ? pn_d110[8] :
1384 ( pn8_deskew == 10'h6f ) ? pn_d111[8] :
1385 ( pn8_deskew == 10'h70 ) ? pn_d112[8] :
1386 ( pn8_deskew == 10'h71 ) ? pn_d113[8] :
1387 ( pn8_deskew == 10'h72 ) ? pn_d114[8] :
1388 ( pn8_deskew == 10'h73 ) ? pn_d115[8] :
1389 ( pn8_deskew == 10'h74 ) ? pn_d116[8] :
1390 ( pn8_deskew == 10'h75 ) ? pn_d117[8] :
1391 ( pn8_deskew == 10'h76 ) ? pn_d118[8] :
1392 ( pn8_deskew == 10'h77 ) ? pn_d119[8] :
1393 ( pn8_deskew == 10'h78 ) ? pn_d120[8] :
1394 ( pn8_deskew == 10'h79 ) ? pn_d121[8] :
1395 ( pn8_deskew == 10'h7a ) ? pn_d122[8] :
1396 ( pn8_deskew == 10'h7b ) ? pn_d123[8] :
1397 ( pn8_deskew == 10'h7c ) ? pn_d124[8] :
1398 ( pn8_deskew == 10'h7d ) ? pn_d125[8] :
1399 ( pn8_deskew == 10'h7e ) ? pn_d126[8] :
1400 ( pn8_deskew == 10'h7f ) ? pn_d127[8] :
1401 ( pn8_deskew == 10'h80 ) ? pn_d128[8] :
1402 ( pn8_deskew == 10'h81 ) ? pn_d129[8] :
1403 ( pn8_deskew == 10'h82 ) ? pn_d130[8] :
1404 ( pn8_deskew == 10'h83 ) ? pn_d131[8] :
1405 ( pn8_deskew == 10'h84 ) ? pn_d132[8] :
1406 ( pn8_deskew == 10'h85 ) ? pn_d133[8] :
1407 ( pn8_deskew == 10'h86 ) ? pn_d134[8] :
1408 ( pn8_deskew == 10'h87 ) ? pn_d135[8] :
1409 ( pn8_deskew == 10'h88 ) ? pn_d136[8] :
1410 ( pn8_deskew == 10'h89 ) ? pn_d137[8] :
1411 ( pn8_deskew == 10'h8a ) ? pn_d138[8] :
1412 ( pn8_deskew == 10'h8b ) ? pn_d139[8] :
1413 ( pn8_deskew == 10'h8c ) ? pn_d140[8] :
1414 ( pn8_deskew == 10'h8d ) ? pn_d141[8] :
1415 ( pn8_deskew == 10'h8e ) ? pn_d142[8] :
1416 ( pn8_deskew == 10'h8f ) ? pn_d143[8] :
1417 ( pn8_deskew == 10'h90 ) ? pn_d144[8] :
1418 ( pn8_deskew == 10'h91 ) ? pn_d145[8] : pn_in[8];
1419assign pn_out[9] = ( pn9_deskew == 10'h0 ) ? pn_in[9] :
1420 ( pn9_deskew == 10'h1 ) ? pn_d1[9] :
1421 ( pn9_deskew == 10'h2 ) ? pn_d2[9] :
1422 ( pn9_deskew == 10'h3 ) ? pn_d3[9] :
1423 ( pn9_deskew == 10'h4 ) ? pn_d4[9] :
1424 ( pn9_deskew == 10'h5 ) ? pn_d5[9] :
1425 ( pn9_deskew == 10'h6 ) ? pn_d6[9] :
1426 ( pn9_deskew == 10'h7 ) ? pn_d7[9] :
1427 ( pn9_deskew == 10'h8 ) ? pn_d8[9] :
1428 ( pn9_deskew == 10'h9 ) ? pn_d9[9] :
1429 ( pn9_deskew == 10'ha ) ? pn_d10[9] :
1430 ( pn9_deskew == 10'hb ) ? pn_d11[9] :
1431 ( pn9_deskew == 10'hc ) ? pn_d12[9] :
1432 ( pn9_deskew == 10'hd ) ? pn_d13[9] :
1433 ( pn9_deskew == 10'he ) ? pn_d14[9] :
1434 ( pn9_deskew == 10'hf ) ? pn_d15[9] :
1435 ( pn9_deskew == 10'h10 ) ? pn_d16[9] :
1436 ( pn9_deskew == 10'h11 ) ? pn_d17[9] :
1437 ( pn9_deskew == 10'h12 ) ? pn_d18[9] :
1438 ( pn9_deskew == 10'h13 ) ? pn_d19[9] :
1439 ( pn9_deskew == 10'h14 ) ? pn_d20[9] :
1440 ( pn9_deskew == 10'h15 ) ? pn_d21[9] :
1441 ( pn9_deskew == 10'h16 ) ? pn_d22[9] :
1442 ( pn9_deskew == 10'h17 ) ? pn_d23[9] :
1443 ( pn9_deskew == 10'h18 ) ? pn_d24[9] :
1444 ( pn9_deskew == 10'h19 ) ? pn_d25[9] :
1445 ( pn9_deskew == 10'h1a ) ? pn_d26[9] :
1446 ( pn9_deskew == 10'h1b ) ? pn_d27[9] :
1447 ( pn9_deskew == 10'h1c ) ? pn_d28[9] :
1448 ( pn9_deskew == 10'h1d ) ? pn_d29[9] :
1449 ( pn9_deskew == 10'h1e ) ? pn_d30[9] :
1450 ( pn9_deskew == 10'h1f ) ? pn_d31[9] :
1451 ( pn9_deskew == 10'h20 ) ? pn_d32[9] :
1452 ( pn9_deskew == 10'h21 ) ? pn_d33[9] :
1453 ( pn9_deskew == 10'h22 ) ? pn_d34[9] :
1454 ( pn9_deskew == 10'h23 ) ? pn_d35[9] :
1455 ( pn9_deskew == 10'h24 ) ? pn_d36[9] :
1456 ( pn9_deskew == 10'h25 ) ? pn_d37[9] :
1457 ( pn9_deskew == 10'h26 ) ? pn_d38[9] :
1458 ( pn9_deskew == 10'h27 ) ? pn_d39[9] :
1459 ( pn9_deskew == 10'h28 ) ? pn_d40[9] :
1460 ( pn9_deskew == 10'h29 ) ? pn_d41[9] :
1461 ( pn9_deskew == 10'h2a ) ? pn_d42[9] :
1462 ( pn9_deskew == 10'h2b ) ? pn_d43[9] :
1463 ( pn9_deskew == 10'h2c ) ? pn_d44[9] :
1464 ( pn9_deskew == 10'h2d ) ? pn_d45[9] :
1465 ( pn9_deskew == 10'h2e ) ? pn_d46[9] :
1466 ( pn9_deskew == 10'h2f ) ? pn_d47[9] :
1467 ( pn9_deskew == 10'h30 ) ? pn_d48[9] :
1468 ( pn9_deskew == 10'h31 ) ? pn_d49[9] :
1469 ( pn9_deskew == 10'h32 ) ? pn_d50[9] :
1470 ( pn9_deskew == 10'h33 ) ? pn_d51[9] :
1471 ( pn9_deskew == 10'h34 ) ? pn_d52[9] :
1472 ( pn9_deskew == 10'h35 ) ? pn_d53[9] :
1473 ( pn9_deskew == 10'h36 ) ? pn_d54[9] :
1474 ( pn9_deskew == 10'h37 ) ? pn_d55[9] :
1475 ( pn9_deskew == 10'h38 ) ? pn_d56[9] :
1476 ( pn9_deskew == 10'h39 ) ? pn_d57[9] :
1477 ( pn9_deskew == 10'h3a ) ? pn_d58[9] :
1478 ( pn9_deskew == 10'h3b ) ? pn_d59[9] :
1479 ( pn9_deskew == 10'h3c ) ? pn_d60[9] :
1480 ( pn9_deskew == 10'h3d ) ? pn_d61[9] :
1481 ( pn9_deskew == 10'h3e ) ? pn_d62[9] :
1482 ( pn9_deskew == 10'h3f ) ? pn_d63[9] :
1483 ( pn9_deskew == 10'h40 ) ? pn_d64[9] :
1484 ( pn9_deskew == 10'h41 ) ? pn_d65[9] :
1485 ( pn9_deskew == 10'h42 ) ? pn_d66[9] :
1486 ( pn9_deskew == 10'h43 ) ? pn_d67[9] :
1487 ( pn9_deskew == 10'h44 ) ? pn_d68[9] :
1488 ( pn9_deskew == 10'h45 ) ? pn_d69[9] :
1489 ( pn9_deskew == 10'h46 ) ? pn_d70[9] :
1490 ( pn9_deskew == 10'h47 ) ? pn_d71[9] :
1491 ( pn9_deskew == 10'h48 ) ? pn_d72[9] :
1492 ( pn9_deskew == 10'h49 ) ? pn_d73[9] :
1493 ( pn9_deskew == 10'h4a ) ? pn_d74[9] :
1494 ( pn9_deskew == 10'h4b ) ? pn_d75[9] :
1495 ( pn9_deskew == 10'h4c ) ? pn_d76[9] :
1496 ( pn9_deskew == 10'h4d ) ? pn_d77[9] :
1497 ( pn9_deskew == 10'h4e ) ? pn_d78[9] :
1498 ( pn9_deskew == 10'h4f ) ? pn_d79[9] :
1499 ( pn9_deskew == 10'h50 ) ? pn_d80[9] :
1500 ( pn9_deskew == 10'h51 ) ? pn_d81[9] :
1501 ( pn9_deskew == 10'h52 ) ? pn_d82[9] :
1502 ( pn9_deskew == 10'h53 ) ? pn_d83[9] :
1503 ( pn9_deskew == 10'h54 ) ? pn_d84[9] :
1504 ( pn9_deskew == 10'h55 ) ? pn_d85[9] :
1505 ( pn9_deskew == 10'h56 ) ? pn_d86[9] :
1506 ( pn9_deskew == 10'h57 ) ? pn_d87[9] :
1507 ( pn9_deskew == 10'h58 ) ? pn_d88[9] :
1508 ( pn9_deskew == 10'h59 ) ? pn_d89[9] :
1509 ( pn9_deskew == 10'h5a ) ? pn_d90[9] :
1510 ( pn9_deskew == 10'h5b ) ? pn_d91[9] :
1511 ( pn9_deskew == 10'h5c ) ? pn_d92[9] :
1512 ( pn9_deskew == 10'h5d ) ? pn_d93[9] :
1513 ( pn9_deskew == 10'h5e ) ? pn_d94[9] :
1514 ( pn9_deskew == 10'h5f ) ? pn_d95[9] :
1515 ( pn9_deskew == 10'h60 ) ? pn_d96[9] :
1516 ( pn9_deskew == 10'h61 ) ? pn_d97[9] :
1517 ( pn9_deskew == 10'h62 ) ? pn_d98[9] :
1518 ( pn9_deskew == 10'h63 ) ? pn_d99[9] :
1519 ( pn9_deskew == 10'h64 ) ? pn_d100[9] :
1520 ( pn9_deskew == 10'h65 ) ? pn_d101[9] :
1521 ( pn9_deskew == 10'h66 ) ? pn_d102[9] :
1522 ( pn9_deskew == 10'h67 ) ? pn_d103[9] :
1523 ( pn9_deskew == 10'h68 ) ? pn_d104[9] :
1524 ( pn9_deskew == 10'h69 ) ? pn_d105[9] :
1525 ( pn9_deskew == 10'h6a ) ? pn_d106[9] :
1526 ( pn9_deskew == 10'h6b ) ? pn_d107[9] :
1527 ( pn9_deskew == 10'h6c ) ? pn_d108[9] :
1528 ( pn9_deskew == 10'h6d ) ? pn_d109[9] :
1529 ( pn9_deskew == 10'h6e ) ? pn_d110[9] :
1530 ( pn9_deskew == 10'h6f ) ? pn_d111[9] :
1531 ( pn9_deskew == 10'h70 ) ? pn_d112[9] :
1532 ( pn9_deskew == 10'h71 ) ? pn_d113[9] :
1533 ( pn9_deskew == 10'h72 ) ? pn_d114[9] :
1534 ( pn9_deskew == 10'h73 ) ? pn_d115[9] :
1535 ( pn9_deskew == 10'h74 ) ? pn_d116[9] :
1536 ( pn9_deskew == 10'h75 ) ? pn_d117[9] :
1537 ( pn9_deskew == 10'h76 ) ? pn_d118[9] :
1538 ( pn9_deskew == 10'h77 ) ? pn_d119[9] :
1539 ( pn9_deskew == 10'h78 ) ? pn_d120[9] :
1540 ( pn9_deskew == 10'h79 ) ? pn_d121[9] :
1541 ( pn9_deskew == 10'h7a ) ? pn_d122[9] :
1542 ( pn9_deskew == 10'h7b ) ? pn_d123[9] :
1543 ( pn9_deskew == 10'h7c ) ? pn_d124[9] :
1544 ( pn9_deskew == 10'h7d ) ? pn_d125[9] :
1545 ( pn9_deskew == 10'h7e ) ? pn_d126[9] :
1546 ( pn9_deskew == 10'h7f ) ? pn_d127[9] :
1547 ( pn9_deskew == 10'h80 ) ? pn_d128[9] :
1548 ( pn9_deskew == 10'h81 ) ? pn_d129[9] :
1549 ( pn9_deskew == 10'h82 ) ? pn_d130[9] :
1550 ( pn9_deskew == 10'h83 ) ? pn_d131[9] :
1551 ( pn9_deskew == 10'h84 ) ? pn_d132[9] :
1552 ( pn9_deskew == 10'h85 ) ? pn_d133[9] :
1553 ( pn9_deskew == 10'h86 ) ? pn_d134[9] :
1554 ( pn9_deskew == 10'h87 ) ? pn_d135[9] :
1555 ( pn9_deskew == 10'h88 ) ? pn_d136[9] :
1556 ( pn9_deskew == 10'h89 ) ? pn_d137[9] :
1557 ( pn9_deskew == 10'h8a ) ? pn_d138[9] :
1558 ( pn9_deskew == 10'h8b ) ? pn_d139[9] :
1559 ( pn9_deskew == 10'h8c ) ? pn_d140[9] :
1560 ( pn9_deskew == 10'h8d ) ? pn_d141[9] :
1561 ( pn9_deskew == 10'h8e ) ? pn_d142[9] :
1562 ( pn9_deskew == 10'h8f ) ? pn_d143[9] :
1563 ( pn9_deskew == 10'h90 ) ? pn_d144[9] :
1564 ( pn9_deskew == 10'h91 ) ? pn_d145[9] : pn_in[9];
1565assign pn_out[10] = ( pn10_deskew == 10'h0 ) ? pn_in[10] :
1566 ( pn10_deskew == 10'h1 ) ? pn_d1[10] :
1567 ( pn10_deskew == 10'h2 ) ? pn_d2[10] :
1568 ( pn10_deskew == 10'h3 ) ? pn_d3[10] :
1569 ( pn10_deskew == 10'h4 ) ? pn_d4[10] :
1570 ( pn10_deskew == 10'h5 ) ? pn_d5[10] :
1571 ( pn10_deskew == 10'h6 ) ? pn_d6[10] :
1572 ( pn10_deskew == 10'h7 ) ? pn_d7[10] :
1573 ( pn10_deskew == 10'h8 ) ? pn_d8[10] :
1574 ( pn10_deskew == 10'h9 ) ? pn_d9[10] :
1575 ( pn10_deskew == 10'ha ) ? pn_d10[10] :
1576 ( pn10_deskew == 10'hb ) ? pn_d11[10] :
1577 ( pn10_deskew == 10'hc ) ? pn_d12[10] :
1578 ( pn10_deskew == 10'hd ) ? pn_d13[10] :
1579 ( pn10_deskew == 10'he ) ? pn_d14[10] :
1580 ( pn10_deskew == 10'hf ) ? pn_d15[10] :
1581 ( pn10_deskew == 10'h10 ) ? pn_d16[10] :
1582 ( pn10_deskew == 10'h11 ) ? pn_d17[10] :
1583 ( pn10_deskew == 10'h12 ) ? pn_d18[10] :
1584 ( pn10_deskew == 10'h13 ) ? pn_d19[10] :
1585 ( pn10_deskew == 10'h14 ) ? pn_d20[10] :
1586 ( pn10_deskew == 10'h15 ) ? pn_d21[10] :
1587 ( pn10_deskew == 10'h16 ) ? pn_d22[10] :
1588 ( pn10_deskew == 10'h17 ) ? pn_d23[10] :
1589 ( pn10_deskew == 10'h18 ) ? pn_d24[10] :
1590 ( pn10_deskew == 10'h19 ) ? pn_d25[10] :
1591 ( pn10_deskew == 10'h1a ) ? pn_d26[10] :
1592 ( pn10_deskew == 10'h1b ) ? pn_d27[10] :
1593 ( pn10_deskew == 10'h1c ) ? pn_d28[10] :
1594 ( pn10_deskew == 10'h1d ) ? pn_d29[10] :
1595 ( pn10_deskew == 10'h1e ) ? pn_d30[10] :
1596 ( pn10_deskew == 10'h1f ) ? pn_d31[10] :
1597 ( pn10_deskew == 10'h20 ) ? pn_d32[10] :
1598 ( pn10_deskew == 10'h21 ) ? pn_d33[10] :
1599 ( pn10_deskew == 10'h22 ) ? pn_d34[10] :
1600 ( pn10_deskew == 10'h23 ) ? pn_d35[10] :
1601 ( pn10_deskew == 10'h24 ) ? pn_d36[10] :
1602 ( pn10_deskew == 10'h25 ) ? pn_d37[10] :
1603 ( pn10_deskew == 10'h26 ) ? pn_d38[10] :
1604 ( pn10_deskew == 10'h27 ) ? pn_d39[10] :
1605 ( pn10_deskew == 10'h28 ) ? pn_d40[10] :
1606 ( pn10_deskew == 10'h29 ) ? pn_d41[10] :
1607 ( pn10_deskew == 10'h2a ) ? pn_d42[10] :
1608 ( pn10_deskew == 10'h2b ) ? pn_d43[10] :
1609 ( pn10_deskew == 10'h2c ) ? pn_d44[10] :
1610 ( pn10_deskew == 10'h2d ) ? pn_d45[10] :
1611 ( pn10_deskew == 10'h2e ) ? pn_d46[10] :
1612 ( pn10_deskew == 10'h2f ) ? pn_d47[10] :
1613 ( pn10_deskew == 10'h30 ) ? pn_d48[10] :
1614 ( pn10_deskew == 10'h31 ) ? pn_d49[10] :
1615 ( pn10_deskew == 10'h32 ) ? pn_d50[10] :
1616 ( pn10_deskew == 10'h33 ) ? pn_d51[10] :
1617 ( pn10_deskew == 10'h34 ) ? pn_d52[10] :
1618 ( pn10_deskew == 10'h35 ) ? pn_d53[10] :
1619 ( pn10_deskew == 10'h36 ) ? pn_d54[10] :
1620 ( pn10_deskew == 10'h37 ) ? pn_d55[10] :
1621 ( pn10_deskew == 10'h38 ) ? pn_d56[10] :
1622 ( pn10_deskew == 10'h39 ) ? pn_d57[10] :
1623 ( pn10_deskew == 10'h3a ) ? pn_d58[10] :
1624 ( pn10_deskew == 10'h3b ) ? pn_d59[10] :
1625 ( pn10_deskew == 10'h3c ) ? pn_d60[10] :
1626 ( pn10_deskew == 10'h3d ) ? pn_d61[10] :
1627 ( pn10_deskew == 10'h3e ) ? pn_d62[10] :
1628 ( pn10_deskew == 10'h3f ) ? pn_d63[10] :
1629 ( pn10_deskew == 10'h40 ) ? pn_d64[10] :
1630 ( pn10_deskew == 10'h41 ) ? pn_d65[10] :
1631 ( pn10_deskew == 10'h42 ) ? pn_d66[10] :
1632 ( pn10_deskew == 10'h43 ) ? pn_d67[10] :
1633 ( pn10_deskew == 10'h44 ) ? pn_d68[10] :
1634 ( pn10_deskew == 10'h45 ) ? pn_d69[10] :
1635 ( pn10_deskew == 10'h46 ) ? pn_d70[10] :
1636 ( pn10_deskew == 10'h47 ) ? pn_d71[10] :
1637 ( pn10_deskew == 10'h48 ) ? pn_d72[10] :
1638 ( pn10_deskew == 10'h49 ) ? pn_d73[10] :
1639 ( pn10_deskew == 10'h4a ) ? pn_d74[10] :
1640 ( pn10_deskew == 10'h4b ) ? pn_d75[10] :
1641 ( pn10_deskew == 10'h4c ) ? pn_d76[10] :
1642 ( pn10_deskew == 10'h4d ) ? pn_d77[10] :
1643 ( pn10_deskew == 10'h4e ) ? pn_d78[10] :
1644 ( pn10_deskew == 10'h4f ) ? pn_d79[10] :
1645 ( pn10_deskew == 10'h50 ) ? pn_d80[10] :
1646 ( pn10_deskew == 10'h51 ) ? pn_d81[10] :
1647 ( pn10_deskew == 10'h52 ) ? pn_d82[10] :
1648 ( pn10_deskew == 10'h53 ) ? pn_d83[10] :
1649 ( pn10_deskew == 10'h54 ) ? pn_d84[10] :
1650 ( pn10_deskew == 10'h55 ) ? pn_d85[10] :
1651 ( pn10_deskew == 10'h56 ) ? pn_d86[10] :
1652 ( pn10_deskew == 10'h57 ) ? pn_d87[10] :
1653 ( pn10_deskew == 10'h58 ) ? pn_d88[10] :
1654 ( pn10_deskew == 10'h59 ) ? pn_d89[10] :
1655 ( pn10_deskew == 10'h5a ) ? pn_d90[10] :
1656 ( pn10_deskew == 10'h5b ) ? pn_d91[10] :
1657 ( pn10_deskew == 10'h5c ) ? pn_d92[10] :
1658 ( pn10_deskew == 10'h5d ) ? pn_d93[10] :
1659 ( pn10_deskew == 10'h5e ) ? pn_d94[10] :
1660 ( pn10_deskew == 10'h5f ) ? pn_d95[10] :
1661 ( pn10_deskew == 10'h60 ) ? pn_d96[10] :
1662 ( pn10_deskew == 10'h61 ) ? pn_d97[10] :
1663 ( pn10_deskew == 10'h62 ) ? pn_d98[10] :
1664 ( pn10_deskew == 10'h63 ) ? pn_d99[10] :
1665 ( pn10_deskew == 10'h64 ) ? pn_d100[10] :
1666 ( pn10_deskew == 10'h65 ) ? pn_d101[10] :
1667 ( pn10_deskew == 10'h66 ) ? pn_d102[10] :
1668 ( pn10_deskew == 10'h67 ) ? pn_d103[10] :
1669 ( pn10_deskew == 10'h68 ) ? pn_d104[10] :
1670 ( pn10_deskew == 10'h69 ) ? pn_d105[10] :
1671 ( pn10_deskew == 10'h6a ) ? pn_d106[10] :
1672 ( pn10_deskew == 10'h6b ) ? pn_d107[10] :
1673 ( pn10_deskew == 10'h6c ) ? pn_d108[10] :
1674 ( pn10_deskew == 10'h6d ) ? pn_d109[10] :
1675 ( pn10_deskew == 10'h6e ) ? pn_d110[10] :
1676 ( pn10_deskew == 10'h6f ) ? pn_d111[10] :
1677 ( pn10_deskew == 10'h70 ) ? pn_d112[10] :
1678 ( pn10_deskew == 10'h71 ) ? pn_d113[10] :
1679 ( pn10_deskew == 10'h72 ) ? pn_d114[10] :
1680 ( pn10_deskew == 10'h73 ) ? pn_d115[10] :
1681 ( pn10_deskew == 10'h74 ) ? pn_d116[10] :
1682 ( pn10_deskew == 10'h75 ) ? pn_d117[10] :
1683 ( pn10_deskew == 10'h76 ) ? pn_d118[10] :
1684 ( pn10_deskew == 10'h77 ) ? pn_d119[10] :
1685 ( pn10_deskew == 10'h78 ) ? pn_d120[10] :
1686 ( pn10_deskew == 10'h79 ) ? pn_d121[10] :
1687 ( pn10_deskew == 10'h7a ) ? pn_d122[10] :
1688 ( pn10_deskew == 10'h7b ) ? pn_d123[10] :
1689 ( pn10_deskew == 10'h7c ) ? pn_d124[10] :
1690 ( pn10_deskew == 10'h7d ) ? pn_d125[10] :
1691 ( pn10_deskew == 10'h7e ) ? pn_d126[10] :
1692 ( pn10_deskew == 10'h7f ) ? pn_d127[10] :
1693 ( pn10_deskew == 10'h80 ) ? pn_d128[10] :
1694 ( pn10_deskew == 10'h81 ) ? pn_d129[10] :
1695 ( pn10_deskew == 10'h82 ) ? pn_d130[10] :
1696 ( pn10_deskew == 10'h83 ) ? pn_d131[10] :
1697 ( pn10_deskew == 10'h84 ) ? pn_d132[10] :
1698 ( pn10_deskew == 10'h85 ) ? pn_d133[10] :
1699 ( pn10_deskew == 10'h86 ) ? pn_d134[10] :
1700 ( pn10_deskew == 10'h87 ) ? pn_d135[10] :
1701 ( pn10_deskew == 10'h88 ) ? pn_d136[10] :
1702 ( pn10_deskew == 10'h89 ) ? pn_d137[10] :
1703 ( pn10_deskew == 10'h8a ) ? pn_d138[10] :
1704 ( pn10_deskew == 10'h8b ) ? pn_d139[10] :
1705 ( pn10_deskew == 10'h8c ) ? pn_d140[10] :
1706 ( pn10_deskew == 10'h8d ) ? pn_d141[10] :
1707 ( pn10_deskew == 10'h8e ) ? pn_d142[10] :
1708 ( pn10_deskew == 10'h8f ) ? pn_d143[10] :
1709 ( pn10_deskew == 10'h90 ) ? pn_d144[10] :
1710 ( pn10_deskew == 10'h91 ) ? pn_d145[10] : pn_in[10];
1711assign pn_out[11] = ( pn11_deskew == 10'h0 ) ? pn_in[11] :
1712 ( pn11_deskew == 10'h1 ) ? pn_d1[11] :
1713 ( pn11_deskew == 10'h2 ) ? pn_d2[11] :
1714 ( pn11_deskew == 10'h3 ) ? pn_d3[11] :
1715 ( pn11_deskew == 10'h4 ) ? pn_d4[11] :
1716 ( pn11_deskew == 10'h5 ) ? pn_d5[11] :
1717 ( pn11_deskew == 10'h6 ) ? pn_d6[11] :
1718 ( pn11_deskew == 10'h7 ) ? pn_d7[11] :
1719 ( pn11_deskew == 10'h8 ) ? pn_d8[11] :
1720 ( pn11_deskew == 10'h9 ) ? pn_d9[11] :
1721 ( pn11_deskew == 10'ha ) ? pn_d10[11] :
1722 ( pn11_deskew == 10'hb ) ? pn_d11[11] :
1723 ( pn11_deskew == 10'hc ) ? pn_d12[11] :
1724 ( pn11_deskew == 10'hd ) ? pn_d13[11] :
1725 ( pn11_deskew == 10'he ) ? pn_d14[11] :
1726 ( pn11_deskew == 10'hf ) ? pn_d15[11] :
1727 ( pn11_deskew == 10'h10 ) ? pn_d16[11] :
1728 ( pn11_deskew == 10'h11 ) ? pn_d17[11] :
1729 ( pn11_deskew == 10'h12 ) ? pn_d18[11] :
1730 ( pn11_deskew == 10'h13 ) ? pn_d19[11] :
1731 ( pn11_deskew == 10'h14 ) ? pn_d20[11] :
1732 ( pn11_deskew == 10'h15 ) ? pn_d21[11] :
1733 ( pn11_deskew == 10'h16 ) ? pn_d22[11] :
1734 ( pn11_deskew == 10'h17 ) ? pn_d23[11] :
1735 ( pn11_deskew == 10'h18 ) ? pn_d24[11] :
1736 ( pn11_deskew == 10'h19 ) ? pn_d25[11] :
1737 ( pn11_deskew == 10'h1a ) ? pn_d26[11] :
1738 ( pn11_deskew == 10'h1b ) ? pn_d27[11] :
1739 ( pn11_deskew == 10'h1c ) ? pn_d28[11] :
1740 ( pn11_deskew == 10'h1d ) ? pn_d29[11] :
1741 ( pn11_deskew == 10'h1e ) ? pn_d30[11] :
1742 ( pn11_deskew == 10'h1f ) ? pn_d31[11] :
1743 ( pn11_deskew == 10'h20 ) ? pn_d32[11] :
1744 ( pn11_deskew == 10'h21 ) ? pn_d33[11] :
1745 ( pn11_deskew == 10'h22 ) ? pn_d34[11] :
1746 ( pn11_deskew == 10'h23 ) ? pn_d35[11] :
1747 ( pn11_deskew == 10'h24 ) ? pn_d36[11] :
1748 ( pn11_deskew == 10'h25 ) ? pn_d37[11] :
1749 ( pn11_deskew == 10'h26 ) ? pn_d38[11] :
1750 ( pn11_deskew == 10'h27 ) ? pn_d39[11] :
1751 ( pn11_deskew == 10'h28 ) ? pn_d40[11] :
1752 ( pn11_deskew == 10'h29 ) ? pn_d41[11] :
1753 ( pn11_deskew == 10'h2a ) ? pn_d42[11] :
1754 ( pn11_deskew == 10'h2b ) ? pn_d43[11] :
1755 ( pn11_deskew == 10'h2c ) ? pn_d44[11] :
1756 ( pn11_deskew == 10'h2d ) ? pn_d45[11] :
1757 ( pn11_deskew == 10'h2e ) ? pn_d46[11] :
1758 ( pn11_deskew == 10'h2f ) ? pn_d47[11] :
1759 ( pn11_deskew == 10'h30 ) ? pn_d48[11] :
1760 ( pn11_deskew == 10'h31 ) ? pn_d49[11] :
1761 ( pn11_deskew == 10'h32 ) ? pn_d50[11] :
1762 ( pn11_deskew == 10'h33 ) ? pn_d51[11] :
1763 ( pn11_deskew == 10'h34 ) ? pn_d52[11] :
1764 ( pn11_deskew == 10'h35 ) ? pn_d53[11] :
1765 ( pn11_deskew == 10'h36 ) ? pn_d54[11] :
1766 ( pn11_deskew == 10'h37 ) ? pn_d55[11] :
1767 ( pn11_deskew == 10'h38 ) ? pn_d56[11] :
1768 ( pn11_deskew == 10'h39 ) ? pn_d57[11] :
1769 ( pn11_deskew == 10'h3a ) ? pn_d58[11] :
1770 ( pn11_deskew == 10'h3b ) ? pn_d59[11] :
1771 ( pn11_deskew == 10'h3c ) ? pn_d60[11] :
1772 ( pn11_deskew == 10'h3d ) ? pn_d61[11] :
1773 ( pn11_deskew == 10'h3e ) ? pn_d62[11] :
1774 ( pn11_deskew == 10'h3f ) ? pn_d63[11] :
1775 ( pn11_deskew == 10'h40 ) ? pn_d64[11] :
1776 ( pn11_deskew == 10'h41 ) ? pn_d65[11] :
1777 ( pn11_deskew == 10'h42 ) ? pn_d66[11] :
1778 ( pn11_deskew == 10'h43 ) ? pn_d67[11] :
1779 ( pn11_deskew == 10'h44 ) ? pn_d68[11] :
1780 ( pn11_deskew == 10'h45 ) ? pn_d69[11] :
1781 ( pn11_deskew == 10'h46 ) ? pn_d70[11] :
1782 ( pn11_deskew == 10'h47 ) ? pn_d71[11] :
1783 ( pn11_deskew == 10'h48 ) ? pn_d72[11] :
1784 ( pn11_deskew == 10'h49 ) ? pn_d73[11] :
1785 ( pn11_deskew == 10'h4a ) ? pn_d74[11] :
1786 ( pn11_deskew == 10'h4b ) ? pn_d75[11] :
1787 ( pn11_deskew == 10'h4c ) ? pn_d76[11] :
1788 ( pn11_deskew == 10'h4d ) ? pn_d77[11] :
1789 ( pn11_deskew == 10'h4e ) ? pn_d78[11] :
1790 ( pn11_deskew == 10'h4f ) ? pn_d79[11] :
1791 ( pn11_deskew == 10'h50 ) ? pn_d80[11] :
1792 ( pn11_deskew == 10'h51 ) ? pn_d81[11] :
1793 ( pn11_deskew == 10'h52 ) ? pn_d82[11] :
1794 ( pn11_deskew == 10'h53 ) ? pn_d83[11] :
1795 ( pn11_deskew == 10'h54 ) ? pn_d84[11] :
1796 ( pn11_deskew == 10'h55 ) ? pn_d85[11] :
1797 ( pn11_deskew == 10'h56 ) ? pn_d86[11] :
1798 ( pn11_deskew == 10'h57 ) ? pn_d87[11] :
1799 ( pn11_deskew == 10'h58 ) ? pn_d88[11] :
1800 ( pn11_deskew == 10'h59 ) ? pn_d89[11] :
1801 ( pn11_deskew == 10'h5a ) ? pn_d90[11] :
1802 ( pn11_deskew == 10'h5b ) ? pn_d91[11] :
1803 ( pn11_deskew == 10'h5c ) ? pn_d92[11] :
1804 ( pn11_deskew == 10'h5d ) ? pn_d93[11] :
1805 ( pn11_deskew == 10'h5e ) ? pn_d94[11] :
1806 ( pn11_deskew == 10'h5f ) ? pn_d95[11] :
1807 ( pn11_deskew == 10'h60 ) ? pn_d96[11] :
1808 ( pn11_deskew == 10'h61 ) ? pn_d97[11] :
1809 ( pn11_deskew == 10'h62 ) ? pn_d98[11] :
1810 ( pn11_deskew == 10'h63 ) ? pn_d99[11] :
1811 ( pn11_deskew == 10'h64 ) ? pn_d100[11] :
1812 ( pn11_deskew == 10'h65 ) ? pn_d101[11] :
1813 ( pn11_deskew == 10'h66 ) ? pn_d102[11] :
1814 ( pn11_deskew == 10'h67 ) ? pn_d103[11] :
1815 ( pn11_deskew == 10'h68 ) ? pn_d104[11] :
1816 ( pn11_deskew == 10'h69 ) ? pn_d105[11] :
1817 ( pn11_deskew == 10'h6a ) ? pn_d106[11] :
1818 ( pn11_deskew == 10'h6b ) ? pn_d107[11] :
1819 ( pn11_deskew == 10'h6c ) ? pn_d108[11] :
1820 ( pn11_deskew == 10'h6d ) ? pn_d109[11] :
1821 ( pn11_deskew == 10'h6e ) ? pn_d110[11] :
1822 ( pn11_deskew == 10'h6f ) ? pn_d111[11] :
1823 ( pn11_deskew == 10'h70 ) ? pn_d112[11] :
1824 ( pn11_deskew == 10'h71 ) ? pn_d113[11] :
1825 ( pn11_deskew == 10'h72 ) ? pn_d114[11] :
1826 ( pn11_deskew == 10'h73 ) ? pn_d115[11] :
1827 ( pn11_deskew == 10'h74 ) ? pn_d116[11] :
1828 ( pn11_deskew == 10'h75 ) ? pn_d117[11] :
1829 ( pn11_deskew == 10'h76 ) ? pn_d118[11] :
1830 ( pn11_deskew == 10'h77 ) ? pn_d119[11] :
1831 ( pn11_deskew == 10'h78 ) ? pn_d120[11] :
1832 ( pn11_deskew == 10'h79 ) ? pn_d121[11] :
1833 ( pn11_deskew == 10'h7a ) ? pn_d122[11] :
1834 ( pn11_deskew == 10'h7b ) ? pn_d123[11] :
1835 ( pn11_deskew == 10'h7c ) ? pn_d124[11] :
1836 ( pn11_deskew == 10'h7d ) ? pn_d125[11] :
1837 ( pn11_deskew == 10'h7e ) ? pn_d126[11] :
1838 ( pn11_deskew == 10'h7f ) ? pn_d127[11] :
1839 ( pn11_deskew == 10'h80 ) ? pn_d128[11] :
1840 ( pn11_deskew == 10'h81 ) ? pn_d129[11] :
1841 ( pn11_deskew == 10'h82 ) ? pn_d130[11] :
1842 ( pn11_deskew == 10'h83 ) ? pn_d131[11] :
1843 ( pn11_deskew == 10'h84 ) ? pn_d132[11] :
1844 ( pn11_deskew == 10'h85 ) ? pn_d133[11] :
1845 ( pn11_deskew == 10'h86 ) ? pn_d134[11] :
1846 ( pn11_deskew == 10'h87 ) ? pn_d135[11] :
1847 ( pn11_deskew == 10'h88 ) ? pn_d136[11] :
1848 ( pn11_deskew == 10'h89 ) ? pn_d137[11] :
1849 ( pn11_deskew == 10'h8a ) ? pn_d138[11] :
1850 ( pn11_deskew == 10'h8b ) ? pn_d139[11] :
1851 ( pn11_deskew == 10'h8c ) ? pn_d140[11] :
1852 ( pn11_deskew == 10'h8d ) ? pn_d141[11] :
1853 ( pn11_deskew == 10'h8e ) ? pn_d142[11] :
1854 ( pn11_deskew == 10'h8f ) ? pn_d143[11] :
1855 ( pn11_deskew == 10'h90 ) ? pn_d144[11] :
1856 ( pn11_deskew == 10'h91 ) ? pn_d145[11] : pn_in[11];
1857assign pn_out[12] = ( pn12_deskew == 10'h0 ) ? pn_in[12] :
1858 ( pn12_deskew == 10'h1 ) ? pn_d1[12] :
1859 ( pn12_deskew == 10'h2 ) ? pn_d2[12] :
1860 ( pn12_deskew == 10'h3 ) ? pn_d3[12] :
1861 ( pn12_deskew == 10'h4 ) ? pn_d4[12] :
1862 ( pn12_deskew == 10'h5 ) ? pn_d5[12] :
1863 ( pn12_deskew == 10'h6 ) ? pn_d6[12] :
1864 ( pn12_deskew == 10'h7 ) ? pn_d7[12] :
1865 ( pn12_deskew == 10'h8 ) ? pn_d8[12] :
1866 ( pn12_deskew == 10'h9 ) ? pn_d9[12] :
1867 ( pn12_deskew == 10'ha ) ? pn_d10[12] :
1868 ( pn12_deskew == 10'hb ) ? pn_d11[12] :
1869 ( pn12_deskew == 10'hc ) ? pn_d12[12] :
1870 ( pn12_deskew == 10'hd ) ? pn_d13[12] :
1871 ( pn12_deskew == 10'he ) ? pn_d14[12] :
1872 ( pn12_deskew == 10'hf ) ? pn_d15[12] :
1873 ( pn12_deskew == 10'h10 ) ? pn_d16[12] :
1874 ( pn12_deskew == 10'h11 ) ? pn_d17[12] :
1875 ( pn12_deskew == 10'h12 ) ? pn_d18[12] :
1876 ( pn12_deskew == 10'h13 ) ? pn_d19[12] :
1877 ( pn12_deskew == 10'h14 ) ? pn_d20[12] :
1878 ( pn12_deskew == 10'h15 ) ? pn_d21[12] :
1879 ( pn12_deskew == 10'h16 ) ? pn_d22[12] :
1880 ( pn12_deskew == 10'h17 ) ? pn_d23[12] :
1881 ( pn12_deskew == 10'h18 ) ? pn_d24[12] :
1882 ( pn12_deskew == 10'h19 ) ? pn_d25[12] :
1883 ( pn12_deskew == 10'h1a ) ? pn_d26[12] :
1884 ( pn12_deskew == 10'h1b ) ? pn_d27[12] :
1885 ( pn12_deskew == 10'h1c ) ? pn_d28[12] :
1886 ( pn12_deskew == 10'h1d ) ? pn_d29[12] :
1887 ( pn12_deskew == 10'h1e ) ? pn_d30[12] :
1888 ( pn12_deskew == 10'h1f ) ? pn_d31[12] :
1889 ( pn12_deskew == 10'h20 ) ? pn_d32[12] :
1890 ( pn12_deskew == 10'h21 ) ? pn_d33[12] :
1891 ( pn12_deskew == 10'h22 ) ? pn_d34[12] :
1892 ( pn12_deskew == 10'h23 ) ? pn_d35[12] :
1893 ( pn12_deskew == 10'h24 ) ? pn_d36[12] :
1894 ( pn12_deskew == 10'h25 ) ? pn_d37[12] :
1895 ( pn12_deskew == 10'h26 ) ? pn_d38[12] :
1896 ( pn12_deskew == 10'h27 ) ? pn_d39[12] :
1897 ( pn12_deskew == 10'h28 ) ? pn_d40[12] :
1898 ( pn12_deskew == 10'h29 ) ? pn_d41[12] :
1899 ( pn12_deskew == 10'h2a ) ? pn_d42[12] :
1900 ( pn12_deskew == 10'h2b ) ? pn_d43[12] :
1901 ( pn12_deskew == 10'h2c ) ? pn_d44[12] :
1902 ( pn12_deskew == 10'h2d ) ? pn_d45[12] :
1903 ( pn12_deskew == 10'h2e ) ? pn_d46[12] :
1904 ( pn12_deskew == 10'h2f ) ? pn_d47[12] :
1905 ( pn12_deskew == 10'h30 ) ? pn_d48[12] :
1906 ( pn12_deskew == 10'h31 ) ? pn_d49[12] :
1907 ( pn12_deskew == 10'h32 ) ? pn_d50[12] :
1908 ( pn12_deskew == 10'h33 ) ? pn_d51[12] :
1909 ( pn12_deskew == 10'h34 ) ? pn_d52[12] :
1910 ( pn12_deskew == 10'h35 ) ? pn_d53[12] :
1911 ( pn12_deskew == 10'h36 ) ? pn_d54[12] :
1912 ( pn12_deskew == 10'h37 ) ? pn_d55[12] :
1913 ( pn12_deskew == 10'h38 ) ? pn_d56[12] :
1914 ( pn12_deskew == 10'h39 ) ? pn_d57[12] :
1915 ( pn12_deskew == 10'h3a ) ? pn_d58[12] :
1916 ( pn12_deskew == 10'h3b ) ? pn_d59[12] :
1917 ( pn12_deskew == 10'h3c ) ? pn_d60[12] :
1918 ( pn12_deskew == 10'h3d ) ? pn_d61[12] :
1919 ( pn12_deskew == 10'h3e ) ? pn_d62[12] :
1920 ( pn12_deskew == 10'h3f ) ? pn_d63[12] :
1921 ( pn12_deskew == 10'h40 ) ? pn_d64[12] :
1922 ( pn12_deskew == 10'h41 ) ? pn_d65[12] :
1923 ( pn12_deskew == 10'h42 ) ? pn_d66[12] :
1924 ( pn12_deskew == 10'h43 ) ? pn_d67[12] :
1925 ( pn12_deskew == 10'h44 ) ? pn_d68[12] :
1926 ( pn12_deskew == 10'h45 ) ? pn_d69[12] :
1927 ( pn12_deskew == 10'h46 ) ? pn_d70[12] :
1928 ( pn12_deskew == 10'h47 ) ? pn_d71[12] :
1929 ( pn12_deskew == 10'h48 ) ? pn_d72[12] :
1930 ( pn12_deskew == 10'h49 ) ? pn_d73[12] :
1931 ( pn12_deskew == 10'h4a ) ? pn_d74[12] :
1932 ( pn12_deskew == 10'h4b ) ? pn_d75[12] :
1933 ( pn12_deskew == 10'h4c ) ? pn_d76[12] :
1934 ( pn12_deskew == 10'h4d ) ? pn_d77[12] :
1935 ( pn12_deskew == 10'h4e ) ? pn_d78[12] :
1936 ( pn12_deskew == 10'h4f ) ? pn_d79[12] :
1937 ( pn12_deskew == 10'h50 ) ? pn_d80[12] :
1938 ( pn12_deskew == 10'h51 ) ? pn_d81[12] :
1939 ( pn12_deskew == 10'h52 ) ? pn_d82[12] :
1940 ( pn12_deskew == 10'h53 ) ? pn_d83[12] :
1941 ( pn12_deskew == 10'h54 ) ? pn_d84[12] :
1942 ( pn12_deskew == 10'h55 ) ? pn_d85[12] :
1943 ( pn12_deskew == 10'h56 ) ? pn_d86[12] :
1944 ( pn12_deskew == 10'h57 ) ? pn_d87[12] :
1945 ( pn12_deskew == 10'h58 ) ? pn_d88[12] :
1946 ( pn12_deskew == 10'h59 ) ? pn_d89[12] :
1947 ( pn12_deskew == 10'h5a ) ? pn_d90[12] :
1948 ( pn12_deskew == 10'h5b ) ? pn_d91[12] :
1949 ( pn12_deskew == 10'h5c ) ? pn_d92[12] :
1950 ( pn12_deskew == 10'h5d ) ? pn_d93[12] :
1951 ( pn12_deskew == 10'h5e ) ? pn_d94[12] :
1952 ( pn12_deskew == 10'h5f ) ? pn_d95[12] :
1953 ( pn12_deskew == 10'h60 ) ? pn_d96[12] :
1954 ( pn12_deskew == 10'h61 ) ? pn_d97[12] :
1955 ( pn12_deskew == 10'h62 ) ? pn_d98[12] :
1956 ( pn12_deskew == 10'h63 ) ? pn_d99[12] :
1957 ( pn12_deskew == 10'h64 ) ? pn_d100[12] :
1958 ( pn12_deskew == 10'h65 ) ? pn_d101[12] :
1959 ( pn12_deskew == 10'h66 ) ? pn_d102[12] :
1960 ( pn12_deskew == 10'h67 ) ? pn_d103[12] :
1961 ( pn12_deskew == 10'h68 ) ? pn_d104[12] :
1962 ( pn12_deskew == 10'h69 ) ? pn_d105[12] :
1963 ( pn12_deskew == 10'h6a ) ? pn_d106[12] :
1964 ( pn12_deskew == 10'h6b ) ? pn_d107[12] :
1965 ( pn12_deskew == 10'h6c ) ? pn_d108[12] :
1966 ( pn12_deskew == 10'h6d ) ? pn_d109[12] :
1967 ( pn12_deskew == 10'h6e ) ? pn_d110[12] :
1968 ( pn12_deskew == 10'h6f ) ? pn_d111[12] :
1969 ( pn12_deskew == 10'h70 ) ? pn_d112[12] :
1970 ( pn12_deskew == 10'h71 ) ? pn_d113[12] :
1971 ( pn12_deskew == 10'h72 ) ? pn_d114[12] :
1972 ( pn12_deskew == 10'h73 ) ? pn_d115[12] :
1973 ( pn12_deskew == 10'h74 ) ? pn_d116[12] :
1974 ( pn12_deskew == 10'h75 ) ? pn_d117[12] :
1975 ( pn12_deskew == 10'h76 ) ? pn_d118[12] :
1976 ( pn12_deskew == 10'h77 ) ? pn_d119[12] :
1977 ( pn12_deskew == 10'h78 ) ? pn_d120[12] :
1978 ( pn12_deskew == 10'h79 ) ? pn_d121[12] :
1979 ( pn12_deskew == 10'h7a ) ? pn_d122[12] :
1980 ( pn12_deskew == 10'h7b ) ? pn_d123[12] :
1981 ( pn12_deskew == 10'h7c ) ? pn_d124[12] :
1982 ( pn12_deskew == 10'h7d ) ? pn_d125[12] :
1983 ( pn12_deskew == 10'h7e ) ? pn_d126[12] :
1984 ( pn12_deskew == 10'h7f ) ? pn_d127[12] :
1985 ( pn12_deskew == 10'h80 ) ? pn_d128[12] :
1986 ( pn12_deskew == 10'h81 ) ? pn_d129[12] :
1987 ( pn12_deskew == 10'h82 ) ? pn_d130[12] :
1988 ( pn12_deskew == 10'h83 ) ? pn_d131[12] :
1989 ( pn12_deskew == 10'h84 ) ? pn_d132[12] :
1990 ( pn12_deskew == 10'h85 ) ? pn_d133[12] :
1991 ( pn12_deskew == 10'h86 ) ? pn_d134[12] :
1992 ( pn12_deskew == 10'h87 ) ? pn_d135[12] :
1993 ( pn12_deskew == 10'h88 ) ? pn_d136[12] :
1994 ( pn12_deskew == 10'h89 ) ? pn_d137[12] :
1995 ( pn12_deskew == 10'h8a ) ? pn_d138[12] :
1996 ( pn12_deskew == 10'h8b ) ? pn_d139[12] :
1997 ( pn12_deskew == 10'h8c ) ? pn_d140[12] :
1998 ( pn12_deskew == 10'h8d ) ? pn_d141[12] :
1999 ( pn12_deskew == 10'h8e ) ? pn_d142[12] :
2000 ( pn12_deskew == 10'h8f ) ? pn_d143[12] :
2001 ( pn12_deskew == 10'h90 ) ? pn_d144[12] :
2002 ( pn12_deskew == 10'h91 ) ? pn_d145[12] : pn_in[12];
2003assign pn_out[13] = ( pn13_deskew == 10'h0 ) ? pn_in[13] :
2004 ( pn13_deskew == 10'h1 ) ? pn_d1[13] :
2005 ( pn13_deskew == 10'h2 ) ? pn_d2[13] :
2006 ( pn13_deskew == 10'h3 ) ? pn_d3[13] :
2007 ( pn13_deskew == 10'h4 ) ? pn_d4[13] :
2008 ( pn13_deskew == 10'h5 ) ? pn_d5[13] :
2009 ( pn13_deskew == 10'h6 ) ? pn_d6[13] :
2010 ( pn13_deskew == 10'h7 ) ? pn_d7[13] :
2011 ( pn13_deskew == 10'h8 ) ? pn_d8[13] :
2012 ( pn13_deskew == 10'h9 ) ? pn_d9[13] :
2013 ( pn13_deskew == 10'ha ) ? pn_d10[13] :
2014 ( pn13_deskew == 10'hb ) ? pn_d11[13] :
2015 ( pn13_deskew == 10'hc ) ? pn_d12[13] :
2016 ( pn13_deskew == 10'hd ) ? pn_d13[13] :
2017 ( pn13_deskew == 10'he ) ? pn_d14[13] :
2018 ( pn13_deskew == 10'hf ) ? pn_d15[13] :
2019 ( pn13_deskew == 10'h10 ) ? pn_d16[13] :
2020 ( pn13_deskew == 10'h11 ) ? pn_d17[13] :
2021 ( pn13_deskew == 10'h12 ) ? pn_d18[13] :
2022 ( pn13_deskew == 10'h13 ) ? pn_d19[13] :
2023 ( pn13_deskew == 10'h14 ) ? pn_d20[13] :
2024 ( pn13_deskew == 10'h15 ) ? pn_d21[13] :
2025 ( pn13_deskew == 10'h16 ) ? pn_d22[13] :
2026 ( pn13_deskew == 10'h17 ) ? pn_d23[13] :
2027 ( pn13_deskew == 10'h18 ) ? pn_d24[13] :
2028 ( pn13_deskew == 10'h19 ) ? pn_d25[13] :
2029 ( pn13_deskew == 10'h1a ) ? pn_d26[13] :
2030 ( pn13_deskew == 10'h1b ) ? pn_d27[13] :
2031 ( pn13_deskew == 10'h1c ) ? pn_d28[13] :
2032 ( pn13_deskew == 10'h1d ) ? pn_d29[13] :
2033 ( pn13_deskew == 10'h1e ) ? pn_d30[13] :
2034 ( pn13_deskew == 10'h1f ) ? pn_d31[13] :
2035 ( pn13_deskew == 10'h20 ) ? pn_d32[13] :
2036 ( pn13_deskew == 10'h21 ) ? pn_d33[13] :
2037 ( pn13_deskew == 10'h22 ) ? pn_d34[13] :
2038 ( pn13_deskew == 10'h23 ) ? pn_d35[13] :
2039 ( pn13_deskew == 10'h24 ) ? pn_d36[13] :
2040 ( pn13_deskew == 10'h25 ) ? pn_d37[13] :
2041 ( pn13_deskew == 10'h26 ) ? pn_d38[13] :
2042 ( pn13_deskew == 10'h27 ) ? pn_d39[13] :
2043 ( pn13_deskew == 10'h28 ) ? pn_d40[13] :
2044 ( pn13_deskew == 10'h29 ) ? pn_d41[13] :
2045 ( pn13_deskew == 10'h2a ) ? pn_d42[13] :
2046 ( pn13_deskew == 10'h2b ) ? pn_d43[13] :
2047 ( pn13_deskew == 10'h2c ) ? pn_d44[13] :
2048 ( pn13_deskew == 10'h2d ) ? pn_d45[13] :
2049 ( pn13_deskew == 10'h2e ) ? pn_d46[13] :
2050 ( pn13_deskew == 10'h2f ) ? pn_d47[13] :
2051 ( pn13_deskew == 10'h30 ) ? pn_d48[13] :
2052 ( pn13_deskew == 10'h31 ) ? pn_d49[13] :
2053 ( pn13_deskew == 10'h32 ) ? pn_d50[13] :
2054 ( pn13_deskew == 10'h33 ) ? pn_d51[13] :
2055 ( pn13_deskew == 10'h34 ) ? pn_d52[13] :
2056 ( pn13_deskew == 10'h35 ) ? pn_d53[13] :
2057 ( pn13_deskew == 10'h36 ) ? pn_d54[13] :
2058 ( pn13_deskew == 10'h37 ) ? pn_d55[13] :
2059 ( pn13_deskew == 10'h38 ) ? pn_d56[13] :
2060 ( pn13_deskew == 10'h39 ) ? pn_d57[13] :
2061 ( pn13_deskew == 10'h3a ) ? pn_d58[13] :
2062 ( pn13_deskew == 10'h3b ) ? pn_d59[13] :
2063 ( pn13_deskew == 10'h3c ) ? pn_d60[13] :
2064 ( pn13_deskew == 10'h3d ) ? pn_d61[13] :
2065 ( pn13_deskew == 10'h3e ) ? pn_d62[13] :
2066 ( pn13_deskew == 10'h3f ) ? pn_d63[13] :
2067 ( pn13_deskew == 10'h40 ) ? pn_d64[13] :
2068 ( pn13_deskew == 10'h41 ) ? pn_d65[13] :
2069 ( pn13_deskew == 10'h42 ) ? pn_d66[13] :
2070 ( pn13_deskew == 10'h43 ) ? pn_d67[13] :
2071 ( pn13_deskew == 10'h44 ) ? pn_d68[13] :
2072 ( pn13_deskew == 10'h45 ) ? pn_d69[13] :
2073 ( pn13_deskew == 10'h46 ) ? pn_d70[13] :
2074 ( pn13_deskew == 10'h47 ) ? pn_d71[13] :
2075 ( pn13_deskew == 10'h48 ) ? pn_d72[13] :
2076 ( pn13_deskew == 10'h49 ) ? pn_d73[13] :
2077 ( pn13_deskew == 10'h4a ) ? pn_d74[13] :
2078 ( pn13_deskew == 10'h4b ) ? pn_d75[13] :
2079 ( pn13_deskew == 10'h4c ) ? pn_d76[13] :
2080 ( pn13_deskew == 10'h4d ) ? pn_d77[13] :
2081 ( pn13_deskew == 10'h4e ) ? pn_d78[13] :
2082 ( pn13_deskew == 10'h4f ) ? pn_d79[13] :
2083 ( pn13_deskew == 10'h50 ) ? pn_d80[13] :
2084 ( pn13_deskew == 10'h51 ) ? pn_d81[13] :
2085 ( pn13_deskew == 10'h52 ) ? pn_d82[13] :
2086 ( pn13_deskew == 10'h53 ) ? pn_d83[13] :
2087 ( pn13_deskew == 10'h54 ) ? pn_d84[13] :
2088 ( pn13_deskew == 10'h55 ) ? pn_d85[13] :
2089 ( pn13_deskew == 10'h56 ) ? pn_d86[13] :
2090 ( pn13_deskew == 10'h57 ) ? pn_d87[13] :
2091 ( pn13_deskew == 10'h58 ) ? pn_d88[13] :
2092 ( pn13_deskew == 10'h59 ) ? pn_d89[13] :
2093 ( pn13_deskew == 10'h5a ) ? pn_d90[13] :
2094 ( pn13_deskew == 10'h5b ) ? pn_d91[13] :
2095 ( pn13_deskew == 10'h5c ) ? pn_d92[13] :
2096 ( pn13_deskew == 10'h5d ) ? pn_d93[13] :
2097 ( pn13_deskew == 10'h5e ) ? pn_d94[13] :
2098 ( pn13_deskew == 10'h5f ) ? pn_d95[13] :
2099 ( pn13_deskew == 10'h60 ) ? pn_d96[13] :
2100 ( pn13_deskew == 10'h61 ) ? pn_d97[13] :
2101 ( pn13_deskew == 10'h62 ) ? pn_d98[13] :
2102 ( pn13_deskew == 10'h63 ) ? pn_d99[13] :
2103 ( pn13_deskew == 10'h64 ) ? pn_d100[13] :
2104 ( pn13_deskew == 10'h65 ) ? pn_d101[13] :
2105 ( pn13_deskew == 10'h66 ) ? pn_d102[13] :
2106 ( pn13_deskew == 10'h67 ) ? pn_d103[13] :
2107 ( pn13_deskew == 10'h68 ) ? pn_d104[13] :
2108 ( pn13_deskew == 10'h69 ) ? pn_d105[13] :
2109 ( pn13_deskew == 10'h6a ) ? pn_d106[13] :
2110 ( pn13_deskew == 10'h6b ) ? pn_d107[13] :
2111 ( pn13_deskew == 10'h6c ) ? pn_d108[13] :
2112 ( pn13_deskew == 10'h6d ) ? pn_d109[13] :
2113 ( pn13_deskew == 10'h6e ) ? pn_d110[13] :
2114 ( pn13_deskew == 10'h6f ) ? pn_d111[13] :
2115 ( pn13_deskew == 10'h70 ) ? pn_d112[13] :
2116 ( pn13_deskew == 10'h71 ) ? pn_d113[13] :
2117 ( pn13_deskew == 10'h72 ) ? pn_d114[13] :
2118 ( pn13_deskew == 10'h73 ) ? pn_d115[13] :
2119 ( pn13_deskew == 10'h74 ) ? pn_d116[13] :
2120 ( pn13_deskew == 10'h75 ) ? pn_d117[13] :
2121 ( pn13_deskew == 10'h76 ) ? pn_d118[13] :
2122 ( pn13_deskew == 10'h77 ) ? pn_d119[13] :
2123 ( pn13_deskew == 10'h78 ) ? pn_d120[13] :
2124 ( pn13_deskew == 10'h79 ) ? pn_d121[13] :
2125 ( pn13_deskew == 10'h7a ) ? pn_d122[13] :
2126 ( pn13_deskew == 10'h7b ) ? pn_d123[13] :
2127 ( pn13_deskew == 10'h7c ) ? pn_d124[13] :
2128 ( pn13_deskew == 10'h7d ) ? pn_d125[13] :
2129 ( pn13_deskew == 10'h7e ) ? pn_d126[13] :
2130 ( pn13_deskew == 10'h7f ) ? pn_d127[13] :
2131 ( pn13_deskew == 10'h80 ) ? pn_d128[13] :
2132 ( pn13_deskew == 10'h81 ) ? pn_d129[13] :
2133 ( pn13_deskew == 10'h82 ) ? pn_d130[13] :
2134 ( pn13_deskew == 10'h83 ) ? pn_d131[13] :
2135 ( pn13_deskew == 10'h84 ) ? pn_d132[13] :
2136 ( pn13_deskew == 10'h85 ) ? pn_d133[13] :
2137 ( pn13_deskew == 10'h86 ) ? pn_d134[13] :
2138 ( pn13_deskew == 10'h87 ) ? pn_d135[13] :
2139 ( pn13_deskew == 10'h88 ) ? pn_d136[13] :
2140 ( pn13_deskew == 10'h89 ) ? pn_d137[13] :
2141 ( pn13_deskew == 10'h8a ) ? pn_d138[13] :
2142 ( pn13_deskew == 10'h8b ) ? pn_d139[13] :
2143 ( pn13_deskew == 10'h8c ) ? pn_d140[13] :
2144 ( pn13_deskew == 10'h8d ) ? pn_d141[13] :
2145 ( pn13_deskew == 10'h8e ) ? pn_d142[13] :
2146 ( pn13_deskew == 10'h8f ) ? pn_d143[13] :
2147 ( pn13_deskew == 10'h90 ) ? pn_d144[13] :
2148 ( pn13_deskew == 10'h91 ) ? pn_d145[13] : pn_in[13];
2149
2150
2151dff_p #(14) ff0( .signal_in (pn_in),
2152 .signal_out (pn_d1),
2153 .clk (sclk));
2154dff_p #(14) ff1( .signal_in (pn_d1),
2155 .signal_out (pn_d2),
2156 .clk (sclk));
2157dff_p #(14) ff2( .signal_in (pn_d2),
2158 .signal_out (pn_d3),
2159 .clk (sclk));
2160dff_p #(14) ff3( .signal_in (pn_d3),
2161 .signal_out (pn_d4),
2162 .clk (sclk));
2163dff_p #(14) ff4( .signal_in (pn_d4),
2164 .signal_out (pn_d5),
2165 .clk (sclk));
2166dff_p #(14) ff5( .signal_in (pn_d5),
2167 .signal_out (pn_d6),
2168 .clk (sclk));
2169dff_p #(14) ff6( .signal_in (pn_d6),
2170 .signal_out (pn_d7),
2171 .clk (sclk));
2172dff_p #(14) ff7( .signal_in (pn_d7),
2173 .signal_out (pn_d8),
2174 .clk (sclk));
2175dff_p #(14) ff8( .signal_in (pn_d8),
2176 .signal_out (pn_d9),
2177 .clk (sclk));
2178dff_p #(14) ff9( .signal_in (pn_d9),
2179 .signal_out (pn_d10),
2180 .clk (sclk));
2181dff_p #(14) ff10( .signal_in (pn_d10),
2182 .signal_out (pn_d11),
2183 .clk (sclk));
2184dff_p #(14) ff11( .signal_in (pn_d11),
2185 .signal_out (pn_d12),
2186 .clk (sclk));
2187dff_p #(14) ff12( .signal_in (pn_d12),
2188 .signal_out (pn_d13),
2189 .clk (sclk));
2190dff_p #(14) ff13( .signal_in (pn_d13),
2191 .signal_out (pn_d14),
2192 .clk (sclk));
2193dff_p #(14) ff14( .signal_in (pn_d14),
2194 .signal_out (pn_d15),
2195 .clk (sclk));
2196dff_p #(14) ff15( .signal_in (pn_d15),
2197 .signal_out (pn_d16),
2198 .clk (sclk));
2199dff_p #(14) ff16( .signal_in (pn_d16),
2200 .signal_out (pn_d17),
2201 .clk (sclk));
2202dff_p #(14) ff17( .signal_in (pn_d17),
2203 .signal_out (pn_d18),
2204 .clk (sclk));
2205dff_p #(14) ff18( .signal_in (pn_d18),
2206 .signal_out (pn_d19),
2207 .clk (sclk));
2208dff_p #(14) ff19( .signal_in (pn_d19),
2209 .signal_out (pn_d20),
2210 .clk (sclk));
2211dff_p #(14) ff20( .signal_in (pn_d20),
2212 .signal_out (pn_d21),
2213 .clk (sclk));
2214
2215dff_p #(14) ff21( .signal_in (pn_d21),
2216 .signal_out (pn_d22),
2217 .clk (sclk));
2218dff_p #(14) ff22( .signal_in (pn_d22),
2219 .signal_out (pn_d23),
2220 .clk (sclk));
2221dff_p #(14) ff23( .signal_in (pn_d23),
2222 .signal_out (pn_d24),
2223 .clk (sclk));
2224dff_p #(14) ff24( .signal_in (pn_d24),
2225 .signal_out (pn_d25),
2226 .clk (sclk));
2227dff_p #(14) ff25( .signal_in (pn_d25),
2228 .signal_out (pn_d26),
2229 .clk (sclk));
2230dff_p #(14) ff26( .signal_in (pn_d26),
2231 .signal_out (pn_d27),
2232 .clk (sclk));
2233dff_p #(14) ff27( .signal_in (pn_d27),
2234 .signal_out (pn_d28),
2235 .clk (sclk));
2236dff_p #(14) ff28( .signal_in (pn_d28),
2237 .signal_out (pn_d29),
2238 .clk (sclk));
2239dff_p #(14) ff29( .signal_in (pn_d29),
2240 .signal_out (pn_d30),
2241 .clk (sclk));
2242dff_p #(14) ff30( .signal_in (pn_d30),
2243 .signal_out (pn_d31),
2244 .clk (sclk));
2245dff_p #(14) ff31( .signal_in (pn_d31),
2246 .signal_out (pn_d32),
2247 .clk (sclk));
2248dff_p #(14) ff32( .signal_in (pn_d32),
2249 .signal_out (pn_d33),
2250 .clk (sclk));
2251dff_p #(14) ff33( .signal_in (pn_d33),
2252 .signal_out (pn_d34),
2253 .clk (sclk));
2254dff_p #(14) ff34( .signal_in (pn_d34),
2255 .signal_out (pn_d35),
2256 .clk (sclk));
2257dff_p #(14) ff35( .signal_in (pn_d35),
2258 .signal_out (pn_d36),
2259 .clk (sclk));
2260dff_p #(14) ff36( .signal_in (pn_d36),
2261 .signal_out (pn_d37),
2262 .clk (sclk));
2263dff_p #(14) ff37( .signal_in (pn_d37),
2264 .signal_out (pn_d38),
2265 .clk (sclk));
2266dff_p #(14) ff38( .signal_in (pn_d38),
2267 .signal_out (pn_d39),
2268 .clk (sclk));
2269dff_p #(14) ff39( .signal_in (pn_d39),
2270 .signal_out (pn_d40),
2271 .clk (sclk));
2272dff_p #(14) ff40( .signal_in (pn_d40),
2273 .signal_out (pn_d41),
2274 .clk (sclk));
2275dff_p #(14) ff41( .signal_in (pn_d41),
2276 .signal_out (pn_d42),
2277 .clk (sclk));
2278dff_p #(14) ff42( .signal_in (pn_d42),
2279 .signal_out (pn_d43),
2280 .clk (sclk));
2281dff_p #(14) ff43( .signal_in (pn_d43),
2282 .signal_out (pn_d44),
2283 .clk (sclk));
2284dff_p #(14) ff44( .signal_in (pn_d44),
2285 .signal_out (pn_d45),
2286 .clk (sclk));
2287dff_p #(14) ff45( .signal_in (pn_d45),
2288 .signal_out (pn_d46),
2289 .clk (sclk));
2290dff_p #(14) ff46( .signal_in (pn_d46),
2291 .signal_out (pn_d47),
2292 .clk (sclk));
2293dff_p #(14) ff47( .signal_in (pn_d47),
2294 .signal_out (pn_d48),
2295 .clk (sclk));
2296dff_p #(14) ff48( .signal_in (pn_d48),
2297 .signal_out (pn_d49),
2298 .clk (sclk));
2299dff_p #(14) ff49( .signal_in (pn_d49),
2300 .signal_out (pn_d50),
2301 .clk (sclk));
2302
2303dff_p #(14) ff50( .signal_in (pn_d50),
2304 .signal_out (pn_d51),
2305 .clk (sclk));
2306dff_p #(14) ff51( .signal_in (pn_d51),
2307 .signal_out (pn_d52),
2308 .clk (sclk));
2309dff_p #(14) ff52( .signal_in (pn_d52),
2310 .signal_out (pn_d53),
2311 .clk (sclk));
2312dff_p #(14) ff53( .signal_in (pn_d53),
2313 .signal_out (pn_d54),
2314 .clk (sclk));
2315dff_p #(14) ff54( .signal_in (pn_d54),
2316 .signal_out (pn_d55),
2317 .clk (sclk));
2318dff_p #(14) ff55( .signal_in (pn_d55),
2319 .signal_out (pn_d56),
2320 .clk (sclk));
2321dff_p #(14) ff56( .signal_in (pn_d56),
2322 .signal_out (pn_d57),
2323 .clk (sclk));
2324dff_p #(14) ff57( .signal_in (pn_d57),
2325 .signal_out (pn_d58),
2326 .clk (sclk));
2327dff_p #(14) ff58( .signal_in (pn_d58),
2328 .signal_out (pn_d59),
2329 .clk (sclk));
2330dff_p #(14) ff59( .signal_in (pn_d59),
2331 .signal_out (pn_d60),
2332 .clk (sclk));
2333dff_p #(14) ff60( .signal_in (pn_d60),
2334 .signal_out (pn_d61),
2335 .clk (sclk));
2336dff_p #(14) ff61( .signal_in (pn_d61),
2337 .signal_out (pn_d62),
2338 .clk (sclk));
2339dff_p #(14) ff62( .signal_in (pn_d62),
2340 .signal_out (pn_d63),
2341 .clk (sclk));
2342dff_p #(14) ff63( .signal_in (pn_d63),
2343 .signal_out (pn_d64),
2344 .clk (sclk));
2345dff_p #(14) ff64( .signal_in (pn_d64),
2346 .signal_out (pn_d65),
2347 .clk (sclk));
2348dff_p #(14) ff65( .signal_in (pn_d65),
2349 .signal_out (pn_d66),
2350 .clk (sclk));
2351dff_p #(14) ff66( .signal_in (pn_d66),
2352 .signal_out (pn_d67),
2353 .clk (sclk));
2354dff_p #(14) ff67( .signal_in (pn_d67),
2355 .signal_out (pn_d68),
2356 .clk (sclk));
2357dff_p #(14) ff68( .signal_in (pn_d68),
2358 .signal_out (pn_d69),
2359 .clk (sclk));
2360dff_p #(14) ff69( .signal_in (pn_d69),
2361 .signal_out (pn_d70),
2362 .clk (sclk));
2363dff_p #(14) ff70( .signal_in (pn_d70),
2364 .signal_out (pn_d71),
2365 .clk (sclk));
2366dff_p #(14) ff71( .signal_in (pn_d71),
2367 .signal_out (pn_d72),
2368 .clk (sclk));
2369dff_p #(14) ff72( .signal_in (pn_d72),
2370 .signal_out (pn_d73),
2371 .clk (sclk));
2372dff_p #(14) ff73( .signal_in (pn_d73),
2373 .signal_out (pn_d74),
2374 .clk (sclk));
2375dff_p #(14) ff74( .signal_in (pn_d74),
2376 .signal_out (pn_d75),
2377 .clk (sclk));
2378dff_p #(14) ff75( .signal_in (pn_d75),
2379 .signal_out (pn_d76),
2380 .clk (sclk));
2381dff_p #(14) ff76( .signal_in (pn_d76),
2382 .signal_out (pn_d77),
2383 .clk (sclk));
2384dff_p #(14) ff77( .signal_in (pn_d77),
2385 .signal_out (pn_d78),
2386 .clk (sclk));
2387dff_p #(14) ff78( .signal_in (pn_d78),
2388 .signal_out (pn_d79),
2389 .clk (sclk));
2390dff_p #(14) ff79( .signal_in (pn_d79),
2391 .signal_out (pn_d80),
2392 .clk (sclk));
2393dff_p #(14) ff80( .signal_in (pn_d80),
2394 .signal_out (pn_d81),
2395 .clk (sclk));
2396dff_p #(14) ff81( .signal_in (pn_d81),
2397 .signal_out (pn_d82),
2398 .clk (sclk));
2399dff_p #(14) ff82( .signal_in (pn_d82),
2400 .signal_out (pn_d83),
2401 .clk (sclk));
2402dff_p #(14) ff83( .signal_in (pn_d83),
2403 .signal_out (pn_d84),
2404 .clk (sclk));
2405dff_p #(14) ff84( .signal_in (pn_d84),
2406 .signal_out (pn_d85),
2407 .clk (sclk));
2408dff_p #(14) ff85( .signal_in (pn_d85),
2409 .signal_out (pn_d86),
2410 .clk (sclk));
2411dff_p #(14) ff86( .signal_in (pn_d86),
2412 .signal_out (pn_d87),
2413 .clk (sclk));
2414dff_p #(14) ff87( .signal_in (pn_d87),
2415 .signal_out (pn_d88),
2416 .clk (sclk));
2417dff_p #(14) ff88( .signal_in (pn_d88),
2418 .signal_out (pn_d89),
2419 .clk (sclk));
2420dff_p #(14) ff89( .signal_in (pn_d89),
2421 .signal_out (pn_d90),
2422 .clk (sclk));
2423dff_p #(14) ff90( .signal_in (pn_d90),
2424 .signal_out (pn_d91),
2425 .clk (sclk));
2426dff_p #(14) ff91( .signal_in (pn_d91),
2427 .signal_out (pn_d92),
2428 .clk (sclk));
2429dff_p #(14) ff92( .signal_in (pn_d92),
2430 .signal_out (pn_d93),
2431 .clk (sclk));
2432dff_p #(14) ff93( .signal_in (pn_d93),
2433 .signal_out (pn_d94),
2434 .clk (sclk));
2435dff_p #(14) ff94( .signal_in (pn_d94),
2436 .signal_out (pn_d95),
2437 .clk (sclk));
2438dff_p #(14) ff95( .signal_in (pn_d95),
2439 .signal_out (pn_d96),
2440 .clk (sclk));
2441dff_p #(14) ff96( .signal_in (pn_d96),
2442 .signal_out (pn_d97),
2443 .clk (sclk));
2444dff_p #(14) ff97( .signal_in (pn_d97),
2445 .signal_out (pn_d98),
2446 .clk (sclk));
2447
2448dff_p #(14) ff98( .signal_in (pn_d98),
2449 .signal_out (pn_d99),
2450 .clk (sclk));
2451dff_p #(14) ff99( .signal_in (pn_d99),
2452 .signal_out (pn_d100),
2453 .clk (sclk));
2454dff_p #(14) ff100( .signal_in (pn_d100),
2455 .signal_out (pn_d101),
2456 .clk (sclk));
2457dff_p #(14) ff101( .signal_in (pn_d101),
2458 .signal_out (pn_d102),
2459 .clk (sclk));
2460dff_p #(14) ff102( .signal_in (pn_d102),
2461 .signal_out (pn_d103),
2462 .clk (sclk));
2463dff_p #(14) ff103( .signal_in (pn_d103),
2464 .signal_out (pn_d104),
2465 .clk (sclk));
2466dff_p #(14) ff104( .signal_in (pn_d104),
2467 .signal_out (pn_d105),
2468 .clk (sclk));
2469dff_p #(14) ff105( .signal_in (pn_d105),
2470 .signal_out (pn_d106),
2471 .clk (sclk));
2472dff_p #(14) ff106( .signal_in (pn_d106),
2473 .signal_out (pn_d107),
2474 .clk (sclk));
2475dff_p #(14) ff107( .signal_in (pn_d107),
2476 .signal_out (pn_d108),
2477 .clk (sclk));
2478dff_p #(14) ff108( .signal_in (pn_d108),
2479 .signal_out (pn_d109),
2480 .clk (sclk));
2481
2482
2483dff_p #(14) ff109 ( .signal_in (pn_d109),
2484 .signal_out (pn_d110),
2485 .clk (sclk));
2486
2487dff_p #(14) ff110 ( .signal_in (pn_d110),
2488 .signal_out (pn_d111),
2489 .clk (sclk));
2490
2491dff_p #(14) ff111 ( .signal_in (pn_d111),
2492 .signal_out (pn_d112),
2493 .clk (sclk));
2494
2495dff_p #(14) ff112 ( .signal_in (pn_d112),
2496 .signal_out (pn_d113),
2497 .clk (sclk));
2498
2499dff_p #(14) ff113 ( .signal_in (pn_d113),
2500 .signal_out (pn_d114),
2501 .clk (sclk));
2502
2503dff_p #(14) ff114 ( .signal_in (pn_d114),
2504 .signal_out (pn_d115),
2505 .clk (sclk));
2506
2507dff_p #(14) ff115 ( .signal_in (pn_d115),
2508 .signal_out (pn_d116),
2509 .clk (sclk));
2510
2511dff_p #(14) ff116 ( .signal_in (pn_d116),
2512 .signal_out (pn_d117),
2513 .clk (sclk));
2514
2515dff_p #(14) ff117 ( .signal_in (pn_d117),
2516 .signal_out (pn_d118),
2517 .clk (sclk));
2518
2519dff_p #(14) ff118 ( .signal_in (pn_d118),
2520 .signal_out (pn_d119),
2521 .clk (sclk));
2522
2523dff_p #(14) ff119 ( .signal_in (pn_d119),
2524 .signal_out (pn_d120),
2525 .clk (sclk));
2526
2527dff_p #(14) ff120 ( .signal_in (pn_d120),
2528 .signal_out (pn_d121),
2529 .clk (sclk));
2530
2531dff_p #(14) ff121 ( .signal_in (pn_d121),
2532 .signal_out (pn_d122),
2533 .clk (sclk));
2534
2535dff_p #(14) ff122 ( .signal_in (pn_d122),
2536 .signal_out (pn_d123),
2537 .clk (sclk));
2538
2539dff_p #(14) ff123 ( .signal_in (pn_d123),
2540 .signal_out (pn_d124),
2541 .clk (sclk));
2542
2543dff_p #(14) ff124 ( .signal_in (pn_d124),
2544 .signal_out (pn_d125),
2545 .clk (sclk));
2546
2547dff_p #(14) ff125 ( .signal_in (pn_d125),
2548 .signal_out (pn_d126),
2549 .clk (sclk));
2550
2551dff_p #(14) ff126 ( .signal_in (pn_d126),
2552 .signal_out (pn_d127),
2553 .clk (sclk));
2554
2555dff_p #(14) ff127 ( .signal_in (pn_d127),
2556 .signal_out (pn_d128),
2557 .clk (sclk));
2558
2559dff_p #(14) ff128 ( .signal_in (pn_d128),
2560 .signal_out (pn_d129),
2561 .clk (sclk));
2562
2563dff_p #(14) ff129 ( .signal_in (pn_d129),
2564 .signal_out (pn_d130),
2565 .clk (sclk));
2566
2567dff_p #(14) ff130 ( .signal_in (pn_d130),
2568 .signal_out (pn_d131),
2569 .clk (sclk));
2570
2571dff_p #(14) ff131 ( .signal_in (pn_d131),
2572 .signal_out (pn_d132),
2573 .clk (sclk));
2574
2575dff_p #(14) ff132 ( .signal_in (pn_d132),
2576 .signal_out (pn_d133),
2577 .clk (sclk));
2578
2579dff_p #(14) ff133 ( .signal_in (pn_d133),
2580 .signal_out (pn_d134),
2581 .clk (sclk));
2582
2583dff_p #(14) ff134 ( .signal_in (pn_d134),
2584 .signal_out (pn_d135),
2585 .clk (sclk));
2586
2587dff_p #(14) ff135 ( .signal_in (pn_d135),
2588 .signal_out (pn_d136),
2589 .clk (sclk));
2590
2591dff_p #(14) ff136 ( .signal_in (pn_d136),
2592 .signal_out (pn_d137),
2593 .clk (sclk));
2594
2595dff_p #(14) ff137 ( .signal_in (pn_d137),
2596 .signal_out (pn_d138),
2597 .clk (sclk));
2598
2599dff_p #(14) ff138 ( .signal_in (pn_d138),
2600 .signal_out (pn_d139),
2601 .clk (sclk));
2602
2603dff_p #(14) ff139 ( .signal_in (pn_d139),
2604 .signal_out (pn_d140),
2605 .clk (sclk));
2606
2607dff_p #(14) ff140 ( .signal_in (pn_d140),
2608 .signal_out (pn_d141),
2609 .clk (sclk));
2610
2611dff_p #(14) ff141 ( .signal_in (pn_d141),
2612 .signal_out (pn_d142),
2613 .clk (sclk));
2614
2615dff_p #(14) ff142 ( .signal_in (pn_d142),
2616 .signal_out (pn_d143),
2617 .clk (sclk));
2618
2619dff_p #(14) ff143 ( .signal_in (pn_d143),
2620 .signal_out (pn_d144),
2621 .clk (sclk));
2622
2623dff_p #(14) ff144 ( .signal_in (pn_d144),
2624 .signal_out (pn_d145),
2625 .clk (sclk));
2626
2627dff_p #(14) ff145 ( .signal_in (pn_d145),
2628 .signal_out (pn_d146),
2629 .clk (sclk));
2630
2631dff_p #(14) ff146 ( .signal_in (pn_d146),
2632 .signal_out (pn_d147),
2633 .clk (sclk));
2634
2635
2636endmodule
2637
2638
2639`else
2640module nb_bit_lane_deskew(pn_in,pn_out,init,sclk);
2641parameter DS=0;
2642
2643// interface signals
2644input [13:0] pn_in;
2645output [13:0] pn_out;
2646input init;
2647input sclk;
2648
2649reg [9:0] pn0_deskew,pn1_deskew,pn2_deskew,pn3_deskew;
2650reg [9:0] pn4_deskew,pn5_deskew,pn6_deskew,pn7_deskew;
2651reg [9:0] pn8_deskew,pn9_deskew,pn10_deskew,pn11_deskew;
2652reg [9:0] pn12_deskew,pn13_deskew;
2653reg dummy;
2654
2655initial begin
2656
2657pn0_deskew=10'h0;
2658pn1_deskew=10'h0;
2659pn2_deskew=10'h0;
2660pn3_deskew=10'h0;
2661pn4_deskew=10'h0;
2662pn5_deskew=10'h0;
2663pn6_deskew=10'h0;
2664pn7_deskew=10'h0;
2665pn8_deskew=10'h0;
2666pn9_deskew=10'h0;
2667pn10_deskew=10'h0;
2668pn11_deskew=10'h0;
2669pn12_deskew=10'h0;
2670pn13_deskew=10'h0;
2671
2672`ifdef AXIS_FBDIMM_HW
2673`else
2674dummy=$value$plusargs("pn0_deskew=%h",pn0_deskew);
2675dummy=$value$plusargs("pn1_deskew=%h",pn1_deskew);
2676dummy=$value$plusargs("pn2_deskew=%h",pn2_deskew);
2677dummy=$value$plusargs("pn3_deskew=%h",pn3_deskew);
2678dummy=$value$plusargs("pn4_deskew=%h",pn4_deskew);
2679dummy=$value$plusargs("pn5_deskew=%h",pn5_deskew);
2680dummy=$value$plusargs("pn6_deskew=%h",pn6_deskew);
2681dummy=$value$plusargs("pn7_deskew=%h",pn7_deskew);
2682dummy=$value$plusargs("pn8_deskew=%h",pn8_deskew);
2683dummy=$value$plusargs("pn9_deskew=%h",pn9_deskew);
2684dummy=$value$plusargs("pn10_deskew=%h",pn10_deskew);
2685dummy=$value$plusargs("pn11_deskew=%h",pn11_deskew);
2686dummy=$value$plusargs("pn12_deskew=%h",pn12_deskew);
2687dummy=$value$plusargs("pn13_deskew=%h",pn13_deskew);
2688`endif
2689
2690end
2691
2692wire [13:0] pn_d1,pn_d2,pn_d3,pn_d4,pn_d5,pn_d6,pn_d7,pn_d8,pn_d9,pn_d10;
2693wire [13:0] pn_d11,pn_d12,pn_d13,pn_d14,pn_d15,pn_d16,pn_d17,pn_d18,pn_d19,pn_d20;
2694wire [13:0] pn_d21,pn_d22,pn_d23,pn_d24,pn_d25,pn_d26,pn_d27,pn_d28,pn_d29,pn_d30;
2695wire [13:0] pn_d31,pn_d32,pn_d33,pn_d34,pn_d35,pn_d36,pn_d37,pn_d38,pn_d39,pn_d40;
2696wire [13:0] pn_d41,pn_d42,pn_d43,pn_d44,pn_d45,pn_d46,pn_d47,pn_d48,pn_d49,pn_d50;
2697wire [13:0] pn_d51,pn_d52,pn_d53,pn_d54,pn_d55,pn_d56,pn_d57,pn_d58,pn_d59,pn_d60;
2698wire [13:0] pn_d61,pn_d62,pn_d63,pn_d64,pn_d65,pn_d66,pn_d67,pn_d68,pn_d69,pn_d70;
2699wire [13:0] pn_d71,pn_d72,pn_d73,pn_d74,pn_d75,pn_d76,pn_d77,pn_d78,pn_d79,pn_d80;
2700wire [13:0] pn_d81,pn_d82,pn_d83,pn_d84,pn_d85,pn_d86,pn_d87,pn_d88,pn_d89,pn_d90;
2701wire [13:0] pn_d91,pn_d92,pn_d93,pn_d94,pn_d95,pn_d96,pn_d97,pn_d98,pn_d99,pn_d100;
2702wire [13:0] pn_d101,pn_d102,pn_d103,pn_d104,pn_d105,pn_d106,pn_d107,pn_d108,pn_d109,pn_d110;
2703wire [13:0] pn_d111,pn_d112,pn_d113,pn_d114,pn_d115,pn_d116,pn_d117,pn_d118,pn_d119,pn_d120;
2704wire [13:0] pn_d121,pn_d122,pn_d123,pn_d124,pn_d125,pn_d126,pn_d127,pn_d128,pn_d129,pn_d130;
2705wire [13:0] pn_d131,pn_d132,pn_d133,pn_d134,pn_d135,pn_d136,pn_d137,pn_d138,pn_d139,pn_d140;
2706wire [13:0] pn_d141,pn_d142,pn_d143,pn_d144,pn_d145,pn_d146,pn_d147,pn_d148,pn_d149,pn_d150;
2707
2708wire [13:0] pn_out_local;
2709
2710 assign pn_out_local[0] = ( pn0_deskew == 10'h0 && init ) ? pn_in[0] :
2711 ( pn0_deskew == 10'h1 && init ) ? pn_d1[0] :
2712 ( pn0_deskew == 10'h2 && init ) ? pn_d2[0] :
2713 ( pn0_deskew == 10'h3 && init ) ? pn_d3[0] :
2714 ( pn0_deskew == 10'h4 && init ) ? pn_d4[0] :
2715 ( pn0_deskew == 10'h5 && init ) ? pn_d5[0] :
2716 ( pn0_deskew == 10'h6 && init ) ? pn_d6[0] :
2717 ( pn0_deskew == 10'h7 && init ) ? pn_d7[0] :
2718 ( pn0_deskew == 10'h8 && init ) ? pn_d8[0] :
2719 ( pn0_deskew == 10'h9 && init ) ? pn_d9[0] :
2720 ( pn0_deskew == 10'ha && init ) ? pn_d10[0] :
2721 ( pn0_deskew == 10'hb && init ) ? pn_d11[0] :
2722 ( pn0_deskew == 10'hc && init ) ? pn_d12[0] :
2723 ( pn0_deskew == 10'hd && init ) ? pn_d13[0] :
2724 ( pn0_deskew == 10'he && init ) ? pn_d14[0] :
2725 ( pn0_deskew == 10'hf && init ) ? pn_d15[0] :
2726 ( pn0_deskew == 10'h10 && init ) ? pn_d16[0] :
2727 ( pn0_deskew == 10'h11 && init ) ? pn_d17[0] :
2728 ( pn0_deskew == 10'h12 && init ) ? pn_d18[0] :
2729 ( pn0_deskew == 10'h13 && init ) ? pn_d19[0] :
2730 ( pn0_deskew == 10'h14 && init ) ? pn_d20[0] :
2731 ( pn0_deskew == 10'h15 && init ) ? pn_d21[0] :
2732 ( pn0_deskew == 10'h16 && init ) ? pn_d22[0] :
2733 ( pn0_deskew == 10'h17 && init ) ? pn_d23[0] :
2734 ( pn0_deskew == 10'h18 && init ) ? pn_d24[0] :
2735 ( pn0_deskew == 10'h19 && init ) ? pn_d25[0] :
2736 ( pn0_deskew == 10'h1a && init ) ? pn_d26[0] :
2737 ( pn0_deskew == 10'h1b && init ) ? pn_d27[0] :
2738 ( pn0_deskew == 10'h1c && init ) ? pn_d28[0] :
2739 ( pn0_deskew == 10'h1d && init ) ? pn_d29[0] :
2740 ( pn0_deskew == 10'h1e && init ) ? pn_d30[0] :
2741 ( pn0_deskew == 10'h1f && init ) ? pn_d31[0] :
2742 ( pn0_deskew == 10'h20 && init ) ? pn_d32[0] :
2743 ( pn0_deskew == 10'h21 && init ) ? pn_d33[0] :
2744 ( pn0_deskew == 10'h22 && init ) ? pn_d34[0] :
2745 ( pn0_deskew == 10'h23 && init ) ? pn_d35[0] :
2746 ( pn0_deskew == 10'h24 && init ) ? pn_d36[0] :
2747 ( pn0_deskew == 10'h25 && init ) ? pn_d37[0] :
2748 ( pn0_deskew == 10'h26 && init ) ? pn_d38[0] :
2749 ( pn0_deskew == 10'h27 && init ) ? pn_d39[0] :
2750 ( pn0_deskew == 10'h28 && init ) ? pn_d40[0] :
2751 ( pn0_deskew == 10'h29 && init ) ? pn_d41[0] :
2752 ( pn0_deskew == 10'h2a && init ) ? pn_d42[0] :
2753 ( pn0_deskew == 10'h2b && init ) ? pn_d43[0] :
2754 ( pn0_deskew == 10'h2c && init ) ? pn_d44[0] :
2755 ( pn0_deskew == 10'h2d && init ) ? pn_d45[0] :
2756 ( pn0_deskew == 10'h2e && init ) ? pn_d46[0] :
2757 ( pn0_deskew == 10'h2f && init ) ? pn_d47[0] :
2758 ( pn0_deskew == 10'h30 && init ) ? pn_d48[0] :
2759 ( pn0_deskew == 10'h31 && init ) ? pn_d49[0] :
2760 ( pn0_deskew == 10'h32 && init ) ? pn_d50[0] :
2761 ( pn0_deskew == 10'h33 && init ) ? pn_d51[0] :
2762 ( pn0_deskew == 10'h34 && init ) ? pn_d52[0] :
2763 ( pn0_deskew == 10'h35 && init ) ? pn_d53[0] :
2764 ( pn0_deskew == 10'h36 && init ) ? pn_d54[0] :
2765 ( pn0_deskew == 10'h37 && init ) ? pn_d55[0] :
2766 ( pn0_deskew == 10'h38 && init ) ? pn_d56[0] :
2767 ( pn0_deskew == 10'h39 && init ) ? pn_d57[0] :
2768 ( pn0_deskew == 10'h3a && init ) ? pn_d58[0] :
2769 ( pn0_deskew == 10'h3b && init ) ? pn_d59[0] :
2770 ( pn0_deskew == 10'h3c && init ) ? pn_d60[0] :
2771 ( pn0_deskew == 10'h3d && init ) ? pn_d61[0] :
2772 ( pn0_deskew == 10'h3e && init ) ? pn_d62[0] :
2773 ( pn0_deskew == 10'h3f && init ) ? pn_d63[0] :
2774 ( pn0_deskew == 10'h40 && init ) ? pn_d64[0] :
2775 ( pn0_deskew == 10'h41 && init ) ? pn_d65[0] :
2776 ( pn0_deskew == 10'h42 && init ) ? pn_d66[0] :
2777 ( pn0_deskew == 10'h43 && init ) ? pn_d67[0] :
2778 ( pn0_deskew == 10'h44 && init ) ? pn_d68[0] :
2779 ( pn0_deskew == 10'h45 && init ) ? pn_d69[0] :
2780 ( pn0_deskew == 10'h46 && init ) ? pn_d70[0] :
2781 ( pn0_deskew == 10'h47 && init ) ? pn_d71[0] :
2782 ( pn0_deskew == 10'h48 && init ) ? pn_d72[0] :
2783 ( pn0_deskew == 10'h49 && init ) ? pn_d73[0] :
2784 ( pn0_deskew == 10'h4a && init ) ? pn_d74[0] :
2785 ( pn0_deskew == 10'h4b && init ) ? pn_d75[0] :
2786 ( pn0_deskew == 10'h4c && init ) ? pn_d76[0] :
2787 ( pn0_deskew == 10'h4d && init ) ? pn_d77[0] :
2788 ( pn0_deskew == 10'h4e && init ) ? pn_d78[0] :
2789 ( pn0_deskew == 10'h4f && init ) ? pn_d79[0] :
2790 ( pn0_deskew == 10'h50 && init ) ? pn_d80[0] :
2791 ( pn0_deskew == 10'h51 && init ) ? pn_d81[0] :
2792 ( pn0_deskew == 10'h52 && init ) ? pn_d82[0] :
2793 ( pn0_deskew == 10'h53 && init ) ? pn_d83[0] :
2794 ( pn0_deskew == 10'h54 && init ) ? pn_d84[0] :
2795 ( pn0_deskew == 10'h55 && init ) ? pn_d85[0] :
2796 ( pn0_deskew == 10'h56 && init ) ? pn_d86[0] :
2797 ( pn0_deskew == 10'h57 && init ) ? pn_d87[0] :
2798 ( pn0_deskew == 10'h58 && init ) ? pn_d88[0] :
2799 ( pn0_deskew == 10'h59 && init ) ? pn_d89[0] :
2800 ( pn0_deskew == 10'h5a && init ) ? pn_d90[0] :
2801 ( pn0_deskew == 10'h5b && init ) ? pn_d91[0] :
2802 ( pn0_deskew == 10'h5c && init ) ? pn_d92[0] :
2803 ( pn0_deskew == 10'h5d && init ) ? pn_d93[0] :
2804 ( pn0_deskew == 10'h5e && init ) ? pn_d94[0] :
2805 ( pn0_deskew == 10'h5f && init ) ? pn_d95[0] :
2806 ( pn0_deskew == 10'h60 && init ) ? pn_d96[0] :
2807 ( pn0_deskew == 10'h61 && init ) ? pn_d97[0] :
2808 ( pn0_deskew == 10'h62 && init ) ? pn_d98[0] :
2809 ( pn0_deskew == 10'h63 && init ) ? pn_d99[0] :
2810 ( pn0_deskew == 10'h64 && init ) ? pn_d100[0] :
2811 ( pn0_deskew == 10'h65 && init ) ? pn_d101[0] :
2812 ( pn0_deskew == 10'h66 && init ) ? pn_d102[0] :
2813 ( pn0_deskew == 10'h67 && init ) ? pn_d103[0] :
2814 ( pn0_deskew == 10'h68 && init ) ? pn_d104[0] :
2815 ( pn0_deskew == 10'h69 && init ) ? pn_d105[0] :
2816 ( pn0_deskew == 10'h6a && init ) ? pn_d106[0] :
2817 ( pn0_deskew == 10'h6b && init ) ? pn_d107[0] :
2818 ( pn0_deskew == 10'h6c && init ) ? pn_d108[0] :
2819 ( pn0_deskew == 10'h6d && init ) ? pn_d109[0] :
2820 ( pn0_deskew == 10'h6e && init ) ? pn_d110[0] :
2821 ( pn0_deskew == 10'h6f && init ) ? pn_d111[0] :
2822 ( pn0_deskew == 10'h70 && init ) ? pn_d112[0] :
2823 ( pn0_deskew == 10'h71 && init ) ? pn_d113[0] :
2824 ( pn0_deskew == 10'h72 && init ) ? pn_d114[0] :
2825 ( pn0_deskew == 10'h73 && init ) ? pn_d115[0] :
2826 ( pn0_deskew == 10'h74 && init ) ? pn_d116[0] :
2827 ( pn0_deskew == 10'h75 && init ) ? pn_d117[0] :
2828 ( pn0_deskew == 10'h76 && init ) ? pn_d118[0] :
2829 ( pn0_deskew == 10'h77 && init ) ? pn_d119[0] :
2830 ( pn0_deskew == 10'h78 && init ) ? pn_d120[0] :
2831 ( pn0_deskew == 10'h79 && init ) ? pn_d121[0] :
2832 ( pn0_deskew == 10'h7a && init ) ? pn_d122[0] :
2833 ( pn0_deskew == 10'h7b && init ) ? pn_d123[0] :
2834 ( pn0_deskew == 10'h7c && init ) ? pn_d124[0] :
2835 ( pn0_deskew == 10'h7d && init ) ? pn_d125[0] :
2836 ( pn0_deskew == 10'h7e && init ) ? pn_d126[0] :
2837 ( pn0_deskew == 10'h7f && init ) ? pn_d127[0] :
2838 ( pn0_deskew == 10'h80 && init ) ? pn_d128[0] :
2839 ( pn0_deskew == 10'h81 && init ) ? pn_d129[0] :
2840 ( pn0_deskew == 10'h82 && init ) ? pn_d130[0] :
2841 ( pn0_deskew == 10'h83 && init ) ? pn_d131[0] :
2842 ( pn0_deskew == 10'h84 && init ) ? pn_d132[0] :
2843 ( pn0_deskew == 10'h85 && init ) ? pn_d133[0] :
2844 ( pn0_deskew == 10'h86 && init ) ? pn_d134[0] :
2845 ( pn0_deskew == 10'h87 && init ) ? pn_d135[0] :
2846 ( pn0_deskew == 10'h88 && init ) ? pn_d136[0] :
2847 ( pn0_deskew == 10'h89 && init ) ? pn_d137[0] :
2848 ( pn0_deskew == 10'h8a && init ) ? pn_d138[0] :
2849 ( pn0_deskew == 10'h8b && init ) ? pn_d139[0] :
2850 ( pn0_deskew == 10'h8c && init ) ? pn_d140[0] :
2851 ( pn0_deskew == 10'h8d && init ) ? pn_d141[0] :
2852 ( pn0_deskew == 10'h8e && init ) ? pn_d142[0] :
2853 ( pn0_deskew == 10'h8f && init ) ? pn_d143[0] :
2854 ( pn0_deskew == 10'h90 && init ) ? pn_d144[0] :
2855 ( pn0_deskew == 10'h91 && init ) ? pn_d145[0] : pn_in[0];
2856assign pn_out_local[1] = ( pn1_deskew == 10'h0 && init ) ? pn_in[1] :
2857 ( pn1_deskew == 10'h1 && init ) ? pn_d1[1] :
2858 ( pn1_deskew == 10'h2 && init ) ? pn_d2[1] :
2859 ( pn1_deskew == 10'h3 && init ) ? pn_d3[1] :
2860 ( pn1_deskew == 10'h4 && init ) ? pn_d4[1] :
2861 ( pn1_deskew == 10'h5 && init ) ? pn_d5[1] :
2862 ( pn1_deskew == 10'h6 && init ) ? pn_d6[1] :
2863 ( pn1_deskew == 10'h7 && init ) ? pn_d7[1] :
2864 ( pn1_deskew == 10'h8 && init ) ? pn_d8[1] :
2865 ( pn1_deskew == 10'h9 && init ) ? pn_d9[1] :
2866 ( pn1_deskew == 10'ha && init ) ? pn_d10[1] :
2867 ( pn1_deskew == 10'hb && init ) ? pn_d11[1] :
2868 ( pn1_deskew == 10'hc && init ) ? pn_d12[1] :
2869 ( pn1_deskew == 10'hd && init ) ? pn_d13[1] :
2870 ( pn1_deskew == 10'he && init ) ? pn_d14[1] :
2871 ( pn1_deskew == 10'hf && init ) ? pn_d15[1] :
2872 ( pn1_deskew == 10'h10 && init ) ? pn_d16[1] :
2873 ( pn1_deskew == 10'h11 && init ) ? pn_d17[1] :
2874 ( pn1_deskew == 10'h12 && init ) ? pn_d18[1] :
2875 ( pn1_deskew == 10'h13 && init ) ? pn_d19[1] :
2876 ( pn1_deskew == 10'h14 && init ) ? pn_d20[1] :
2877 ( pn1_deskew == 10'h15 && init ) ? pn_d21[1] :
2878 ( pn1_deskew == 10'h16 && init ) ? pn_d22[1] :
2879 ( pn1_deskew == 10'h17 && init ) ? pn_d23[1] :
2880 ( pn1_deskew == 10'h18 && init ) ? pn_d24[1] :
2881 ( pn1_deskew == 10'h19 && init ) ? pn_d25[1] :
2882 ( pn1_deskew == 10'h1a && init ) ? pn_d26[1] :
2883 ( pn1_deskew == 10'h1b && init ) ? pn_d27[1] :
2884 ( pn1_deskew == 10'h1c && init ) ? pn_d28[1] :
2885 ( pn1_deskew == 10'h1d && init ) ? pn_d29[1] :
2886 ( pn1_deskew == 10'h1e && init ) ? pn_d30[1] :
2887 ( pn1_deskew == 10'h1f && init ) ? pn_d31[1] :
2888 ( pn1_deskew == 10'h20 && init ) ? pn_d32[1] :
2889 ( pn1_deskew == 10'h21 && init ) ? pn_d33[1] :
2890 ( pn1_deskew == 10'h22 && init ) ? pn_d34[1] :
2891 ( pn1_deskew == 10'h23 && init ) ? pn_d35[1] :
2892 ( pn1_deskew == 10'h24 && init ) ? pn_d36[1] :
2893 ( pn1_deskew == 10'h25 && init ) ? pn_d37[1] :
2894 ( pn1_deskew == 10'h26 && init ) ? pn_d38[1] :
2895 ( pn1_deskew == 10'h27 && init ) ? pn_d39[1] :
2896 ( pn1_deskew == 10'h28 && init ) ? pn_d40[1] :
2897 ( pn1_deskew == 10'h29 && init ) ? pn_d41[1] :
2898 ( pn1_deskew == 10'h2a && init ) ? pn_d42[1] :
2899 ( pn1_deskew == 10'h2b && init ) ? pn_d43[1] :
2900 ( pn1_deskew == 10'h2c && init ) ? pn_d44[1] :
2901 ( pn1_deskew == 10'h2d && init ) ? pn_d45[1] :
2902 ( pn1_deskew == 10'h2e && init ) ? pn_d46[1] :
2903 ( pn1_deskew == 10'h2f && init ) ? pn_d47[1] :
2904 ( pn1_deskew == 10'h30 && init ) ? pn_d48[1] :
2905 ( pn1_deskew == 10'h31 && init ) ? pn_d49[1] :
2906 ( pn1_deskew == 10'h32 && init ) ? pn_d50[1] :
2907 ( pn1_deskew == 10'h33 && init ) ? pn_d51[1] :
2908 ( pn1_deskew == 10'h34 && init ) ? pn_d52[1] :
2909 ( pn1_deskew == 10'h35 && init ) ? pn_d53[1] :
2910 ( pn1_deskew == 10'h36 && init ) ? pn_d54[1] :
2911 ( pn1_deskew == 10'h37 && init ) ? pn_d55[1] :
2912 ( pn1_deskew == 10'h38 && init ) ? pn_d56[1] :
2913 ( pn1_deskew == 10'h39 && init ) ? pn_d57[1] :
2914 ( pn1_deskew == 10'h3a && init ) ? pn_d58[1] :
2915 ( pn1_deskew == 10'h3b && init ) ? pn_d59[1] :
2916 ( pn1_deskew == 10'h3c && init ) ? pn_d60[1] :
2917 ( pn1_deskew == 10'h3d && init ) ? pn_d61[1] :
2918 ( pn1_deskew == 10'h3e && init ) ? pn_d62[1] :
2919 ( pn1_deskew == 10'h3f && init ) ? pn_d63[1] :
2920 ( pn1_deskew == 10'h40 && init ) ? pn_d64[1] :
2921 ( pn1_deskew == 10'h41 && init ) ? pn_d65[1] :
2922 ( pn1_deskew == 10'h42 && init ) ? pn_d66[1] :
2923 ( pn1_deskew == 10'h43 && init ) ? pn_d67[1] :
2924 ( pn1_deskew == 10'h44 && init ) ? pn_d68[1] :
2925 ( pn1_deskew == 10'h45 && init ) ? pn_d69[1] :
2926 ( pn1_deskew == 10'h46 && init ) ? pn_d70[1] :
2927 ( pn1_deskew == 10'h47 && init ) ? pn_d71[1] :
2928 ( pn1_deskew == 10'h48 && init ) ? pn_d72[1] :
2929 ( pn1_deskew == 10'h49 && init ) ? pn_d73[1] :
2930 ( pn1_deskew == 10'h4a && init ) ? pn_d74[1] :
2931 ( pn1_deskew == 10'h4b && init ) ? pn_d75[1] :
2932 ( pn1_deskew == 10'h4c && init ) ? pn_d76[1] :
2933 ( pn1_deskew == 10'h4d && init ) ? pn_d77[1] :
2934 ( pn1_deskew == 10'h4e && init ) ? pn_d78[1] :
2935 ( pn1_deskew == 10'h4f && init ) ? pn_d79[1] :
2936 ( pn1_deskew == 10'h50 && init ) ? pn_d80[1] :
2937 ( pn1_deskew == 10'h51 && init ) ? pn_d81[1] :
2938 ( pn1_deskew == 10'h52 && init ) ? pn_d82[1] :
2939 ( pn1_deskew == 10'h53 && init ) ? pn_d83[1] :
2940 ( pn1_deskew == 10'h54 && init ) ? pn_d84[1] :
2941 ( pn1_deskew == 10'h55 && init ) ? pn_d85[1] :
2942 ( pn1_deskew == 10'h56 && init ) ? pn_d86[1] :
2943 ( pn1_deskew == 10'h57 && init ) ? pn_d87[1] :
2944 ( pn1_deskew == 10'h58 && init ) ? pn_d88[1] :
2945 ( pn1_deskew == 10'h59 && init ) ? pn_d89[1] :
2946 ( pn1_deskew == 10'h5a && init ) ? pn_d90[1] :
2947 ( pn1_deskew == 10'h5b && init ) ? pn_d91[1] :
2948 ( pn1_deskew == 10'h5c && init ) ? pn_d92[1] :
2949 ( pn1_deskew == 10'h5d && init ) ? pn_d93[1] :
2950 ( pn1_deskew == 10'h5e && init ) ? pn_d94[1] :
2951 ( pn1_deskew == 10'h5f && init ) ? pn_d95[1] :
2952 ( pn1_deskew == 10'h60 && init ) ? pn_d96[1] :
2953 ( pn1_deskew == 10'h61 && init ) ? pn_d97[1] :
2954 ( pn1_deskew == 10'h62 && init ) ? pn_d98[1] :
2955 ( pn1_deskew == 10'h63 && init ) ? pn_d99[1] :
2956 ( pn1_deskew == 10'h64 && init ) ? pn_d100[1] :
2957 ( pn1_deskew == 10'h65 && init ) ? pn_d101[1] :
2958 ( pn1_deskew == 10'h66 && init ) ? pn_d102[1] :
2959 ( pn1_deskew == 10'h67 && init ) ? pn_d103[1] :
2960 ( pn1_deskew == 10'h68 && init ) ? pn_d104[1] :
2961 ( pn1_deskew == 10'h69 && init ) ? pn_d105[1] :
2962 ( pn1_deskew == 10'h6a && init ) ? pn_d106[1] :
2963 ( pn1_deskew == 10'h6b && init ) ? pn_d107[1] :
2964 ( pn1_deskew == 10'h6c && init ) ? pn_d108[1] :
2965 ( pn1_deskew == 10'h6d && init ) ? pn_d109[1] :
2966 ( pn1_deskew == 10'h6e && init ) ? pn_d110[1] :
2967 ( pn1_deskew == 10'h6f && init ) ? pn_d111[1] :
2968 ( pn1_deskew == 10'h70 && init ) ? pn_d112[1] :
2969 ( pn1_deskew == 10'h71 && init ) ? pn_d113[1] :
2970 ( pn1_deskew == 10'h72 && init ) ? pn_d114[1] :
2971 ( pn1_deskew == 10'h73 && init ) ? pn_d115[1] :
2972 ( pn1_deskew == 10'h74 && init ) ? pn_d116[1] :
2973 ( pn1_deskew == 10'h75 && init ) ? pn_d117[1] :
2974 ( pn1_deskew == 10'h76 && init ) ? pn_d118[1] :
2975 ( pn1_deskew == 10'h77 && init ) ? pn_d119[1] :
2976 ( pn1_deskew == 10'h78 && init ) ? pn_d120[1] :
2977 ( pn1_deskew == 10'h79 && init ) ? pn_d121[1] :
2978 ( pn1_deskew == 10'h7a && init ) ? pn_d122[1] :
2979 ( pn1_deskew == 10'h7b && init ) ? pn_d123[1] :
2980 ( pn1_deskew == 10'h7c && init ) ? pn_d124[1] :
2981 ( pn1_deskew == 10'h7d && init ) ? pn_d125[1] :
2982 ( pn1_deskew == 10'h7e && init ) ? pn_d126[1] :
2983 ( pn1_deskew == 10'h7f && init ) ? pn_d127[1] :
2984 ( pn1_deskew == 10'h80 && init ) ? pn_d128[1] :
2985 ( pn1_deskew == 10'h81 && init ) ? pn_d129[1] :
2986 ( pn1_deskew == 10'h82 && init ) ? pn_d130[1] :
2987 ( pn1_deskew == 10'h83 && init ) ? pn_d131[1] :
2988 ( pn1_deskew == 10'h84 && init ) ? pn_d132[1] :
2989 ( pn1_deskew == 10'h85 && init ) ? pn_d133[1] :
2990 ( pn1_deskew == 10'h86 && init ) ? pn_d134[1] :
2991 ( pn1_deskew == 10'h87 && init ) ? pn_d135[1] :
2992 ( pn1_deskew == 10'h88 && init ) ? pn_d136[1] :
2993 ( pn1_deskew == 10'h89 && init ) ? pn_d137[1] :
2994 ( pn1_deskew == 10'h8a && init ) ? pn_d138[1] :
2995 ( pn1_deskew == 10'h8b && init ) ? pn_d139[1] :
2996 ( pn1_deskew == 10'h8c && init ) ? pn_d140[1] :
2997 ( pn1_deskew == 10'h8d && init ) ? pn_d141[1] :
2998 ( pn1_deskew == 10'h8e && init ) ? pn_d142[1] :
2999 ( pn1_deskew == 10'h8f && init ) ? pn_d143[1] :
3000 ( pn1_deskew == 10'h90 && init ) ? pn_d144[1] :
3001 ( pn1_deskew == 10'h91 && init ) ? pn_d145[1] : pn_in[1];
3002assign pn_out_local[2] = ( pn2_deskew == 10'h0 && init ) ? pn_in[2] :
3003 ( pn2_deskew == 10'h1 && init ) ? pn_d1[2] :
3004 ( pn2_deskew == 10'h2 && init ) ? pn_d2[2] :
3005 ( pn2_deskew == 10'h3 && init ) ? pn_d3[2] :
3006 ( pn2_deskew == 10'h4 && init ) ? pn_d4[2] :
3007 ( pn2_deskew == 10'h5 && init ) ? pn_d5[2] :
3008 ( pn2_deskew == 10'h6 && init ) ? pn_d6[2] :
3009 ( pn2_deskew == 10'h7 && init ) ? pn_d7[2] :
3010 ( pn2_deskew == 10'h8 && init ) ? pn_d8[2] :
3011 ( pn2_deskew == 10'h9 && init ) ? pn_d9[2] :
3012 ( pn2_deskew == 10'ha && init ) ? pn_d10[2] :
3013 ( pn2_deskew == 10'hb && init ) ? pn_d11[2] :
3014 ( pn2_deskew == 10'hc && init ) ? pn_d12[2] :
3015 ( pn2_deskew == 10'hd && init ) ? pn_d13[2] :
3016 ( pn2_deskew == 10'he && init ) ? pn_d14[2] :
3017 ( pn2_deskew == 10'hf && init ) ? pn_d15[2] :
3018 ( pn2_deskew == 10'h10 && init ) ? pn_d16[2] :
3019 ( pn2_deskew == 10'h11 && init ) ? pn_d17[2] :
3020 ( pn2_deskew == 10'h12 && init ) ? pn_d18[2] :
3021 ( pn2_deskew == 10'h13 && init ) ? pn_d19[2] :
3022 ( pn2_deskew == 10'h14 && init ) ? pn_d20[2] :
3023 ( pn2_deskew == 10'h15 && init ) ? pn_d21[2] :
3024 ( pn2_deskew == 10'h16 && init ) ? pn_d22[2] :
3025 ( pn2_deskew == 10'h17 && init ) ? pn_d23[2] :
3026 ( pn2_deskew == 10'h18 && init ) ? pn_d24[2] :
3027 ( pn2_deskew == 10'h19 && init ) ? pn_d25[2] :
3028 ( pn2_deskew == 10'h1a && init ) ? pn_d26[2] :
3029 ( pn2_deskew == 10'h1b && init ) ? pn_d27[2] :
3030 ( pn2_deskew == 10'h1c && init ) ? pn_d28[2] :
3031 ( pn2_deskew == 10'h1d && init ) ? pn_d29[2] :
3032 ( pn2_deskew == 10'h1e && init ) ? pn_d30[2] :
3033 ( pn2_deskew == 10'h1f && init ) ? pn_d31[2] :
3034 ( pn2_deskew == 10'h20 && init ) ? pn_d32[2] :
3035 ( pn2_deskew == 10'h21 && init ) ? pn_d33[2] :
3036 ( pn2_deskew == 10'h22 && init ) ? pn_d34[2] :
3037 ( pn2_deskew == 10'h23 && init ) ? pn_d35[2] :
3038 ( pn2_deskew == 10'h24 && init ) ? pn_d36[2] :
3039 ( pn2_deskew == 10'h25 && init ) ? pn_d37[2] :
3040 ( pn2_deskew == 10'h26 && init ) ? pn_d38[2] :
3041 ( pn2_deskew == 10'h27 && init ) ? pn_d39[2] :
3042 ( pn2_deskew == 10'h28 && init ) ? pn_d40[2] :
3043 ( pn2_deskew == 10'h29 && init ) ? pn_d41[2] :
3044 ( pn2_deskew == 10'h2a && init ) ? pn_d42[2] :
3045 ( pn2_deskew == 10'h2b && init ) ? pn_d43[2] :
3046 ( pn2_deskew == 10'h2c && init ) ? pn_d44[2] :
3047 ( pn2_deskew == 10'h2d && init ) ? pn_d45[2] :
3048 ( pn2_deskew == 10'h2e && init ) ? pn_d46[2] :
3049 ( pn2_deskew == 10'h2f && init ) ? pn_d47[2] :
3050 ( pn2_deskew == 10'h30 && init ) ? pn_d48[2] :
3051 ( pn2_deskew == 10'h31 && init ) ? pn_d49[2] :
3052 ( pn2_deskew == 10'h32 && init ) ? pn_d50[2] :
3053 ( pn2_deskew == 10'h33 && init ) ? pn_d51[2] :
3054 ( pn2_deskew == 10'h34 && init ) ? pn_d52[2] :
3055 ( pn2_deskew == 10'h35 && init ) ? pn_d53[2] :
3056 ( pn2_deskew == 10'h36 && init ) ? pn_d54[2] :
3057 ( pn2_deskew == 10'h37 && init ) ? pn_d55[2] :
3058 ( pn2_deskew == 10'h38 && init ) ? pn_d56[2] :
3059 ( pn2_deskew == 10'h39 && init ) ? pn_d57[2] :
3060 ( pn2_deskew == 10'h3a && init ) ? pn_d58[2] :
3061 ( pn2_deskew == 10'h3b && init ) ? pn_d59[2] :
3062 ( pn2_deskew == 10'h3c && init ) ? pn_d60[2] :
3063 ( pn2_deskew == 10'h3d && init ) ? pn_d61[2] :
3064 ( pn2_deskew == 10'h3e && init ) ? pn_d62[2] :
3065 ( pn2_deskew == 10'h3f && init ) ? pn_d63[2] :
3066 ( pn2_deskew == 10'h40 && init ) ? pn_d64[2] :
3067 ( pn2_deskew == 10'h41 && init ) ? pn_d65[2] :
3068 ( pn2_deskew == 10'h42 && init ) ? pn_d66[2] :
3069 ( pn2_deskew == 10'h43 && init ) ? pn_d67[2] :
3070 ( pn2_deskew == 10'h44 && init ) ? pn_d68[2] :
3071 ( pn2_deskew == 10'h45 && init ) ? pn_d69[2] :
3072 ( pn2_deskew == 10'h46 && init ) ? pn_d70[2] :
3073 ( pn2_deskew == 10'h47 && init ) ? pn_d71[2] :
3074 ( pn2_deskew == 10'h48 && init ) ? pn_d72[2] :
3075 ( pn2_deskew == 10'h49 && init ) ? pn_d73[2] :
3076 ( pn2_deskew == 10'h4a && init ) ? pn_d74[2] :
3077 ( pn2_deskew == 10'h4b && init ) ? pn_d75[2] :
3078 ( pn2_deskew == 10'h4c && init ) ? pn_d76[2] :
3079 ( pn2_deskew == 10'h4d && init ) ? pn_d77[2] :
3080 ( pn2_deskew == 10'h4e && init ) ? pn_d78[2] :
3081 ( pn2_deskew == 10'h4f && init ) ? pn_d79[2] :
3082 ( pn2_deskew == 10'h50 && init ) ? pn_d80[2] :
3083 ( pn2_deskew == 10'h51 && init ) ? pn_d81[2] :
3084 ( pn2_deskew == 10'h52 && init ) ? pn_d82[2] :
3085 ( pn2_deskew == 10'h53 && init ) ? pn_d83[2] :
3086 ( pn2_deskew == 10'h54 && init ) ? pn_d84[2] :
3087 ( pn2_deskew == 10'h55 && init ) ? pn_d85[2] :
3088 ( pn2_deskew == 10'h56 && init ) ? pn_d86[2] :
3089 ( pn2_deskew == 10'h57 && init ) ? pn_d87[2] :
3090 ( pn2_deskew == 10'h58 && init ) ? pn_d88[2] :
3091 ( pn2_deskew == 10'h59 && init ) ? pn_d89[2] :
3092 ( pn2_deskew == 10'h5a && init ) ? pn_d90[2] :
3093 ( pn2_deskew == 10'h5b && init ) ? pn_d91[2] :
3094 ( pn2_deskew == 10'h5c && init ) ? pn_d92[2] :
3095 ( pn2_deskew == 10'h5d && init ) ? pn_d93[2] :
3096 ( pn2_deskew == 10'h5e && init ) ? pn_d94[2] :
3097 ( pn2_deskew == 10'h5f && init ) ? pn_d95[2] :
3098 ( pn2_deskew == 10'h60 && init ) ? pn_d96[2] :
3099 ( pn2_deskew == 10'h61 && init ) ? pn_d97[2] :
3100 ( pn2_deskew == 10'h62 && init ) ? pn_d98[2] :
3101 ( pn2_deskew == 10'h63 && init ) ? pn_d99[2] :
3102 ( pn2_deskew == 10'h64 && init ) ? pn_d100[2] :
3103 ( pn2_deskew == 10'h65 && init ) ? pn_d101[2] :
3104 ( pn2_deskew == 10'h66 && init ) ? pn_d102[2] :
3105 ( pn2_deskew == 10'h67 && init ) ? pn_d103[2] :
3106 ( pn2_deskew == 10'h68 && init ) ? pn_d104[2] :
3107 ( pn2_deskew == 10'h69 && init ) ? pn_d105[2] :
3108 ( pn2_deskew == 10'h6a && init ) ? pn_d106[2] :
3109 ( pn2_deskew == 10'h6b && init ) ? pn_d107[2] :
3110 ( pn2_deskew == 10'h6c && init ) ? pn_d108[2] :
3111 ( pn2_deskew == 10'h6d && init ) ? pn_d109[2] :
3112 ( pn2_deskew == 10'h6e && init ) ? pn_d110[2] :
3113 ( pn2_deskew == 10'h6f && init ) ? pn_d111[2] :
3114 ( pn2_deskew == 10'h70 && init ) ? pn_d112[2] :
3115 ( pn2_deskew == 10'h71 && init ) ? pn_d113[2] :
3116 ( pn2_deskew == 10'h72 && init ) ? pn_d114[2] :
3117 ( pn2_deskew == 10'h73 && init ) ? pn_d115[2] :
3118 ( pn2_deskew == 10'h74 && init ) ? pn_d116[2] :
3119 ( pn2_deskew == 10'h75 && init ) ? pn_d117[2] :
3120 ( pn2_deskew == 10'h76 && init ) ? pn_d118[2] :
3121 ( pn2_deskew == 10'h77 && init ) ? pn_d119[2] :
3122 ( pn2_deskew == 10'h78 && init ) ? pn_d120[2] :
3123 ( pn2_deskew == 10'h79 && init ) ? pn_d121[2] :
3124 ( pn2_deskew == 10'h7a && init ) ? pn_d122[2] :
3125 ( pn2_deskew == 10'h7b && init ) ? pn_d123[2] :
3126 ( pn2_deskew == 10'h7c && init ) ? pn_d124[2] :
3127 ( pn2_deskew == 10'h7d && init ) ? pn_d125[2] :
3128 ( pn2_deskew == 10'h7e && init ) ? pn_d126[2] :
3129 ( pn2_deskew == 10'h7f && init ) ? pn_d127[2] :
3130 ( pn2_deskew == 10'h80 && init ) ? pn_d128[2] :
3131 ( pn2_deskew == 10'h81 && init ) ? pn_d129[2] :
3132 ( pn2_deskew == 10'h82 && init ) ? pn_d130[2] :
3133 ( pn2_deskew == 10'h83 && init ) ? pn_d131[2] :
3134 ( pn2_deskew == 10'h84 && init ) ? pn_d132[2] :
3135 ( pn2_deskew == 10'h85 && init ) ? pn_d133[2] :
3136 ( pn2_deskew == 10'h86 && init ) ? pn_d134[2] :
3137 ( pn2_deskew == 10'h87 && init ) ? pn_d135[2] :
3138 ( pn2_deskew == 10'h88 && init ) ? pn_d136[2] :
3139 ( pn2_deskew == 10'h89 && init ) ? pn_d137[2] :
3140 ( pn2_deskew == 10'h8a && init ) ? pn_d138[2] :
3141 ( pn2_deskew == 10'h8b && init ) ? pn_d139[2] :
3142 ( pn2_deskew == 10'h8c && init ) ? pn_d140[2] :
3143 ( pn2_deskew == 10'h8d && init ) ? pn_d141[2] :
3144 ( pn2_deskew == 10'h8e && init ) ? pn_d142[2] :
3145 ( pn2_deskew == 10'h8f && init ) ? pn_d143[2] :
3146 ( pn2_deskew == 10'h90 && init ) ? pn_d144[2] :
3147 ( pn2_deskew == 10'h91 && init ) ? pn_d145[2] : pn_in[2];
3148assign pn_out_local[3] = ( pn3_deskew == 10'h0 && init ) ? pn_in[3] :
3149 ( pn3_deskew == 10'h1 && init ) ? pn_d1[3] :
3150 ( pn3_deskew == 10'h2 && init ) ? pn_d2[3] :
3151 ( pn3_deskew == 10'h3 && init ) ? pn_d3[3] :
3152 ( pn3_deskew == 10'h4 && init ) ? pn_d4[3] :
3153 ( pn3_deskew == 10'h5 && init ) ? pn_d5[3] :
3154 ( pn3_deskew == 10'h6 && init ) ? pn_d6[3] :
3155 ( pn3_deskew == 10'h7 && init ) ? pn_d7[3] :
3156 ( pn3_deskew == 10'h8 && init ) ? pn_d8[3] :
3157 ( pn3_deskew == 10'h9 && init ) ? pn_d9[3] :
3158 ( pn3_deskew == 10'ha && init ) ? pn_d10[3] :
3159 ( pn3_deskew == 10'hb && init ) ? pn_d11[3] :
3160 ( pn3_deskew == 10'hc && init ) ? pn_d12[3] :
3161 ( pn3_deskew == 10'hd && init ) ? pn_d13[3] :
3162 ( pn3_deskew == 10'he && init ) ? pn_d14[3] :
3163 ( pn3_deskew == 10'hf && init ) ? pn_d15[3] :
3164 ( pn3_deskew == 10'h10 && init ) ? pn_d16[3] :
3165 ( pn3_deskew == 10'h11 && init ) ? pn_d17[3] :
3166 ( pn3_deskew == 10'h12 && init ) ? pn_d18[3] :
3167 ( pn3_deskew == 10'h13 && init ) ? pn_d19[3] :
3168 ( pn3_deskew == 10'h14 && init ) ? pn_d20[3] :
3169 ( pn3_deskew == 10'h15 && init ) ? pn_d21[3] :
3170 ( pn3_deskew == 10'h16 && init ) ? pn_d22[3] :
3171 ( pn3_deskew == 10'h17 && init ) ? pn_d23[3] :
3172 ( pn3_deskew == 10'h18 && init ) ? pn_d24[3] :
3173 ( pn3_deskew == 10'h19 && init ) ? pn_d25[3] :
3174 ( pn3_deskew == 10'h1a && init ) ? pn_d26[3] :
3175 ( pn3_deskew == 10'h1b && init ) ? pn_d27[3] :
3176 ( pn3_deskew == 10'h1c && init ) ? pn_d28[3] :
3177 ( pn3_deskew == 10'h1d && init ) ? pn_d29[3] :
3178 ( pn3_deskew == 10'h1e && init ) ? pn_d30[3] :
3179 ( pn3_deskew == 10'h1f && init ) ? pn_d31[3] :
3180 ( pn3_deskew == 10'h20 && init ) ? pn_d32[3] :
3181 ( pn3_deskew == 10'h21 && init ) ? pn_d33[3] :
3182 ( pn3_deskew == 10'h22 && init ) ? pn_d34[3] :
3183 ( pn3_deskew == 10'h23 && init ) ? pn_d35[3] :
3184 ( pn3_deskew == 10'h24 && init ) ? pn_d36[3] :
3185 ( pn3_deskew == 10'h25 && init ) ? pn_d37[3] :
3186 ( pn3_deskew == 10'h26 && init ) ? pn_d38[3] :
3187 ( pn3_deskew == 10'h27 && init ) ? pn_d39[3] :
3188 ( pn3_deskew == 10'h28 && init ) ? pn_d40[3] :
3189 ( pn3_deskew == 10'h29 && init ) ? pn_d41[3] :
3190 ( pn3_deskew == 10'h2a && init ) ? pn_d42[3] :
3191 ( pn3_deskew == 10'h2b && init ) ? pn_d43[3] :
3192 ( pn3_deskew == 10'h2c && init ) ? pn_d44[3] :
3193 ( pn3_deskew == 10'h2d && init ) ? pn_d45[3] :
3194 ( pn3_deskew == 10'h2e && init ) ? pn_d46[3] :
3195 ( pn3_deskew == 10'h2f && init ) ? pn_d47[3] :
3196 ( pn3_deskew == 10'h30 && init ) ? pn_d48[3] :
3197 ( pn3_deskew == 10'h31 && init ) ? pn_d49[3] :
3198 ( pn3_deskew == 10'h32 && init ) ? pn_d50[3] :
3199 ( pn3_deskew == 10'h33 && init ) ? pn_d51[3] :
3200 ( pn3_deskew == 10'h34 && init ) ? pn_d52[3] :
3201 ( pn3_deskew == 10'h35 && init ) ? pn_d53[3] :
3202 ( pn3_deskew == 10'h36 && init ) ? pn_d54[3] :
3203 ( pn3_deskew == 10'h37 && init ) ? pn_d55[3] :
3204 ( pn3_deskew == 10'h38 && init ) ? pn_d56[3] :
3205 ( pn3_deskew == 10'h39 && init ) ? pn_d57[3] :
3206 ( pn3_deskew == 10'h3a && init ) ? pn_d58[3] :
3207 ( pn3_deskew == 10'h3b && init ) ? pn_d59[3] :
3208 ( pn3_deskew == 10'h3c && init ) ? pn_d60[3] :
3209 ( pn3_deskew == 10'h3d && init ) ? pn_d61[3] :
3210 ( pn3_deskew == 10'h3e && init ) ? pn_d62[3] :
3211 ( pn3_deskew == 10'h3f && init ) ? pn_d63[3] :
3212 ( pn3_deskew == 10'h40 && init ) ? pn_d64[3] :
3213 ( pn3_deskew == 10'h41 && init ) ? pn_d65[3] :
3214 ( pn3_deskew == 10'h42 && init ) ? pn_d66[3] :
3215 ( pn3_deskew == 10'h43 && init ) ? pn_d67[3] :
3216 ( pn3_deskew == 10'h44 && init ) ? pn_d68[3] :
3217 ( pn3_deskew == 10'h45 && init ) ? pn_d69[3] :
3218 ( pn3_deskew == 10'h46 && init ) ? pn_d70[3] :
3219 ( pn3_deskew == 10'h47 && init ) ? pn_d71[3] :
3220 ( pn3_deskew == 10'h48 && init ) ? pn_d72[3] :
3221 ( pn3_deskew == 10'h49 && init ) ? pn_d73[3] :
3222 ( pn3_deskew == 10'h4a && init ) ? pn_d74[3] :
3223 ( pn3_deskew == 10'h4b && init ) ? pn_d75[3] :
3224 ( pn3_deskew == 10'h4c && init ) ? pn_d76[3] :
3225 ( pn3_deskew == 10'h4d && init ) ? pn_d77[3] :
3226 ( pn3_deskew == 10'h4e && init ) ? pn_d78[3] :
3227 ( pn3_deskew == 10'h4f && init ) ? pn_d79[3] :
3228 ( pn3_deskew == 10'h50 && init ) ? pn_d80[3] :
3229 ( pn3_deskew == 10'h51 && init ) ? pn_d81[3] :
3230 ( pn3_deskew == 10'h52 && init ) ? pn_d82[3] :
3231 ( pn3_deskew == 10'h53 && init ) ? pn_d83[3] :
3232 ( pn3_deskew == 10'h54 && init ) ? pn_d84[3] :
3233 ( pn3_deskew == 10'h55 && init ) ? pn_d85[3] :
3234 ( pn3_deskew == 10'h56 && init ) ? pn_d86[3] :
3235 ( pn3_deskew == 10'h57 && init ) ? pn_d87[3] :
3236 ( pn3_deskew == 10'h58 && init ) ? pn_d88[3] :
3237 ( pn3_deskew == 10'h59 && init ) ? pn_d89[3] :
3238 ( pn3_deskew == 10'h5a && init ) ? pn_d90[3] :
3239 ( pn3_deskew == 10'h5b && init ) ? pn_d91[3] :
3240 ( pn3_deskew == 10'h5c && init ) ? pn_d92[3] :
3241 ( pn3_deskew == 10'h5d && init ) ? pn_d93[3] :
3242 ( pn3_deskew == 10'h5e && init ) ? pn_d94[3] :
3243 ( pn3_deskew == 10'h5f && init ) ? pn_d95[3] :
3244 ( pn3_deskew == 10'h60 && init ) ? pn_d96[3] :
3245 ( pn3_deskew == 10'h61 && init ) ? pn_d97[3] :
3246 ( pn3_deskew == 10'h62 && init ) ? pn_d98[3] :
3247 ( pn3_deskew == 10'h63 && init ) ? pn_d99[3] :
3248 ( pn3_deskew == 10'h64 && init ) ? pn_d100[3] :
3249 ( pn3_deskew == 10'h65 && init ) ? pn_d101[3] :
3250 ( pn3_deskew == 10'h66 && init ) ? pn_d102[3] :
3251 ( pn3_deskew == 10'h67 && init ) ? pn_d103[3] :
3252 ( pn3_deskew == 10'h68 && init ) ? pn_d104[3] :
3253 ( pn3_deskew == 10'h69 && init ) ? pn_d105[3] :
3254 ( pn3_deskew == 10'h6a && init ) ? pn_d106[3] :
3255 ( pn3_deskew == 10'h6b && init ) ? pn_d107[3] :
3256 ( pn3_deskew == 10'h6c && init ) ? pn_d108[3] :
3257 ( pn3_deskew == 10'h6d && init ) ? pn_d109[3] :
3258 ( pn3_deskew == 10'h6e && init ) ? pn_d110[3] :
3259 ( pn3_deskew == 10'h6f && init ) ? pn_d111[3] :
3260 ( pn3_deskew == 10'h70 && init ) ? pn_d112[3] :
3261 ( pn3_deskew == 10'h71 && init ) ? pn_d113[3] :
3262 ( pn3_deskew == 10'h72 && init ) ? pn_d114[3] :
3263 ( pn3_deskew == 10'h73 && init ) ? pn_d115[3] :
3264 ( pn3_deskew == 10'h74 && init ) ? pn_d116[3] :
3265 ( pn3_deskew == 10'h75 && init ) ? pn_d117[3] :
3266 ( pn3_deskew == 10'h76 && init ) ? pn_d118[3] :
3267 ( pn3_deskew == 10'h77 && init ) ? pn_d119[3] :
3268 ( pn3_deskew == 10'h78 && init ) ? pn_d120[3] :
3269 ( pn3_deskew == 10'h79 && init ) ? pn_d121[3] :
3270 ( pn3_deskew == 10'h7a && init ) ? pn_d122[3] :
3271 ( pn3_deskew == 10'h7b && init ) ? pn_d123[3] :
3272 ( pn3_deskew == 10'h7c && init ) ? pn_d124[3] :
3273 ( pn3_deskew == 10'h7d && init ) ? pn_d125[3] :
3274 ( pn3_deskew == 10'h7e && init ) ? pn_d126[3] :
3275 ( pn3_deskew == 10'h7f && init ) ? pn_d127[3] :
3276 ( pn3_deskew == 10'h80 && init ) ? pn_d128[3] :
3277 ( pn3_deskew == 10'h81 && init ) ? pn_d129[3] :
3278 ( pn3_deskew == 10'h82 && init ) ? pn_d130[3] :
3279 ( pn3_deskew == 10'h83 && init ) ? pn_d131[3] :
3280 ( pn3_deskew == 10'h84 && init ) ? pn_d132[3] :
3281 ( pn3_deskew == 10'h85 && init ) ? pn_d133[3] :
3282 ( pn3_deskew == 10'h86 && init ) ? pn_d134[3] :
3283 ( pn3_deskew == 10'h87 && init ) ? pn_d135[3] :
3284 ( pn3_deskew == 10'h88 && init ) ? pn_d136[3] :
3285 ( pn3_deskew == 10'h89 && init ) ? pn_d137[3] :
3286 ( pn3_deskew == 10'h8a && init ) ? pn_d138[3] :
3287 ( pn3_deskew == 10'h8b && init ) ? pn_d139[3] :
3288 ( pn3_deskew == 10'h8c && init ) ? pn_d140[3] :
3289 ( pn3_deskew == 10'h8d && init ) ? pn_d141[3] :
3290 ( pn3_deskew == 10'h8e && init ) ? pn_d142[3] :
3291 ( pn3_deskew == 10'h8f && init ) ? pn_d143[3] :
3292 ( pn3_deskew == 10'h90 && init ) ? pn_d144[3] :
3293 ( pn3_deskew == 10'h91 && init ) ? pn_d145[3] : pn_in[3];
3294assign pn_out_local[4] = ( pn4_deskew == 10'h0 && init ) ? pn_in[4] :
3295 ( pn4_deskew == 10'h1 && init ) ? pn_d1[4] :
3296 ( pn4_deskew == 10'h2 && init ) ? pn_d2[4] :
3297 ( pn4_deskew == 10'h3 && init ) ? pn_d3[4] :
3298 ( pn4_deskew == 10'h4 && init ) ? pn_d4[4] :
3299 ( pn4_deskew == 10'h5 && init ) ? pn_d5[4] :
3300 ( pn4_deskew == 10'h6 && init ) ? pn_d6[4] :
3301 ( pn4_deskew == 10'h7 && init ) ? pn_d7[4] :
3302 ( pn4_deskew == 10'h8 && init ) ? pn_d8[4] :
3303 ( pn4_deskew == 10'h9 && init ) ? pn_d9[4] :
3304 ( pn4_deskew == 10'ha && init ) ? pn_d10[4] :
3305 ( pn4_deskew == 10'hb && init ) ? pn_d11[4] :
3306 ( pn4_deskew == 10'hc && init ) ? pn_d12[4] :
3307 ( pn4_deskew == 10'hd && init ) ? pn_d13[4] :
3308 ( pn4_deskew == 10'he && init ) ? pn_d14[4] :
3309 ( pn4_deskew == 10'hf && init ) ? pn_d15[4] :
3310 ( pn4_deskew == 10'h10 && init ) ? pn_d16[4] :
3311 ( pn4_deskew == 10'h11 && init ) ? pn_d17[4] :
3312 ( pn4_deskew == 10'h12 && init ) ? pn_d18[4] :
3313 ( pn4_deskew == 10'h13 && init ) ? pn_d19[4] :
3314 ( pn4_deskew == 10'h14 && init ) ? pn_d20[4] :
3315 ( pn4_deskew == 10'h15 && init ) ? pn_d21[4] :
3316 ( pn4_deskew == 10'h16 && init ) ? pn_d22[4] :
3317 ( pn4_deskew == 10'h17 && init ) ? pn_d23[4] :
3318 ( pn4_deskew == 10'h18 && init ) ? pn_d24[4] :
3319 ( pn4_deskew == 10'h19 && init ) ? pn_d25[4] :
3320 ( pn4_deskew == 10'h1a && init ) ? pn_d26[4] :
3321 ( pn4_deskew == 10'h1b && init ) ? pn_d27[4] :
3322 ( pn4_deskew == 10'h1c && init ) ? pn_d28[4] :
3323 ( pn4_deskew == 10'h1d && init ) ? pn_d29[4] :
3324 ( pn4_deskew == 10'h1e && init ) ? pn_d30[4] :
3325 ( pn4_deskew == 10'h1f && init ) ? pn_d31[4] :
3326 ( pn4_deskew == 10'h20 && init ) ? pn_d32[4] :
3327 ( pn4_deskew == 10'h21 && init ) ? pn_d33[4] :
3328 ( pn4_deskew == 10'h22 && init ) ? pn_d34[4] :
3329 ( pn4_deskew == 10'h23 && init ) ? pn_d35[4] :
3330 ( pn4_deskew == 10'h24 && init ) ? pn_d36[4] :
3331 ( pn4_deskew == 10'h25 && init ) ? pn_d37[4] :
3332 ( pn4_deskew == 10'h26 && init ) ? pn_d38[4] :
3333 ( pn4_deskew == 10'h27 && init ) ? pn_d39[4] :
3334 ( pn4_deskew == 10'h28 && init ) ? pn_d40[4] :
3335 ( pn4_deskew == 10'h29 && init ) ? pn_d41[4] :
3336 ( pn4_deskew == 10'h2a && init ) ? pn_d42[4] :
3337 ( pn4_deskew == 10'h2b && init ) ? pn_d43[4] :
3338 ( pn4_deskew == 10'h2c && init ) ? pn_d44[4] :
3339 ( pn4_deskew == 10'h2d && init ) ? pn_d45[4] :
3340 ( pn4_deskew == 10'h2e && init ) ? pn_d46[4] :
3341 ( pn4_deskew == 10'h2f && init ) ? pn_d47[4] :
3342 ( pn4_deskew == 10'h30 && init ) ? pn_d48[4] :
3343 ( pn4_deskew == 10'h31 && init ) ? pn_d49[4] :
3344 ( pn4_deskew == 10'h32 && init ) ? pn_d50[4] :
3345 ( pn4_deskew == 10'h33 && init ) ? pn_d51[4] :
3346 ( pn4_deskew == 10'h34 && init ) ? pn_d52[4] :
3347 ( pn4_deskew == 10'h35 && init ) ? pn_d53[4] :
3348 ( pn4_deskew == 10'h36 && init ) ? pn_d54[4] :
3349 ( pn4_deskew == 10'h37 && init ) ? pn_d55[4] :
3350 ( pn4_deskew == 10'h38 && init ) ? pn_d56[4] :
3351 ( pn4_deskew == 10'h39 && init ) ? pn_d57[4] :
3352 ( pn4_deskew == 10'h3a && init ) ? pn_d58[4] :
3353 ( pn4_deskew == 10'h3b && init ) ? pn_d59[4] :
3354 ( pn4_deskew == 10'h3c && init ) ? pn_d60[4] :
3355 ( pn4_deskew == 10'h3d && init ) ? pn_d61[4] :
3356 ( pn4_deskew == 10'h3e && init ) ? pn_d62[4] :
3357 ( pn4_deskew == 10'h3f && init ) ? pn_d63[4] :
3358 ( pn4_deskew == 10'h40 && init ) ? pn_d64[4] :
3359 ( pn4_deskew == 10'h41 && init ) ? pn_d65[4] :
3360 ( pn4_deskew == 10'h42 && init ) ? pn_d66[4] :
3361 ( pn4_deskew == 10'h43 && init ) ? pn_d67[4] :
3362 ( pn4_deskew == 10'h44 && init ) ? pn_d68[4] :
3363 ( pn4_deskew == 10'h45 && init ) ? pn_d69[4] :
3364 ( pn4_deskew == 10'h46 && init ) ? pn_d70[4] :
3365 ( pn4_deskew == 10'h47 && init ) ? pn_d71[4] :
3366 ( pn4_deskew == 10'h48 && init ) ? pn_d72[4] :
3367 ( pn4_deskew == 10'h49 && init ) ? pn_d73[4] :
3368 ( pn4_deskew == 10'h4a && init ) ? pn_d74[4] :
3369 ( pn4_deskew == 10'h4b && init ) ? pn_d75[4] :
3370 ( pn4_deskew == 10'h4c && init ) ? pn_d76[4] :
3371 ( pn4_deskew == 10'h4d && init ) ? pn_d77[4] :
3372 ( pn4_deskew == 10'h4e && init ) ? pn_d78[4] :
3373 ( pn4_deskew == 10'h4f && init ) ? pn_d79[4] :
3374 ( pn4_deskew == 10'h50 && init ) ? pn_d80[4] :
3375 ( pn4_deskew == 10'h51 && init ) ? pn_d81[4] :
3376 ( pn4_deskew == 10'h52 && init ) ? pn_d82[4] :
3377 ( pn4_deskew == 10'h53 && init ) ? pn_d83[4] :
3378 ( pn4_deskew == 10'h54 && init ) ? pn_d84[4] :
3379 ( pn4_deskew == 10'h55 && init ) ? pn_d85[4] :
3380 ( pn4_deskew == 10'h56 && init ) ? pn_d86[4] :
3381 ( pn4_deskew == 10'h57 && init ) ? pn_d87[4] :
3382 ( pn4_deskew == 10'h58 && init ) ? pn_d88[4] :
3383 ( pn4_deskew == 10'h59 && init ) ? pn_d89[4] :
3384 ( pn4_deskew == 10'h5a && init ) ? pn_d90[4] :
3385 ( pn4_deskew == 10'h5b && init ) ? pn_d91[4] :
3386 ( pn4_deskew == 10'h5c && init ) ? pn_d92[4] :
3387 ( pn4_deskew == 10'h5d && init ) ? pn_d93[4] :
3388 ( pn4_deskew == 10'h5e && init ) ? pn_d94[4] :
3389 ( pn4_deskew == 10'h5f && init ) ? pn_d95[4] :
3390 ( pn4_deskew == 10'h60 && init ) ? pn_d96[4] :
3391 ( pn4_deskew == 10'h61 && init ) ? pn_d97[4] :
3392 ( pn4_deskew == 10'h62 && init ) ? pn_d98[4] :
3393 ( pn4_deskew == 10'h63 && init ) ? pn_d99[4] :
3394 ( pn4_deskew == 10'h64 && init ) ? pn_d100[4] :
3395 ( pn4_deskew == 10'h65 && init ) ? pn_d101[4] :
3396 ( pn4_deskew == 10'h66 && init ) ? pn_d102[4] :
3397 ( pn4_deskew == 10'h67 && init ) ? pn_d103[4] :
3398 ( pn4_deskew == 10'h68 && init ) ? pn_d104[4] :
3399 ( pn4_deskew == 10'h69 && init ) ? pn_d105[4] :
3400 ( pn4_deskew == 10'h6a && init ) ? pn_d106[4] :
3401 ( pn4_deskew == 10'h6b && init ) ? pn_d107[4] :
3402 ( pn4_deskew == 10'h6c && init ) ? pn_d108[4] :
3403 ( pn4_deskew == 10'h6d && init ) ? pn_d109[4] :
3404 ( pn4_deskew == 10'h6e && init ) ? pn_d110[4] :
3405 ( pn4_deskew == 10'h6f && init ) ? pn_d111[4] :
3406 ( pn4_deskew == 10'h70 && init ) ? pn_d112[4] :
3407 ( pn4_deskew == 10'h71 && init ) ? pn_d113[4] :
3408 ( pn4_deskew == 10'h72 && init ) ? pn_d114[4] :
3409 ( pn4_deskew == 10'h73 && init ) ? pn_d115[4] :
3410 ( pn4_deskew == 10'h74 && init ) ? pn_d116[4] :
3411 ( pn4_deskew == 10'h75 && init ) ? pn_d117[4] :
3412 ( pn4_deskew == 10'h76 && init ) ? pn_d118[4] :
3413 ( pn4_deskew == 10'h77 && init ) ? pn_d119[4] :
3414 ( pn4_deskew == 10'h78 && init ) ? pn_d120[4] :
3415 ( pn4_deskew == 10'h79 && init ) ? pn_d121[4] :
3416 ( pn4_deskew == 10'h7a && init ) ? pn_d122[4] :
3417 ( pn4_deskew == 10'h7b && init ) ? pn_d123[4] :
3418 ( pn4_deskew == 10'h7c && init ) ? pn_d124[4] :
3419 ( pn4_deskew == 10'h7d && init ) ? pn_d125[4] :
3420 ( pn4_deskew == 10'h7e && init ) ? pn_d126[4] :
3421 ( pn4_deskew == 10'h7f && init ) ? pn_d127[4] :
3422 ( pn4_deskew == 10'h80 && init ) ? pn_d128[4] :
3423 ( pn4_deskew == 10'h81 && init ) ? pn_d129[4] :
3424 ( pn4_deskew == 10'h82 && init ) ? pn_d130[4] :
3425 ( pn4_deskew == 10'h83 && init ) ? pn_d131[4] :
3426 ( pn4_deskew == 10'h84 && init ) ? pn_d132[4] :
3427 ( pn4_deskew == 10'h85 && init ) ? pn_d133[4] :
3428 ( pn4_deskew == 10'h86 && init ) ? pn_d134[4] :
3429 ( pn4_deskew == 10'h87 && init ) ? pn_d135[4] :
3430 ( pn4_deskew == 10'h88 && init ) ? pn_d136[4] :
3431 ( pn4_deskew == 10'h89 && init ) ? pn_d137[4] :
3432 ( pn4_deskew == 10'h8a && init ) ? pn_d138[4] :
3433 ( pn4_deskew == 10'h8b && init ) ? pn_d139[4] :
3434 ( pn4_deskew == 10'h8c && init ) ? pn_d140[4] :
3435 ( pn4_deskew == 10'h8d && init ) ? pn_d141[4] :
3436 ( pn4_deskew == 10'h8e && init ) ? pn_d142[4] :
3437 ( pn4_deskew == 10'h8f && init ) ? pn_d143[4] :
3438 ( pn4_deskew == 10'h90 && init ) ? pn_d144[4] :
3439 ( pn4_deskew == 10'h91 && init ) ? pn_d145[4] : pn_in[4];
3440assign pn_out_local[5] = ( pn5_deskew == 10'h0 && init ) ? pn_in[5] :
3441 ( pn5_deskew == 10'h1 && init ) ? pn_d1[5] :
3442 ( pn5_deskew == 10'h2 && init ) ? pn_d2[5] :
3443 ( pn5_deskew == 10'h3 && init ) ? pn_d3[5] :
3444 ( pn5_deskew == 10'h4 && init ) ? pn_d4[5] :
3445 ( pn5_deskew == 10'h5 && init ) ? pn_d5[5] :
3446 ( pn5_deskew == 10'h6 && init ) ? pn_d6[5] :
3447 ( pn5_deskew == 10'h7 && init ) ? pn_d7[5] :
3448 ( pn5_deskew == 10'h8 && init ) ? pn_d8[5] :
3449 ( pn5_deskew == 10'h9 && init ) ? pn_d9[5] :
3450 ( pn5_deskew == 10'ha && init ) ? pn_d10[5] :
3451 ( pn5_deskew == 10'hb && init ) ? pn_d11[5] :
3452 ( pn5_deskew == 10'hc && init ) ? pn_d12[5] :
3453 ( pn5_deskew == 10'hd && init ) ? pn_d13[5] :
3454 ( pn5_deskew == 10'he && init ) ? pn_d14[5] :
3455 ( pn5_deskew == 10'hf && init ) ? pn_d15[5] :
3456 ( pn5_deskew == 10'h10 && init ) ? pn_d16[5] :
3457 ( pn5_deskew == 10'h11 && init ) ? pn_d17[5] :
3458 ( pn5_deskew == 10'h12 && init ) ? pn_d18[5] :
3459 ( pn5_deskew == 10'h13 && init ) ? pn_d19[5] :
3460 ( pn5_deskew == 10'h14 && init ) ? pn_d20[5] :
3461 ( pn5_deskew == 10'h15 && init ) ? pn_d21[5] :
3462 ( pn5_deskew == 10'h16 && init ) ? pn_d22[5] :
3463 ( pn5_deskew == 10'h17 && init ) ? pn_d23[5] :
3464 ( pn5_deskew == 10'h18 && init ) ? pn_d24[5] :
3465 ( pn5_deskew == 10'h19 && init ) ? pn_d25[5] :
3466 ( pn5_deskew == 10'h1a && init ) ? pn_d26[5] :
3467 ( pn5_deskew == 10'h1b && init ) ? pn_d27[5] :
3468 ( pn5_deskew == 10'h1c && init ) ? pn_d28[5] :
3469 ( pn5_deskew == 10'h1d && init ) ? pn_d29[5] :
3470 ( pn5_deskew == 10'h1e && init ) ? pn_d30[5] :
3471 ( pn5_deskew == 10'h1f && init ) ? pn_d31[5] :
3472 ( pn5_deskew == 10'h20 && init ) ? pn_d32[5] :
3473 ( pn5_deskew == 10'h21 && init ) ? pn_d33[5] :
3474 ( pn5_deskew == 10'h22 && init ) ? pn_d34[5] :
3475 ( pn5_deskew == 10'h23 && init ) ? pn_d35[5] :
3476 ( pn5_deskew == 10'h24 && init ) ? pn_d36[5] :
3477 ( pn5_deskew == 10'h25 && init ) ? pn_d37[5] :
3478 ( pn5_deskew == 10'h26 && init ) ? pn_d38[5] :
3479 ( pn5_deskew == 10'h27 && init ) ? pn_d39[5] :
3480 ( pn5_deskew == 10'h28 && init ) ? pn_d40[5] :
3481 ( pn5_deskew == 10'h29 && init ) ? pn_d41[5] :
3482 ( pn5_deskew == 10'h2a && init ) ? pn_d42[5] :
3483 ( pn5_deskew == 10'h2b && init ) ? pn_d43[5] :
3484 ( pn5_deskew == 10'h2c && init ) ? pn_d44[5] :
3485 ( pn5_deskew == 10'h2d && init ) ? pn_d45[5] :
3486 ( pn5_deskew == 10'h2e && init ) ? pn_d46[5] :
3487 ( pn5_deskew == 10'h2f && init ) ? pn_d47[5] :
3488 ( pn5_deskew == 10'h30 && init ) ? pn_d48[5] :
3489 ( pn5_deskew == 10'h31 && init ) ? pn_d49[5] :
3490 ( pn5_deskew == 10'h32 && init ) ? pn_d50[5] :
3491 ( pn5_deskew == 10'h33 && init ) ? pn_d51[5] :
3492 ( pn5_deskew == 10'h34 && init ) ? pn_d52[5] :
3493 ( pn5_deskew == 10'h35 && init ) ? pn_d53[5] :
3494 ( pn5_deskew == 10'h36 && init ) ? pn_d54[5] :
3495 ( pn5_deskew == 10'h37 && init ) ? pn_d55[5] :
3496 ( pn5_deskew == 10'h38 && init ) ? pn_d56[5] :
3497 ( pn5_deskew == 10'h39 && init ) ? pn_d57[5] :
3498 ( pn5_deskew == 10'h3a && init ) ? pn_d58[5] :
3499 ( pn5_deskew == 10'h3b && init ) ? pn_d59[5] :
3500 ( pn5_deskew == 10'h3c && init ) ? pn_d60[5] :
3501 ( pn5_deskew == 10'h3d && init ) ? pn_d61[5] :
3502 ( pn5_deskew == 10'h3e && init ) ? pn_d62[5] :
3503 ( pn5_deskew == 10'h3f && init ) ? pn_d63[5] :
3504 ( pn5_deskew == 10'h40 && init ) ? pn_d64[5] :
3505 ( pn5_deskew == 10'h41 && init ) ? pn_d65[5] :
3506 ( pn5_deskew == 10'h42 && init ) ? pn_d66[5] :
3507 ( pn5_deskew == 10'h43 && init ) ? pn_d67[5] :
3508 ( pn5_deskew == 10'h44 && init ) ? pn_d68[5] :
3509 ( pn5_deskew == 10'h45 && init ) ? pn_d69[5] :
3510 ( pn5_deskew == 10'h46 && init ) ? pn_d70[5] :
3511 ( pn5_deskew == 10'h47 && init ) ? pn_d71[5] :
3512 ( pn5_deskew == 10'h48 && init ) ? pn_d72[5] :
3513 ( pn5_deskew == 10'h49 && init ) ? pn_d73[5] :
3514 ( pn5_deskew == 10'h4a && init ) ? pn_d74[5] :
3515 ( pn5_deskew == 10'h4b && init ) ? pn_d75[5] :
3516 ( pn5_deskew == 10'h4c && init ) ? pn_d76[5] :
3517 ( pn5_deskew == 10'h4d && init ) ? pn_d77[5] :
3518 ( pn5_deskew == 10'h4e && init ) ? pn_d78[5] :
3519 ( pn5_deskew == 10'h4f && init ) ? pn_d79[5] :
3520 ( pn5_deskew == 10'h50 && init ) ? pn_d80[5] :
3521 ( pn5_deskew == 10'h51 && init ) ? pn_d81[5] :
3522 ( pn5_deskew == 10'h52 && init ) ? pn_d82[5] :
3523 ( pn5_deskew == 10'h53 && init ) ? pn_d83[5] :
3524 ( pn5_deskew == 10'h54 && init ) ? pn_d84[5] :
3525 ( pn5_deskew == 10'h55 && init ) ? pn_d85[5] :
3526 ( pn5_deskew == 10'h56 && init ) ? pn_d86[5] :
3527 ( pn5_deskew == 10'h57 && init ) ? pn_d87[5] :
3528 ( pn5_deskew == 10'h58 && init ) ? pn_d88[5] :
3529 ( pn5_deskew == 10'h59 && init ) ? pn_d89[5] :
3530 ( pn5_deskew == 10'h5a && init ) ? pn_d90[5] :
3531 ( pn5_deskew == 10'h5b && init ) ? pn_d91[5] :
3532 ( pn5_deskew == 10'h5c && init ) ? pn_d92[5] :
3533 ( pn5_deskew == 10'h5d && init ) ? pn_d93[5] :
3534 ( pn5_deskew == 10'h5e && init ) ? pn_d94[5] :
3535 ( pn5_deskew == 10'h5f && init ) ? pn_d95[5] :
3536 ( pn5_deskew == 10'h60 && init ) ? pn_d96[5] :
3537 ( pn5_deskew == 10'h61 && init ) ? pn_d97[5] :
3538 ( pn5_deskew == 10'h62 && init ) ? pn_d98[5] :
3539 ( pn5_deskew == 10'h63 && init ) ? pn_d99[5] :
3540 ( pn5_deskew == 10'h64 && init ) ? pn_d100[5] :
3541 ( pn5_deskew == 10'h65 && init ) ? pn_d101[5] :
3542 ( pn5_deskew == 10'h66 && init ) ? pn_d102[5] :
3543 ( pn5_deskew == 10'h67 && init ) ? pn_d103[5] :
3544 ( pn5_deskew == 10'h68 && init ) ? pn_d104[5] :
3545 ( pn5_deskew == 10'h69 && init ) ? pn_d105[5] :
3546 ( pn5_deskew == 10'h6a && init ) ? pn_d106[5] :
3547 ( pn5_deskew == 10'h6b && init ) ? pn_d107[5] :
3548 ( pn5_deskew == 10'h6c && init ) ? pn_d108[5] :
3549 ( pn5_deskew == 10'h6d && init ) ? pn_d109[5] :
3550 ( pn5_deskew == 10'h6e && init ) ? pn_d110[5] :
3551 ( pn5_deskew == 10'h6f && init ) ? pn_d111[5] :
3552 ( pn5_deskew == 10'h70 && init ) ? pn_d112[5] :
3553 ( pn5_deskew == 10'h71 && init ) ? pn_d113[5] :
3554 ( pn5_deskew == 10'h72 && init ) ? pn_d114[5] :
3555 ( pn5_deskew == 10'h73 && init ) ? pn_d115[5] :
3556 ( pn5_deskew == 10'h74 && init ) ? pn_d116[5] :
3557 ( pn5_deskew == 10'h75 && init ) ? pn_d117[5] :
3558 ( pn5_deskew == 10'h76 && init ) ? pn_d118[5] :
3559 ( pn5_deskew == 10'h77 && init ) ? pn_d119[5] :
3560 ( pn5_deskew == 10'h78 && init ) ? pn_d120[5] :
3561 ( pn5_deskew == 10'h79 && init ) ? pn_d121[5] :
3562 ( pn5_deskew == 10'h7a && init ) ? pn_d122[5] :
3563 ( pn5_deskew == 10'h7b && init ) ? pn_d123[5] :
3564 ( pn5_deskew == 10'h7c && init ) ? pn_d124[5] :
3565 ( pn5_deskew == 10'h7d && init ) ? pn_d125[5] :
3566 ( pn5_deskew == 10'h7e && init ) ? pn_d126[5] :
3567 ( pn5_deskew == 10'h7f && init ) ? pn_d127[5] :
3568 ( pn5_deskew == 10'h80 && init ) ? pn_d128[5] :
3569 ( pn5_deskew == 10'h81 && init ) ? pn_d129[5] :
3570 ( pn5_deskew == 10'h82 && init ) ? pn_d130[5] :
3571 ( pn5_deskew == 10'h83 && init ) ? pn_d131[5] :
3572 ( pn5_deskew == 10'h84 && init ) ? pn_d132[5] :
3573 ( pn5_deskew == 10'h85 && init ) ? pn_d133[5] :
3574 ( pn5_deskew == 10'h86 && init ) ? pn_d134[5] :
3575 ( pn5_deskew == 10'h87 && init ) ? pn_d135[5] :
3576 ( pn5_deskew == 10'h88 && init ) ? pn_d136[5] :
3577 ( pn5_deskew == 10'h89 && init ) ? pn_d137[5] :
3578 ( pn5_deskew == 10'h8a && init ) ? pn_d138[5] :
3579 ( pn5_deskew == 10'h8b && init ) ? pn_d139[5] :
3580 ( pn5_deskew == 10'h8c && init ) ? pn_d140[5] :
3581 ( pn5_deskew == 10'h8d && init ) ? pn_d141[5] :
3582 ( pn5_deskew == 10'h8e && init ) ? pn_d142[5] :
3583 ( pn5_deskew == 10'h8f && init ) ? pn_d143[5] :
3584 ( pn5_deskew == 10'h90 && init ) ? pn_d144[5] :
3585 ( pn5_deskew == 10'h91 && init ) ? pn_d145[5] : pn_in[5];
3586assign pn_out_local[6] = ( pn6_deskew == 10'h0 && init ) ? pn_in[6] :
3587 ( pn6_deskew == 10'h1 && init ) ? pn_d1[6] :
3588 ( pn6_deskew == 10'h2 && init ) ? pn_d2[6] :
3589 ( pn6_deskew == 10'h3 && init ) ? pn_d3[6] :
3590 ( pn6_deskew == 10'h4 && init ) ? pn_d4[6] :
3591 ( pn6_deskew == 10'h5 && init ) ? pn_d5[6] :
3592 ( pn6_deskew == 10'h6 && init ) ? pn_d6[6] :
3593 ( pn6_deskew == 10'h7 && init ) ? pn_d7[6] :
3594 ( pn6_deskew == 10'h8 && init ) ? pn_d8[6] :
3595 ( pn6_deskew == 10'h9 && init ) ? pn_d9[6] :
3596 ( pn6_deskew == 10'ha && init ) ? pn_d10[6] :
3597 ( pn6_deskew == 10'hb && init ) ? pn_d11[6] :
3598 ( pn6_deskew == 10'hc && init ) ? pn_d12[6] :
3599 ( pn6_deskew == 10'hd && init ) ? pn_d13[6] :
3600 ( pn6_deskew == 10'he && init ) ? pn_d14[6] :
3601 ( pn6_deskew == 10'hf && init ) ? pn_d15[6] :
3602 ( pn6_deskew == 10'h10 && init ) ? pn_d16[6] :
3603 ( pn6_deskew == 10'h11 && init ) ? pn_d17[6] :
3604 ( pn6_deskew == 10'h12 && init ) ? pn_d18[6] :
3605 ( pn6_deskew == 10'h13 && init ) ? pn_d19[6] :
3606 ( pn6_deskew == 10'h14 && init ) ? pn_d20[6] :
3607 ( pn6_deskew == 10'h15 && init ) ? pn_d21[6] :
3608 ( pn6_deskew == 10'h16 && init ) ? pn_d22[6] :
3609 ( pn6_deskew == 10'h17 && init ) ? pn_d23[6] :
3610 ( pn6_deskew == 10'h18 && init ) ? pn_d24[6] :
3611 ( pn6_deskew == 10'h19 && init ) ? pn_d25[6] :
3612 ( pn6_deskew == 10'h1a && init ) ? pn_d26[6] :
3613 ( pn6_deskew == 10'h1b && init ) ? pn_d27[6] :
3614 ( pn6_deskew == 10'h1c && init ) ? pn_d28[6] :
3615 ( pn6_deskew == 10'h1d && init ) ? pn_d29[6] :
3616 ( pn6_deskew == 10'h1e && init ) ? pn_d30[6] :
3617 ( pn6_deskew == 10'h1f && init ) ? pn_d31[6] :
3618 ( pn6_deskew == 10'h20 && init ) ? pn_d32[6] :
3619 ( pn6_deskew == 10'h21 && init ) ? pn_d33[6] :
3620 ( pn6_deskew == 10'h22 && init ) ? pn_d34[6] :
3621 ( pn6_deskew == 10'h23 && init ) ? pn_d35[6] :
3622 ( pn6_deskew == 10'h24 && init ) ? pn_d36[6] :
3623 ( pn6_deskew == 10'h25 && init ) ? pn_d37[6] :
3624 ( pn6_deskew == 10'h26 && init ) ? pn_d38[6] :
3625 ( pn6_deskew == 10'h27 && init ) ? pn_d39[6] :
3626 ( pn6_deskew == 10'h28 && init ) ? pn_d40[6] :
3627 ( pn6_deskew == 10'h29 && init ) ? pn_d41[6] :
3628 ( pn6_deskew == 10'h2a && init ) ? pn_d42[6] :
3629 ( pn6_deskew == 10'h2b && init ) ? pn_d43[6] :
3630 ( pn6_deskew == 10'h2c && init ) ? pn_d44[6] :
3631 ( pn6_deskew == 10'h2d && init ) ? pn_d45[6] :
3632 ( pn6_deskew == 10'h2e && init ) ? pn_d46[6] :
3633 ( pn6_deskew == 10'h2f && init ) ? pn_d47[6] :
3634 ( pn6_deskew == 10'h30 && init ) ? pn_d48[6] :
3635 ( pn6_deskew == 10'h31 && init ) ? pn_d49[6] :
3636 ( pn6_deskew == 10'h32 && init ) ? pn_d50[6] :
3637 ( pn6_deskew == 10'h33 && init ) ? pn_d51[6] :
3638 ( pn6_deskew == 10'h34 && init ) ? pn_d52[6] :
3639 ( pn6_deskew == 10'h35 && init ) ? pn_d53[6] :
3640 ( pn6_deskew == 10'h36 && init ) ? pn_d54[6] :
3641 ( pn6_deskew == 10'h37 && init ) ? pn_d55[6] :
3642 ( pn6_deskew == 10'h38 && init ) ? pn_d56[6] :
3643 ( pn6_deskew == 10'h39 && init ) ? pn_d57[6] :
3644 ( pn6_deskew == 10'h3a && init ) ? pn_d58[6] :
3645 ( pn6_deskew == 10'h3b && init ) ? pn_d59[6] :
3646 ( pn6_deskew == 10'h3c && init ) ? pn_d60[6] :
3647 ( pn6_deskew == 10'h3d && init ) ? pn_d61[6] :
3648 ( pn6_deskew == 10'h3e && init ) ? pn_d62[6] :
3649 ( pn6_deskew == 10'h3f && init ) ? pn_d63[6] :
3650 ( pn6_deskew == 10'h40 && init ) ? pn_d64[6] :
3651 ( pn6_deskew == 10'h41 && init ) ? pn_d65[6] :
3652 ( pn6_deskew == 10'h42 && init ) ? pn_d66[6] :
3653 ( pn6_deskew == 10'h43 && init ) ? pn_d67[6] :
3654 ( pn6_deskew == 10'h44 && init ) ? pn_d68[6] :
3655 ( pn6_deskew == 10'h45 && init ) ? pn_d69[6] :
3656 ( pn6_deskew == 10'h46 && init ) ? pn_d70[6] :
3657 ( pn6_deskew == 10'h47 && init ) ? pn_d71[6] :
3658 ( pn6_deskew == 10'h48 && init ) ? pn_d72[6] :
3659 ( pn6_deskew == 10'h49 && init ) ? pn_d73[6] :
3660 ( pn6_deskew == 10'h4a && init ) ? pn_d74[6] :
3661 ( pn6_deskew == 10'h4b && init ) ? pn_d75[6] :
3662 ( pn6_deskew == 10'h4c && init ) ? pn_d76[6] :
3663 ( pn6_deskew == 10'h4d && init ) ? pn_d77[6] :
3664 ( pn6_deskew == 10'h4e && init ) ? pn_d78[6] :
3665 ( pn6_deskew == 10'h4f && init ) ? pn_d79[6] :
3666 ( pn6_deskew == 10'h50 && init ) ? pn_d80[6] :
3667 ( pn6_deskew == 10'h51 && init ) ? pn_d81[6] :
3668 ( pn6_deskew == 10'h52 && init ) ? pn_d82[6] :
3669 ( pn6_deskew == 10'h53 && init ) ? pn_d83[6] :
3670 ( pn6_deskew == 10'h54 && init ) ? pn_d84[6] :
3671 ( pn6_deskew == 10'h55 && init ) ? pn_d85[6] :
3672 ( pn6_deskew == 10'h56 && init ) ? pn_d86[6] :
3673 ( pn6_deskew == 10'h57 && init ) ? pn_d87[6] :
3674 ( pn6_deskew == 10'h58 && init ) ? pn_d88[6] :
3675 ( pn6_deskew == 10'h59 && init ) ? pn_d89[6] :
3676 ( pn6_deskew == 10'h5a && init ) ? pn_d90[6] :
3677 ( pn6_deskew == 10'h5b && init ) ? pn_d91[6] :
3678 ( pn6_deskew == 10'h5c && init ) ? pn_d92[6] :
3679 ( pn6_deskew == 10'h5d && init ) ? pn_d93[6] :
3680 ( pn6_deskew == 10'h5e && init ) ? pn_d94[6] :
3681 ( pn6_deskew == 10'h5f && init ) ? pn_d95[6] :
3682 ( pn6_deskew == 10'h60 && init ) ? pn_d96[6] :
3683 ( pn6_deskew == 10'h61 && init ) ? pn_d97[6] :
3684 ( pn6_deskew == 10'h62 && init ) ? pn_d98[6] :
3685 ( pn6_deskew == 10'h63 && init ) ? pn_d99[6] :
3686 ( pn6_deskew == 10'h64 && init ) ? pn_d100[6] :
3687 ( pn6_deskew == 10'h65 && init ) ? pn_d101[6] :
3688 ( pn6_deskew == 10'h66 && init ) ? pn_d102[6] :
3689 ( pn6_deskew == 10'h67 && init ) ? pn_d103[6] :
3690 ( pn6_deskew == 10'h68 && init ) ? pn_d104[6] :
3691 ( pn6_deskew == 10'h69 && init ) ? pn_d105[6] :
3692 ( pn6_deskew == 10'h6a && init ) ? pn_d106[6] :
3693 ( pn6_deskew == 10'h6b && init ) ? pn_d107[6] :
3694 ( pn6_deskew == 10'h6c && init ) ? pn_d108[6] :
3695 ( pn6_deskew == 10'h6d && init ) ? pn_d109[6] :
3696 ( pn6_deskew == 10'h6e && init ) ? pn_d110[6] :
3697 ( pn6_deskew == 10'h6f && init ) ? pn_d111[6] :
3698 ( pn6_deskew == 10'h70 && init ) ? pn_d112[6] :
3699 ( pn6_deskew == 10'h71 && init ) ? pn_d113[6] :
3700 ( pn6_deskew == 10'h72 && init ) ? pn_d114[6] :
3701 ( pn6_deskew == 10'h73 && init ) ? pn_d115[6] :
3702 ( pn6_deskew == 10'h74 && init ) ? pn_d116[6] :
3703 ( pn6_deskew == 10'h75 && init ) ? pn_d117[6] :
3704 ( pn6_deskew == 10'h76 && init ) ? pn_d118[6] :
3705 ( pn6_deskew == 10'h77 && init ) ? pn_d119[6] :
3706 ( pn6_deskew == 10'h78 && init ) ? pn_d120[6] :
3707 ( pn6_deskew == 10'h79 && init ) ? pn_d121[6] :
3708 ( pn6_deskew == 10'h7a && init ) ? pn_d122[6] :
3709 ( pn6_deskew == 10'h7b && init ) ? pn_d123[6] :
3710 ( pn6_deskew == 10'h7c && init ) ? pn_d124[6] :
3711 ( pn6_deskew == 10'h7d && init ) ? pn_d125[6] :
3712 ( pn6_deskew == 10'h7e && init ) ? pn_d126[6] :
3713 ( pn6_deskew == 10'h7f && init ) ? pn_d127[6] :
3714 ( pn6_deskew == 10'h80 && init ) ? pn_d128[6] :
3715 ( pn6_deskew == 10'h81 && init ) ? pn_d129[6] :
3716 ( pn6_deskew == 10'h82 && init ) ? pn_d130[6] :
3717 ( pn6_deskew == 10'h83 && init ) ? pn_d131[6] :
3718 ( pn6_deskew == 10'h84 && init ) ? pn_d132[6] :
3719 ( pn6_deskew == 10'h85 && init ) ? pn_d133[6] :
3720 ( pn6_deskew == 10'h86 && init ) ? pn_d134[6] :
3721 ( pn6_deskew == 10'h87 && init ) ? pn_d135[6] :
3722 ( pn6_deskew == 10'h88 && init ) ? pn_d136[6] :
3723 ( pn6_deskew == 10'h89 && init ) ? pn_d137[6] :
3724 ( pn6_deskew == 10'h8a && init ) ? pn_d138[6] :
3725 ( pn6_deskew == 10'h8b && init ) ? pn_d139[6] :
3726 ( pn6_deskew == 10'h8c && init ) ? pn_d140[6] :
3727 ( pn6_deskew == 10'h8d && init ) ? pn_d141[6] :
3728 ( pn6_deskew == 10'h8e && init ) ? pn_d142[6] :
3729 ( pn6_deskew == 10'h8f && init ) ? pn_d143[6] :
3730 ( pn6_deskew == 10'h90 && init ) ? pn_d144[6] :
3731 ( pn6_deskew == 10'h91 && init ) ? pn_d145[6] : pn_in[6];
3732assign pn_out_local[7] = ( pn7_deskew == 10'h0 && init ) ? pn_in[7] :
3733 ( pn7_deskew == 10'h1 && init ) ? pn_d1[7] :
3734 ( pn7_deskew == 10'h2 && init ) ? pn_d2[7] :
3735 ( pn7_deskew == 10'h3 && init ) ? pn_d3[7] :
3736 ( pn7_deskew == 10'h4 && init ) ? pn_d4[7] :
3737 ( pn7_deskew == 10'h5 && init ) ? pn_d5[7] :
3738 ( pn7_deskew == 10'h6 && init ) ? pn_d6[7] :
3739 ( pn7_deskew == 10'h7 && init ) ? pn_d7[7] :
3740 ( pn7_deskew == 10'h8 && init ) ? pn_d8[7] :
3741 ( pn7_deskew == 10'h9 && init ) ? pn_d9[7] :
3742 ( pn7_deskew == 10'ha && init ) ? pn_d10[7] :
3743 ( pn7_deskew == 10'hb && init ) ? pn_d11[7] :
3744 ( pn7_deskew == 10'hc && init ) ? pn_d12[7] :
3745 ( pn7_deskew == 10'hd && init ) ? pn_d13[7] :
3746 ( pn7_deskew == 10'he && init ) ? pn_d14[7] :
3747 ( pn7_deskew == 10'hf && init ) ? pn_d15[7] :
3748 ( pn7_deskew == 10'h10 && init ) ? pn_d16[7] :
3749 ( pn7_deskew == 10'h11 && init ) ? pn_d17[7] :
3750 ( pn7_deskew == 10'h12 && init ) ? pn_d18[7] :
3751 ( pn7_deskew == 10'h13 && init ) ? pn_d19[7] :
3752 ( pn7_deskew == 10'h14 && init ) ? pn_d20[7] :
3753 ( pn7_deskew == 10'h15 && init ) ? pn_d21[7] :
3754 ( pn7_deskew == 10'h16 && init ) ? pn_d22[7] :
3755 ( pn7_deskew == 10'h17 && init ) ? pn_d23[7] :
3756 ( pn7_deskew == 10'h18 && init ) ? pn_d24[7] :
3757 ( pn7_deskew == 10'h19 && init ) ? pn_d25[7] :
3758 ( pn7_deskew == 10'h1a && init ) ? pn_d26[7] :
3759 ( pn7_deskew == 10'h1b && init ) ? pn_d27[7] :
3760 ( pn7_deskew == 10'h1c && init ) ? pn_d28[7] :
3761 ( pn7_deskew == 10'h1d && init ) ? pn_d29[7] :
3762 ( pn7_deskew == 10'h1e && init ) ? pn_d30[7] :
3763 ( pn7_deskew == 10'h1f && init ) ? pn_d31[7] :
3764 ( pn7_deskew == 10'h20 && init ) ? pn_d32[7] :
3765 ( pn7_deskew == 10'h21 && init ) ? pn_d33[7] :
3766 ( pn7_deskew == 10'h22 && init ) ? pn_d34[7] :
3767 ( pn7_deskew == 10'h23 && init ) ? pn_d35[7] :
3768 ( pn7_deskew == 10'h24 && init ) ? pn_d36[7] :
3769 ( pn7_deskew == 10'h25 && init ) ? pn_d37[7] :
3770 ( pn7_deskew == 10'h26 && init ) ? pn_d38[7] :
3771 ( pn7_deskew == 10'h27 && init ) ? pn_d39[7] :
3772 ( pn7_deskew == 10'h28 && init ) ? pn_d40[7] :
3773 ( pn7_deskew == 10'h29 && init ) ? pn_d41[7] :
3774 ( pn7_deskew == 10'h2a && init ) ? pn_d42[7] :
3775 ( pn7_deskew == 10'h2b && init ) ? pn_d43[7] :
3776 ( pn7_deskew == 10'h2c && init ) ? pn_d44[7] :
3777 ( pn7_deskew == 10'h2d && init ) ? pn_d45[7] :
3778 ( pn7_deskew == 10'h2e && init ) ? pn_d46[7] :
3779 ( pn7_deskew == 10'h2f && init ) ? pn_d47[7] :
3780 ( pn7_deskew == 10'h30 && init ) ? pn_d48[7] :
3781 ( pn7_deskew == 10'h31 && init ) ? pn_d49[7] :
3782 ( pn7_deskew == 10'h32 && init ) ? pn_d50[7] :
3783 ( pn7_deskew == 10'h33 && init ) ? pn_d51[7] :
3784 ( pn7_deskew == 10'h34 && init ) ? pn_d52[7] :
3785 ( pn7_deskew == 10'h35 && init ) ? pn_d53[7] :
3786 ( pn7_deskew == 10'h36 && init ) ? pn_d54[7] :
3787 ( pn7_deskew == 10'h37 && init ) ? pn_d55[7] :
3788 ( pn7_deskew == 10'h38 && init ) ? pn_d56[7] :
3789 ( pn7_deskew == 10'h39 && init ) ? pn_d57[7] :
3790 ( pn7_deskew == 10'h3a && init ) ? pn_d58[7] :
3791 ( pn7_deskew == 10'h3b && init ) ? pn_d59[7] :
3792 ( pn7_deskew == 10'h3c && init ) ? pn_d60[7] :
3793 ( pn7_deskew == 10'h3d && init ) ? pn_d61[7] :
3794 ( pn7_deskew == 10'h3e && init ) ? pn_d62[7] :
3795 ( pn7_deskew == 10'h3f && init ) ? pn_d63[7] :
3796 ( pn7_deskew == 10'h40 && init ) ? pn_d64[7] :
3797 ( pn7_deskew == 10'h41 && init ) ? pn_d65[7] :
3798 ( pn7_deskew == 10'h42 && init ) ? pn_d66[7] :
3799 ( pn7_deskew == 10'h43 && init ) ? pn_d67[7] :
3800 ( pn7_deskew == 10'h44 && init ) ? pn_d68[7] :
3801 ( pn7_deskew == 10'h45 && init ) ? pn_d69[7] :
3802 ( pn7_deskew == 10'h46 && init ) ? pn_d70[7] :
3803 ( pn7_deskew == 10'h47 && init ) ? pn_d71[7] :
3804 ( pn7_deskew == 10'h48 && init ) ? pn_d72[7] :
3805 ( pn7_deskew == 10'h49 && init ) ? pn_d73[7] :
3806 ( pn7_deskew == 10'h4a && init ) ? pn_d74[7] :
3807 ( pn7_deskew == 10'h4b && init ) ? pn_d75[7] :
3808 ( pn7_deskew == 10'h4c && init ) ? pn_d76[7] :
3809 ( pn7_deskew == 10'h4d && init ) ? pn_d77[7] :
3810 ( pn7_deskew == 10'h4e && init ) ? pn_d78[7] :
3811 ( pn7_deskew == 10'h4f && init ) ? pn_d79[7] :
3812 ( pn7_deskew == 10'h50 && init ) ? pn_d80[7] :
3813 ( pn7_deskew == 10'h51 && init ) ? pn_d81[7] :
3814 ( pn7_deskew == 10'h52 && init ) ? pn_d82[7] :
3815 ( pn7_deskew == 10'h53 && init ) ? pn_d83[7] :
3816 ( pn7_deskew == 10'h54 && init ) ? pn_d84[7] :
3817 ( pn7_deskew == 10'h55 && init ) ? pn_d85[7] :
3818 ( pn7_deskew == 10'h56 && init ) ? pn_d86[7] :
3819 ( pn7_deskew == 10'h57 && init ) ? pn_d87[7] :
3820 ( pn7_deskew == 10'h58 && init ) ? pn_d88[7] :
3821 ( pn7_deskew == 10'h59 && init ) ? pn_d89[7] :
3822 ( pn7_deskew == 10'h5a && init ) ? pn_d90[7] :
3823 ( pn7_deskew == 10'h5b && init ) ? pn_d91[7] :
3824 ( pn7_deskew == 10'h5c && init ) ? pn_d92[7] :
3825 ( pn7_deskew == 10'h5d && init ) ? pn_d93[7] :
3826 ( pn7_deskew == 10'h5e && init ) ? pn_d94[7] :
3827 ( pn7_deskew == 10'h5f && init ) ? pn_d95[7] :
3828 ( pn7_deskew == 10'h60 && init ) ? pn_d96[7] :
3829 ( pn7_deskew == 10'h61 && init ) ? pn_d97[7] :
3830 ( pn7_deskew == 10'h62 && init ) ? pn_d98[7] :
3831 ( pn7_deskew == 10'h63 && init ) ? pn_d99[7] :
3832 ( pn7_deskew == 10'h64 && init ) ? pn_d100[7] :
3833 ( pn7_deskew == 10'h65 && init ) ? pn_d101[7] :
3834 ( pn7_deskew == 10'h66 && init ) ? pn_d102[7] :
3835 ( pn7_deskew == 10'h67 && init ) ? pn_d103[7] :
3836 ( pn7_deskew == 10'h68 && init ) ? pn_d104[7] :
3837 ( pn7_deskew == 10'h69 && init ) ? pn_d105[7] :
3838 ( pn7_deskew == 10'h6a && init ) ? pn_d106[7] :
3839 ( pn7_deskew == 10'h6b && init ) ? pn_d107[7] :
3840 ( pn7_deskew == 10'h6c && init ) ? pn_d108[7] :
3841 ( pn7_deskew == 10'h6d && init ) ? pn_d109[7] :
3842 ( pn7_deskew == 10'h6e && init ) ? pn_d110[7] :
3843 ( pn7_deskew == 10'h6f && init ) ? pn_d111[7] :
3844 ( pn7_deskew == 10'h70 && init ) ? pn_d112[7] :
3845 ( pn7_deskew == 10'h71 && init ) ? pn_d113[7] :
3846 ( pn7_deskew == 10'h72 && init ) ? pn_d114[7] :
3847 ( pn7_deskew == 10'h73 && init ) ? pn_d115[7] :
3848 ( pn7_deskew == 10'h74 && init ) ? pn_d116[7] :
3849 ( pn7_deskew == 10'h75 && init ) ? pn_d117[7] :
3850 ( pn7_deskew == 10'h76 && init ) ? pn_d118[7] :
3851 ( pn7_deskew == 10'h77 && init ) ? pn_d119[7] :
3852 ( pn7_deskew == 10'h78 && init ) ? pn_d120[7] :
3853 ( pn7_deskew == 10'h79 && init ) ? pn_d121[7] :
3854 ( pn7_deskew == 10'h7a && init ) ? pn_d122[7] :
3855 ( pn7_deskew == 10'h7b && init ) ? pn_d123[7] :
3856 ( pn7_deskew == 10'h7c && init ) ? pn_d124[7] :
3857 ( pn7_deskew == 10'h7d && init ) ? pn_d125[7] :
3858 ( pn7_deskew == 10'h7e && init ) ? pn_d126[7] :
3859 ( pn7_deskew == 10'h7f && init ) ? pn_d127[7] :
3860 ( pn7_deskew == 10'h80 && init ) ? pn_d128[7] :
3861 ( pn7_deskew == 10'h81 && init ) ? pn_d129[7] :
3862 ( pn7_deskew == 10'h82 && init ) ? pn_d130[7] :
3863 ( pn7_deskew == 10'h83 && init ) ? pn_d131[7] :
3864 ( pn7_deskew == 10'h84 && init ) ? pn_d132[7] :
3865 ( pn7_deskew == 10'h85 && init ) ? pn_d133[7] :
3866 ( pn7_deskew == 10'h86 && init ) ? pn_d134[7] :
3867 ( pn7_deskew == 10'h87 && init ) ? pn_d135[7] :
3868 ( pn7_deskew == 10'h88 && init ) ? pn_d136[7] :
3869 ( pn7_deskew == 10'h89 && init ) ? pn_d137[7] :
3870 ( pn7_deskew == 10'h8a && init ) ? pn_d138[7] :
3871 ( pn7_deskew == 10'h8b && init ) ? pn_d139[7] :
3872 ( pn7_deskew == 10'h8c && init ) ? pn_d140[7] :
3873 ( pn7_deskew == 10'h8d && init ) ? pn_d141[7] :
3874 ( pn7_deskew == 10'h8e && init ) ? pn_d142[7] :
3875 ( pn7_deskew == 10'h8f && init ) ? pn_d143[7] :
3876 ( pn7_deskew == 10'h90 && init ) ? pn_d144[7] :
3877 ( pn7_deskew == 10'h91 && init ) ? pn_d145[7] : pn_in[7];
3878assign pn_out_local[8] = ( pn8_deskew == 10'h0 && init ) ? pn_in[8] :
3879 ( pn8_deskew == 10'h1 && init ) ? pn_d1[8] :
3880 ( pn8_deskew == 10'h2 && init ) ? pn_d2[8] :
3881 ( pn8_deskew == 10'h3 && init ) ? pn_d3[8] :
3882 ( pn8_deskew == 10'h4 && init ) ? pn_d4[8] :
3883 ( pn8_deskew == 10'h5 && init ) ? pn_d5[8] :
3884 ( pn8_deskew == 10'h6 && init ) ? pn_d6[8] :
3885 ( pn8_deskew == 10'h7 && init ) ? pn_d7[8] :
3886 ( pn8_deskew == 10'h8 && init ) ? pn_d8[8] :
3887 ( pn8_deskew == 10'h9 && init ) ? pn_d9[8] :
3888 ( pn8_deskew == 10'ha && init ) ? pn_d10[8] :
3889 ( pn8_deskew == 10'hb && init ) ? pn_d11[8] :
3890 ( pn8_deskew == 10'hc && init ) ? pn_d12[8] :
3891 ( pn8_deskew == 10'hd && init ) ? pn_d13[8] :
3892 ( pn8_deskew == 10'he && init ) ? pn_d14[8] :
3893 ( pn8_deskew == 10'hf && init ) ? pn_d15[8] :
3894 ( pn8_deskew == 10'h10 && init ) ? pn_d16[8] :
3895 ( pn8_deskew == 10'h11 && init ) ? pn_d17[8] :
3896 ( pn8_deskew == 10'h12 && init ) ? pn_d18[8] :
3897 ( pn8_deskew == 10'h13 && init ) ? pn_d19[8] :
3898 ( pn8_deskew == 10'h14 && init ) ? pn_d20[8] :
3899 ( pn8_deskew == 10'h15 && init ) ? pn_d21[8] :
3900 ( pn8_deskew == 10'h16 && init ) ? pn_d22[8] :
3901 ( pn8_deskew == 10'h17 && init ) ? pn_d23[8] :
3902 ( pn8_deskew == 10'h18 && init ) ? pn_d24[8] :
3903 ( pn8_deskew == 10'h19 && init ) ? pn_d25[8] :
3904 ( pn8_deskew == 10'h1a && init ) ? pn_d26[8] :
3905 ( pn8_deskew == 10'h1b && init ) ? pn_d27[8] :
3906 ( pn8_deskew == 10'h1c && init ) ? pn_d28[8] :
3907 ( pn8_deskew == 10'h1d && init ) ? pn_d29[8] :
3908 ( pn8_deskew == 10'h1e && init ) ? pn_d30[8] :
3909 ( pn8_deskew == 10'h1f && init ) ? pn_d31[8] :
3910 ( pn8_deskew == 10'h20 && init ) ? pn_d32[8] :
3911 ( pn8_deskew == 10'h21 && init ) ? pn_d33[8] :
3912 ( pn8_deskew == 10'h22 && init ) ? pn_d34[8] :
3913 ( pn8_deskew == 10'h23 && init ) ? pn_d35[8] :
3914 ( pn8_deskew == 10'h24 && init ) ? pn_d36[8] :
3915 ( pn8_deskew == 10'h25 && init ) ? pn_d37[8] :
3916 ( pn8_deskew == 10'h26 && init ) ? pn_d38[8] :
3917 ( pn8_deskew == 10'h27 && init ) ? pn_d39[8] :
3918 ( pn8_deskew == 10'h28 && init ) ? pn_d40[8] :
3919 ( pn8_deskew == 10'h29 && init ) ? pn_d41[8] :
3920 ( pn8_deskew == 10'h2a && init ) ? pn_d42[8] :
3921 ( pn8_deskew == 10'h2b && init ) ? pn_d43[8] :
3922 ( pn8_deskew == 10'h2c && init ) ? pn_d44[8] :
3923 ( pn8_deskew == 10'h2d && init ) ? pn_d45[8] :
3924 ( pn8_deskew == 10'h2e && init ) ? pn_d46[8] :
3925 ( pn8_deskew == 10'h2f && init ) ? pn_d47[8] :
3926 ( pn8_deskew == 10'h30 && init ) ? pn_d48[8] :
3927 ( pn8_deskew == 10'h31 && init ) ? pn_d49[8] :
3928 ( pn8_deskew == 10'h32 && init ) ? pn_d50[8] :
3929 ( pn8_deskew == 10'h33 && init ) ? pn_d51[8] :
3930 ( pn8_deskew == 10'h34 && init ) ? pn_d52[8] :
3931 ( pn8_deskew == 10'h35 && init ) ? pn_d53[8] :
3932 ( pn8_deskew == 10'h36 && init ) ? pn_d54[8] :
3933 ( pn8_deskew == 10'h37 && init ) ? pn_d55[8] :
3934 ( pn8_deskew == 10'h38 && init ) ? pn_d56[8] :
3935 ( pn8_deskew == 10'h39 && init ) ? pn_d57[8] :
3936 ( pn8_deskew == 10'h3a && init ) ? pn_d58[8] :
3937 ( pn8_deskew == 10'h3b && init ) ? pn_d59[8] :
3938 ( pn8_deskew == 10'h3c && init ) ? pn_d60[8] :
3939 ( pn8_deskew == 10'h3d && init ) ? pn_d61[8] :
3940 ( pn8_deskew == 10'h3e && init ) ? pn_d62[8] :
3941 ( pn8_deskew == 10'h3f && init ) ? pn_d63[8] :
3942 ( pn8_deskew == 10'h40 && init ) ? pn_d64[8] :
3943 ( pn8_deskew == 10'h41 && init ) ? pn_d65[8] :
3944 ( pn8_deskew == 10'h42 && init ) ? pn_d66[8] :
3945 ( pn8_deskew == 10'h43 && init ) ? pn_d67[8] :
3946 ( pn8_deskew == 10'h44 && init ) ? pn_d68[8] :
3947 ( pn8_deskew == 10'h45 && init ) ? pn_d69[8] :
3948 ( pn8_deskew == 10'h46 && init ) ? pn_d70[8] :
3949 ( pn8_deskew == 10'h47 && init ) ? pn_d71[8] :
3950 ( pn8_deskew == 10'h48 && init ) ? pn_d72[8] :
3951 ( pn8_deskew == 10'h49 && init ) ? pn_d73[8] :
3952 ( pn8_deskew == 10'h4a && init ) ? pn_d74[8] :
3953 ( pn8_deskew == 10'h4b && init ) ? pn_d75[8] :
3954 ( pn8_deskew == 10'h4c && init ) ? pn_d76[8] :
3955 ( pn8_deskew == 10'h4d && init ) ? pn_d77[8] :
3956 ( pn8_deskew == 10'h4e && init ) ? pn_d78[8] :
3957 ( pn8_deskew == 10'h4f && init ) ? pn_d79[8] :
3958 ( pn8_deskew == 10'h50 && init ) ? pn_d80[8] :
3959 ( pn8_deskew == 10'h51 && init ) ? pn_d81[8] :
3960 ( pn8_deskew == 10'h52 && init ) ? pn_d82[8] :
3961 ( pn8_deskew == 10'h53 && init ) ? pn_d83[8] :
3962 ( pn8_deskew == 10'h54 && init ) ? pn_d84[8] :
3963 ( pn8_deskew == 10'h55 && init ) ? pn_d85[8] :
3964 ( pn8_deskew == 10'h56 && init ) ? pn_d86[8] :
3965 ( pn8_deskew == 10'h57 && init ) ? pn_d87[8] :
3966 ( pn8_deskew == 10'h58 && init ) ? pn_d88[8] :
3967 ( pn8_deskew == 10'h59 && init ) ? pn_d89[8] :
3968 ( pn8_deskew == 10'h5a && init ) ? pn_d90[8] :
3969 ( pn8_deskew == 10'h5b && init ) ? pn_d91[8] :
3970 ( pn8_deskew == 10'h5c && init ) ? pn_d92[8] :
3971 ( pn8_deskew == 10'h5d && init ) ? pn_d93[8] :
3972 ( pn8_deskew == 10'h5e && init ) ? pn_d94[8] :
3973 ( pn8_deskew == 10'h5f && init ) ? pn_d95[8] :
3974 ( pn8_deskew == 10'h60 && init ) ? pn_d96[8] :
3975 ( pn8_deskew == 10'h61 && init ) ? pn_d97[8] :
3976 ( pn8_deskew == 10'h62 && init ) ? pn_d98[8] :
3977 ( pn8_deskew == 10'h63 && init ) ? pn_d99[8] :
3978 ( pn8_deskew == 10'h64 && init ) ? pn_d100[8] :
3979 ( pn8_deskew == 10'h65 && init ) ? pn_d101[8] :
3980 ( pn8_deskew == 10'h66 && init ) ? pn_d102[8] :
3981 ( pn8_deskew == 10'h67 && init ) ? pn_d103[8] :
3982 ( pn8_deskew == 10'h68 && init ) ? pn_d104[8] :
3983 ( pn8_deskew == 10'h69 && init ) ? pn_d105[8] :
3984 ( pn8_deskew == 10'h6a && init ) ? pn_d106[8] :
3985 ( pn8_deskew == 10'h6b && init ) ? pn_d107[8] :
3986 ( pn8_deskew == 10'h6c && init ) ? pn_d108[8] :
3987 ( pn8_deskew == 10'h6d && init ) ? pn_d109[8] :
3988 ( pn8_deskew == 10'h6e && init ) ? pn_d110[8] :
3989 ( pn8_deskew == 10'h6f && init ) ? pn_d111[8] :
3990 ( pn8_deskew == 10'h70 && init ) ? pn_d112[8] :
3991 ( pn8_deskew == 10'h71 && init ) ? pn_d113[8] :
3992 ( pn8_deskew == 10'h72 && init ) ? pn_d114[8] :
3993 ( pn8_deskew == 10'h73 && init ) ? pn_d115[8] :
3994 ( pn8_deskew == 10'h74 && init ) ? pn_d116[8] :
3995 ( pn8_deskew == 10'h75 && init ) ? pn_d117[8] :
3996 ( pn8_deskew == 10'h76 && init ) ? pn_d118[8] :
3997 ( pn8_deskew == 10'h77 && init ) ? pn_d119[8] :
3998 ( pn8_deskew == 10'h78 && init ) ? pn_d120[8] :
3999 ( pn8_deskew == 10'h79 && init ) ? pn_d121[8] :
4000 ( pn8_deskew == 10'h7a && init ) ? pn_d122[8] :
4001 ( pn8_deskew == 10'h7b && init ) ? pn_d123[8] :
4002 ( pn8_deskew == 10'h7c && init ) ? pn_d124[8] :
4003 ( pn8_deskew == 10'h7d && init ) ? pn_d125[8] :
4004 ( pn8_deskew == 10'h7e && init ) ? pn_d126[8] :
4005 ( pn8_deskew == 10'h7f && init ) ? pn_d127[8] :
4006 ( pn8_deskew == 10'h80 && init ) ? pn_d128[8] :
4007 ( pn8_deskew == 10'h81 && init ) ? pn_d129[8] :
4008 ( pn8_deskew == 10'h82 && init ) ? pn_d130[8] :
4009 ( pn8_deskew == 10'h83 && init ) ? pn_d131[8] :
4010 ( pn8_deskew == 10'h84 && init ) ? pn_d132[8] :
4011 ( pn8_deskew == 10'h85 && init ) ? pn_d133[8] :
4012 ( pn8_deskew == 10'h86 && init ) ? pn_d134[8] :
4013 ( pn8_deskew == 10'h87 && init ) ? pn_d135[8] :
4014 ( pn8_deskew == 10'h88 && init ) ? pn_d136[8] :
4015 ( pn8_deskew == 10'h89 && init ) ? pn_d137[8] :
4016 ( pn8_deskew == 10'h8a && init ) ? pn_d138[8] :
4017 ( pn8_deskew == 10'h8b && init ) ? pn_d139[8] :
4018 ( pn8_deskew == 10'h8c && init ) ? pn_d140[8] :
4019 ( pn8_deskew == 10'h8d && init ) ? pn_d141[8] :
4020 ( pn8_deskew == 10'h8e && init ) ? pn_d142[8] :
4021 ( pn8_deskew == 10'h8f && init ) ? pn_d143[8] :
4022 ( pn8_deskew == 10'h90 && init ) ? pn_d144[8] :
4023 ( pn8_deskew == 10'h91 && init ) ? pn_d145[8] : pn_in[8];
4024assign pn_out_local[9] = ( pn9_deskew == 10'h0 && init ) ? pn_in[9] :
4025 ( pn9_deskew == 10'h1 && init ) ? pn_d1[9] :
4026 ( pn9_deskew == 10'h2 && init ) ? pn_d2[9] :
4027 ( pn9_deskew == 10'h3 && init ) ? pn_d3[9] :
4028 ( pn9_deskew == 10'h4 && init ) ? pn_d4[9] :
4029 ( pn9_deskew == 10'h5 && init ) ? pn_d5[9] :
4030 ( pn9_deskew == 10'h6 && init ) ? pn_d6[9] :
4031 ( pn9_deskew == 10'h7 && init ) ? pn_d7[9] :
4032 ( pn9_deskew == 10'h8 && init ) ? pn_d8[9] :
4033 ( pn9_deskew == 10'h9 && init ) ? pn_d9[9] :
4034 ( pn9_deskew == 10'ha && init ) ? pn_d10[9] :
4035 ( pn9_deskew == 10'hb && init ) ? pn_d11[9] :
4036 ( pn9_deskew == 10'hc && init ) ? pn_d12[9] :
4037 ( pn9_deskew == 10'hd && init ) ? pn_d13[9] :
4038 ( pn9_deskew == 10'he && init ) ? pn_d14[9] :
4039 ( pn9_deskew == 10'hf && init ) ? pn_d15[9] :
4040 ( pn9_deskew == 10'h10 && init ) ? pn_d16[9] :
4041 ( pn9_deskew == 10'h11 && init ) ? pn_d17[9] :
4042 ( pn9_deskew == 10'h12 && init ) ? pn_d18[9] :
4043 ( pn9_deskew == 10'h13 && init ) ? pn_d19[9] :
4044 ( pn9_deskew == 10'h14 && init ) ? pn_d20[9] :
4045 ( pn9_deskew == 10'h15 && init ) ? pn_d21[9] :
4046 ( pn9_deskew == 10'h16 && init ) ? pn_d22[9] :
4047 ( pn9_deskew == 10'h17 && init ) ? pn_d23[9] :
4048 ( pn9_deskew == 10'h18 && init ) ? pn_d24[9] :
4049 ( pn9_deskew == 10'h19 && init ) ? pn_d25[9] :
4050 ( pn9_deskew == 10'h1a && init ) ? pn_d26[9] :
4051 ( pn9_deskew == 10'h1b && init ) ? pn_d27[9] :
4052 ( pn9_deskew == 10'h1c && init ) ? pn_d28[9] :
4053 ( pn9_deskew == 10'h1d && init ) ? pn_d29[9] :
4054 ( pn9_deskew == 10'h1e && init ) ? pn_d30[9] :
4055 ( pn9_deskew == 10'h1f && init ) ? pn_d31[9] :
4056 ( pn9_deskew == 10'h20 && init ) ? pn_d32[9] :
4057 ( pn9_deskew == 10'h21 && init ) ? pn_d33[9] :
4058 ( pn9_deskew == 10'h22 && init ) ? pn_d34[9] :
4059 ( pn9_deskew == 10'h23 && init ) ? pn_d35[9] :
4060 ( pn9_deskew == 10'h24 && init ) ? pn_d36[9] :
4061 ( pn9_deskew == 10'h25 && init ) ? pn_d37[9] :
4062 ( pn9_deskew == 10'h26 && init ) ? pn_d38[9] :
4063 ( pn9_deskew == 10'h27 && init ) ? pn_d39[9] :
4064 ( pn9_deskew == 10'h28 && init ) ? pn_d40[9] :
4065 ( pn9_deskew == 10'h29 && init ) ? pn_d41[9] :
4066 ( pn9_deskew == 10'h2a && init ) ? pn_d42[9] :
4067 ( pn9_deskew == 10'h2b && init ) ? pn_d43[9] :
4068 ( pn9_deskew == 10'h2c && init ) ? pn_d44[9] :
4069 ( pn9_deskew == 10'h2d && init ) ? pn_d45[9] :
4070 ( pn9_deskew == 10'h2e && init ) ? pn_d46[9] :
4071 ( pn9_deskew == 10'h2f && init ) ? pn_d47[9] :
4072 ( pn9_deskew == 10'h30 && init ) ? pn_d48[9] :
4073 ( pn9_deskew == 10'h31 && init ) ? pn_d49[9] :
4074 ( pn9_deskew == 10'h32 && init ) ? pn_d50[9] :
4075 ( pn9_deskew == 10'h33 && init ) ? pn_d51[9] :
4076 ( pn9_deskew == 10'h34 && init ) ? pn_d52[9] :
4077 ( pn9_deskew == 10'h35 && init ) ? pn_d53[9] :
4078 ( pn9_deskew == 10'h36 && init ) ? pn_d54[9] :
4079 ( pn9_deskew == 10'h37 && init ) ? pn_d55[9] :
4080 ( pn9_deskew == 10'h38 && init ) ? pn_d56[9] :
4081 ( pn9_deskew == 10'h39 && init ) ? pn_d57[9] :
4082 ( pn9_deskew == 10'h3a && init ) ? pn_d58[9] :
4083 ( pn9_deskew == 10'h3b && init ) ? pn_d59[9] :
4084 ( pn9_deskew == 10'h3c && init ) ? pn_d60[9] :
4085 ( pn9_deskew == 10'h3d && init ) ? pn_d61[9] :
4086 ( pn9_deskew == 10'h3e && init ) ? pn_d62[9] :
4087 ( pn9_deskew == 10'h3f && init ) ? pn_d63[9] :
4088 ( pn9_deskew == 10'h40 && init ) ? pn_d64[9] :
4089 ( pn9_deskew == 10'h41 && init ) ? pn_d65[9] :
4090 ( pn9_deskew == 10'h42 && init ) ? pn_d66[9] :
4091 ( pn9_deskew == 10'h43 && init ) ? pn_d67[9] :
4092 ( pn9_deskew == 10'h44 && init ) ? pn_d68[9] :
4093 ( pn9_deskew == 10'h45 && init ) ? pn_d69[9] :
4094 ( pn9_deskew == 10'h46 && init ) ? pn_d70[9] :
4095 ( pn9_deskew == 10'h47 && init ) ? pn_d71[9] :
4096 ( pn9_deskew == 10'h48 && init ) ? pn_d72[9] :
4097 ( pn9_deskew == 10'h49 && init ) ? pn_d73[9] :
4098 ( pn9_deskew == 10'h4a && init ) ? pn_d74[9] :
4099 ( pn9_deskew == 10'h4b && init ) ? pn_d75[9] :
4100 ( pn9_deskew == 10'h4c && init ) ? pn_d76[9] :
4101 ( pn9_deskew == 10'h4d && init ) ? pn_d77[9] :
4102 ( pn9_deskew == 10'h4e && init ) ? pn_d78[9] :
4103 ( pn9_deskew == 10'h4f && init ) ? pn_d79[9] :
4104 ( pn9_deskew == 10'h50 && init ) ? pn_d80[9] :
4105 ( pn9_deskew == 10'h51 && init ) ? pn_d81[9] :
4106 ( pn9_deskew == 10'h52 && init ) ? pn_d82[9] :
4107 ( pn9_deskew == 10'h53 && init ) ? pn_d83[9] :
4108 ( pn9_deskew == 10'h54 && init ) ? pn_d84[9] :
4109 ( pn9_deskew == 10'h55 && init ) ? pn_d85[9] :
4110 ( pn9_deskew == 10'h56 && init ) ? pn_d86[9] :
4111 ( pn9_deskew == 10'h57 && init ) ? pn_d87[9] :
4112 ( pn9_deskew == 10'h58 && init ) ? pn_d88[9] :
4113 ( pn9_deskew == 10'h59 && init ) ? pn_d89[9] :
4114 ( pn9_deskew == 10'h5a && init ) ? pn_d90[9] :
4115 ( pn9_deskew == 10'h5b && init ) ? pn_d91[9] :
4116 ( pn9_deskew == 10'h5c && init ) ? pn_d92[9] :
4117 ( pn9_deskew == 10'h5d && init ) ? pn_d93[9] :
4118 ( pn9_deskew == 10'h5e && init ) ? pn_d94[9] :
4119 ( pn9_deskew == 10'h5f && init ) ? pn_d95[9] :
4120 ( pn9_deskew == 10'h60 && init ) ? pn_d96[9] :
4121 ( pn9_deskew == 10'h61 && init ) ? pn_d97[9] :
4122 ( pn9_deskew == 10'h62 && init ) ? pn_d98[9] :
4123 ( pn9_deskew == 10'h63 && init ) ? pn_d99[9] :
4124 ( pn9_deskew == 10'h64 && init ) ? pn_d100[9] :
4125 ( pn9_deskew == 10'h65 && init ) ? pn_d101[9] :
4126 ( pn9_deskew == 10'h66 && init ) ? pn_d102[9] :
4127 ( pn9_deskew == 10'h67 && init ) ? pn_d103[9] :
4128 ( pn9_deskew == 10'h68 && init ) ? pn_d104[9] :
4129 ( pn9_deskew == 10'h69 && init ) ? pn_d105[9] :
4130 ( pn9_deskew == 10'h6a && init ) ? pn_d106[9] :
4131 ( pn9_deskew == 10'h6b && init ) ? pn_d107[9] :
4132 ( pn9_deskew == 10'h6c && init ) ? pn_d108[9] :
4133 ( pn9_deskew == 10'h6d && init ) ? pn_d109[9] :
4134 ( pn9_deskew == 10'h6e && init ) ? pn_d110[9] :
4135 ( pn9_deskew == 10'h6f && init ) ? pn_d111[9] :
4136 ( pn9_deskew == 10'h70 && init ) ? pn_d112[9] :
4137 ( pn9_deskew == 10'h71 && init ) ? pn_d113[9] :
4138 ( pn9_deskew == 10'h72 && init ) ? pn_d114[9] :
4139 ( pn9_deskew == 10'h73 && init ) ? pn_d115[9] :
4140 ( pn9_deskew == 10'h74 && init ) ? pn_d116[9] :
4141 ( pn9_deskew == 10'h75 && init ) ? pn_d117[9] :
4142 ( pn9_deskew == 10'h76 && init ) ? pn_d118[9] :
4143 ( pn9_deskew == 10'h77 && init ) ? pn_d119[9] :
4144 ( pn9_deskew == 10'h78 && init ) ? pn_d120[9] :
4145 ( pn9_deskew == 10'h79 && init ) ? pn_d121[9] :
4146 ( pn9_deskew == 10'h7a && init ) ? pn_d122[9] :
4147 ( pn9_deskew == 10'h7b && init ) ? pn_d123[9] :
4148 ( pn9_deskew == 10'h7c && init ) ? pn_d124[9] :
4149 ( pn9_deskew == 10'h7d && init ) ? pn_d125[9] :
4150 ( pn9_deskew == 10'h7e && init ) ? pn_d126[9] :
4151 ( pn9_deskew == 10'h7f && init ) ? pn_d127[9] :
4152 ( pn9_deskew == 10'h80 && init ) ? pn_d128[9] :
4153 ( pn9_deskew == 10'h81 && init ) ? pn_d129[9] :
4154 ( pn9_deskew == 10'h82 && init ) ? pn_d130[9] :
4155 ( pn9_deskew == 10'h83 && init ) ? pn_d131[9] :
4156 ( pn9_deskew == 10'h84 && init ) ? pn_d132[9] :
4157 ( pn9_deskew == 10'h85 && init ) ? pn_d133[9] :
4158 ( pn9_deskew == 10'h86 && init ) ? pn_d134[9] :
4159 ( pn9_deskew == 10'h87 && init ) ? pn_d135[9] :
4160 ( pn9_deskew == 10'h88 && init ) ? pn_d136[9] :
4161 ( pn9_deskew == 10'h89 && init ) ? pn_d137[9] :
4162 ( pn9_deskew == 10'h8a && init ) ? pn_d138[9] :
4163 ( pn9_deskew == 10'h8b && init ) ? pn_d139[9] :
4164 ( pn9_deskew == 10'h8c && init ) ? pn_d140[9] :
4165 ( pn9_deskew == 10'h8d && init ) ? pn_d141[9] :
4166 ( pn9_deskew == 10'h8e && init ) ? pn_d142[9] :
4167 ( pn9_deskew == 10'h8f && init ) ? pn_d143[9] :
4168 ( pn9_deskew == 10'h90 && init ) ? pn_d144[9] :
4169 ( pn9_deskew == 10'h91 && init ) ? pn_d145[9] : pn_in[9];
4170assign pn_out_local[10] = ( pn10_deskew == 10'h0 && init ) ? pn_in[10] :
4171 ( pn10_deskew == 10'h1 && init ) ? pn_d1[10] :
4172 ( pn10_deskew == 10'h2 && init ) ? pn_d2[10] :
4173 ( pn10_deskew == 10'h3 && init ) ? pn_d3[10] :
4174 ( pn10_deskew == 10'h4 && init ) ? pn_d4[10] :
4175 ( pn10_deskew == 10'h5 && init ) ? pn_d5[10] :
4176 ( pn10_deskew == 10'h6 && init ) ? pn_d6[10] :
4177 ( pn10_deskew == 10'h7 && init ) ? pn_d7[10] :
4178 ( pn10_deskew == 10'h8 && init ) ? pn_d8[10] :
4179 ( pn10_deskew == 10'h9 && init ) ? pn_d9[10] :
4180 ( pn10_deskew == 10'ha && init ) ? pn_d10[10] :
4181 ( pn10_deskew == 10'hb && init ) ? pn_d11[10] :
4182 ( pn10_deskew == 10'hc && init ) ? pn_d12[10] :
4183 ( pn10_deskew == 10'hd && init ) ? pn_d13[10] :
4184 ( pn10_deskew == 10'he && init ) ? pn_d14[10] :
4185 ( pn10_deskew == 10'hf && init ) ? pn_d15[10] :
4186 ( pn10_deskew == 10'h10 && init ) ? pn_d16[10] :
4187 ( pn10_deskew == 10'h11 && init ) ? pn_d17[10] :
4188 ( pn10_deskew == 10'h12 && init ) ? pn_d18[10] :
4189 ( pn10_deskew == 10'h13 && init ) ? pn_d19[10] :
4190 ( pn10_deskew == 10'h14 && init ) ? pn_d20[10] :
4191 ( pn10_deskew == 10'h15 && init ) ? pn_d21[10] :
4192 ( pn10_deskew == 10'h16 && init ) ? pn_d22[10] :
4193 ( pn10_deskew == 10'h17 && init ) ? pn_d23[10] :
4194 ( pn10_deskew == 10'h18 && init ) ? pn_d24[10] :
4195 ( pn10_deskew == 10'h19 && init ) ? pn_d25[10] :
4196 ( pn10_deskew == 10'h1a && init ) ? pn_d26[10] :
4197 ( pn10_deskew == 10'h1b && init ) ? pn_d27[10] :
4198 ( pn10_deskew == 10'h1c && init ) ? pn_d28[10] :
4199 ( pn10_deskew == 10'h1d && init ) ? pn_d29[10] :
4200 ( pn10_deskew == 10'h1e && init ) ? pn_d30[10] :
4201 ( pn10_deskew == 10'h1f && init ) ? pn_d31[10] :
4202 ( pn10_deskew == 10'h20 && init ) ? pn_d32[10] :
4203 ( pn10_deskew == 10'h21 && init ) ? pn_d33[10] :
4204 ( pn10_deskew == 10'h22 && init ) ? pn_d34[10] :
4205 ( pn10_deskew == 10'h23 && init ) ? pn_d35[10] :
4206 ( pn10_deskew == 10'h24 && init ) ? pn_d36[10] :
4207 ( pn10_deskew == 10'h25 && init ) ? pn_d37[10] :
4208 ( pn10_deskew == 10'h26 && init ) ? pn_d38[10] :
4209 ( pn10_deskew == 10'h27 && init ) ? pn_d39[10] :
4210 ( pn10_deskew == 10'h28 && init ) ? pn_d40[10] :
4211 ( pn10_deskew == 10'h29 && init ) ? pn_d41[10] :
4212 ( pn10_deskew == 10'h2a && init ) ? pn_d42[10] :
4213 ( pn10_deskew == 10'h2b && init ) ? pn_d43[10] :
4214 ( pn10_deskew == 10'h2c && init ) ? pn_d44[10] :
4215 ( pn10_deskew == 10'h2d && init ) ? pn_d45[10] :
4216 ( pn10_deskew == 10'h2e && init ) ? pn_d46[10] :
4217 ( pn10_deskew == 10'h2f && init ) ? pn_d47[10] :
4218 ( pn10_deskew == 10'h30 && init ) ? pn_d48[10] :
4219 ( pn10_deskew == 10'h31 && init ) ? pn_d49[10] :
4220 ( pn10_deskew == 10'h32 && init ) ? pn_d50[10] :
4221 ( pn10_deskew == 10'h33 && init ) ? pn_d51[10] :
4222 ( pn10_deskew == 10'h34 && init ) ? pn_d52[10] :
4223 ( pn10_deskew == 10'h35 && init ) ? pn_d53[10] :
4224 ( pn10_deskew == 10'h36 && init ) ? pn_d54[10] :
4225 ( pn10_deskew == 10'h37 && init ) ? pn_d55[10] :
4226 ( pn10_deskew == 10'h38 && init ) ? pn_d56[10] :
4227 ( pn10_deskew == 10'h39 && init ) ? pn_d57[10] :
4228 ( pn10_deskew == 10'h3a && init ) ? pn_d58[10] :
4229 ( pn10_deskew == 10'h3b && init ) ? pn_d59[10] :
4230 ( pn10_deskew == 10'h3c && init ) ? pn_d60[10] :
4231 ( pn10_deskew == 10'h3d && init ) ? pn_d61[10] :
4232 ( pn10_deskew == 10'h3e && init ) ? pn_d62[10] :
4233 ( pn10_deskew == 10'h3f && init ) ? pn_d63[10] :
4234 ( pn10_deskew == 10'h40 && init ) ? pn_d64[10] :
4235 ( pn10_deskew == 10'h41 && init ) ? pn_d65[10] :
4236 ( pn10_deskew == 10'h42 && init ) ? pn_d66[10] :
4237 ( pn10_deskew == 10'h43 && init ) ? pn_d67[10] :
4238 ( pn10_deskew == 10'h44 && init ) ? pn_d68[10] :
4239 ( pn10_deskew == 10'h45 && init ) ? pn_d69[10] :
4240 ( pn10_deskew == 10'h46 && init ) ? pn_d70[10] :
4241 ( pn10_deskew == 10'h47 && init ) ? pn_d71[10] :
4242 ( pn10_deskew == 10'h48 && init ) ? pn_d72[10] :
4243 ( pn10_deskew == 10'h49 && init ) ? pn_d73[10] :
4244 ( pn10_deskew == 10'h4a && init ) ? pn_d74[10] :
4245 ( pn10_deskew == 10'h4b && init ) ? pn_d75[10] :
4246 ( pn10_deskew == 10'h4c && init ) ? pn_d76[10] :
4247 ( pn10_deskew == 10'h4d && init ) ? pn_d77[10] :
4248 ( pn10_deskew == 10'h4e && init ) ? pn_d78[10] :
4249 ( pn10_deskew == 10'h4f && init ) ? pn_d79[10] :
4250 ( pn10_deskew == 10'h50 && init ) ? pn_d80[10] :
4251 ( pn10_deskew == 10'h51 && init ) ? pn_d81[10] :
4252 ( pn10_deskew == 10'h52 && init ) ? pn_d82[10] :
4253 ( pn10_deskew == 10'h53 && init ) ? pn_d83[10] :
4254 ( pn10_deskew == 10'h54 && init ) ? pn_d84[10] :
4255 ( pn10_deskew == 10'h55 && init ) ? pn_d85[10] :
4256 ( pn10_deskew == 10'h56 && init ) ? pn_d86[10] :
4257 ( pn10_deskew == 10'h57 && init ) ? pn_d87[10] :
4258 ( pn10_deskew == 10'h58 && init ) ? pn_d88[10] :
4259 ( pn10_deskew == 10'h59 && init ) ? pn_d89[10] :
4260 ( pn10_deskew == 10'h5a && init ) ? pn_d90[10] :
4261 ( pn10_deskew == 10'h5b && init ) ? pn_d91[10] :
4262 ( pn10_deskew == 10'h5c && init ) ? pn_d92[10] :
4263 ( pn10_deskew == 10'h5d && init ) ? pn_d93[10] :
4264 ( pn10_deskew == 10'h5e && init ) ? pn_d94[10] :
4265 ( pn10_deskew == 10'h5f && init ) ? pn_d95[10] :
4266 ( pn10_deskew == 10'h60 && init ) ? pn_d96[10] :
4267 ( pn10_deskew == 10'h61 && init ) ? pn_d97[10] :
4268 ( pn10_deskew == 10'h62 && init ) ? pn_d98[10] :
4269 ( pn10_deskew == 10'h63 && init ) ? pn_d99[10] :
4270 ( pn10_deskew == 10'h64 && init ) ? pn_d100[10] :
4271 ( pn10_deskew == 10'h65 && init ) ? pn_d101[10] :
4272 ( pn10_deskew == 10'h66 && init ) ? pn_d102[10] :
4273 ( pn10_deskew == 10'h67 && init ) ? pn_d103[10] :
4274 ( pn10_deskew == 10'h68 && init ) ? pn_d104[10] :
4275 ( pn10_deskew == 10'h69 && init ) ? pn_d105[10] :
4276 ( pn10_deskew == 10'h6a && init ) ? pn_d106[10] :
4277 ( pn10_deskew == 10'h6b && init ) ? pn_d107[10] :
4278 ( pn10_deskew == 10'h6c && init ) ? pn_d108[10] :
4279 ( pn10_deskew == 10'h6d && init ) ? pn_d109[10] :
4280 ( pn10_deskew == 10'h6e && init ) ? pn_d110[10] :
4281 ( pn10_deskew == 10'h6f && init ) ? pn_d111[10] :
4282 ( pn10_deskew == 10'h70 && init ) ? pn_d112[10] :
4283 ( pn10_deskew == 10'h71 && init ) ? pn_d113[10] :
4284 ( pn10_deskew == 10'h72 && init ) ? pn_d114[10] :
4285 ( pn10_deskew == 10'h73 && init ) ? pn_d115[10] :
4286 ( pn10_deskew == 10'h74 && init ) ? pn_d116[10] :
4287 ( pn10_deskew == 10'h75 && init ) ? pn_d117[10] :
4288 ( pn10_deskew == 10'h76 && init ) ? pn_d118[10] :
4289 ( pn10_deskew == 10'h77 && init ) ? pn_d119[10] :
4290 ( pn10_deskew == 10'h78 && init ) ? pn_d120[10] :
4291 ( pn10_deskew == 10'h79 && init ) ? pn_d121[10] :
4292 ( pn10_deskew == 10'h7a && init ) ? pn_d122[10] :
4293 ( pn10_deskew == 10'h7b && init ) ? pn_d123[10] :
4294 ( pn10_deskew == 10'h7c && init ) ? pn_d124[10] :
4295 ( pn10_deskew == 10'h7d && init ) ? pn_d125[10] :
4296 ( pn10_deskew == 10'h7e && init ) ? pn_d126[10] :
4297 ( pn10_deskew == 10'h7f && init ) ? pn_d127[10] :
4298 ( pn10_deskew == 10'h80 && init ) ? pn_d128[10] :
4299 ( pn10_deskew == 10'h81 && init ) ? pn_d129[10] :
4300 ( pn10_deskew == 10'h82 && init ) ? pn_d130[10] :
4301 ( pn10_deskew == 10'h83 && init ) ? pn_d131[10] :
4302 ( pn10_deskew == 10'h84 && init ) ? pn_d132[10] :
4303 ( pn10_deskew == 10'h85 && init ) ? pn_d133[10] :
4304 ( pn10_deskew == 10'h86 && init ) ? pn_d134[10] :
4305 ( pn10_deskew == 10'h87 && init ) ? pn_d135[10] :
4306 ( pn10_deskew == 10'h88 && init ) ? pn_d136[10] :
4307 ( pn10_deskew == 10'h89 && init ) ? pn_d137[10] :
4308 ( pn10_deskew == 10'h8a && init ) ? pn_d138[10] :
4309 ( pn10_deskew == 10'h8b && init ) ? pn_d139[10] :
4310 ( pn10_deskew == 10'h8c && init ) ? pn_d140[10] :
4311 ( pn10_deskew == 10'h8d && init ) ? pn_d141[10] :
4312 ( pn10_deskew == 10'h8e && init ) ? pn_d142[10] :
4313 ( pn10_deskew == 10'h8f && init ) ? pn_d143[10] :
4314 ( pn10_deskew == 10'h90 && init ) ? pn_d144[10] :
4315 ( pn10_deskew == 10'h91 && init ) ? pn_d145[10] : pn_in[10];
4316assign pn_out_local[11] = ( pn11_deskew == 10'h0 && init ) ? pn_in[11] :
4317 ( pn11_deskew == 10'h1 && init ) ? pn_d1[11] :
4318 ( pn11_deskew == 10'h2 && init ) ? pn_d2[11] :
4319 ( pn11_deskew == 10'h3 && init ) ? pn_d3[11] :
4320 ( pn11_deskew == 10'h4 && init ) ? pn_d4[11] :
4321 ( pn11_deskew == 10'h5 && init ) ? pn_d5[11] :
4322 ( pn11_deskew == 10'h6 && init ) ? pn_d6[11] :
4323 ( pn11_deskew == 10'h7 && init ) ? pn_d7[11] :
4324 ( pn11_deskew == 10'h8 && init ) ? pn_d8[11] :
4325 ( pn11_deskew == 10'h9 && init ) ? pn_d9[11] :
4326 ( pn11_deskew == 10'ha && init ) ? pn_d10[11] :
4327 ( pn11_deskew == 10'hb && init ) ? pn_d11[11] :
4328 ( pn11_deskew == 10'hc && init ) ? pn_d12[11] :
4329 ( pn11_deskew == 10'hd && init ) ? pn_d13[11] :
4330 ( pn11_deskew == 10'he && init ) ? pn_d14[11] :
4331 ( pn11_deskew == 10'hf && init ) ? pn_d15[11] :
4332 ( pn11_deskew == 10'h10 && init ) ? pn_d16[11] :
4333 ( pn11_deskew == 10'h11 && init ) ? pn_d17[11] :
4334 ( pn11_deskew == 10'h12 && init ) ? pn_d18[11] :
4335 ( pn11_deskew == 10'h13 && init ) ? pn_d19[11] :
4336 ( pn11_deskew == 10'h14 && init ) ? pn_d20[11] :
4337 ( pn11_deskew == 10'h15 && init ) ? pn_d21[11] :
4338 ( pn11_deskew == 10'h16 && init ) ? pn_d22[11] :
4339 ( pn11_deskew == 10'h17 && init ) ? pn_d23[11] :
4340 ( pn11_deskew == 10'h18 && init ) ? pn_d24[11] :
4341 ( pn11_deskew == 10'h19 && init ) ? pn_d25[11] :
4342 ( pn11_deskew == 10'h1a && init ) ? pn_d26[11] :
4343 ( pn11_deskew == 10'h1b && init ) ? pn_d27[11] :
4344 ( pn11_deskew == 10'h1c && init ) ? pn_d28[11] :
4345 ( pn11_deskew == 10'h1d && init ) ? pn_d29[11] :
4346 ( pn11_deskew == 10'h1e && init ) ? pn_d30[11] :
4347 ( pn11_deskew == 10'h1f && init ) ? pn_d31[11] :
4348 ( pn11_deskew == 10'h20 && init ) ? pn_d32[11] :
4349 ( pn11_deskew == 10'h21 && init ) ? pn_d33[11] :
4350 ( pn11_deskew == 10'h22 && init ) ? pn_d34[11] :
4351 ( pn11_deskew == 10'h23 && init ) ? pn_d35[11] :
4352 ( pn11_deskew == 10'h24 && init ) ? pn_d36[11] :
4353 ( pn11_deskew == 10'h25 && init ) ? pn_d37[11] :
4354 ( pn11_deskew == 10'h26 && init ) ? pn_d38[11] :
4355 ( pn11_deskew == 10'h27 && init ) ? pn_d39[11] :
4356 ( pn11_deskew == 10'h28 && init ) ? pn_d40[11] :
4357 ( pn11_deskew == 10'h29 && init ) ? pn_d41[11] :
4358 ( pn11_deskew == 10'h2a && init ) ? pn_d42[11] :
4359 ( pn11_deskew == 10'h2b && init ) ? pn_d43[11] :
4360 ( pn11_deskew == 10'h2c && init ) ? pn_d44[11] :
4361 ( pn11_deskew == 10'h2d && init ) ? pn_d45[11] :
4362 ( pn11_deskew == 10'h2e && init ) ? pn_d46[11] :
4363 ( pn11_deskew == 10'h2f && init ) ? pn_d47[11] :
4364 ( pn11_deskew == 10'h30 && init ) ? pn_d48[11] :
4365 ( pn11_deskew == 10'h31 && init ) ? pn_d49[11] :
4366 ( pn11_deskew == 10'h32 && init ) ? pn_d50[11] :
4367 ( pn11_deskew == 10'h33 && init ) ? pn_d51[11] :
4368 ( pn11_deskew == 10'h34 && init ) ? pn_d52[11] :
4369 ( pn11_deskew == 10'h35 && init ) ? pn_d53[11] :
4370 ( pn11_deskew == 10'h36 && init ) ? pn_d54[11] :
4371 ( pn11_deskew == 10'h37 && init ) ? pn_d55[11] :
4372 ( pn11_deskew == 10'h38 && init ) ? pn_d56[11] :
4373 ( pn11_deskew == 10'h39 && init ) ? pn_d57[11] :
4374 ( pn11_deskew == 10'h3a && init ) ? pn_d58[11] :
4375 ( pn11_deskew == 10'h3b && init ) ? pn_d59[11] :
4376 ( pn11_deskew == 10'h3c && init ) ? pn_d60[11] :
4377 ( pn11_deskew == 10'h3d && init ) ? pn_d61[11] :
4378 ( pn11_deskew == 10'h3e && init ) ? pn_d62[11] :
4379 ( pn11_deskew == 10'h3f && init ) ? pn_d63[11] :
4380 ( pn11_deskew == 10'h40 && init ) ? pn_d64[11] :
4381 ( pn11_deskew == 10'h41 && init ) ? pn_d65[11] :
4382 ( pn11_deskew == 10'h42 && init ) ? pn_d66[11] :
4383 ( pn11_deskew == 10'h43 && init ) ? pn_d67[11] :
4384 ( pn11_deskew == 10'h44 && init ) ? pn_d68[11] :
4385 ( pn11_deskew == 10'h45 && init ) ? pn_d69[11] :
4386 ( pn11_deskew == 10'h46 && init ) ? pn_d70[11] :
4387 ( pn11_deskew == 10'h47 && init ) ? pn_d71[11] :
4388 ( pn11_deskew == 10'h48 && init ) ? pn_d72[11] :
4389 ( pn11_deskew == 10'h49 && init ) ? pn_d73[11] :
4390 ( pn11_deskew == 10'h4a && init ) ? pn_d74[11] :
4391 ( pn11_deskew == 10'h4b && init ) ? pn_d75[11] :
4392 ( pn11_deskew == 10'h4c && init ) ? pn_d76[11] :
4393 ( pn11_deskew == 10'h4d && init ) ? pn_d77[11] :
4394 ( pn11_deskew == 10'h4e && init ) ? pn_d78[11] :
4395 ( pn11_deskew == 10'h4f && init ) ? pn_d79[11] :
4396 ( pn11_deskew == 10'h50 && init ) ? pn_d80[11] :
4397 ( pn11_deskew == 10'h51 && init ) ? pn_d81[11] :
4398 ( pn11_deskew == 10'h52 && init ) ? pn_d82[11] :
4399 ( pn11_deskew == 10'h53 && init ) ? pn_d83[11] :
4400 ( pn11_deskew == 10'h54 && init ) ? pn_d84[11] :
4401 ( pn11_deskew == 10'h55 && init ) ? pn_d85[11] :
4402 ( pn11_deskew == 10'h56 && init ) ? pn_d86[11] :
4403 ( pn11_deskew == 10'h57 && init ) ? pn_d87[11] :
4404 ( pn11_deskew == 10'h58 && init ) ? pn_d88[11] :
4405 ( pn11_deskew == 10'h59 && init ) ? pn_d89[11] :
4406 ( pn11_deskew == 10'h5a && init ) ? pn_d90[11] :
4407 ( pn11_deskew == 10'h5b && init ) ? pn_d91[11] :
4408 ( pn11_deskew == 10'h5c && init ) ? pn_d92[11] :
4409 ( pn11_deskew == 10'h5d && init ) ? pn_d93[11] :
4410 ( pn11_deskew == 10'h5e && init ) ? pn_d94[11] :
4411 ( pn11_deskew == 10'h5f && init ) ? pn_d95[11] :
4412 ( pn11_deskew == 10'h60 && init ) ? pn_d96[11] :
4413 ( pn11_deskew == 10'h61 && init ) ? pn_d97[11] :
4414 ( pn11_deskew == 10'h62 && init ) ? pn_d98[11] :
4415 ( pn11_deskew == 10'h63 && init ) ? pn_d99[11] :
4416 ( pn11_deskew == 10'h64 && init ) ? pn_d100[11] :
4417 ( pn11_deskew == 10'h65 && init ) ? pn_d101[11] :
4418 ( pn11_deskew == 10'h66 && init ) ? pn_d102[11] :
4419 ( pn11_deskew == 10'h67 && init ) ? pn_d103[11] :
4420 ( pn11_deskew == 10'h68 && init ) ? pn_d104[11] :
4421 ( pn11_deskew == 10'h69 && init ) ? pn_d105[11] :
4422 ( pn11_deskew == 10'h6a && init ) ? pn_d106[11] :
4423 ( pn11_deskew == 10'h6b && init ) ? pn_d107[11] :
4424 ( pn11_deskew == 10'h6c && init ) ? pn_d108[11] :
4425 ( pn11_deskew == 10'h6d && init ) ? pn_d109[11] :
4426 ( pn11_deskew == 10'h6e && init ) ? pn_d110[11] :
4427 ( pn11_deskew == 10'h6f && init ) ? pn_d111[11] :
4428 ( pn11_deskew == 10'h70 && init ) ? pn_d112[11] :
4429 ( pn11_deskew == 10'h71 && init ) ? pn_d113[11] :
4430 ( pn11_deskew == 10'h72 && init ) ? pn_d114[11] :
4431 ( pn11_deskew == 10'h73 && init ) ? pn_d115[11] :
4432 ( pn11_deskew == 10'h74 && init ) ? pn_d116[11] :
4433 ( pn11_deskew == 10'h75 && init ) ? pn_d117[11] :
4434 ( pn11_deskew == 10'h76 && init ) ? pn_d118[11] :
4435 ( pn11_deskew == 10'h77 && init ) ? pn_d119[11] :
4436 ( pn11_deskew == 10'h78 && init ) ? pn_d120[11] :
4437 ( pn11_deskew == 10'h79 && init ) ? pn_d121[11] :
4438 ( pn11_deskew == 10'h7a && init ) ? pn_d122[11] :
4439 ( pn11_deskew == 10'h7b && init ) ? pn_d123[11] :
4440 ( pn11_deskew == 10'h7c && init ) ? pn_d124[11] :
4441 ( pn11_deskew == 10'h7d && init ) ? pn_d125[11] :
4442 ( pn11_deskew == 10'h7e && init ) ? pn_d126[11] :
4443 ( pn11_deskew == 10'h7f && init ) ? pn_d127[11] :
4444 ( pn11_deskew == 10'h80 && init ) ? pn_d128[11] :
4445 ( pn11_deskew == 10'h81 && init ) ? pn_d129[11] :
4446 ( pn11_deskew == 10'h82 && init ) ? pn_d130[11] :
4447 ( pn11_deskew == 10'h83 && init ) ? pn_d131[11] :
4448 ( pn11_deskew == 10'h84 && init ) ? pn_d132[11] :
4449 ( pn11_deskew == 10'h85 && init ) ? pn_d133[11] :
4450 ( pn11_deskew == 10'h86 && init ) ? pn_d134[11] :
4451 ( pn11_deskew == 10'h87 && init ) ? pn_d135[11] :
4452 ( pn11_deskew == 10'h88 && init ) ? pn_d136[11] :
4453 ( pn11_deskew == 10'h89 && init ) ? pn_d137[11] :
4454 ( pn11_deskew == 10'h8a && init ) ? pn_d138[11] :
4455 ( pn11_deskew == 10'h8b && init ) ? pn_d139[11] :
4456 ( pn11_deskew == 10'h8c && init ) ? pn_d140[11] :
4457 ( pn11_deskew == 10'h8d && init ) ? pn_d141[11] :
4458 ( pn11_deskew == 10'h8e && init ) ? pn_d142[11] :
4459 ( pn11_deskew == 10'h8f && init ) ? pn_d143[11] :
4460 ( pn11_deskew == 10'h90 && init ) ? pn_d144[11] :
4461 ( pn11_deskew == 10'h91 && init ) ? pn_d145[11] : pn_in[11];
4462assign pn_out_local[12] = ( pn12_deskew == 10'h0 && init ) ? pn_in[12] :
4463 ( pn12_deskew == 10'h1 && init ) ? pn_d1[12] :
4464 ( pn12_deskew == 10'h2 && init ) ? pn_d2[12] :
4465 ( pn12_deskew == 10'h3 && init ) ? pn_d3[12] :
4466 ( pn12_deskew == 10'h4 && init ) ? pn_d4[12] :
4467 ( pn12_deskew == 10'h5 && init ) ? pn_d5[12] :
4468 ( pn12_deskew == 10'h6 && init ) ? pn_d6[12] :
4469 ( pn12_deskew == 10'h7 && init ) ? pn_d7[12] :
4470 ( pn12_deskew == 10'h8 && init ) ? pn_d8[12] :
4471 ( pn12_deskew == 10'h9 && init ) ? pn_d9[12] :
4472 ( pn12_deskew == 10'ha && init ) ? pn_d10[12] :
4473 ( pn12_deskew == 10'hb && init ) ? pn_d11[12] :
4474 ( pn12_deskew == 10'hc && init ) ? pn_d12[12] :
4475 ( pn12_deskew == 10'hd && init ) ? pn_d13[12] :
4476 ( pn12_deskew == 10'he && init ) ? pn_d14[12] :
4477 ( pn12_deskew == 10'hf && init ) ? pn_d15[12] :
4478 ( pn12_deskew == 10'h10 && init ) ? pn_d16[12] :
4479 ( pn12_deskew == 10'h11 && init ) ? pn_d17[12] :
4480 ( pn12_deskew == 10'h12 && init ) ? pn_d18[12] :
4481 ( pn12_deskew == 10'h13 && init ) ? pn_d19[12] :
4482 ( pn12_deskew == 10'h14 && init ) ? pn_d20[12] :
4483 ( pn12_deskew == 10'h15 && init ) ? pn_d21[12] :
4484 ( pn12_deskew == 10'h16 && init ) ? pn_d22[12] :
4485 ( pn12_deskew == 10'h17 && init ) ? pn_d23[12] :
4486 ( pn12_deskew == 10'h18 && init ) ? pn_d24[12] :
4487 ( pn12_deskew == 10'h19 && init ) ? pn_d25[12] :
4488 ( pn12_deskew == 10'h1a && init ) ? pn_d26[12] :
4489 ( pn12_deskew == 10'h1b && init ) ? pn_d27[12] :
4490 ( pn12_deskew == 10'h1c && init ) ? pn_d28[12] :
4491 ( pn12_deskew == 10'h1d && init ) ? pn_d29[12] :
4492 ( pn12_deskew == 10'h1e && init ) ? pn_d30[12] :
4493 ( pn12_deskew == 10'h1f && init ) ? pn_d31[12] :
4494 ( pn12_deskew == 10'h20 && init ) ? pn_d32[12] :
4495 ( pn12_deskew == 10'h21 && init ) ? pn_d33[12] :
4496 ( pn12_deskew == 10'h22 && init ) ? pn_d34[12] :
4497 ( pn12_deskew == 10'h23 && init ) ? pn_d35[12] :
4498 ( pn12_deskew == 10'h24 && init ) ? pn_d36[12] :
4499 ( pn12_deskew == 10'h25 && init ) ? pn_d37[12] :
4500 ( pn12_deskew == 10'h26 && init ) ? pn_d38[12] :
4501 ( pn12_deskew == 10'h27 && init ) ? pn_d39[12] :
4502 ( pn12_deskew == 10'h28 && init ) ? pn_d40[12] :
4503 ( pn12_deskew == 10'h29 && init ) ? pn_d41[12] :
4504 ( pn12_deskew == 10'h2a && init ) ? pn_d42[12] :
4505 ( pn12_deskew == 10'h2b && init ) ? pn_d43[12] :
4506 ( pn12_deskew == 10'h2c && init ) ? pn_d44[12] :
4507 ( pn12_deskew == 10'h2d && init ) ? pn_d45[12] :
4508 ( pn12_deskew == 10'h2e && init ) ? pn_d46[12] :
4509 ( pn12_deskew == 10'h2f && init ) ? pn_d47[12] :
4510 ( pn12_deskew == 10'h30 && init ) ? pn_d48[12] :
4511 ( pn12_deskew == 10'h31 && init ) ? pn_d49[12] :
4512 ( pn12_deskew == 10'h32 && init ) ? pn_d50[12] :
4513 ( pn12_deskew == 10'h33 && init ) ? pn_d51[12] :
4514 ( pn12_deskew == 10'h34 && init ) ? pn_d52[12] :
4515 ( pn12_deskew == 10'h35 && init ) ? pn_d53[12] :
4516 ( pn12_deskew == 10'h36 && init ) ? pn_d54[12] :
4517 ( pn12_deskew == 10'h37 && init ) ? pn_d55[12] :
4518 ( pn12_deskew == 10'h38 && init ) ? pn_d56[12] :
4519 ( pn12_deskew == 10'h39 && init ) ? pn_d57[12] :
4520 ( pn12_deskew == 10'h3a && init ) ? pn_d58[12] :
4521 ( pn12_deskew == 10'h3b && init ) ? pn_d59[12] :
4522 ( pn12_deskew == 10'h3c && init ) ? pn_d60[12] :
4523 ( pn12_deskew == 10'h3d && init ) ? pn_d61[12] :
4524 ( pn12_deskew == 10'h3e && init ) ? pn_d62[12] :
4525 ( pn12_deskew == 10'h3f && init ) ? pn_d63[12] :
4526 ( pn12_deskew == 10'h40 && init ) ? pn_d64[12] :
4527 ( pn12_deskew == 10'h41 && init ) ? pn_d65[12] :
4528 ( pn12_deskew == 10'h42 && init ) ? pn_d66[12] :
4529 ( pn12_deskew == 10'h43 && init ) ? pn_d67[12] :
4530 ( pn12_deskew == 10'h44 && init ) ? pn_d68[12] :
4531 ( pn12_deskew == 10'h45 && init ) ? pn_d69[12] :
4532 ( pn12_deskew == 10'h46 && init ) ? pn_d70[12] :
4533 ( pn12_deskew == 10'h47 && init ) ? pn_d71[12] :
4534 ( pn12_deskew == 10'h48 && init ) ? pn_d72[12] :
4535 ( pn12_deskew == 10'h49 && init ) ? pn_d73[12] :
4536 ( pn12_deskew == 10'h4a && init ) ? pn_d74[12] :
4537 ( pn12_deskew == 10'h4b && init ) ? pn_d75[12] :
4538 ( pn12_deskew == 10'h4c && init ) ? pn_d76[12] :
4539 ( pn12_deskew == 10'h4d && init ) ? pn_d77[12] :
4540 ( pn12_deskew == 10'h4e && init ) ? pn_d78[12] :
4541 ( pn12_deskew == 10'h4f && init ) ? pn_d79[12] :
4542 ( pn12_deskew == 10'h50 && init ) ? pn_d80[12] :
4543 ( pn12_deskew == 10'h51 && init ) ? pn_d81[12] :
4544 ( pn12_deskew == 10'h52 && init ) ? pn_d82[12] :
4545 ( pn12_deskew == 10'h53 && init ) ? pn_d83[12] :
4546 ( pn12_deskew == 10'h54 && init ) ? pn_d84[12] :
4547 ( pn12_deskew == 10'h55 && init ) ? pn_d85[12] :
4548 ( pn12_deskew == 10'h56 && init ) ? pn_d86[12] :
4549 ( pn12_deskew == 10'h57 && init ) ? pn_d87[12] :
4550 ( pn12_deskew == 10'h58 && init ) ? pn_d88[12] :
4551 ( pn12_deskew == 10'h59 && init ) ? pn_d89[12] :
4552 ( pn12_deskew == 10'h5a && init ) ? pn_d90[12] :
4553 ( pn12_deskew == 10'h5b && init ) ? pn_d91[12] :
4554 ( pn12_deskew == 10'h5c && init ) ? pn_d92[12] :
4555 ( pn12_deskew == 10'h5d && init ) ? pn_d93[12] :
4556 ( pn12_deskew == 10'h5e && init ) ? pn_d94[12] :
4557 ( pn12_deskew == 10'h5f && init ) ? pn_d95[12] :
4558 ( pn12_deskew == 10'h60 && init ) ? pn_d96[12] :
4559 ( pn12_deskew == 10'h61 && init ) ? pn_d97[12] :
4560 ( pn12_deskew == 10'h62 && init ) ? pn_d98[12] :
4561 ( pn12_deskew == 10'h63 && init ) ? pn_d99[12] :
4562 ( pn12_deskew == 10'h64 && init ) ? pn_d100[12] :
4563 ( pn12_deskew == 10'h65 && init ) ? pn_d101[12] :
4564 ( pn12_deskew == 10'h66 && init ) ? pn_d102[12] :
4565 ( pn12_deskew == 10'h67 && init ) ? pn_d103[12] :
4566 ( pn12_deskew == 10'h68 && init ) ? pn_d104[12] :
4567 ( pn12_deskew == 10'h69 && init ) ? pn_d105[12] :
4568 ( pn12_deskew == 10'h6a && init ) ? pn_d106[12] :
4569 ( pn12_deskew == 10'h6b && init ) ? pn_d107[12] :
4570 ( pn12_deskew == 10'h6c && init ) ? pn_d108[12] :
4571 ( pn12_deskew == 10'h6d && init ) ? pn_d109[12] :
4572 ( pn12_deskew == 10'h6e && init ) ? pn_d110[12] :
4573 ( pn12_deskew == 10'h6f && init ) ? pn_d111[12] :
4574 ( pn12_deskew == 10'h70 && init ) ? pn_d112[12] :
4575 ( pn12_deskew == 10'h71 && init ) ? pn_d113[12] :
4576 ( pn12_deskew == 10'h72 && init ) ? pn_d114[12] :
4577 ( pn12_deskew == 10'h73 && init ) ? pn_d115[12] :
4578 ( pn12_deskew == 10'h74 && init ) ? pn_d116[12] :
4579 ( pn12_deskew == 10'h75 && init ) ? pn_d117[12] :
4580 ( pn12_deskew == 10'h76 && init ) ? pn_d118[12] :
4581 ( pn12_deskew == 10'h77 && init ) ? pn_d119[12] :
4582 ( pn12_deskew == 10'h78 && init ) ? pn_d120[12] :
4583 ( pn12_deskew == 10'h79 && init ) ? pn_d121[12] :
4584 ( pn12_deskew == 10'h7a && init ) ? pn_d122[12] :
4585 ( pn12_deskew == 10'h7b && init ) ? pn_d123[12] :
4586 ( pn12_deskew == 10'h7c && init ) ? pn_d124[12] :
4587 ( pn12_deskew == 10'h7d && init ) ? pn_d125[12] :
4588 ( pn12_deskew == 10'h7e && init ) ? pn_d126[12] :
4589 ( pn12_deskew == 10'h7f && init ) ? pn_d127[12] :
4590 ( pn12_deskew == 10'h80 && init ) ? pn_d128[12] :
4591 ( pn12_deskew == 10'h81 && init ) ? pn_d129[12] :
4592 ( pn12_deskew == 10'h82 && init ) ? pn_d130[12] :
4593 ( pn12_deskew == 10'h83 && init ) ? pn_d131[12] :
4594 ( pn12_deskew == 10'h84 && init ) ? pn_d132[12] :
4595 ( pn12_deskew == 10'h85 && init ) ? pn_d133[12] :
4596 ( pn12_deskew == 10'h86 && init ) ? pn_d134[12] :
4597 ( pn12_deskew == 10'h87 && init ) ? pn_d135[12] :
4598 ( pn12_deskew == 10'h88 && init ) ? pn_d136[12] :
4599 ( pn12_deskew == 10'h89 && init ) ? pn_d137[12] :
4600 ( pn12_deskew == 10'h8a && init ) ? pn_d138[12] :
4601 ( pn12_deskew == 10'h8b && init ) ? pn_d139[12] :
4602 ( pn12_deskew == 10'h8c && init ) ? pn_d140[12] :
4603 ( pn12_deskew == 10'h8d && init ) ? pn_d141[12] :
4604 ( pn12_deskew == 10'h8e && init ) ? pn_d142[12] :
4605 ( pn12_deskew == 10'h8f && init ) ? pn_d143[12] :
4606 ( pn12_deskew == 10'h90 && init ) ? pn_d144[12] :
4607 ( pn12_deskew == 10'h91 && init ) ? pn_d145[12] : pn_in[12];
4608assign pn_out_local[13] = ( pn13_deskew == 10'h0 && init ) ? pn_in[13] :
4609 ( pn13_deskew == 10'h1 && init ) ? pn_d1[13] :
4610 ( pn13_deskew == 10'h2 && init ) ? pn_d2[13] :
4611 ( pn13_deskew == 10'h3 && init ) ? pn_d3[13] :
4612 ( pn13_deskew == 10'h4 && init ) ? pn_d4[13] :
4613 ( pn13_deskew == 10'h5 && init ) ? pn_d5[13] :
4614 ( pn13_deskew == 10'h6 && init ) ? pn_d6[13] :
4615 ( pn13_deskew == 10'h7 && init ) ? pn_d7[13] :
4616 ( pn13_deskew == 10'h8 && init ) ? pn_d8[13] :
4617 ( pn13_deskew == 10'h9 && init ) ? pn_d9[13] :
4618 ( pn13_deskew == 10'ha && init ) ? pn_d10[13] :
4619 ( pn13_deskew == 10'hb && init ) ? pn_d11[13] :
4620 ( pn13_deskew == 10'hc && init ) ? pn_d12[13] :
4621 ( pn13_deskew == 10'hd && init ) ? pn_d13[13] :
4622 ( pn13_deskew == 10'he && init ) ? pn_d14[13] :
4623 ( pn13_deskew == 10'hf && init ) ? pn_d15[13] :
4624 ( pn13_deskew == 10'h10 && init ) ? pn_d16[13] :
4625 ( pn13_deskew == 10'h11 && init ) ? pn_d17[13] :
4626 ( pn13_deskew == 10'h12 && init ) ? pn_d18[13] :
4627 ( pn13_deskew == 10'h13 && init ) ? pn_d19[13] :
4628 ( pn13_deskew == 10'h14 && init ) ? pn_d20[13] :
4629 ( pn13_deskew == 10'h15 && init ) ? pn_d21[13] :
4630 ( pn13_deskew == 10'h16 && init ) ? pn_d22[13] :
4631 ( pn13_deskew == 10'h17 && init ) ? pn_d23[13] :
4632 ( pn13_deskew == 10'h18 && init ) ? pn_d24[13] :
4633 ( pn13_deskew == 10'h19 && init ) ? pn_d25[13] :
4634 ( pn13_deskew == 10'h1a && init ) ? pn_d26[13] :
4635 ( pn13_deskew == 10'h1b && init ) ? pn_d27[13] :
4636 ( pn13_deskew == 10'h1c && init ) ? pn_d28[13] :
4637 ( pn13_deskew == 10'h1d && init ) ? pn_d29[13] :
4638 ( pn13_deskew == 10'h1e && init ) ? pn_d30[13] :
4639 ( pn13_deskew == 10'h1f && init ) ? pn_d31[13] :
4640 ( pn13_deskew == 10'h20 && init ) ? pn_d32[13] :
4641 ( pn13_deskew == 10'h21 && init ) ? pn_d33[13] :
4642 ( pn13_deskew == 10'h22 && init ) ? pn_d34[13] :
4643 ( pn13_deskew == 10'h23 && init ) ? pn_d35[13] :
4644 ( pn13_deskew == 10'h24 && init ) ? pn_d36[13] :
4645 ( pn13_deskew == 10'h25 && init ) ? pn_d37[13] :
4646 ( pn13_deskew == 10'h26 && init ) ? pn_d38[13] :
4647 ( pn13_deskew == 10'h27 && init ) ? pn_d39[13] :
4648 ( pn13_deskew == 10'h28 && init ) ? pn_d40[13] :
4649 ( pn13_deskew == 10'h29 && init ) ? pn_d41[13] :
4650 ( pn13_deskew == 10'h2a && init ) ? pn_d42[13] :
4651 ( pn13_deskew == 10'h2b && init ) ? pn_d43[13] :
4652 ( pn13_deskew == 10'h2c && init ) ? pn_d44[13] :
4653 ( pn13_deskew == 10'h2d && init ) ? pn_d45[13] :
4654 ( pn13_deskew == 10'h2e && init ) ? pn_d46[13] :
4655 ( pn13_deskew == 10'h2f && init ) ? pn_d47[13] :
4656 ( pn13_deskew == 10'h30 && init ) ? pn_d48[13] :
4657 ( pn13_deskew == 10'h31 && init ) ? pn_d49[13] :
4658 ( pn13_deskew == 10'h32 && init ) ? pn_d50[13] :
4659 ( pn13_deskew == 10'h33 && init ) ? pn_d51[13] :
4660 ( pn13_deskew == 10'h34 && init ) ? pn_d52[13] :
4661 ( pn13_deskew == 10'h35 && init ) ? pn_d53[13] :
4662 ( pn13_deskew == 10'h36 && init ) ? pn_d54[13] :
4663 ( pn13_deskew == 10'h37 && init ) ? pn_d55[13] :
4664 ( pn13_deskew == 10'h38 && init ) ? pn_d56[13] :
4665 ( pn13_deskew == 10'h39 && init ) ? pn_d57[13] :
4666 ( pn13_deskew == 10'h3a && init ) ? pn_d58[13] :
4667 ( pn13_deskew == 10'h3b && init ) ? pn_d59[13] :
4668 ( pn13_deskew == 10'h3c && init ) ? pn_d60[13] :
4669 ( pn13_deskew == 10'h3d && init ) ? pn_d61[13] :
4670 ( pn13_deskew == 10'h3e && init ) ? pn_d62[13] :
4671 ( pn13_deskew == 10'h3f && init ) ? pn_d63[13] :
4672 ( pn13_deskew == 10'h40 && init ) ? pn_d64[13] :
4673 ( pn13_deskew == 10'h41 && init ) ? pn_d65[13] :
4674 ( pn13_deskew == 10'h42 && init ) ? pn_d66[13] :
4675 ( pn13_deskew == 10'h43 && init ) ? pn_d67[13] :
4676 ( pn13_deskew == 10'h44 && init ) ? pn_d68[13] :
4677 ( pn13_deskew == 10'h45 && init ) ? pn_d69[13] :
4678 ( pn13_deskew == 10'h46 && init ) ? pn_d70[13] :
4679 ( pn13_deskew == 10'h47 && init ) ? pn_d71[13] :
4680 ( pn13_deskew == 10'h48 && init ) ? pn_d72[13] :
4681 ( pn13_deskew == 10'h49 && init ) ? pn_d73[13] :
4682 ( pn13_deskew == 10'h4a && init ) ? pn_d74[13] :
4683 ( pn13_deskew == 10'h4b && init ) ? pn_d75[13] :
4684 ( pn13_deskew == 10'h4c && init ) ? pn_d76[13] :
4685 ( pn13_deskew == 10'h4d && init ) ? pn_d77[13] :
4686 ( pn13_deskew == 10'h4e && init ) ? pn_d78[13] :
4687 ( pn13_deskew == 10'h4f && init ) ? pn_d79[13] :
4688 ( pn13_deskew == 10'h50 && init ) ? pn_d80[13] :
4689 ( pn13_deskew == 10'h51 && init ) ? pn_d81[13] :
4690 ( pn13_deskew == 10'h52 && init ) ? pn_d82[13] :
4691 ( pn13_deskew == 10'h53 && init ) ? pn_d83[13] :
4692 ( pn13_deskew == 10'h54 && init ) ? pn_d84[13] :
4693 ( pn13_deskew == 10'h55 && init ) ? pn_d85[13] :
4694 ( pn13_deskew == 10'h56 && init ) ? pn_d86[13] :
4695 ( pn13_deskew == 10'h57 && init ) ? pn_d87[13] :
4696 ( pn13_deskew == 10'h58 && init ) ? pn_d88[13] :
4697 ( pn13_deskew == 10'h59 && init ) ? pn_d89[13] :
4698 ( pn13_deskew == 10'h5a && init ) ? pn_d90[13] :
4699 ( pn13_deskew == 10'h5b && init ) ? pn_d91[13] :
4700 ( pn13_deskew == 10'h5c && init ) ? pn_d92[13] :
4701 ( pn13_deskew == 10'h5d && init ) ? pn_d93[13] :
4702 ( pn13_deskew == 10'h5e && init ) ? pn_d94[13] :
4703 ( pn13_deskew == 10'h5f && init ) ? pn_d95[13] :
4704 ( pn13_deskew == 10'h60 && init ) ? pn_d96[13] :
4705 ( pn13_deskew == 10'h61 && init ) ? pn_d97[13] :
4706 ( pn13_deskew == 10'h62 && init ) ? pn_d98[13] :
4707 ( pn13_deskew == 10'h63 && init ) ? pn_d99[13] :
4708 ( pn13_deskew == 10'h64 && init ) ? pn_d100[13] :
4709 ( pn13_deskew == 10'h65 && init ) ? pn_d101[13] :
4710 ( pn13_deskew == 10'h66 && init ) ? pn_d102[13] :
4711 ( pn13_deskew == 10'h67 && init ) ? pn_d103[13] :
4712 ( pn13_deskew == 10'h68 && init ) ? pn_d104[13] :
4713 ( pn13_deskew == 10'h69 && init ) ? pn_d105[13] :
4714 ( pn13_deskew == 10'h6a && init ) ? pn_d106[13] :
4715 ( pn13_deskew == 10'h6b && init ) ? pn_d107[13] :
4716 ( pn13_deskew == 10'h6c && init ) ? pn_d108[13] :
4717 ( pn13_deskew == 10'h6d && init ) ? pn_d109[13] :
4718 ( pn13_deskew == 10'h6e && init ) ? pn_d110[13] :
4719 ( pn13_deskew == 10'h6f && init ) ? pn_d111[13] :
4720 ( pn13_deskew == 10'h70 && init ) ? pn_d112[13] :
4721 ( pn13_deskew == 10'h71 && init ) ? pn_d113[13] :
4722 ( pn13_deskew == 10'h72 && init ) ? pn_d114[13] :
4723 ( pn13_deskew == 10'h73 && init ) ? pn_d115[13] :
4724 ( pn13_deskew == 10'h74 && init ) ? pn_d116[13] :
4725 ( pn13_deskew == 10'h75 && init ) ? pn_d117[13] :
4726 ( pn13_deskew == 10'h76 && init ) ? pn_d118[13] :
4727 ( pn13_deskew == 10'h77 && init ) ? pn_d119[13] :
4728 ( pn13_deskew == 10'h78 && init ) ? pn_d120[13] :
4729 ( pn13_deskew == 10'h79 && init ) ? pn_d121[13] :
4730 ( pn13_deskew == 10'h7a && init ) ? pn_d122[13] :
4731 ( pn13_deskew == 10'h7b && init ) ? pn_d123[13] :
4732 ( pn13_deskew == 10'h7c && init ) ? pn_d124[13] :
4733 ( pn13_deskew == 10'h7d && init ) ? pn_d125[13] :
4734 ( pn13_deskew == 10'h7e && init ) ? pn_d126[13] :
4735 ( pn13_deskew == 10'h7f && init ) ? pn_d127[13] :
4736 ( pn13_deskew == 10'h80 && init ) ? pn_d128[13] :
4737 ( pn13_deskew == 10'h81 && init ) ? pn_d129[13] :
4738 ( pn13_deskew == 10'h82 && init ) ? pn_d130[13] :
4739 ( pn13_deskew == 10'h83 && init ) ? pn_d131[13] :
4740 ( pn13_deskew == 10'h84 && init ) ? pn_d132[13] :
4741 ( pn13_deskew == 10'h85 && init ) ? pn_d133[13] :
4742 ( pn13_deskew == 10'h86 && init ) ? pn_d134[13] :
4743 ( pn13_deskew == 10'h87 && init ) ? pn_d135[13] :
4744 ( pn13_deskew == 10'h88 && init ) ? pn_d136[13] :
4745 ( pn13_deskew == 10'h89 && init ) ? pn_d137[13] :
4746 ( pn13_deskew == 10'h8a && init ) ? pn_d138[13] :
4747 ( pn13_deskew == 10'h8b && init ) ? pn_d139[13] :
4748 ( pn13_deskew == 10'h8c && init ) ? pn_d140[13] :
4749 ( pn13_deskew == 10'h8d && init ) ? pn_d141[13] :
4750 ( pn13_deskew == 10'h8e && init ) ? pn_d142[13] :
4751 ( pn13_deskew == 10'h8f && init ) ? pn_d143[13] :
4752 ( pn13_deskew == 10'h90 && init ) ? pn_d144[13] :
4753 ( pn13_deskew == 10'h91 && init ) ? pn_d145[13] : pn_in[13];
4754
4755
4756integer TRACE_DELAY_0, TRACE_DELAY_1, TRACE_DELAY_2, TRACE_DELAY_3, TRACE_DELAY_4, TRACE_DELAY_5, TRACE_DELAY_6, TRACE_DELAY_7, TRACE_DELAY_8, TRACE_DELAY_9, TRACE_DELAY_10, TRACE_DELAY_11, TRACE_DELAY_12, TRACE_DELAY_13;
4757initial begin // {
4758
4759if (! $value$plusargs("TRACE_DELAY_0=%d", TRACE_DELAY_0)) begin
4760 TRACE_DELAY_0 = 0 ;
4761 end
4762if (! $value$plusargs("TRACE_DELAY_1=%d", TRACE_DELAY_1)) begin
4763 TRACE_DELAY_1 = 0 ;
4764 end
4765if (! $value$plusargs("TRACE_DELAY_2=%d", TRACE_DELAY_2)) begin
4766 TRACE_DELAY_2 = 0 ;
4767 end
4768if (! $value$plusargs("TRACE_DELAY_3=%d", TRACE_DELAY_3)) begin
4769 TRACE_DELAY_3 = 0 ;
4770 end
4771if (! $value$plusargs("TRACE_DELAY_4=%d", TRACE_DELAY_4)) begin
4772 TRACE_DELAY_4 = 0 ;
4773 end
4774if (! $value$plusargs("TRACE_DELAY_5=%d", TRACE_DELAY_5)) begin
4775 TRACE_DELAY_5 = 0 ;
4776 end
4777if (! $value$plusargs("TRACE_DELAY_6=%d", TRACE_DELAY_6)) begin
4778 TRACE_DELAY_6 = 0 ;
4779 end
4780if (! $value$plusargs("TRACE_DELAY_7=%d", TRACE_DELAY_7)) begin
4781 TRACE_DELAY_7 = 0 ;
4782 end
4783if (! $value$plusargs("TRACE_DELAY_8=%d", TRACE_DELAY_8)) begin
4784 TRACE_DELAY_8 = 0 ;
4785 end
4786if (! $value$plusargs("TRACE_DELAY_9=%d", TRACE_DELAY_9)) begin
4787 TRACE_DELAY_9 = 0 ;
4788 end
4789if (! $value$plusargs("TRACE_DELAY_10=%d", TRACE_DELAY_10)) begin
4790 TRACE_DELAY_10 = 0 ;
4791 end
4792if (! $value$plusargs("TRACE_DELAY_11=%d", TRACE_DELAY_11)) begin
4793 TRACE_DELAY_11 = 0 ;
4794 end
4795if (! $value$plusargs("TRACE_DELAY_12=%d", TRACE_DELAY_12)) begin
4796 TRACE_DELAY_12 = 0 ;
4797 end
4798if (! $value$plusargs("TRACE_DELAY_13=%d", TRACE_DELAY_13)) begin
4799 TRACE_DELAY_13 = 0 ;
4800 end
4801
4802end // }
4803
4804`ifdef VF
4805assign #TRACE_DELAY_0 pn_out[0] = pn_out_local[0];
4806assign #TRACE_DELAY_1 pn_out[1] = pn_out_local[1];
4807assign #TRACE_DELAY_2 pn_out[2] = pn_out_local[2];
4808assign #TRACE_DELAY_3 pn_out[3] = pn_out_local[3];
4809assign #TRACE_DELAY_4 pn_out[4] = pn_out_local[4];
4810assign #TRACE_DELAY_5 pn_out[5] = pn_out_local[5];
4811assign #TRACE_DELAY_6 pn_out[6] = pn_out_local[6];
4812assign #TRACE_DELAY_7 pn_out[7] = pn_out_local[7];
4813assign #TRACE_DELAY_8 pn_out[8] = pn_out_local[8];
4814assign #TRACE_DELAY_9 pn_out[9] = pn_out_local[9];
4815assign #TRACE_DELAY_10 pn_out[10] = pn_out_local[10];
4816assign #TRACE_DELAY_11 pn_out[11] = pn_out_local[11];
4817assign #TRACE_DELAY_12 pn_out[12] = pn_out_local[12];
4818assign #TRACE_DELAY_13 pn_out[13] = pn_out_local[13];
4819`else
4820
4821assign pn_out = pn_out_local ;
4822
4823`endif
4824
4825dff_p #(14) ff0( .signal_in (pn_in),
4826 .signal_out (pn_d1),
4827 .clk (sclk));
4828dff_p #(14) ff1( .signal_in (pn_d1),
4829 .signal_out (pn_d2),
4830 .clk (sclk));
4831dff_p #(14) ff2( .signal_in (pn_d2),
4832 .signal_out (pn_d3),
4833 .clk (sclk));
4834dff_p #(14) ff3( .signal_in (pn_d3),
4835 .signal_out (pn_d4),
4836 .clk (sclk));
4837dff_p #(14) ff4( .signal_in (pn_d4),
4838 .signal_out (pn_d5),
4839 .clk (sclk));
4840dff_p #(14) ff5( .signal_in (pn_d5),
4841 .signal_out (pn_d6),
4842 .clk (sclk));
4843dff_p #(14) ff6( .signal_in (pn_d6),
4844 .signal_out (pn_d7),
4845 .clk (sclk));
4846dff_p #(14) ff7( .signal_in (pn_d7),
4847 .signal_out (pn_d8),
4848 .clk (sclk));
4849dff_p #(14) ff8( .signal_in (pn_d8),
4850 .signal_out (pn_d9),
4851 .clk (sclk));
4852dff_p #(14) ff9( .signal_in (pn_d9),
4853 .signal_out (pn_d10),
4854 .clk (sclk));
4855dff_p #(14) ff10( .signal_in (pn_d10),
4856 .signal_out (pn_d11),
4857 .clk (sclk));
4858dff_p #(14) ff11( .signal_in (pn_d11),
4859 .signal_out (pn_d12),
4860 .clk (sclk));
4861dff_p #(14) ff12( .signal_in (pn_d12),
4862 .signal_out (pn_d13),
4863 .clk (sclk));
4864dff_p #(14) ff13( .signal_in (pn_d13),
4865 .signal_out (pn_d14),
4866 .clk (sclk));
4867dff_p #(14) ff14( .signal_in (pn_d14),
4868 .signal_out (pn_d15),
4869 .clk (sclk));
4870dff_p #(14) ff15( .signal_in (pn_d15),
4871 .signal_out (pn_d16),
4872 .clk (sclk));
4873dff_p #(14) ff16( .signal_in (pn_d16),
4874 .signal_out (pn_d17),
4875 .clk (sclk));
4876dff_p #(14) ff17( .signal_in (pn_d17),
4877 .signal_out (pn_d18),
4878 .clk (sclk));
4879dff_p #(14) ff18( .signal_in (pn_d18),
4880 .signal_out (pn_d19),
4881 .clk (sclk));
4882dff_p #(14) ff19( .signal_in (pn_d19),
4883 .signal_out (pn_d20),
4884 .clk (sclk));
4885dff_p #(14) ff20( .signal_in (pn_d20),
4886 .signal_out (pn_d21),
4887 .clk (sclk));
4888
4889dff_p #(14) ff21( .signal_in (pn_d21),
4890 .signal_out (pn_d22),
4891 .clk (sclk));
4892dff_p #(14) ff22( .signal_in (pn_d22),
4893 .signal_out (pn_d23),
4894 .clk (sclk));
4895dff_p #(14) ff23( .signal_in (pn_d23),
4896 .signal_out (pn_d24),
4897 .clk (sclk));
4898dff_p #(14) ff24( .signal_in (pn_d24),
4899 .signal_out (pn_d25),
4900 .clk (sclk));
4901dff_p #(14) ff25( .signal_in (pn_d25),
4902 .signal_out (pn_d26),
4903 .clk (sclk));
4904dff_p #(14) ff26( .signal_in (pn_d26),
4905 .signal_out (pn_d27),
4906 .clk (sclk));
4907dff_p #(14) ff27( .signal_in (pn_d27),
4908 .signal_out (pn_d28),
4909 .clk (sclk));
4910dff_p #(14) ff28( .signal_in (pn_d28),
4911 .signal_out (pn_d29),
4912 .clk (sclk));
4913dff_p #(14) ff29( .signal_in (pn_d29),
4914 .signal_out (pn_d30),
4915 .clk (sclk));
4916dff_p #(14) ff30( .signal_in (pn_d30),
4917 .signal_out (pn_d31),
4918 .clk (sclk));
4919dff_p #(14) ff31( .signal_in (pn_d31),
4920 .signal_out (pn_d32),
4921 .clk (sclk));
4922dff_p #(14) ff32( .signal_in (pn_d32),
4923 .signal_out (pn_d33),
4924 .clk (sclk));
4925dff_p #(14) ff33( .signal_in (pn_d33),
4926 .signal_out (pn_d34),
4927 .clk (sclk));
4928dff_p #(14) ff34( .signal_in (pn_d34),
4929 .signal_out (pn_d35),
4930 .clk (sclk));
4931dff_p #(14) ff35( .signal_in (pn_d35),
4932 .signal_out (pn_d36),
4933 .clk (sclk));
4934dff_p #(14) ff36( .signal_in (pn_d36),
4935 .signal_out (pn_d37),
4936 .clk (sclk));
4937dff_p #(14) ff37( .signal_in (pn_d37),
4938 .signal_out (pn_d38),
4939 .clk (sclk));
4940dff_p #(14) ff38( .signal_in (pn_d38),
4941 .signal_out (pn_d39),
4942 .clk (sclk));
4943dff_p #(14) ff39( .signal_in (pn_d39),
4944 .signal_out (pn_d40),
4945 .clk (sclk));
4946dff_p #(14) ff40( .signal_in (pn_d40),
4947 .signal_out (pn_d41),
4948 .clk (sclk));
4949dff_p #(14) ff41( .signal_in (pn_d41),
4950 .signal_out (pn_d42),
4951 .clk (sclk));
4952dff_p #(14) ff42( .signal_in (pn_d42),
4953 .signal_out (pn_d43),
4954 .clk (sclk));
4955dff_p #(14) ff43( .signal_in (pn_d43),
4956 .signal_out (pn_d44),
4957 .clk (sclk));
4958dff_p #(14) ff44( .signal_in (pn_d44),
4959 .signal_out (pn_d45),
4960 .clk (sclk));
4961dff_p #(14) ff45( .signal_in (pn_d45),
4962 .signal_out (pn_d46),
4963 .clk (sclk));
4964dff_p #(14) ff46( .signal_in (pn_d46),
4965 .signal_out (pn_d47),
4966 .clk (sclk));
4967dff_p #(14) ff47( .signal_in (pn_d47),
4968 .signal_out (pn_d48),
4969 .clk (sclk));
4970dff_p #(14) ff48( .signal_in (pn_d48),
4971 .signal_out (pn_d49),
4972 .clk (sclk));
4973dff_p #(14) ff49( .signal_in (pn_d49),
4974 .signal_out (pn_d50),
4975 .clk (sclk));
4976
4977dff_p #(14) ff50( .signal_in (pn_d50),
4978 .signal_out (pn_d51),
4979 .clk (sclk));
4980dff_p #(14) ff51( .signal_in (pn_d51),
4981 .signal_out (pn_d52),
4982 .clk (sclk));
4983dff_p #(14) ff52( .signal_in (pn_d52),
4984 .signal_out (pn_d53),
4985 .clk (sclk));
4986dff_p #(14) ff53( .signal_in (pn_d53),
4987 .signal_out (pn_d54),
4988 .clk (sclk));
4989dff_p #(14) ff54( .signal_in (pn_d54),
4990 .signal_out (pn_d55),
4991 .clk (sclk));
4992dff_p #(14) ff55( .signal_in (pn_d55),
4993 .signal_out (pn_d56),
4994 .clk (sclk));
4995dff_p #(14) ff56( .signal_in (pn_d56),
4996 .signal_out (pn_d57),
4997 .clk (sclk));
4998dff_p #(14) ff57( .signal_in (pn_d57),
4999 .signal_out (pn_d58),
5000 .clk (sclk));
5001dff_p #(14) ff58( .signal_in (pn_d58),
5002 .signal_out (pn_d59),
5003 .clk (sclk));
5004dff_p #(14) ff59( .signal_in (pn_d59),
5005 .signal_out (pn_d60),
5006 .clk (sclk));
5007dff_p #(14) ff60( .signal_in (pn_d60),
5008 .signal_out (pn_d61),
5009 .clk (sclk));
5010dff_p #(14) ff61( .signal_in (pn_d61),
5011 .signal_out (pn_d62),
5012 .clk (sclk));
5013dff_p #(14) ff62( .signal_in (pn_d62),
5014 .signal_out (pn_d63),
5015 .clk (sclk));
5016dff_p #(14) ff63( .signal_in (pn_d63),
5017 .signal_out (pn_d64),
5018 .clk (sclk));
5019dff_p #(14) ff64( .signal_in (pn_d64),
5020 .signal_out (pn_d65),
5021 .clk (sclk));
5022dff_p #(14) ff65( .signal_in (pn_d65),
5023 .signal_out (pn_d66),
5024 .clk (sclk));
5025dff_p #(14) ff66( .signal_in (pn_d66),
5026 .signal_out (pn_d67),
5027 .clk (sclk));
5028dff_p #(14) ff67( .signal_in (pn_d67),
5029 .signal_out (pn_d68),
5030 .clk (sclk));
5031dff_p #(14) ff68( .signal_in (pn_d68),
5032 .signal_out (pn_d69),
5033 .clk (sclk));
5034dff_p #(14) ff69( .signal_in (pn_d69),
5035 .signal_out (pn_d70),
5036 .clk (sclk));
5037dff_p #(14) ff70( .signal_in (pn_d70),
5038 .signal_out (pn_d71),
5039 .clk (sclk));
5040dff_p #(14) ff71( .signal_in (pn_d71),
5041 .signal_out (pn_d72),
5042 .clk (sclk));
5043dff_p #(14) ff72( .signal_in (pn_d72),
5044 .signal_out (pn_d73),
5045 .clk (sclk));
5046dff_p #(14) ff73( .signal_in (pn_d73),
5047 .signal_out (pn_d74),
5048 .clk (sclk));
5049dff_p #(14) ff74( .signal_in (pn_d74),
5050 .signal_out (pn_d75),
5051 .clk (sclk));
5052dff_p #(14) ff75( .signal_in (pn_d75),
5053 .signal_out (pn_d76),
5054 .clk (sclk));
5055dff_p #(14) ff76( .signal_in (pn_d76),
5056 .signal_out (pn_d77),
5057 .clk (sclk));
5058dff_p #(14) ff77( .signal_in (pn_d77),
5059 .signal_out (pn_d78),
5060 .clk (sclk));
5061dff_p #(14) ff78( .signal_in (pn_d78),
5062 .signal_out (pn_d79),
5063 .clk (sclk));
5064dff_p #(14) ff79( .signal_in (pn_d79),
5065 .signal_out (pn_d80),
5066 .clk (sclk));
5067dff_p #(14) ff80( .signal_in (pn_d80),
5068 .signal_out (pn_d81),
5069 .clk (sclk));
5070dff_p #(14) ff81( .signal_in (pn_d81),
5071 .signal_out (pn_d82),
5072 .clk (sclk));
5073dff_p #(14) ff82( .signal_in (pn_d82),
5074 .signal_out (pn_d83),
5075 .clk (sclk));
5076dff_p #(14) ff83( .signal_in (pn_d83),
5077 .signal_out (pn_d84),
5078 .clk (sclk));
5079dff_p #(14) ff84( .signal_in (pn_d84),
5080 .signal_out (pn_d85),
5081 .clk (sclk));
5082dff_p #(14) ff85( .signal_in (pn_d85),
5083 .signal_out (pn_d86),
5084 .clk (sclk));
5085dff_p #(14) ff86( .signal_in (pn_d86),
5086 .signal_out (pn_d87),
5087 .clk (sclk));
5088dff_p #(14) ff87( .signal_in (pn_d87),
5089 .signal_out (pn_d88),
5090 .clk (sclk));
5091dff_p #(14) ff88( .signal_in (pn_d88),
5092 .signal_out (pn_d89),
5093 .clk (sclk));
5094dff_p #(14) ff89( .signal_in (pn_d89),
5095 .signal_out (pn_d90),
5096 .clk (sclk));
5097dff_p #(14) ff90( .signal_in (pn_d90),
5098 .signal_out (pn_d91),
5099 .clk (sclk));
5100dff_p #(14) ff91( .signal_in (pn_d91),
5101 .signal_out (pn_d92),
5102 .clk (sclk));
5103dff_p #(14) ff92( .signal_in (pn_d92),
5104 .signal_out (pn_d93),
5105 .clk (sclk));
5106dff_p #(14) ff93( .signal_in (pn_d93),
5107 .signal_out (pn_d94),
5108 .clk (sclk));
5109dff_p #(14) ff94( .signal_in (pn_d94),
5110 .signal_out (pn_d95),
5111 .clk (sclk));
5112dff_p #(14) ff95( .signal_in (pn_d95),
5113 .signal_out (pn_d96),
5114 .clk (sclk));
5115dff_p #(14) ff96( .signal_in (pn_d96),
5116 .signal_out (pn_d97),
5117 .clk (sclk));
5118dff_p #(14) ff97( .signal_in (pn_d97),
5119 .signal_out (pn_d98),
5120 .clk (sclk));
5121
5122dff_p #(14) ff98( .signal_in (pn_d98),
5123 .signal_out (pn_d99),
5124 .clk (sclk));
5125dff_p #(14) ff99( .signal_in (pn_d99),
5126 .signal_out (pn_d100),
5127 .clk (sclk));
5128dff_p #(14) ff100( .signal_in (pn_d100),
5129 .signal_out (pn_d101),
5130 .clk (sclk));
5131dff_p #(14) ff101( .signal_in (pn_d101),
5132 .signal_out (pn_d102),
5133 .clk (sclk));
5134dff_p #(14) ff102( .signal_in (pn_d102),
5135 .signal_out (pn_d103),
5136 .clk (sclk));
5137dff_p #(14) ff103( .signal_in (pn_d103),
5138 .signal_out (pn_d104),
5139 .clk (sclk));
5140dff_p #(14) ff104( .signal_in (pn_d104),
5141 .signal_out (pn_d105),
5142 .clk (sclk));
5143dff_p #(14) ff105( .signal_in (pn_d105),
5144 .signal_out (pn_d106),
5145 .clk (sclk));
5146dff_p #(14) ff106( .signal_in (pn_d106),
5147 .signal_out (pn_d107),
5148 .clk (sclk));
5149dff_p #(14) ff107( .signal_in (pn_d107),
5150 .signal_out (pn_d108),
5151 .clk (sclk));
5152dff_p #(14) ff108( .signal_in (pn_d108),
5153 .signal_out (pn_d109),
5154 .clk (sclk));
5155
5156
5157dff_p #(14) ff109 ( .signal_in (pn_d109),
5158 .signal_out (pn_d110),
5159 .clk (sclk));
5160
5161dff_p #(14) ff110 ( .signal_in (pn_d110),
5162 .signal_out (pn_d111),
5163 .clk (sclk));
5164
5165dff_p #(14) ff111 ( .signal_in (pn_d111),
5166 .signal_out (pn_d112),
5167 .clk (sclk));
5168
5169dff_p #(14) ff112 ( .signal_in (pn_d112),
5170 .signal_out (pn_d113),
5171 .clk (sclk));
5172
5173dff_p #(14) ff113 ( .signal_in (pn_d113),
5174 .signal_out (pn_d114),
5175 .clk (sclk));
5176
5177dff_p #(14) ff114 ( .signal_in (pn_d114),
5178 .signal_out (pn_d115),
5179 .clk (sclk));
5180
5181dff_p #(14) ff115 ( .signal_in (pn_d115),
5182 .signal_out (pn_d116),
5183 .clk (sclk));
5184
5185dff_p #(14) ff116 ( .signal_in (pn_d116),
5186 .signal_out (pn_d117),
5187 .clk (sclk));
5188
5189dff_p #(14) ff117 ( .signal_in (pn_d117),
5190 .signal_out (pn_d118),
5191 .clk (sclk));
5192
5193dff_p #(14) ff118 ( .signal_in (pn_d118),
5194 .signal_out (pn_d119),
5195 .clk (sclk));
5196
5197dff_p #(14) ff119 ( .signal_in (pn_d119),
5198 .signal_out (pn_d120),
5199 .clk (sclk));
5200
5201dff_p #(14) ff120 ( .signal_in (pn_d120),
5202 .signal_out (pn_d121),
5203 .clk (sclk));
5204
5205dff_p #(14) ff121 ( .signal_in (pn_d121),
5206 .signal_out (pn_d122),
5207 .clk (sclk));
5208
5209dff_p #(14) ff122 ( .signal_in (pn_d122),
5210 .signal_out (pn_d123),
5211 .clk (sclk));
5212
5213dff_p #(14) ff123 ( .signal_in (pn_d123),
5214 .signal_out (pn_d124),
5215 .clk (sclk));
5216
5217dff_p #(14) ff124 ( .signal_in (pn_d124),
5218 .signal_out (pn_d125),
5219 .clk (sclk));
5220
5221dff_p #(14) ff125 ( .signal_in (pn_d125),
5222 .signal_out (pn_d126),
5223 .clk (sclk));
5224
5225dff_p #(14) ff126 ( .signal_in (pn_d126),
5226 .signal_out (pn_d127),
5227 .clk (sclk));
5228
5229dff_p #(14) ff127 ( .signal_in (pn_d127),
5230 .signal_out (pn_d128),
5231 .clk (sclk));
5232
5233dff_p #(14) ff128 ( .signal_in (pn_d128),
5234 .signal_out (pn_d129),
5235 .clk (sclk));
5236
5237dff_p #(14) ff129 ( .signal_in (pn_d129),
5238 .signal_out (pn_d130),
5239 .clk (sclk));
5240
5241dff_p #(14) ff130 ( .signal_in (pn_d130),
5242 .signal_out (pn_d131),
5243 .clk (sclk));
5244
5245dff_p #(14) ff131 ( .signal_in (pn_d131),
5246 .signal_out (pn_d132),
5247 .clk (sclk));
5248
5249dff_p #(14) ff132 ( .signal_in (pn_d132),
5250 .signal_out (pn_d133),
5251 .clk (sclk));
5252
5253dff_p #(14) ff133 ( .signal_in (pn_d133),
5254 .signal_out (pn_d134),
5255 .clk (sclk));
5256
5257dff_p #(14) ff134 ( .signal_in (pn_d134),
5258 .signal_out (pn_d135),
5259 .clk (sclk));
5260
5261dff_p #(14) ff135 ( .signal_in (pn_d135),
5262 .signal_out (pn_d136),
5263 .clk (sclk));
5264
5265dff_p #(14) ff136 ( .signal_in (pn_d136),
5266 .signal_out (pn_d137),
5267 .clk (sclk));
5268
5269dff_p #(14) ff137 ( .signal_in (pn_d137),
5270 .signal_out (pn_d138),
5271 .clk (sclk));
5272
5273dff_p #(14) ff138 ( .signal_in (pn_d138),
5274 .signal_out (pn_d139),
5275 .clk (sclk));
5276
5277dff_p #(14) ff139 ( .signal_in (pn_d139),
5278 .signal_out (pn_d140),
5279 .clk (sclk));
5280
5281dff_p #(14) ff140 ( .signal_in (pn_d140),
5282 .signal_out (pn_d141),
5283 .clk (sclk));
5284
5285dff_p #(14) ff141 ( .signal_in (pn_d141),
5286 .signal_out (pn_d142),
5287 .clk (sclk));
5288
5289dff_p #(14) ff142 ( .signal_in (pn_d142),
5290 .signal_out (pn_d143),
5291 .clk (sclk));
5292
5293dff_p #(14) ff143 ( .signal_in (pn_d143),
5294 .signal_out (pn_d144),
5295 .clk (sclk));
5296
5297dff_p #(14) ff144 ( .signal_in (pn_d144),
5298 .signal_out (pn_d145),
5299 .clk (sclk));
5300
5301dff_p #(14) ff145 ( .signal_in (pn_d145),
5302 .signal_out (pn_d146),
5303 .clk (sclk));
5304
5305dff_p #(14) ff146 ( .signal_in (pn_d146),
5306 .signal_out (pn_d147),
5307 .clk (sclk));
5308
5309endmodule
5310
5311`endif