Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / verif / diag / assembly / uarch / tlu / diag / newfcrand05 / fcrand05short_85.s
/*
* ========== Copyright Header Begin ==========================================
*
* OpenSPARC T2 Processor File: fcrand05short_85.s
* Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
* 4150 Network Circle, Santa Clara, California 95054, U.S.A.
*
* DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
*
* This program is free software; you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation; version 2 of the License.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program; if not, write to the Free Software
* Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
*
* For the avoidance of doubt, and except that if any non-GPL license
* choice is available it will apply instead, Sun elects to use only
* the General Public License version 2 (GPLv2) at this time for any
* software where a choice of GPL license versions is made
* available with the language indicating that GPLv2 or any later version
* may be used, or where a choice of which version of the GPL is applied is
* otherwise unspecified.
*
* Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
* CA 95054 USA or visit www.sun.com if you need additional information or
* have any questions.
*
*
* ========== Copyright Header End ============================================
*/
#define NO_INTERNAL_SPU
#define IMMU_SKIP_IF_NO_TTE
#define DMMU_SKIP_IF_NO_TTE
#define MAIN_PAGE_NUCLEUS_ALSO
#define MAIN_PAGE_HV_ALSO
#define MAIN_PAGE_VA_IS_RA_ALSO
#define DISABLE_PART_LIMIT_CHECK
#define MAIN_PAGE_USE_CONFIG 3
#define PART0_Z_TSB_SIZE_3 10
#define PART0_Z_PAGE_SIZE_3 1
#define PART0_NZ_TSB_SIZE_3 10
#define PART0_NZ_PAGE_SIZE_3 1
#define PART0_Z_TSB_SIZE_1 3
#define PART0_NZ_TSB_SIZE_1 3
#define PART_0_BASE 0x0
#define USER_PAGE_CUSTOM_MAP
#define MAIN_BASE_TEXT_VA 0x333000000
#define MAIN_BASE_TEXT_RA 0x033000000
#define MAIN_BASE_DATA_VA 0x379400000
#define MAIN_BASE_DATA_RA 0x079400000
#define HIGHVA_HIGHNUM 0x3
#d
#define NO_EOB_MARKER
#undef INC_ERR_TRAPS
#undef H_HT0_Instruction_Access_MMU_Error_0x71
#define H_HT0_Instruction_Access_MMU_Error_0x71
#define SUN_H_HT0_Instruction_Access_MMU_Error_0x71 \
mov 0x80, %l3;\
stxa %g0, [%l3]0x57;\
retry;
#undef H_HT0_Instruction_access_error_0x0a
#define H_HT0_Instruction_access_error_0x0a
#define SUN_H_HT0_Instruction_access_error_0x0a retry
#undef H_HT0_Internal_Processor_Error_0x29
#define H_HT0_Internal_Processor_Error_0x29
#define SUN_H_HT0_Internal_Processor_Error_0x29 retry
#undef H_HT0_Data_Access_MMU_Error_0x72
#define H_HT0_Data_Access_MMU_Error_0x72
#define SUN_H_HT0_Data_Access_MMU_Error_0x72 \
mov 0x80, %l3;\
stxa %g0, [%l3]0x5f;\
retry;
#undef H_HT0_Data_access_error_0x32
#define H_HT0_Data_access_error_0x32
#define SUN_H_HT0_Data_access_error_0x32 \
add %g0, 0x18, %i1;\
ldxa [%i1] 0x58, %i2;\
cmp %i2, 0x4;\
bne 1f;\
nop;\
done;\
1:retry
#undef H_HT0_Hw_Corrected_Error_0x63
#define H_HT0_Hw_Corrected_Error_0x63
#define SUN_H_HT0_Hw_Corrected_Error_0x63 ldxa [%g0]ASI_DESR, %i1; retry
#undef H_HT0_Sw_Recoverable_Error_0x40
#define H_HT0_Sw_Recoverable_Error_0x40
#define SUN_H_HT0_Sw_Recoverable_Error_0x40 ldxa [%g0]ASI_DESR, %i1; retry
#undef H_HT0_Store_Error_0x07
#define H_HT0_Store_Error_0x07
#define SUN_H_HT0_Store_Error_0x07 retry
#define DAE_SKIP_IF_SOCU_ERROR
#ifndef T_HANDLER_RAND4_1
#define T_HANDLER_RAND4_1 b .+16;\
sdiv %r1, %r0, %l4;nop;nop
#endif
#ifndef T_HANDLER_RAND7_1
#define T_HANDLER_RAND7_1 b .+28;\
pdist %f4, %f6, %f20; \
nop; nop ; nop; nop; illtrap
#endif
#ifndef T_HANDLER_RAND4_2
#define T_HANDLER_RAND4_2 save %i7, %g0, %i7; \
save %i7, %g0, %i7; \
restore %i7, %g0, %i7;\
restore %i7, %g0, %i7;
#endif
#ifndef T_HANDLER_RAND7_2
#define T_HANDLER_RAND7_2 b .+8 ;\
rdpr %pstate, %l2;\
b .+8 ;\
rdpr %tstate, %l3;\
b .+12 ;\
wrpr %l3, %r0, %tstate; nop
#endif
#ifndef T_HANDLER_RAND4_3
#define T_HANDLER_RAND4_3 save %i7, %g0, %i7;\
restore %i7, %g0, %i7;\
save %i7, %g0, %i7; \
restore %i7, %g0, %i7;
#endif
#ifndef T_HANDLER_RAND7_3
#define T_HANDLER_RAND7_3 b .+8 ;\
rdpr %tnpc, %l2;\
and %l2, 0xfc0, %l2;\
add %i7, %l2, %l2;\
stda %f16,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY ;\
b .+8 ;\
stda %f0,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE ;
#endif
#ifndef T_HANDLER_RAND4_4
#define T_HANDLER_RAND4_4 b .+4 ; b .+4; b .+4; b .+4
#endif
#ifndef T_HANDLER_RAND7_4
#define T_HANDLER_RAND7_4 b .+8;\
save %i7, %g0, %i7; \
b,a .+8;\
b .+12;\
stw %i7, [%i7];\
b .-8;;\
restore %i7, %g0, %i7;
#endif
#ifndef T_HANDLER_RAND4_5
#define T_HANDLER_RAND4_5 ldda [%r31]ASI_NUCLEUS_QUAD_LDD, %f16;\
sdiv %l4, %l5, %l7;\
add %r31, 128, %l5;\
stda %l4, [%i7]ASI_BLOCK_PRIMARY_LITTLE;
#endif
#ifndef T_HANDLER_RAND7_5
#define T_HANDLER_RAND7_5 save %i7, %g0, %i7;\
rdpr %tnpc, %l2;\
wrpr %l2, %tpc;\
add %l2, 4, %l2;\
wrpr %l2, %tnpc;\
restore %i7, %g0, %i7;\
retry;
#endif
#ifndef T_HANDLER_RAND4_6
#define T_HANDLER_RAND4_6 ldda [%r31]ASI_BLOCK_AS_IF_USER_PRIMARY, %f32;\
rd %fprs, %l2; \
wr %l2, 0x4, %fprs ;\
stda %f0,[%r31]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE;
#endif
#ifndef T_HANDLER_RAND7_6
#define T_HANDLER_RAND7_6 umul %o4, 2, %o5;\
rdpr %tnpc, %l2;\
wrpr %l2, %tpc;\
add %l2, 4, %l2;\
wrpr %l2, %tnpc;\
stw %l2, [%i7];\
retry;
#endif
!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
#ifndef HT_HANDLER_RAND4_1
#define HT_HANDLER_RAND4_1 mov 0x80, %l3;\
b .+12;\
stxa %l3, [%l3]0x57 ;\
nop
#endif
#ifndef HT_HANDLER_RAND7_1
#define HT_HANDLER_RAND7_1 b .+28;\
pdist %f4, %f4, %f20;\
nop; nop ; nop; nop; illtrap
#endif
#ifndef HT_HANDLER_RAND4_2
#define HT_HANDLER_RAND4_2 rdpr %tstate, %l2;\
b .+12;\
wrpr %l2, 0x800, %tstate;\
nop;
#endif
#ifndef HT_HANDLER_RAND7_2
#define HT_HANDLER_RAND7_2 b .+8 ;\
rdhpr %hpstate, %l2;\
b .+8 ;\
rdhpr %htstate, %l3;\
b .+12 ;\
wrhpr %l3, %r0, %htstate; nop
#endif
#ifndef HT_HANDLER_RAND4_3
#define HT_HANDLER_RAND4_3 stxa %l4, [%r31]ASI_AS_IF_USER_PRIMARY;\
mov 0x80, %l3;\
stxa %l3, [%l3]0x5f ;\
b .+8 ;\
ldxa [%r31]ASI_AS_IF_USER_PRIMARY, %l4;
#endif
#ifndef HT_HANDLER_RAND7_3
#define HT_HANDLER_RAND7_3 b .+8 ;\
rdpr %tnpc, %l2;\
and %l2, 0xfc0, %l2;\
add %i7, %l2, %l2;\
stda %f16,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY ;\
b .+8 ;\
stda %f0,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE ;
#endif
#ifndef HT_HANDLER_RAND4_4
#define HT_HANDLER_RAND4_4 ldda [%i7]ASI_BLOCK_PRIMARY_LITTLE, %f0;\
b .+12 ;\
stxa %l3, [%g0]ASI_LSU_CONTROL; nop
#endif
#ifndef HT_HANDLER_RAND7_4
#define HT_HANDLER_RAND7_4 rdpr %tnpc, %l3;\
and %l3, 0xff, %l3;\
sllx %l3, 26, %l3;\
ldxa [%g0]0x45, %l4;\
or %l3, %l4, %l3 ;\
stxa %l3, [%g0]0x45 ;\
nop;
#endif
#ifndef HT_HANDLER_RAND4_5
#define HT_HANDLER_RAND4_5 ldda [%r31]ASI_NUCLEUS_QUAD_LDD, %f48;\
sdiv %l4, %l5, %l6;\
sdiv %l3, %l6, %l7;\
stda %f32, [%r31]ASI_BLOCK_PRIMARY_LITTLE;
#endif
#ifndef HT_HANDLER_RAND7_5
#define HT_HANDLER_RAND7_5 save %i7, %g0, %i7;\
rdpr %tnpc, %l2;\
wrpr %l2, %tpc;\
add %l2, 4, %l2;\
wrpr %l2, %tnpc;\
restore %i7, %g0, %i7;\
retry;
#endif
#ifndef HT_HANDLER_RAND4_6
#define HT_HANDLER_RAND4_6 ld [%r31], %l2;\
rd %fprs, %l2; \
wr %l2, 0x4, %fprs ;\
stda %f0,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE;
#endif
#ifndef HT_HANDLER_RAND7_6
#define HT_HANDLER_RAND7_6 rdhpr %htstate, %o4;\
rdpr %tnpc, %l2;\
wrpr %l2, %tpc;\
add %l2, 4, %l2;\
wrpr %l2, %tnpc;\
wrhpr %o4, %r0, %htstate;\
retry;
#endif
!!!!!!!!!!!!!!!!!!!!!!!!!
!! Disable trap checking
#define NO_TRAPCHECK
! Enable Traps
#define ENABLE_T1_Privileged_Opcode_0x11
#define ENABLE_T1_Fp_Disabled_0x20
#define ENABLE_HT0_Watchdog_Reset_0x02
#define FILL_TRAP_RETRY
#define SPILL_TRAP_RETRY
#define CLEAN_WIN_RETRY
#define My_RED_Mode_Other_Reset
#define My_RED_Mode_Other_Reset \
ba red_other_ext;\
nop;retry;nop;nop;nop;nop;nop
#define H_HT0_Software_Initiated_Reset_0x04
#define SUN_H_HT0_Software_Initiated_Reset_0x04 \
setx Software_Reset_Handler, %g1, %g2 ;\
jmp %g2 ;\
nop
#define H_T1_Clean_Window_0x24
#define SUN_H_T1_Clean_Window_0x24 \
rdpr %cleanwin, %l1;\
add %l1,1,%l1;\
wrpr %l1, %g0, %cleanwin;\
retry; nop; nop; nop; nop
#define H_T1_Clean_Window_0x25
#define SUN_H_T1_Clean_Window_0x25 \
rdpr %cleanwin, %l1;\
add %l1,1,%l1;\
wrpr %l1, %g0, %cleanwin;\
retry; nop; nop; nop; nop
#define H_T1_Clean_Window_0x26
#define SUN_H_T1_Clean_Window_0x26 \
rdpr %cleanwin, %l1;\
add %l1,1,%l1;\
wrpr %l1, %g0, %cleanwin;\
retry; nop; nop; nop; nop
#define H_T1_Clean_Window_0x27
#define SUN_H_T1_Clean_Window_0x27 \
rdpr %cleanwin, %l1;\
add %l1,1,%l1;\
wrpr %l1, %g0, %cleanwin;\
retry; nop; nop; nop; nop
#define H_HT0_Tag_Overflow
#define My_HT0_Tag_Overflow \
HT_HANDLER_RAND7_1 ;\
done
#define H_T0_Tag_Overflow
#define My_T0_Tag_Overflow \
T_HANDLER_RAND7_2 ;\
done
#define H_T1_Tag_Overflow_0x23
#define SUN_H_T1_Tag_Overflow_0x23 \
T_HANDLER_RAND7_3 ;\
done
#define H_T0_Window_Spill_0_Normal_Trap
#define SUN_H_T0_Window_Spill_0_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_1_Normal_Trap
#define SUN_H_T0_Window_Spill_1_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_2_Normal_Trap
#define SUN_H_T0_Window_Spill_2_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_3_Normal_Trap
#define SUN_H_T0_Window_Spill_3_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_4_Normal_Trap
#define SUN_H_T0_Window_Spill_4_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_5_Normal_Trap
#define SUN_H_T0_Window_Spill_5_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_6_Normal_Trap
#define SUN_H_T0_Window_Spill_6_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_7_Normal_Trap
#define SUN_H_T0_Window_Spill_7_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_0_Other_Trap
#define SUN_H_T0_Window_Spill_0_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_1_Other_Trap
#define SUN_H_T0_Window_Spill_1_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_2_Other_Trap
#define SUN_H_T0_Window_Spill_2_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_3_Other_Trap
#define SUN_H_T0_Window_Spill_3_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_4_Other_Trap
#define SUN_H_T0_Window_Spill_4_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_5_Other_Trap
#define SUN_H_T0_Window_Spill_5_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_6_Other_Trap
#define SUN_H_T0_Window_Spill_6_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_7_Other_Trap
#define SUN_H_T0_Window_Spill_7_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_0_Normal_Trap
#define SUN_H_T0_Window_Fill_0_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_1_Normal_Trap
#define SUN_H_T0_Window_Fill_1_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_2_Normal_Trap
#define SUN_H_T0_Window_Fill_2_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_3_Normal_Trap
#define SUN_H_T0_Window_Fill_3_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_4_Normal_Trap
#define SUN_H_T0_Window_Fill_4_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_5_Normal_Trap
#define SUN_H_T0_Window_Fill_5_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_6_Normal_Trap
#define SUN_H_T0_Window_Fill_6_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_7_Normal_Trap
#define SUN_H_T0_Window_Fill_7_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_0_Other_Trap
#define SUN_H_T0_Window_Fill_0_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_1_Other_Trap
#define SUN_H_T0_Window_Fill_1_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_2_Other_Trap
#define SUN_H_T0_Window_Fill_2_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_3_Other_Trap
#define SUN_H_T0_Window_Fill_3_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_4_Other_Trap
#define SUN_H_T0_Window_Fill_4_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_5_Other_Trap
#define SUN_H_T0_Window_Fill_5_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_6_Other_Trap
#define SUN_H_T0_Window_Fill_6_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_7_Other_Trap
#define SUN_H_T0_Window_Fill_7_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_0_Normal_Trap
#define SUN_H_T1_Window_Spill_0_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_1_Normal_Trap
#define SUN_H_T1_Window_Spill_1_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_2_Normal_Trap
#define SUN_H_T1_Window_Spill_2_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_3_Normal_Trap
#define SUN_H_T1_Window_Spill_3_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_4_Normal_Trap
#define SUN_H_T1_Window_Spill_4_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_5_Normal_Trap
#define SUN_H_T1_Window_Spill_5_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_6_Normal_Trap
#define SUN_H_T1_Window_Spill_6_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_7_Normal_Trap
#define SUN_H_T1_Window_Spill_7_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_0_Other_Trap
#define SUN_H_T1_Window_Spill_0_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_1_Other_Trap
#define SUN_H_T1_Window_Spill_1_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_2_Other_Trap
#define SUN_H_T1_Window_Spill_2_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_3_Other_Trap
#define SUN_H_T1_Window_Spill_3_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_4_Other_Trap
#define SUN_H_T1_Window_Spill_4_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_5_Other_Trap
#define SUN_H_T1_Window_Spill_5_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_6_Other_Trap
#define SUN_H_T1_Window_Spill_6_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_7_Other_Trap
#define SUN_H_T1_Window_Spill_7_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_0_Normal_Trap
#define SUN_H_T1_Window_Fill_0_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_1_Normal_Trap
#define SUN_H_T1_Window_Fill_1_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_2_Normal_Trap
#define SUN_H_T1_Window_Fill_2_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_3_Normal_Trap
#define SUN_H_T1_Window_Fill_3_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_4_Normal_Trap
#define SUN_H_T1_Window_Fill_4_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_5_Normal_Trap
#define SUN_H_T1_Window_Fill_5_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_6_Normal_Trap
#define SUN_H_T1_Window_Fill_6_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_7_Normal_Trap
#define SUN_H_T1_Window_Fill_7_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_0_Other_Trap
#define SUN_H_T1_Window_Fill_0_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_1_Other_Trap
#define SUN_H_T1_Window_Fill_1_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_2_Other_Trap
#define SUN_H_T1_Window_Fill_2_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_3_Other_Trap
#define SUN_H_T1_Window_Fill_3_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_4_Other_Trap
#define SUN_H_T1_Window_Fill_4_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_5_Other_Trap
#define SUN_H_T1_Window_Fill_5_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_6_Other_Trap
#define SUN_H_T1_Window_Fill_6_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_7_Other_Trap
#define SUN_H_T1_Window_Fill_7_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Trap_Instruction_0
#define My_T0_Trap_Instruction_0 \
T_HANDLER_RAND7_5 ;\
done;
#define H_T0_Trap_Instruction_1
#define My_T0_Trap_Instruction_1 \
T_HANDLER_RAND7_6 ;\
done;
#define H_T0_Trap_Instruction_2
#define My_T0_Trap_Instruction_2 \
inc %o3;\
umul %o3, 2, %o4;\
ba 1f; \
save %i7, %g0, %i7; \
2: done; \
nop; \
1: ba 2b; \
restore %i7, %g0, %i7
#define H_T0_Trap_Instruction_3
#define My_T0_Trap_Instruction_3 \
save %i7, %g0, %i7 ;\
T_HANDLER_RAND4_5;\
stw %o4, [%i7];\
restore %i7, %g0, %i7 ;\
done
#define H_T0_Trap_Instruction_4
#define My_T0_Trap_Instruction_4 \
T_HANDLER_RAND7_6 ;\
done;
#define H_T0_Trap_Instruction_5
#define My_T0_Trap_Instruction_5 \
T_HANDLER_RAND4_5;\
done;
#define H_T1_Trap_Instruction_0
#define My_T1_Trap_Instruction_0 \
inc %o4;\
umul %o4, 2, %o5;\
ba 3f; \
save %i7, %g0, %i7; \
4: done; \
nop; \
3: ba 4b; \
restore %i7, %g0, %i7
#define H_T1_Trap_Instruction_1
#define My_T1_Trap_Instruction_1 \
T_HANDLER_RAND7_3;\
done
#define H_T1_Trap_Instruction_2
#define My_T1_Trap_Instruction_2 \
inc %o3;\
umul %o3, 2, %o4;\
ba 5f; \
save %i7, %g0, %i7; \
6: done; \
nop; \
5: ba 6b; \
restore %i7, %g0, %i7
#define H_T1_Trap_Instruction_3
#define My_T1_Trap_Instruction_3 \
T_HANDLER_RAND4_1;\
done;
#define H_T1_Trap_Instruction_4
#define My_T1_Trap_Instruction_4 \
T_HANDLER_RAND7_1;\
done;
#define H_T1_Trap_Instruction_5
#define My_T1_Trap_Instruction_5 \
T_HANDLER_RAND7_2;\
done
#define H_HT0_Trap_Instruction_0
#define My_HT0_Trap_Instruction_0 \
HT_HANDLER_RAND4_1 ;\
done;
#define H_HT0_Trap_Instruction_1
#define My_HT0_Trap_Instruction_1 \
HT_HANDLER_RAND4_3 ;\
done
#define H_HT0_Trap_Instruction_2
#define My_HT0_Trap_Instruction_2 \
HT_HANDLER_RAND7_5 ;\
done;
#define H_HT0_Trap_Instruction_3
#define My_HT0_Trap_Instruction_3 \
HT_HANDLER_RAND4_5 ;\
done
#define H_HT0_Trap_Instruction_4
#define My_HT0_Trap_Instruction_4 \
HT_HANDLER_RAND7_4 ;\
done
#define H_HT0_Trap_Instruction_5
#define My_HT0_Trap_Instruction_5 \
ba htrap_5_ext;\
nop; retry;\
nop; nop; nop; nop; nop
#define H_HT0_Mem_Address_Not_Aligned_0x34
#define My_HT0_Mem_Address_Not_Aligned_0x34 \
HT_HANDLER_RAND4_2 ;\
done ;
#define H_HT0_Illegal_instruction_0x10
#define My_HT0_Illegal_instruction_0x10 \
done;
#define H_HT0_DAE_so_page_0x30
#define My_HT0_DAE_so_page_0x30 \
done;
#define H_HT0_DAE_invalid_asi_0x14
#define SUN_H_HT0_DAE_invalid_asi_0x14 \
done
#define H_HT0_DAE_privilege_violation_0x15
#define SUN_H_HT0_DAE_privilege_violation_0x15 \
done;
#define H_HT0_Privileged_Action_0x37
#define My_HT0_Privileged_Action_0x37 \
done; \
nop; nop
#define H_HT0_Lddf_Mem_Address_Not_Aligned_0x35
#define My_HT0_Lddf_Mem_Address_Not_Aligned_0x35 \
HT_HANDLER_RAND4_3 ;\
done
#define H_HT0_Stdf_Mem_Address_Not_Aligned_0x36
#define My_HT0_Stdf_Mem_Address_Not_Aligned_0x36 \
HT_HANDLER_RAND7_1;\
done
#define H_HT0_Fp_exception_ieee_754_0x21
#define My_HT0_Fp_exception_ieee_754_0x21 \
HT_HANDLER_RAND4_2 ;\
done
#define H_HT0_Fp_exception_other_0x22
#define My_HT0_Fp_exception_other_0x22 \
HT_HANDLER_RAND7_2 ;\
done
#define H_HT0_Division_By_Zero
#define My_HT0_Division_By_Zero \
HT_HANDLER_RAND4_6;\
done
#define H_T0_Division_By_Zero
#define My_T0_Division_By_Zero \
T_HANDLER_RAND4_3;\
done
#define H_T1_Division_By_Zero_0x28
#define My_H_T1_Division_By_Zero_0x28 \
T_HANDLER_RAND4_3;\
done
#define H_T0_Division_By_Zero
#define My_T0_Division_By_Zero\
T_HANDLER_RAND4_4 ;\
done
#define H_T0_Fp_exception_ieee_754_0x21
#define My_T0_Fp_exception_ieee_754_0x21 \
T_HANDLER_RAND4_3 ;\
done
#define H_T1_Fp_Exception_Ieee_754_0x21
#define My_H_T1_Fp_Exception_Ieee_754_0x21 \
T_HANDLER_RAND4_4 ;\
done
#define H_T1_Fp_Exception_Other_0x22
#define My_H_T1_Fp_Exception_Other_0x22 \
T_HANDLER_RAND4_5 ;\
done
#define H_T1_Privileged_Opcode_0x11
#define SUN_H_T1_Privileged_Opcode_0x11 \
T_HANDLER_RAND4_6 ;\
done
#define H_HT0_Privileged_opcode_0x11
#define My_HT0_Privileged_opcode_0x11 \
HT_HANDLER_RAND4_1;\
done;
#define H_HT0_Fp_disabled_0x20
#define My_HT0_Fp_disabled_0x20 \
mov 0x4, %l2 ;\
wr %l2, 0x0, %fprs ;\
sllx %l2, 10, %l3; \
rdpr %tstate, %l2;\
or %l2, %l3, %l2 ;\
stw %l2, [%i7];\
wrpr %l2, 0x0, %tstate;\
retry;
#define H_T0_Fp_disabled_0x20
#define My_T0_Fp_disabled_0x20 \
mov 0x4, %l2 ;\
wr %l2, 0x0, %fprs ;\
sllx %l2, 10, %l3; \
rdpr %tstate, %l2;\
or %l2, %l3, %l2 ;\
wrpr %l2, 0x0, %tstate;\
retry; nop
#define H_T1_Fp_Disabled_0x20
#define My_H_T1_Fp_Disabled_0x20 \
mov 0x4, %l2 ;\
wr %l2, 0x0, %fprs ;\
sllx %l2, 10, %l3; \
rdpr %tstate, %l2;\
or %l2, %l3, %l2 ;\
wrpr %l2, 0x0, %tstate;\
stw %l2, [%i7];\
retry
#define H_HT0_Watchdog_Reset_0x02
#define My_HT0_Watchdog_Reset_0x02 \
ba wdog_2_ext;\
nop;retry;nop;nop;nop;nop;nop
#define H_T0_Privileged_opcode_0x11
#define My_T0_Privileged_opcode_0x11 \
T_HANDLER_RAND4_4;\
done
#define H_T1_Fp_exception_other_0x22
#define My_T1_Fp_exception_other_0x22 \
T_HANDLER_RAND7_3 ;\
done;
#define H_T0_Fp_exception_other_0x22
#define My_T0_Fp_exception_other_0x22 \
T_HANDLER_RAND7_4;\
done
#define H_HT0_Trap_Level_Zero_0x5f
#define My_HT0_Trap_Level_Zero_0x5f \
not %g0, %r13; \
rdhpr %hpstate, %l3;\
jmp %r13;\
rdhpr %htstate, %l3;\
and %l3, 0xfe, %l3;\
wrhpr %l3, 0, %htstate;\
stw %r13, [%i7];\
retry
#define My_Watchdog_Reset
#define My_Watchdog_Reset \
ba wdog_red_ext;\
nop;retry;nop;nop;nop;nop;nop
#define H_HT0_Control_Transfer_Instr_0x74
#define My_H_HT0_Control_Transfer_Instr_0x74 \
rdpr %tstate, %l3;\
mov 1, %l4;\
sllx %l4, 20, %l4;\
wrpr %l3, %l4, %tstate ;\
retry;nop;
#define H_T0_Control_Transfer_Instr_0x74
#define My_H_T0_Control_Transfer_Instr_0x74 \
rdpr %tstate, %l3;\
mov 1, %l4;\
sllx %l4, 20, %l4;\
wrpr %l3, %l4, %tstate ;\
retry;nop;
#define H_T1_Control_Transfer_Instr_0x74
#define My_H_T1_Control_Transfer_Instr_0x74 \
rdpr %tstate, %l3;\
mov 1, %l4;\
sllx %l4, 20, %l4;\
wrpr %l3, %l4, %tstate ;\
retry;nop;
#define H_HT0_data_access_protection_0x6c
#define SUN_H_HT0_data_access_protection_0x6c ba daccess_prot_handler; nop
#define H_HT0_PA_Watchpoint_0x61
#define My_H_HT0_PA_Watchpoint_0x61 \
HT_HANDLER_RAND7_4;\
done
#ifndef H_HT0_Data_access_error_0x32
#define H_HT0_Data_access_error_0x32
#define SUN_H_HT0_Data_access_error_0x32 \
done;nop
#endif
#define H_T0_VA_Watchpoint_0x62
#define My_T0_VA_Watchpoint_0x62 \
T_HANDLER_RAND7_5;\
done
#define H_T1_VA_Watchpoint_0x62
#define SUN_H_T1_VA_Watchpoint_0x62 \
T_HANDLER_RAND7_3;\
done
#define H_HT0_VA_Watchpoint_0x62
#define My_H_HT0_VA_Watchpoint_0x62 \
HT_HANDLER_RAND7_5;\
done
#define H_HT0_Instruction_VA_Watchpoint_0x75
#define SUN_H_HT0_Instruction_VA_Watchpoint_0x75 \
done;
#define H_HT0_Instruction_Breakpoint_0x76
#define SUN_H_HT0_Instruction_Breakpoint_0x76 \
rdhpr %htstate, %g1;\
wrhpr %g1, 0x400, %htstate;\
retry;nop
#define H_HT0_Instruction_address_range_0x0d
#define SUN_H_HT0_Instruction_address_range_0x0d \
HT_HANDLER_RAND4_1;\
done;
#define H_HT0_Instruction_real_range_0x0e
#define SUN_H_HT0_Instruction_real_range_0x0e \
HT_HANDLER_RAND4_1;\
done;
#define H_HT0_mem_real_range_0x2d
#define SUN_H_HT0_mem_real_range_0x2d \
HT_HANDLER_RAND4_2;\
done;
#define H_HT0_mem_address_range_0x2e
#define SUN_H_HT0_mem_address_range_0x2e \
HT_HANDLER_RAND4_3;\
done;
#define H_HT0_DAE_nc_page_0x16
#define SUN_H_HT0_DAE_nc_page_0x16 \
done;
#define H_HT0_DAE_nfo_page_0x17
#define SUN_H_HT0_DAE_nfo_page_0x17 \
done;
#define H_HT0_IAE_unauth_access_0x0b
#define SUN_H_HT0_IAE_unauth_access_0x0b \
HT_HANDLER_RAND7_3;\
done;
#define H_HT0_IAE_nfo_page_0x0c
#define SUN_H_HT0_IAE_nfo_page_0x0c \
HT_HANDLER_RAND7_6;\
done;
#define H_HT0_Reserved_0x3b
#define SUN_H_HT0_Reserved_0x3b \
mov 0x80, %l3;\
stxa %l3, [%l3]0x5f ;\
stxa %l3, [%l3]0x57 ;\
done;
#define H_HT0_IAE_privilege_violation_0x08
#define My_HT0_IAE_privilege_violation_0x08 \
HT_HANDLER_RAND7_2;\
done;
#ifndef H_HT0_Instruction_Access_MMU_Error_0x71
#define H_HT0_Instruction_Access_MMU_Error_0x71
#define SUN_H_HT0_Instruction_Access_MMU_Error_0x71 \
mov 0x80, %l3;\
stxa %l3, [%l3]0x5f ;\
stxa %l3, [%l3]0x57 ;\
retry;
#endif
#ifndef H_HT0_Data_Access_MMU_Error_0x72
#define H_HT0_Data_Access_MMU_Error_0x72
#define SUN_H_HT0_Data_Access_MMU_Error_0x72 \
mov 0x80, %l3;\
stxa %l3, [%l3]0x5f ;\
stxa %l3, [%l3]0x57 ;\
retry;
#endif
!!!!!!!!!!!!!!!!!!!!!! END of all handlers !!!!!!!!!!!!!!!!!!!
!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
!!!!!!!!!!!!!!!! START of Interrupt Handlers !!!!!!!!!!!!!!!!!
#ifndef INT_HANDLER_RAND4_1
#define INT_HANDLER_RAND4_1 retry; nop; nop; nop
#endif
#ifndef INT_HANDLER_RAND7_1
#define INT_HANDLER_RAND7_1 retry; nop; nop; nop ; nop; nop; nop
#endif
#ifndef INT_HANDLER_RAND4_2
#define INT_HANDLER_RAND4_2 retry; nop; nop; nop
#endif
#ifndef INT_HANDLER_RAND7_2
#define INT_HANDLER_RAND7_2 retry; nop; nop; nop ; nop; nop; nop
#endif
#ifndef INT_HANDLER_RAND4_3
#define INT_HANDLER_RAND4_3 retry; nop; nop; nop
#endif
#ifndef INT_HANDLER_RAND7_3
#define INT_HANDLER_RAND7_3 retry; nop; nop; nop ; nop; nop; nop
#endif
#define H_HT0_Externally_Initiated_Reset_0x03
#define SUN_H_HT0_Externally_Initiated_Reset_0x03 \
ldxa [%g0] ASI_LSU_CTL_REG, %g1; \
set cregs_lsu_ctl_reg_r64, %g1; \
stxa %g1, [%g0] ASI_LSU_CTL_REG; \
retry;nop
#define My_External_Reset \
ldxa [%g0] ASI_LSU_CTL_REG, %l5; \
set cregs_lsu_ctl_reg_r64, %l5; \
stxa %l5, [%g0] ASI_LSU_CTL_REG; \
retry;nop
!!!!! SPU Interrupt Handlers
#define H_HT0_Control_Word_Queue_Interrupt_0x3c
#define My_HT0_Control_Word_Queue_Interrupt_0x3c \
INT_HANDLER_RAND7_1 ;\
retry ;
#define H_HT0_Modular_Arithmetic_Interrupt_0x3d
#define My_H_HT0_Modular_Arithmetic_Interrupt_0x3d \
INT_HANDLER_RAND7_2 ;\
retry ;
!!!!! HW interrupt handlers
#define H_HT0_Interrupt_0x60
#define My_HT0_Interrupt_0x60 \
ldxa [%g0] ASI_SWVR_INTR_RECEIVE, %g5 ;\
ldxa [%g0] ASI_SWVR_INTR_R, %g4 ;\
ldxa [%g0] ASI_SWVR_INTR_RECEIVE, %g3 ;\
INT_HANDLER_RAND4_1 ;\
retry;
!!!!! Queue interrupt handler
#define H_T0_Cpu_Mondo_Trap_0x7c
#define My_T0_Cpu_Mondo_Trap_0x7c \
mov 0x3c8, %g3; \
ldxa [%g3] 0x25, %g5; \
mov 0x3c0, %g3; \
stxa %g5, [%g3] 0x25; \
retry; \
nop; \
nop; \
nop
#define H_T0_Dev_Mondo_Trap_0x7d
#define My_T0_Dev_Mondo_Trap_0x7d \
mov 0x3d8, %g3; \
ldxa [%g3] 0x25, %g5; \
mov 0x3d0, %g3; \
stxa %g5, [%g3] 0x25; \
retry; \
nop; \
nop; \
nop
#define H_T0_Resumable_Error_0x7e
#define My_T0_Resumable_Error_0x7e \
mov 0x3e8, %g3; \
ldxa [%g3] 0x25, %g5; \
mov 0x3e0, %g3; \
stxa %g5, [%g3] 0x25; \
retry; \
nop; \
nop; \
nop
#define H_T1_Cpu_Mondo_Trap_0x7c
#define My_T1_Cpu_Mondo_Trap_0x7c \
mov 0x3c8, %g3; \
ldxa [%g3] 0x25, %g5; \
mov 0x3c0, %g3; \
stxa %g5, [%g3] 0x25; \
retry; \
nop; \
nop; \
nop
#define H_T1_Dev_Mondo_Trap_0x7d
#define My_T1_Dev_Mondo_Trap_0x7d \
mov 0x3d8, %g3; \
ldxa [%g3] 0x25, %g5; \
mov 0x3d0, %g3; \
stxa %g5, [%g3] 0x25; \
retry; \
nop; \
nop; \
nop
#define H_T1_Resumable_Error_0x7e
#define My_T1_Resumable_Error_0x7e \
mov 0x3e8, %g3; \
ldxa [%g3] 0x25, %g5; \
mov 0x3e0, %g3; \
stxa %g5, [%g3] 0x25; \
retry; \
nop; \
nop; \
nop
#define H_HT0_Reserved_0x7c
#define SUN_H_HT0_Reserved_0x7c \
mov 0x3c8, %g3; \
ldxa [%g3] 0x25, %g5; \
mov 0x3c0, %g3; \
stxa %g5, [%g3] 0x25; \
retry; \
nop; \
nop; \
nop
#define H_HT0_Reserved_0x7d
#define SUN_H_HT0_Reserved_0x7d \
mov 0x3d8, %g3; \
ldxa [%g3] 0x25, %g5; \
mov 0x3d0, %g3; \
stxa %g5, [%g3] 0x25; \
retry; \
nop; \
nop; \
nop
#define H_HT0_Reserved_0x7e
#define SUN_H_HT0_Reserved_0x7e \
mov 0x3e8, %g3; \
ldxa [%g3] 0x25, %g5; \
mov 0x3e0, %g3; \
stxa %g5, [%g3] 0x25; \
retry; \
nop; \
nop; \
nop
!!!!! Hstick-match trap handler
#define H_T0_Reserved_0x5e
#define My_T0_Reserved_0x5e \
rdhpr %hintp, %g3; \
wrhpr %g3, %g3, %hintp; \
retry; \
nop; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Hstick_Match_0x5e
#define My_HT0_Hstick_Match_0x5e \
rdhpr %hintp, %g3; \
wrhpr %g3, %g3, %hintp; \
retry; \
nop; \
nop; \
nop; \
nop; \
nop
#define H_T0_Reserved_0x5e
#define My_T0_Reserved_0x5e \
rdhpr %hintp, %g3; \
wrhpr %g3, %g3, %hintp; \
retry; \
nop; \
nop; \
nop; \
nop; \
nop
#define H_T1_Reserved_0x5e
#define My_T1_Reserved_0x5e \
rdhpr %hintp, %g3; \
wrhpr %g3, %g3, %hintp; \
retry; \
nop; \
nop; \
nop; \
nop; \
nop
!!!!! SW interuupt handlers
#define H_T0_Interrupt_Level_14_0x4e
#define My_T0_Interrupt_Level_14_0x4e \
rd %softint, %g3; \
sethi %hi(0x14000), %g3; \
or %g3, 0x1, %g3; \
wr %g3, %g0, %clear_softint; \
rd %tick, %g3 ;\
retry; \
#define H_T0_Interrupt_Level_1_0x41
#define My_T0_Interrupt_Level_1_0x41 \
rd %softint, %g3; \
or %g0, 0x2, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_2_0x42
#define My_T0_Interrupt_Level_2_0x42 \
rd %softint, %g3; \
or %g0, 0x4, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_3_0x43
#define My_T0_Interrupt_Level_3_0x43 \
rd %softint, %g3; \
or %g0, 0x8, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_4_0x44
#define My_T0_Interrupt_Level_4_0x44 \
rd %softint, %g3; \
or %g0, 0x10, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_5_0x45
#define My_T0_Interrupt_Level_5_0x45 \
rd %softint, %g3; \
or %g0, 0x20, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_6_0x46
#define My_T0_Interrupt_Level_6_0x46 \
rd %softint, %g3; \
or %g0, 0x40, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_7_0x47
#define My_T0_Interrupt_Level_7_0x47 \
rd %softint, %g3; \
or %g0, 0x80, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_8_0x48
#define My_T0_Interrupt_Level_8_0x48 \
rd %softint, %g3; \
or %g0, 0x100, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_9_0x49
#define My_T0_Interrupt_Level_9_0x49 \
rd %softint, %g3; \
or %g0, 0x200, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_10_0x4a
#define My_T0_Interrupt_Level_10_0x4a \
rd %softint, %g3; \
or %g0, 0x400, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_11_0x4b
#define My_T0_Interrupt_Level_11_0x4b \
rd %softint, %g3; \
or %g0, 0x800, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_12_0x4c
#define My_T0_Interrupt_Level_12_0x4c \
rd %softint, %g3; \
sethi %hi(0x1000), %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_13_0x4d
#define My_T0_Interrupt_Level_13_0x4d \
rd %softint, %g3; \
sethi %hi(0x2000), %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_15_0x4f
#define My_T0_Interrupt_Level_15_0x4f \
sethi %hi(0x8000), %g3; \
wr %g3, %g0, %clear_softint; \
wr %g0, %g0, %pic;\
sethi %hi(0x80040000), %g2;\
rd %pcr, %g3;\
andn %g3, %g2, %g3;\
wr %g3, %g0, %pcr;\
retry;
#define H_T1_Interrupt_Level_14_0x4e
#define My_T1_Interrupt_Level_14_0x4e \
rd %softint, %g3; \
sethi %hi(0x14000), %g3; \
or %g3, 0x1, %g3; \
wr %g3, %g0, %clear_softint; \
rd %tick, %g3 ;\
retry; \
#define H_T1_Interrupt_Level_1_0x41
#define My_T1_Interrupt_Level_1_0x41 \
rd %softint, %g3; \
or %g0, 0x2, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_2_0x42
#define My_T1_Interrupt_Level_2_0x42 \
rd %softint, %g3; \
or %g0, 0x4, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_3_0x43
#define My_T1_Interrupt_Level_3_0x43 \
rd %softint, %g3; \
or %g0, 0x8, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_4_0x44
#define My_T1_Interrupt_Level_4_0x44 \
rd %softint, %g3; \
or %g0, 0x10, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_5_0x45
#define My_T1_Interrupt_Level_5_0x45 \
rd %softint, %g3; \
or %g0, 0x20, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_6_0x46
#define My_T1_Interrupt_Level_6_0x46 \
rd %softint, %g3; \
or %g0, 0x40, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_7_0x47
#define My_T1_Interrupt_Level_7_0x47 \
rd %softint, %g3; \
or %g0, 0x80, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_8_0x48
#define My_T1_Interrupt_Level_8_0x48 \
rd %softint, %g3; \
or %g0, 0x100, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_9_0x49
#define My_T1_Interrupt_Level_9_0x49 \
rd %softint, %g3; \
or %g0, 0x200, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_10_0x4a
#define My_T1_Interrupt_Level_10_0x4a \
rd %softint, %g3; \
or %g0, 0x400, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_11_0x4b
#define My_T1_Interrupt_Level_11_0x4b \
rd %softint, %g3; \
or %g0, 0x800, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_12_0x4c
#define My_T1_Interrupt_Level_12_0x4c \
rd %softint, %g3; \
sethi %hi(0x1000), %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_13_0x4d
#define My_T1_Interrupt_Level_13_0x4d \
rd %softint, %g3; \
sethi %hi(0x2000), %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_15_0x4f
#define My_T1_Interrupt_Level_15_0x4f \
sethi %hi(0x8000), %g3; \
wr %g3, %g0, %clear_softint; \
wr %g0, %g0, %pic;\
sethi %hi(0x80040000), %g2;\
rd %pcr, %g3;\
andn %g3, %g2, %g3;\
wr %g3, %g0, %pcr;\
retry;
#define H_HT0_Interrupt_Level_14_0x4e
#define My_HT0_Interrupt_Level_14_0x4e \
rd %softint, %g3; \
sethi %hi(0x14000), %g3; \
or %g3, 0x1, %g3; \
wr %g3, %g0, %clear_softint; \
rd %tick, %g3 ;\
sub %g3, 0x80, %g3;\
wrpr %g3, %g0, %tick;\
retry; \
#define H_HT0_Interrupt_Level_1_0x41
#define My_HT0_Interrupt_Level_1_0x41 \
rd %softint, %g3; \
or %g0, 0x2, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_2_0x42
#define My_HT0_Interrupt_Level_2_0x42 \
rd %softint, %g3; \
or %g0, 0x4, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_3_0x43
#define My_HT0_Interrupt_Level_3_0x43 \
rd %softint, %g3; \
or %g0, 0x8, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_4_0x44
#define My_HT0_Interrupt_Level_4_0x44 \
rd %softint, %g3; \
or %g0, 0x10, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_5_0x45
#define My_HT0_Interrupt_Level_5_0x45 \
rd %softint, %g3; \
or %g0, 0x20, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_6_0x46
#define My_HT0_Interrupt_Level_6_0x46 \
rd %softint, %g3; \
or %g0, 0x40, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_7_0x47
#define My_HT0_Interrupt_Level_7_0x47 \
rd %softint, %g3; \
or %g0, 0x80, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_8_0x48
#define My_HT0_Interrupt_Level_8_0x48 \
rd %softint, %g3; \
or %g0, 0x100, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_9_0x49
#define My_HT0_Interrupt_Level_9_0x49 \
rd %softint, %g3; \
or %g0, 0x200, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_10_0x4a
#define My_HT0_Interrupt_Level_10_0x4a \
rd %softint, %g3; \
or %g0, 0x400, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_11_0x4b
#define My_HT0_Interrupt_Level_11_0x4b \
rd %softint, %g3; \
or %g0, 0x800, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_12_0x4c
#define My_HT0_Interrupt_Level_12_0x4c \
rd %softint, %g3; \
sethi %hi(0x1000), %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_13_0x4d
#define My_HT0_Interrupt_Level_13_0x4d \
rd %softint, %g3; \
sethi %hi(0x2000), %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_15_0x4f
#define My_HT0_Interrupt_Level_15_0x4f \
sethi %hi(0x8000), %g3; \
wr %g3, %g0, %clear_softint; \
wr %g0, %g0, %pic;\
sethi %hi(0x80040000), %g2;\
rd %pcr, %g3;\
andn %g3, %g2, %g3;\
wr %g3, %g0, %pcr;\
retry;
!!!!!!!!!!!!!!!!!!!!!! END of all handlers !!!!!!!!!!!!!!!!!!!
!# Steer towards main TBA on these errors ..
!# These are redefines ...
#undef My_RED_Mode_Other_Reset
#define My_RED_Mode_Other_Reset
#define My_RED_Mode_Other_Reset \
mov ZRED_Mode_Other_Reset ,%r1;\
jmp %g1; nop;retry;nop;nop;nop;nop
#undef SUN_H_HT0_IAE_unauth_access_0x0b
#define SUN_H_HT0_IAE_unauth_access_0x0b \
set resolve_bad_tte, %g3;\
jmp %g3;\
nop
#undef My_HT0_IAE_privilege_violation_0x08
#define My_HT0_IAE_privilege_violation_0x08 \
set resolve_bad_tte, %g3;\
jmp %g3;\
nop
#define H_HT0_Instruction_address_range_0x0d
#define SUN_H_HT0_Instruction_address_range_0x0d \
rdpr %tpc, %g1;\
rdpr %tnpc, %g2;\
stw %g1, [%i7];\
stw %g2, [%i7+4];\
jmpl %r27+8, %r27;\
fdivd %f0, %f4, %f4;\
nop;
#define H_HT0_Instruction_real_range_0x0e
#define SUN_H_HT0_Instruction_real_range_0x0e \
rdpr %tpc, %g1;\
rdpr %tnpc, %g2;\
stw %g1, [%i7];\
stw %g2, [%i7+4];\
jmpl %r27+8, %r27;\
fdivd %f0, %f4, %f4;\
nop;
#undef SUN_H_HT0_IAE_nfo_page_0x0c
#define SUN_H_HT0_IAE_nfo_page_0x0c \
set resolve_bad_tte, %g3;\
jmp %g3;\
nop
#define H_HT0_Instruction_Invalid_TSB_Entry_0x2a
#define SUN_H_HT0_Instruction_Invalid_TSB_Entry_0x2a \
set restore_range_regs, %g3;\
jmp %g3;\
nop
#define H_HT0_Data_Invalid_TSB_Entry_0x2b
#define SUN_H_HT0_Data_Invalid_TSB_Entry_0x2b \
set restore_range_regs, %g3;\
jmp %g3;\
nop
#define H_T1_Reserved_0x00
#define SUN_H_T1_Reserved_0x00 \
nop;\
jmpl %r27+8, %r0;\
nop;
#undef FAST_BOOT
#include "hboot.s"
#ifndef MULTIPASS
#define MULTIPASS 0
#endif
#define LOMEIN_TEXT_VA [0x]mpeval(MAIN_BASE_TEXT_VA&0xffffffff,16)
#define LOMEIN_DATA_VA [0x]mpeval(MAIN_BASE_DATA_VA&0xffffffff,16)
changequote([, ])dnl
SECTION .LOMEIN TEXT_VA=LOMEIN_TEXT_VA, DATA_VA=LOMEIN_DATA_VA
attr_text {
Name = .LOMEIN,
VA= LOMEIN_TEXT_VA,
RA= MAIN_BASE_TEXT_RA,
PA= ra2pa2(MAIN_BASE_TEXT_RA, 0),
part_0_ctx_nonzero_tsb_config_1,
part_0_ctx_zero_tsb_config_1,
TTE_G=1, TTE_Context=0x44, TTE_V=1,
TTE_Size=0, TTE_NFO=0, TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=1,
tsbonly
}
attr_data {
Name = .LOMEIN,
VA= LOMEIN_DATA_VA,
RA= MAIN_BASE_DATA_RA,
PA= ra2pa2(MAIN_BASE_DATA_RA, 0),
part_0_ctx_nonzero_tsb_config_2,
part_0_ctx_zero_tsb_config_2
TTE_G=1, TTE_Context=0x44, TTE_V=1, TTE_Size=0, TTE_NFO=0,
TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
tsbonly
}
attr_data {
Name = .LOMEIN,
VA= LOMEIN_DATA_VA,
RA= MAIN_BASE_DATA_RA,
PA= ra2pa2(MAIN_BASE_DATA_RA, 0),
part_0_ctx_nonzero_tsb_config_3,
part_0_ctx_zero_tsb_config_3
TTE_G=1, TTE_Context=0x55, TTE_V=1, TTE_Size=0, TTE_NFO=0,
TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
tsbonly
}
.text
.align 0x100000
nop
.data
.word 0x0
SECTION .MAIN TEXT_VA=MAIN_BASE_TEXT_VA, DATA_VA=MAIN_BASE_DATA_VA
attr_text {
Name = .MAIN,
VA=MAIN_BASE_TEXT_VA,
RA= LOMEIN_TEXT_VA,
PA= LOMEIN_TEXT_VA,
part_0_ctx_nonzero_tsb_config_2,
part_0_ctx_zero_tsb_config_2,
TTE_G=1, TTE_Context=0x44, TTE_V=1,
TTE_Size=0, TTE_NFO=0, TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=1,
}
attr_data {
Name = .MAIN,
VA=MAIN_BASE_DATA_VA
RA= LOMEIN_DATA_VA,
PA= LOMEIN_DATA_VA,
part_0_ctx_nonzero_tsb_config_1,
part_0_ctx_zero_tsb_config_1
TTE_G=1, TTE_Context=0x44, TTE_V=1, TTE_Size=0, TTE_NFO=0,
TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
}
attr_data {
Name = .MAIN,
VA=MAIN_BASE_DATA_VA
RA= LOMEIN_DATA_VA,
PA= LOMEIN_DATA_VA,
part_0_ctx_nonzero_tsb_config_3,
part_0_ctx_zero_tsb_config_3
TTE_G=1, TTE_Context=0x55, TTE_V=1, TTE_Size=0, TTE_NFO=0,
TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
tsbonly
}
attr_text {
Name = .MAIN,
VA=MAIN_BASE_TEXT_VA,
hypervisor
}
attr_data {
Name = .MAIN,
VA=MAIN_BASE_DATA_VA
hypervisor
}
changequote(`,')dnl'
.text
.global main
main:
! Set up ld/st area per thread
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %o2
and %o2, 0x7, %o1
brnz %o1, init_start
mov 0xff, %r11
lock_sync_thds:
set sync_thr_counter4, %r23
#ifndef SPC
and %o2, 0x38, %o2
add %o2,%r23,%r23 !Core's sync counter
#endif
st %r11, [%r23] !lock sync_thr_counter4
add %r23, 64, %r23
st %r11, [%r23] !lock sync_thr_counter5
add %r23, 64, %r23
st %r11, [%r23] !lock sync_thr_counter6
init_start:
wrhpr %g0, 0x0, %hpstate ! ta T_CHANGE_NONHPRIV
umul %r9, 256, %r31
setx user_data_start, %r1, %r3
add %r31, %r3, %r31
wr %r0, 0x4, %asi
!Initializing integer registers
ldx [%r31+0], %r0
ldx [%r31+8], %r1
ldx [%r31+16], %r2
ldx [%r31+24], %r3
ldx [%r31+32], %r4
ldx [%r31+40], %r5
ldx [%r31+48], %r6
ldx [%r31+56], %r7
ldx [%r31+64], %r8
ldx [%r31+72], %r9
ldx [%r31+80], %r10
ldx [%r31+88], %r11
ldx [%r31+96], %r12
ldx [%r31+104], %r13
ldx [%r31+112], %r14
mov %r31, %r15
ldx [%r31+128], %r16
ldx [%r31+136], %r17
ldx [%r31+144], %r18
ldx [%r31+152], %r19
ldx [%r31+160], %r20
ldx [%r31+168], %r21
ldx [%r31+176], %r22
ldx [%r31+184], %r23
ldx [%r31+192], %r24
ldx [%r31+200], %r25
ldx [%r31+208], %r26
ldx [%r31+216], %r27
ldx [%r31+224], %r28
ldx [%r31+232], %r29
mov 0x32, %r14
mov 0x34, %r30
save %r31, %r0, %r31
ldx [%r31+0], %r0
ldx [%r31+8], %r1
ldx [%r31+16], %r2
ldx [%r31+24], %r3
ldx [%r31+32], %r4
ldx [%r31+40], %r5
ldx [%r31+48], %r6
ldx [%r31+56], %r7
ldx [%r31+64], %r8
ldx [%r31+72], %r9
ldx [%r31+80], %r10
ldx [%r31+88], %r11
ldx [%r31+96], %r12
ldx [%r31+104], %r13
ldx [%r31+112], %r14
mov %r31, %r15
ldx [%r31+128], %r16
ldx [%r31+136], %r17
ldx [%r31+144], %r18
ldx [%r31+152], %r19
ldx [%r31+160], %r20
ldx [%r31+168], %r21
ldx [%r31+176], %r22
ldx [%r31+184], %r23
ldx [%r31+192], %r24
ldx [%r31+200], %r25
ldx [%r31+208], %r26
ldx [%r31+216], %r27
ldx [%r31+224], %r28
ldx [%r31+232], %r29
mov 0x31, %r14
mov 0xb1, %r30
save %r31, %r0, %r31
ldx [%r31+0], %r0
ldx [%r31+8], %r1
ldx [%r31+16], %r2
ldx [%r31+24], %r3
ldx [%r31+32], %r4
ldx [%r31+40], %r5
ldx [%r31+48], %r6
ldx [%r31+56], %r7
ldx [%r31+64], %r8
ldx [%r31+72], %r9
ldx [%r31+80], %r10
ldx [%r31+88], %r11
ldx [%r31+96], %r12
ldx [%r31+104], %r13
ldx [%r31+112], %r14
mov %r31, %r15
ldx [%r31+128], %r16
ldx [%r31+136], %r17
ldx [%r31+144], %r18
ldx [%r31+152], %r19
ldx [%r31+160], %r20
ldx [%r31+168], %r21
ldx [%r31+176], %r22
ldx [%r31+184], %r23
ldx [%r31+192], %r24
ldx [%r31+200], %r25
ldx [%r31+208], %r26
ldx [%r31+216], %r27
ldx [%r31+224], %r28
ldx [%r31+232], %r29
mov 0x31, %r14
mov 0xb1, %r30
save %r31, %r0, %r31
ldx [%r31+0], %r0
ldx [%r31+8], %r1
ldx [%r31+16], %r2
ldx [%r31+24], %r3
ldx [%r31+32], %r4
ldx [%r31+40], %r5
ldx [%r31+48], %r6
ldx [%r31+56], %r7
ldx [%r31+64], %r8
ldx [%r31+72], %r9
ldx [%r31+80], %r10
ldx [%r31+88], %r11
ldx [%r31+96], %r12
ldx [%r31+104], %r13
ldx [%r31+112], %r14
mov %r31, %r15
ldx [%r31+128], %r16
ldx [%r31+136], %r17
ldx [%r31+144], %r18
ldx [%r31+152], %r19
ldx [%r31+160], %r20
ldx [%r31+168], %r21
ldx [%r31+176], %r22
ldx [%r31+184], %r23
ldx [%r31+192], %r24
ldx [%r31+200], %r25
ldx [%r31+208], %r26
ldx [%r31+216], %r27
ldx [%r31+224], %r28
ldx [%r31+232], %r29
mov 0x35, %r14
mov 0x30, %r30
save %r31, %r0, %r31
ldx [%r31+0], %r0
ldx [%r31+8], %r1
ldx [%r31+16], %r2
ldx [%r31+24], %r3
ldx [%r31+32], %r4
ldx [%r31+40], %r5
ldx [%r31+48], %r6
ldx [%r31+56], %r7
ldx [%r31+64], %r8
ldx [%r31+72], %r9
ldx [%r31+80], %r10
ldx [%r31+88], %r11
ldx [%r31+96], %r12
ldx [%r31+104], %r13
ldx [%r31+112], %r14
mov %r31, %r15
ldx [%r31+128], %r16
ldx [%r31+136], %r17
ldx [%r31+144], %r18
ldx [%r31+152], %r19
ldx [%r31+160], %r20
ldx [%r31+168], %r21
ldx [%r31+176], %r22
ldx [%r31+184], %r23
ldx [%r31+192], %r24
ldx [%r31+200], %r25
ldx [%r31+208], %r26
ldx [%r31+216], %r27
ldx [%r31+224], %r28
ldx [%r31+232], %r29
mov 0x30, %r14
mov 0xb5, %r30
save %r31, %r0, %r31
ldx [%r31+0], %r0
ldx [%r31+8], %r1
ldx [%r31+16], %r2
ldx [%r31+24], %r3
ldx [%r31+32], %r4
ldx [%r31+40], %r5
ldx [%r31+48], %r6
ldx [%r31+56], %r7
ldx [%r31+64], %r8
ldx [%r31+72], %r9
ldx [%r31+80], %r10
ldx [%r31+88], %r11
ldx [%r31+96], %r12
ldx [%r31+104], %r13
ldx [%r31+112], %r14
mov %r31, %r15
ldx [%r31+128], %r16
ldx [%r31+136], %r17
ldx [%r31+144], %r18
ldx [%r31+152], %r19
ldx [%r31+160], %r20
ldx [%r31+168], %r21
ldx [%r31+176], %r22
ldx [%r31+184], %r23
ldx [%r31+192], %r24
ldx [%r31+200], %r25
ldx [%r31+208], %r26
ldx [%r31+216], %r27
ldx [%r31+224], %r28
ldx [%r31+232], %r29
mov 0x30, %r14
mov 0x30, %r30
save %r31, %r0, %r31
ldx [%r31+0], %r0
ldx [%r31+8], %r1
ldx [%r31+16], %r2
ldx [%r31+24], %r3
ldx [%r31+32], %r4
ldx [%r31+40], %r5
ldx [%r31+48], %r6
ldx [%r31+56], %r7
ldx [%r31+64], %r8
ldx [%r31+72], %r9
ldx [%r31+80], %r10
ldx [%r31+88], %r11
ldx [%r31+96], %r12
ldx [%r31+104], %r13
ldx [%r31+112], %r14
mov %r31, %r15
ldx [%r31+128], %r16
ldx [%r31+136], %r17
ldx [%r31+144], %r18
ldx [%r31+152], %r19
ldx [%r31+160], %r20
ldx [%r31+168], %r21
ldx [%r31+176], %r22
ldx [%r31+184], %r23
ldx [%r31+192], %r24
ldx [%r31+200], %r25
ldx [%r31+208], %r26
ldx [%r31+216], %r27
ldx [%r31+224], %r28
ldx [%r31+232], %r29
mov 0xb1, %r14
mov 0xb0, %r30
save %r31, %r0, %r31
restore
restore
restore
!Initializing float registers
ldd [%r31+0], %f0
ldd [%r31+16], %f2
ldd [%r31+32], %f4
ldd [%r31+48], %f6
ldd [%r31+64], %f8
ldd [%r31+80], %f10
ldd [%r31+96], %f12
ldd [%r31+112], %f14
ldd [%r31+128], %f16
ldd [%r31+144], %f18
ldd [%r31+160], %f20
ldd [%r31+176], %f22
ldd [%r31+192], %f24
ldd [%r31+208], %f26
ldd [%r31+224], %f28
ldd [%r31+240], %f30
!! Set TPC/TNPC to diag-finish in case we get to a strange TL ..
ta T_CHANGE_HPRIV
setx diag_finish, %r29, %r28
add %r28, 4, %r29
wrpr %g0, 1, %tl
wrpr %r28, %tpc
wrpr %r29, %tnpc
wrpr %g0, 2, %tl
wrpr %r28, %tpc
wrpr %r29, %tnpc
wrpr %g0, 3, %tl
wrpr %r28, %tpc
wrpr %r29, %tnpc
wrpr %g0, 4, %tl
wrpr %r28, %tpc
wrpr %r29, %tnpc
wrpr %g0, 5, %tl
wrpr %r28, %tpc
wrpr %r29, %tnpc
wrpr %g0, 6, %tl
wrpr %r28, %tpc
wrpr %r29, %tnpc
wrpr %g0, 0, %tl
!Initializing Tick Cmprs
mov 1, %g2
sllx %g2, 63, %g2
or %g1, %g2, %g1
wrhpr %g1, %g0, %hsys_tick_cmpr
wr %g1, %g0, %tick_cmpr
wr %g1, %g0, %sys_tick_cmpr
#if (MULTIPASS > 0)
mov 0x38, %g1
stxa %r0, [%g1]ASI_SCRATCHPAD
#endif
! Set up fpr PMU traps
set 0x13889236, %g2
b fork_threads
wr %g2, %g0, %pcr
.align 2048
common_target:
nop
sub %r27, 8, %r27
and %r27, 8, %r12
mov HIGHVA_HIGHNUM, %r11
sllx %r11, 32, %r11
or %r27, %r11, %r27
brz,a %r12, .+8
lduw [%r27], %r12 ! load jmp dest into dcache - xinval
return %r27
.word 0x95a509c8 ! 1: FDIVd fdivd %f20, %f8, %f10
nop
jmp %r27
nop
!$EV trig_pc_d(1,@VA(.MAIN.fork_threads)) -> marker(bootEnd, *, 1)
fork_threads:
rd %tick, %r17
mov 0x40, %g1
setup_hwtw_config:
stxa %r17, [%g1]0x58
ta %icc, T_RD_THID
! fork: source strm = 0xffffffffffffffff; target strm = 0x1
cmp %o1, 0
setx fork_lbl_0_1, %g2, %g3
be,a .+8
jmp %g3
nop
! fork: source strm = 0xffffffffffffffff; target strm = 0x2
cmp %o1, 1
setx fork_lbl_0_2, %g2, %g3
be,a .+8
jmp %g3
nop
! fork: source strm = 0xffffffffffffffff; target strm = 0x4
cmp %o1, 2
setx fork_lbl_0_3, %g2, %g3
be,a .+8
jmp %g3
nop
! fork: source strm = 0xffffffffffffffff; target strm = 0x8
cmp %o1, 3
setx fork_lbl_0_4, %g2, %g3
be,a .+8
jmp %g3
nop
! fork: source strm = 0xffffffffffffffff; target strm = 0x10
cmp %o1, 4
setx fork_lbl_0_5, %g2, %g3
be,a .+8
jmp %g3
nop
! fork: source strm = 0xffffffffffffffff; target strm = 0x20
cmp %o1, 5
setx fork_lbl_0_6, %g2, %g3
be,a .+8
jmp %g3
nop
! fork: source strm = 0xffffffffffffffff; target strm = 0x40
cmp %o1, 6
setx fork_lbl_0_7, %g2, %g3
be,a .+8
jmp %g3
nop
! fork: source strm = 0xffffffffffffffff; target strm = 0x80
cmp %o1, 7
setx fork_lbl_0_8, %g2, %g3
be,a .+8
jmp %g3
nop
.text
setx join_lbl_0_0, %g1, %g2
jmp %g2
nop
.text
setx join_lbl_0_0, %g1, %g2
jmp %g2
nop
fork_lbl_0_8:
! Code for Template instance: _t1_
#define SPU_8
.text
.global _t1_main
_t1_main:
!# Switch to hpriv mode
ta T_CHANGE_HPRIV
!# trap counter
mov 0, %i6
set 0x32b7, %g3
stxa %g3, [%g0] ASI_SPARC_PWR_MGMT
! Get core ID & offset
ldxa [%g0]0x63, %o1
srlx %o1, 3, %o1
sllx %o1, 20, %o1 !! %o1 has core ID offset
! Set up for PMU
set 0x30d190b4, %g2
wr %g2, %g0, %pcr
setx 0xffffffb1ffffffa4, %g2, %g7
wr %g7, %g0, %pic
!# setup ASI register to point to SPU
wr %g0, 0x40, %asi
!# Make sure CWQ is currently disabled, not busy, not terminated, no protocol error; else fail
ldxa [%g0 + ASI_SPU_CWQ_CSR] %asi, %l1
and %l1, 0xf, %l2
cmp %g0, %l2
bne,pn %xcc, _t1_fail
nop
!# allocate control word queue (e.g., setup head/tail/first/last registers)
setx _t1_cwq_base, %g1, %l6
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l6, %o1, %l6
#endif
#endif
!# write base addr to first, head, and tail ptr
!# first store to first
stxa %l6, [%g0 + ASI_SPU_CWQ_FIRST] %asi
ldxa [%g0 + ASI_SPU_CWQ_FIRST] %asi, %l1
!# Mask off upper 16 bits
setx 0x0000ffffffffffff, %l5, %l0
and %l0, %l6, %l2
cmp %l1, %l2
bne,pn %xcc, _t1_fail
nop
!# then to head
stxa %l6, [%g0 + ASI_SPU_CWQ_HEAD] %asi
ldxa [%g0 + ASI_SPU_CWQ_HEAD] %asi, %l1
cmp %l1, %l2
bne,pn %xcc, _t1_fail
nop
!# then to tail
stxa %l6, [%g0 + ASI_SPU_CWQ_TAIL] %asi
ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l1
cmp %l1, %l2
bne,pn %xcc, _t1_fail
nop
!# then end of CWQ region to LAST
setx _t1_cwq_last, %g1, %l5
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l5, %o1, %l5
#endif
#endif
stxa %l5, [%g0 + ASI_SPU_CWQ_LAST] %asi
ldxa [%g0 + ASI_SPU_CWQ_LAST] %asi, %l1
!# Mask off upper 16 bits
and %l0, %l5, %l2
cmp %l1, %l2
bne,pn %xcc, _t1_fail
nop
or %g0, 0x0, %i4 !# _t1_counter : Increment _t1_by 1 _t1_to _t1_step _t1_over CWs
or %g0, 0x0, %i5 !# _t1_offset : Increment _t1_by 8 _t1_to _t1_step _t1_over CWs
_t1_main_loop:
setx _t1_spu_op_array, %l1, %l2
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l2, %o1, %l2
#endif
#endif
ldx [%l2 + %i5], %i1
cmp %i1, 7
bne _t1_not_ssl
mov %i5, %g5 !# Save _t1_real _t1_offset - _t1_if _t1_sslkey _t1_it _t1_has _t1_to _t1_be 0x10 _t1_aligned
btst 8, %i5
bz _t1_not_ssl
nop
add %i5, 8, %i5
_t1_not_ssl:
cmp %i1, 8
bg _t1_fail
mulx %i1, 8, %i1 !# Calc _t1_index _t1_into _t1_toc
setx _t1_table_of_context, %l1, %l2
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l2, %o1, %l2
#endif
#endif
ldx [%l2 + %i1], %l3 !# l3 = _t1_toc _t1_of _t1_current _t1_operation
ldx [%l3 + 0x40], %l4 !# l4 = alignment array
!# set CWQ data
ldx [%l3], %l2
ldx [%l2 + %i5], %l2 !# Get Control Word _t1_from _t1_array
mov %l2, %i7 !# Save _t1_it _t1_for _t1_later
srlx %i7, 48, %l1
and %l1, 1, %l1
cmp %l1, 1
bne,pn %xcc, _t1_write_cwq
nop
inc %i6 !# increase _t1_interrupt _t1_counter
_t1_write_cwq:
!# write CWQ entry (%l6 points to CWQ)
stx %l2, [%l6 + 0x0]
!# source address
ldx [%l3 + 0x8], %l2
ldx [%l4 + 0x8], %l1
add %l2, %i5, %l2
add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
stx %l2, [%l6 + 0x8]
!# Authentication Key Address (40-bit)
ldx [%l3 + 0x10], %l2
ldx [%l4 + 0x10], %l1
add %l2, %i5, %l2
add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
stx %l2, [%l6 + 0x10]
!# Authentication IV Address (40-bit)
ldx [%l3 + 0x18], %l2
ldx [%l4 + 0x18], %l1
add %l2, %i5, %l2
add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
stx %l2, [%l6 + 0x18]
!# Authentication FSAS Address (40-bit)
ldx [%l3 + 0x20], %l2
ldx [%l4 + 0x20], %l1
add %l2, %i5, %l2
add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
stx %l2, [%l6 + 0x20]
!# Encryption Key Address (40-bit)
ldx [%l3 + 0x28], %l2
ldx [%l4 + 0x28], %l1
add %l2, %i5, %l2
add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
stx %l2, [%l6 + 0x28]
!# Encryption Initialization Vector Address (40-bit)
ldx [%l3 + 0x30], %l2
ldx [%l4 + 0x30], %l1
add %l2, %i5, %l2
add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
stx %l2, [%l6 + 0x30]
!# Destination Address (40-bit)
ldx [%l3 + 0x38], %l2
ldx [%l4 + 0x38], %l1
add %l2, %i5, %l2
add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
stx %l2, [%l6 + 0x38]
!# Make sure all these stores get to memory before we start
membar #Sync
ldx [%l6 + 0x20], %l2
ldx [%l6 + 0x28], %l2
ldx [%l6 + 0x30], %l2
ldx [%l6 + 0x38], %l2
membar #Sync
wrpr %g0, 0x61, %pstate
!# Set the enabled bit and reset the other bits
or %g0, 0x1, %g1
stxa %g1, [%g0 + ASI_SPU_CWQ_CSR] %asi
!# Kick off the CWQ operation by writing to the CWQ_TAIL
!# Now add 1 (actually 8*8B) to tail pointer
ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l2
add %l2, 0x40, %l2
stxa %l2, [%g0 + ASI_SPU_CWQ_TAIL] %asi
ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l1
cmp %l1, %l2
bne,pn %xcc, _t1_fail
nop
!# CWQ_SYNC operation...
ldxa [%g0 + 0x30] %asi, %l1
rdhpr %halt, %g7
wrpr %g0, 0x91, %pstate
andn %l1, 0x10, %l1 !# clear interrupt request bit
addcc %l1, -1, %i0
bne _t1_fail !# test for unexpected protocal error
nop
ldxa [%g0 + ASI_SPU_CWQ_HEAD] %asi, %l1
ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l1
!# I want to check all the data
or %g0, 260, %i0
or %g0, %g0, %g3
_t1_check_msg:
ldx [%l3 + 0x8], %l5 !# Needed _t1_for Inplace
add %l5, %i5, %l5
ldx [%l5 + %g3], %l1
add %g3, 0x8, %g3 !# i++
addcc %i0, -1, %i0
bgt _t1_check_msg
nop
!# I want to check all the data
or %g0, 260, %i0
or %g0, %g0, %g3
_t1_check_results:
ldx [%l3 + 0x38], %l5 !# Needed _t1_for Copy
add %l5, %i5, %l5
ldx [%l5 + %g3], %l1
add %g3, 0x8, %g3 !# i++
addcc %i0, -1, %i0
bgt _t1_check_results
nop
or %g0, 0x8, %i0
or %g0, %g0, %g3
_t1_check_sfas:
ldx [%l3 + 0x20], %l5
ldx [%l5 + %g3], %l1
add %g3, 0x8, %g3 !# i++
addcc %i0, -1, %i0
bgt _t1_check_sfas
nop
!# I want to check all the State 32 words + 2 bytes XY
or %g0, 0x23, %i0
or %g0, %g0, %g3
_t1_check_state:
ldx [%l3 + 0x28], %l5 !# Needed _t1_for Streamout
ldx [%l5 + %g3], %l1
add %g3, 0x8, %g3 !# i++
addcc %i0, -1, %i0
bgt _t1_check_state
nop
mov %g5, %i5
add %l6, 0x40, %l6 !# next CWQ address
add %i5, 8, %i5 !# next _t1_offset
add %i4, 1, %i4 !# loop _t1_counter
cmp %i4, 8
bl _t1_main_loop
nop
!call check_int_cnt !# Check #ints (assuming all have happened at this time!)
nop
EXIT_GOOD
_t1_fail:
EXIT_BAD
_t1_check_int_cnt:
cmp %g0, %i6
bne,pn %xcc, _t1_fail
nop
retl
nop
! diag source
nop
nop
ta T_CHANGE_PRIV
wrpr %g0, %g0, %gl
nop
nop
.text
setx join_lbl_0_0, %g1, %g2
jmp %g2
nop
fork_lbl_0_7:
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0xa1a089d4 ! 1: FDIVd fdivd %f2, %f20, %f16
intvec_40_1:
.word 0xdadfdf00 ! 2: LDXA_R ldxa [%r31, %r0] 0xf8, %r13
.word 0x81b7c7c0 ! 3: PDIST pdistn %d62, %d0, %d0
.word 0x39400001 ! 4: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0xe69fd140 ! 5: LDDA_R ldda [%r31, %r0] 0x8a, %r19
.word 0xe19fdb20 ! 5: LDDFA_R ldda [%r31, %r0], %f16
nop
nop
mov 23, %g1
loopb_40_2:
ldstub [%r31+0x120], %r2
brnz,a %r1, loopb_40_2
dec %r1
nop
nop
mov 3, %g1
loopz_40_3:
ldstub [%r31+0xf0], %r2
brnz,a %r1, loopz_40_3
dec %r1
.word 0xc1bfde00 ! 6: STDFA_R stda %f0, [%r0, %r31]
.word 0xf16fe050 ! 7: PREFETCH_I prefetch [%r31 + 0x0050], #24
.word 0xe61fc000 ! 8: LDD_R ldd [%r31 + %r0], %r19
.word 0xe19fdb20 ! 9: LDDFA_R ldda [%r31, %r0], %f16
.word 0xf16fe0e0 ! 9: PREFETCH_I prefetch [%r31 + 0x00e0], #24
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_4) + 8, 16, 16)) -> intp(4,0,29,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_4)&0xffffffff) + 24, 16, 16)) -> intp(2,0,27,,,,,1)
#else
set 0x46207116, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_40_4:
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0x99a189c2 ! 1: FDIVd fdivd %f6, %f2, %f12
intvec_40_5:
.word 0x95b444c8 ! 10: FCMPNE32 fcmpne32 %d48, %d8, %r10
.word 0xa1b7c7c0 ! 11: PDIST pdistn %d62, %d0, %d16
.word 0xa9b504d3 ! 12: FCMPNE32 fcmpne32 %d20, %d50, %r20
.word 0x99a409c2 ! 13: FDIVd fdivd %f16, %f2, %f12
.word 0x20800001 ! 13: BN bn,a <label_0x1>
nop
nop
mov 27, %g1
loopz_40_7:
ldstub [%r31+0], %r2
brnz,a %r1, loopz_40_7
dec %r1
.word 0xc32fe0b0 ! 14: STXFSR_I st-sfr %f1, [0x00b0, %r31]
.word 0x24cfc001 ! 15: BRLEZ brlez,a,pt %r31,<label_0xfc001>
.word 0xe19fdc40 ! 16: LDDFA_R ldda [%r31, %r0], %f16
.word 0xe61fe0b0 ! 17: LDD_I ldd [%r31 + 0x00b0], %r19
.word 0xa7b7c4c0 ! 17: FCMPNE32 fcmpne32 %d62, %d0, %r19
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
intvec_40_9:
memptr_40_10:
set 0x60140000, %r31
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0x9ba409d4 ! 1: FDIVd fdivd %f16, %f20, %f44
intvec_40_11:
.word 0x9bb0c4c6 ! 18: FCMPNE32 fcmpne32 %d34, %d6, %r13
.word 0x85843c3b ! 19: WRCCR_I wr %r16, 0x1c3b, %ccr
.word 0x91b044c9 ! 20: FCMPNE32 fcmpne32 %d32, %d40, %r8
.word 0xa5a109c3 ! 21: FDIVd fdivd %f4, %f34, %f18
.word 0x8581a66d ! 21: WRCCR_I wr %r6, 0x066d, %ccr
nop
nop
mov 19, %g1
loopb_40_13:
ldstub [%r31+0x1a0], %r2
brnz,a %r1, loopb_40_13
dec %r1
nop
nop
mov 3, %g1
loopz_40_14:
ldstub [%r31+0x1f0], %r2
brnz,a %r1, loopz_40_14
dec %r1
.word 0xc19fdb40 ! 22: LDDFA_R ldda [%r31, %r0], %f0
.word 0xd43fe160 ! 23: STD_I std %r10, [%r31 + 0x0160]
.word 0xd5e7e000 ! 24: CASA_R casa [%r31] %asi, %r0, %r10
.word 0xe1bfdc40 ! 25: STDFA_R stda %f16, [%r0, %r31]
.word 0xd41fc000 ! 25: LDD_R ldd [%r31 + %r0], %r10
nop
nop
mov 59, %g1
loopb_40_16:
ldstub [%r31+0x120], %r2
brnz,a %r1, loopb_40_16
dec %r1
.word 0xa1b7c7c0 ! 26: PDIST pdistn %d62, %d0, %d16
.word 0xc1bfc2c0 ! 27: STDFA_R stda %f0, [%r0, %r31]
.word 0xe1bfdb40 ! 28: STDFA_R stda %f16, [%r0, %r31]
.word 0x00800002 ! 29: BN bn <label_0x2>
.word 0xe19fdf20 ! 29: LDDFA_R ldda [%r31, %r0], %f16
memptr_40_18:
set user_data_start, %r31
nop
nop
mov 23, %g1
loopz_40_19:
ldstub [%r31+0x100], %r2
brnz,a %r1, loopz_40_19
dec %r1
.word 0xe19fde00 ! 30: LDDFA_R ldda [%r31, %r0], %f16
.word 0x8581fb46 ! 31: WRCCR_I wr %r7, 0x1b46, %ccr
.word 0xd5e7e000 ! 32: CASA_R casa [%r31] %asi, %r0, %r10
.word 0xc19fc2c0 ! 33: LDDFA_R ldda [%r31, %r0], %f0
.word 0xd51fe1a0 ! 33: LDDF_I ldd [%r31, 0x01a0], %f10
.word 0x81b7c7c0 ! 34: PDIST pdistn %d62, %d0, %d0
.word 0x24cfc001 ! 35: BRLEZ brlez,a,pt %r31,<label_0xfc001>
.word 0x20800001 ! 36: BN bn,a <label_0x1>
.word 0xc19fdf00 ! 37: LDDFA_R ldda [%r31, %r0], %f0
.word 0x39400001 ! 37: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
nop
nop
mov 3, %g1
loopz_40_22:
ldstub [%r31+0x90], %r2
brnz,a %r1, loopz_40_22
dec %r1
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0xa1b144d4 ! 1: FCMPNE32 fcmpne32 %d36, %d20, %r16
intvec_40_23:
.word 0xc32fe040 ! 38: STXFSR_I st-sfr %f1, [0x0040, %r31]
.word 0x39400001 ! 39: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0xa7a489c3 ! 40: FDIVd fdivd %f18, %f34, %f50
.word 0xe71fe100 ! 41: LDDF_I ldd [%r31, 0x0100], %f19
.word 0x91a209d3 ! 41: FDIVd fdivd %f8, %f50, %f8
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
intvec_40_25:
.word 0xe1bfc2c0 ! 42: STDFA_R stda %f16, [%r0, %r31]
.word 0x00800001 ! 43: BN bn <label_0x1>
.word 0x19400001 ! 44: FBPUGE fbuge
.word 0x81b7c7c0 ! 45: PDIST pdistn %d62, %d0, %d0
.word 0xc1bfda00 ! 45: STDFA_R stda %f0, [%r0, %r31]
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_26) + 40, 16, 16)) -> intp(0,0,8,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_26)&0xffffffff) + 40, 16, 16)) -> intp(4,0,23,,,,,1)
#else
set 0x49302766, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x19400001 ! 1: FBPUGE fbuge
intvec_40_26:
memptr_40_27:
set user_data_start, %r31
.word 0xa9b504d2 ! 46: FCMPNE32 fcmpne32 %d20, %d18, %r20
.word 0x8581b228 ! 47: WRCCR_I wr %r6, 0x1228, %ccr
.word 0xe1bfdf20 ! 48: STDFA_R stda %f16, [%r0, %r31]
.word 0x9ba309d0 ! 49: FDIVd fdivd %f12, %f16, %f44
.word 0x81b7c7c0 ! 49: PDIST pdistn %d62, %d0, %d0
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_28) + 16, 16, 16)) -> intp(6,0,29,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_28)&0xffffffff) + 48, 16, 16)) -> intp(3,0,13,,,,,1)
#else
set 0xe520a915, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x93a489d4 ! 1: FDIVd fdivd %f18, %f20, %f40
intvec_40_28:
nop
nop
mov 7, %g1
loopz_40_29:
ldstub [%r31+0x70], %r2
brnz,a %r1, loopz_40_29
dec %r1
.word 0x97a509cc ! 50: FDIVd fdivd %f20, %f12, %f42
.word 0xe1bfdf20 ! 51: STDFA_R stda %f16, [%r0, %r31]
.word 0xd61fc000 ! 52: LDD_R ldd [%r31 + %r0], %r11
.word 0x9bb4c4d2 ! 53: FCMPNE32 fcmpne32 %d50, %d18, %r13
.word 0xda1fe020 ! 53: LDD_I ldd [%r31 + 0x0020], %r13
memptr_40_31:
set 0x60740000, %r31
.word 0xda9fd040 ! 54: LDDA_R ldda [%r31, %r0] 0x82, %r13
.word 0x8581f684 ! 55: WRCCR_I wr %r7, 0x1684, %ccr
.word 0x81b7c7c0 ! 56: PDIST pdistn %d62, %d0, %d0
.word 0xdb1fe050 ! 57: LDDF_I ldd [%r31, 0x0050], %f13
.word 0xc19fdc00 ! 57: LDDFA_R ldda [%r31, %r0], %f0
nop
nop
mov 19, %g1
loopb_40_33:
ldstub [%r31+0x110], %r2
brnz,a %r1, loopb_40_33
dec %r1
.word 0xc1bfda60 ! 58: STDFA_R stda %f0, [%r0, %r31]
.word 0xc19fc3e0 ! 59: LDDFA_R ldda [%r31, %r0], %f0
.word 0xda9fc3c0 ! 60: LDDA_R ldda [%r31, %r0] 0x1e, %r13
.word 0xc1bfdc00 ! 61: STDFA_R stda %f0, [%r0, %r31]
.word 0xa1b7c7c0 ! 61: PDIST pdistn %d62, %d0, %d16
nop
nop
mov 27, %g1
loopz_40_35:
ldstub [%r31+0x1c0], %r2
brnz,a %r1, loopz_40_35
dec %r1
memptr_40_36:
set user_data_start, %r31
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
intvec_40_37:
.word 0xc32fe190 ! 62: STXFSR_I st-sfr %f1, [0x0190, %r31]
.word 0x8584f865 ! 63: WRCCR_I wr %r19, 0x1865, %ccr
.word 0x97b404cd ! 64: FCMPNE32 fcmpne32 %d16, %d44, %r11
.word 0xe21fe020 ! 65: LDD_I ldd [%r31 + 0x0020], %r17
.word 0x99b444d0 ! 65: FCMPNE32 fcmpne32 %d48, %d16, %r12
memptr_40_39:
set user_data_start, %r31
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_40) + 32, 16, 16)) -> intp(7,0,12,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_40)&0xffffffff) + 8, 16, 16)) -> intp(1,0,25,,,,,1)
#else
set 0x92403a73, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x9bb504c5 ! 1: FCMPNE32 fcmpne32 %d20, %d36, %r13
intvec_40_40:
.word 0x20800001 ! 66: BN bn,a <label_0x1>
.word 0x85846362 ! 67: WRCCR_I wr %r17, 0x0362, %ccr
.word 0x39400001 ! 68: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0xa1b7c7c0 ! 69: PDIST pdistn %d62, %d0, %d16
.word 0x19400002 ! 69: FBPUGE fbuge
nop
nop
mov 35, %g1
loopz_40_41:
ldstub [%r31+0xf0], %r2
brnz,a %r1, loopz_40_41
dec %r1
memptr_40_42:
set user_data_start, %r31
nop
nop
mov 59, %g1
loopb_40_43:
ldstub [%r31+0x160], %r2
brnz,a %r1, loopb_40_43
dec %r1
.word 0xda1fe0c0 ! 70: LDD_I ldd [%r31 + 0x00c0], %r13
.word 0x8580a278 ! 71: WRCCR_I wr %r2, 0x0278, %ccr
.word 0xc19fdd40 ! 72: LDDFA_R ldda [%r31, %r0], %f0
.word 0xdbe7e000 ! 73: CASA_R casa [%r31] %asi, %r0, %r13
.word 0xe1bfde00 ! 73: STDFA_R stda %f16, [%r0, %r31]
nop
nop
mov 39, %g1
loopb_40_44:
ldstub [%r31+0xb0], %r2
brnz,a %r1, loopb_40_44
dec %r1
memptr_40_45:
set user_data_start, %r31
.word 0xc19fde20 ! 74: LDDFA_R ldda [%r31, %r0], %f0
.word 0x85852264 ! 75: WRCCR_I wr %r20, 0x0264, %ccr
.word 0xa1b7c7c0 ! 76: PDIST pdistn %d62, %d0, %d16
.word 0xc19fde20 ! 77: LDDFA_R ldda [%r31, %r0], %f0
.word 0x00800001 ! 77: BN bn <label_0x1>
memptr_40_47:
set 0x60140000, %r31
.word 0xda97dc40 ! 78: LDUHA_R lduha [%r31, %r0] 0xe2, %r13
.word 0x8584bb54 ! 79: WRCCR_I wr %r18, 0x1b54, %ccr
.word 0xc32fe140 ! 80: STXFSR_I st-sfr %f1, [0x0140, %r31]
.word 0xdb3fe040 ! 81: STDF_I std %f13, [0x0040, %r31]
.word 0xda3fe1f0 ! 81: STD_I std %r13, [%r31 + 0x01f0]
nop
nop
mov 51, %g1
loopz_40_48:
ldstub [%r31+0], %r2
brnz,a %r1, loopz_40_48
dec %r1
nop
nop
mov 3, %g1
loopz_40_49:
ldstub [%r31+0xa0], %r2
brnz,a %r1, loopz_40_49
dec %r1
.word 0xda1fe1a0 ! 82: LDD_I ldd [%r31 + 0x01a0], %r13
.word 0x81b7c7c0 ! 83: PDIST pdistn %d62, %d0, %d0
.word 0xda1fc000 ! 84: LDD_R ldd [%r31 + %r0], %r13
.word 0xdb1fe070 ! 85: LDDF_I ldd [%r31, 0x0070], %f13
.word 0x00800001 ! 85: BN bn <label_0x1>
nop
nop
mov 31, %g1
loopb_40_51:
ldstub [%r31+0x1c0], %r2
brnz,a %r1, loopb_40_51
dec %r1
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_52) + 0, 16, 16)) -> intp(7,0,13,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_52)&0xffffffff) + 16, 16, 16)) -> intp(7,0,2,,,,,1)
#else
set 0x91c0e148, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x19400002 ! 1: FBPUGE fbuge
intvec_40_52:
.word 0xe19fdf00 ! 86: LDDFA_R ldda [%r31, %r0], %f16
.word 0x9ba7c9c0 ! 87: FDIVd fdivd %f62, %f0, %f44
.word 0xa3a309c4 ! 88: FDIVd fdivd %f12, %f4, %f48
.word 0xe19fde20 ! 89: LDDFA_R ldda [%r31, %r0], %f16
.word 0x39400001 ! 89: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
memptr_40_54:
set user_data_start, %r31
.word 0xc32fe1d0 ! 90: STXFSR_I st-sfr %f1, [0x01d0, %r31]
.word 0x85852f1a ! 91: WRCCR_I wr %r20, 0x0f1a, %ccr
.word 0xf1efe1b0 ! 92: PREFETCHA_I prefetcha [%r31, + 0x01b0] %asi, #24
.word 0xe21fe0d0 ! 93: LDD_I ldd [%r31 + 0x00d0], %r17
.word 0xe33fe130 ! 93: STDF_I std %f17, [0x0130, %r31]
nop
nop
mov 3, %g1
loopb_40_55:
ldstub [%r31+0xd0], %r2
brnz,a %r1, loopb_40_55
dec %r1
.word 0x81b7c7c0 ! 94: PDIST pdistn %d62, %d0, %d0
.word 0xc19fdb40 ! 95: LDDFA_R ldda [%r31, %r0], %f0
.word 0xc1bfc2c0 ! 96: STDFA_R stda %f0, [%r0, %r31]
.word 0xc19fc2c0 ! 97: LDDFA_R ldda [%r31, %r0], %f0
.word 0xc19fda00 ! 97: LDDFA_R ldda [%r31, %r0], %f0
nop
nop
mov 3, %g1
loopz_40_57:
ldstub [%r31+0x50], %r2
brnz,a %r1, loopz_40_57
dec %r1
.word 0xe21fc000 ! 98: LDD_R ldd [%r31 + %r0], %r17
.word 0x24cfc001 ! 99: BRLEZ brlez,a,pt %r31,<label_0xfc001>
.word 0xe1bfdb40 ! 100: STDFA_R stda %f16, [%r0, %r31]
.word 0xe31fe1c0 ! 101: LDDF_I ldd [%r31, 0x01c0], %f17
.word 0xe23fe110 ! 101: STD_I std %r17, [%r31 + 0x0110]
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0xa1a149d4 ! 1: FDIVd fdivd %f36, %f20, %f16
intvec_40_59:
.word 0xe19fdd40 ! 102: LDDFA_R ldda [%r31, %r0], %f16
.word 0xc19fdc40 ! 103: LDDFA_R ldda [%r31, %r0], %f0
.word 0x9ba4c9c8 ! 104: FDIVd fdivd %f50, %f8, %f44
.word 0xc1bfda60 ! 105: STDFA_R stda %f0, [%r0, %r31]
.word 0x99b244d3 ! 105: FCMPNE32 fcmpne32 %d40, %d50, %r12
memptr_40_60:
set user_data_start, %r31
.word 0xf1efe060 ! 106: PREFETCHA_I prefetcha [%r31, + 0x0060] %asi, #24
.word 0x85847ec3 ! 107: WRCCR_I wr %r17, 0x1ec3, %ccr
.word 0xc19fdc00 ! 108: LDDFA_R ldda [%r31, %r0], %f0
.word 0xda1fe0a0 ! 109: LDD_I ldd [%r31 + 0x00a0], %r13
.word 0xe19fdf20 ! 109: LDDFA_R ldda [%r31, %r0], %f16
.word 0xa1b7c7c0 ! 110: PDIST pdistn %d62, %d0, %d16
.word 0xe1bfde00 ! 111: STDFA_R stda %f16, [%r0, %r31]
.word 0xe19fdf00 ! 112: LDDFA_R ldda [%r31, %r0], %f16
.word 0xa1b7c7c0 ! 113: PDIST pdistn %d62, %d0, %d16
.word 0x20800001 ! 113: BN bn,a <label_0x1>
.word 0xf1efe020 ! 114: PREFETCHA_I prefetcha [%r31, + 0x0020] %asi, #24
.word 0xa1b7c7c0 ! 115: PDIST pdistn %d62, %d0, %d16
.word 0xda1fe080 ! 116: LDD_I ldd [%r31 + 0x0080], %r13
.word 0xdb1fe130 ! 117: LDDF_I ldd [%r31, 0x0130], %f13
.word 0xa1b7c7c0 ! 117: PDIST pdistn %d62, %d0, %d16
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_64) + 16, 16, 16)) -> intp(3,0,26,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_64)&0xffffffff) + 16, 16, 16)) -> intp(2,0,19,,,,,1)
#else
set 0x2fa009dd, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_40_64:
memptr_40_65:
set 0x60140000, %r31
nop
nop
mov 51, %g1
loopz_40_66:
ldstub [%r31+0x50], %r2
brnz,a %r1, loopz_40_66
dec %r1
.word 0x39400002 ! 118: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
.word 0x85846962 ! 119: WRCCR_I wr %r17, 0x0962, %ccr
.word 0xe3e7e000 ! 120: CASA_R casa [%r31] %asi, %r0, %r17
.word 0x19400001 ! 121: FBPUGE fbuge
.word 0x858376d3 ! 121: WRCCR_I wr %r13, 0x16d3, %ccr
nop
nop
mov 59, %g1
loopz_40_67:
ldstub [%r31+0x150], %r2
brnz,a %r1, loopz_40_67
dec %r1
nop
nop
mov 23, %g1
loopz_40_68:
ldstub [%r31+0x190], %r2
brnz,a %r1, loopz_40_68
dec %r1
.word 0xd3e7e000 ! 122: CASA_R casa [%r31] %asi, %r0, %r9
.word 0x04cfc001 ! 123: BRLEZ brlez,pt %r31,<label_0xfc001>
.word 0xc32fe020 ! 124: STXFSR_I st-sfr %f1, [0x0020, %r31]
.word 0xc32fe1e0 ! 125: STXFSR_I st-sfr %f1, [0x01e0, %r31]
.word 0xd3e7e000 ! 125: CASA_R casa [%r31] %asi, %r0, %r9
memptr_40_70:
set 0x60140000, %r31
.word 0x20800001 ! 126: BN bn,a <label_0x1>
.word 0x85826614 ! 127: WRCCR_I wr %r9, 0x0614, %ccr
.word 0xe73fe160 ! 128: STDF_I std %f19, [0x0160, %r31]
.word 0xc1bfdb40 ! 129: STDFA_R stda %f0, [%r0, %r31]
.word 0x8584fdf1 ! 129: WRCCR_I wr %r19, 0x1df1, %ccr
memptr_40_72:
set user_data_start, %r31
.word 0xe1bfdd40 ! 130: STDFA_R stda %f16, [%r0, %r31]
.word 0x8581fe7a ! 131: WRCCR_I wr %r7, 0x1e7a, %ccr
.word 0x81b7c7c0 ! 132: PDIST pdistn %d62, %d0, %d0
.word 0x20800001 ! 133: BN bn,a <label_0x1>
.word 0x858071ca ! 133: WRCCR_I wr %r1, 0x11ca, %ccr
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_74) + 8, 16, 16)) -> intp(1,0,15,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_74)&0xffffffff) + 32, 16, 16)) -> intp(0,0,1,,,,,1)
#else
set 0x6140fa72, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x39400002 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
intvec_40_74:
nop
nop
mov 63, %g1
loopb_40_75:
ldstub [%r31+0xc0], %r2
brnz,a %r1, loopb_40_75
dec %r1
.word 0x19400001 ! 134: FBPUGE fbuge
.word 0x19400001 ! 135: FBPUGE fbuge
.word 0xc19fde20 ! 136: LDDFA_R ldda [%r31, %r0], %f0
.word 0x39400001 ! 137: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0xe19fdf20 ! 137: LDDFA_R ldda [%r31, %r0], %f16
memptr_40_77:
set 0x60340000, %r31
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_78) + 24, 16, 16)) -> intp(1,0,9,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_78)&0xffffffff) + 0, 16, 16)) -> intp(3,0,6,,,,,1)
#else
set 0x8de0570b, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x39400002 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
intvec_40_78:
.word 0xd73fe010 ! 138: STDF_I std %f11, [0x0010, %r31]
.word 0x8581f81a ! 139: WRCCR_I wr %r7, 0x181a, %ccr
.word 0xa9b4c4cb ! 140: FCMPNE32 fcmpne32 %d50, %d42, %r20
.word 0xf1efe0a0 ! 141: PREFETCHA_I prefetcha [%r31, + 0x00a0] %asi, #24
.word 0x19400001 ! 141: FBPUGE fbuge
nop
nop
mov 11, %g1
loopb_40_80:
ldstub [%r31+0x100], %r2
brnz,a %r1, loopb_40_80
dec %r1
memptr_40_81:
set user_data_start, %r31
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_82) + 40, 16, 16)) -> intp(0,0,28,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_82)&0xffffffff) + 0, 16, 16)) -> intp(5,0,21,,,,,1)
#else
set 0xecc0a93f, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
intvec_40_82:
.word 0xc1bfdc40 ! 142: STDFA_R stda %f0, [%r0, %r31]
.word 0x8584732b ! 143: WRCCR_I wr %r17, 0x132b, %ccr
.word 0x99b184c7 ! 144: FCMPNE32 fcmpne32 %d6, %d38, %r12
.word 0xe1bfdc40 ! 145: STDFA_R stda %f16, [%r0, %r31]
.word 0x99b4c4d2 ! 145: FCMPNE32 fcmpne32 %d50, %d18, %r12
nop
nop
mov 3, %g1
loopz_40_83:
ldstub [%r31+0x190], %r2
brnz,a %r1, loopz_40_83
dec %r1
memptr_40_84:
set user_data_start, %r31
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
intvec_40_85:
.word 0xd9e7e000 ! 146: CASA_R casa [%r31] %asi, %r0, %r12
.word 0x8585243b ! 147: WRCCR_I wr %r20, 0x043b, %ccr
.word 0x39400001 ! 148: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0xc32fe0b0 ! 149: STXFSR_I st-sfr %f1, [0x00b0, %r31]
.word 0xa3b184d0 ! 149: FCMPNE32 fcmpne32 %d6, %d16, %r17
memptr_40_87:
set user_data_start, %r31
.word 0xf1efe0b0 ! 150: PREFETCHA_I prefetcha [%r31, + 0x00b0] %asi, #24
.word 0x8582ee0b ! 151: WRCCR_I wr %r11, 0x0e0b, %ccr
.word 0x00800001 ! 152: BN bn <label_0x1>
.word 0xdbe7d100 ! 153: CASA_I casa [%r31] 0x88, %r0, %r13
.word 0xc19fdc00 ! 153: LDDFA_R ldda [%r31, %r0], %f0
.word 0xe19fc2c0 ! 154: LDDFA_R ldda [%r31, %r0], %f16
.word 0xc19fde00 ! 155: LDDFA_R ldda [%r31, %r0], %f0
.word 0xdb1fe170 ! 156: LDDF_I ldd [%r31, 0x0170], %f13
.word 0x00800001 ! 157: BN bn <label_0x1>
.word 0xe19fde00 ! 157: LDDFA_R ldda [%r31, %r0], %f16
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
intvec_40_89:
.word 0xc1bfda60 ! 158: STDFA_R stda %f0, [%r0, %r31]
.word 0x87afca40 ! 159: FCMPd fcmpd %fcc<n>, %f62, %f0
.word 0x93b404c2 ! 160: FCMPNE32 fcmpne32 %d16, %d2, %r9
.word 0xc19fde20 ! 161: LDDFA_R ldda [%r31, %r0], %f0
.word 0x93b7c4c0 ! 161: FCMPNE32 fcmpne32 %d62, %d0, %r9
nop
nop
mov 23, %g1
loopz_40_91:
ldstub [%r31+0x1f0], %r2
brnz,a %r1, loopz_40_91
dec %r1
.word 0xd3e7e000 ! 162: CASA_R casa [%r31] %asi, %r0, %r9
.word 0xe19fdd40 ! 163: LDDFA_R ldda [%r31, %r0], %f16
.word 0xc19fde20 ! 164: LDDFA_R ldda [%r31, %r0], %f0
.word 0xd31fe150 ! 165: LDDF_I ldd [%r31, 0x0150], %f9
.word 0xa1b7c7c0 ! 165: PDIST pdistn %d62, %d0, %d16
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
intvec_40_93:
nop
nop
mov 23, %g1
loopz_40_94:
ldstub [%r31+0x90], %r2
brnz,a %r1, loopz_40_94
dec %r1
.word 0xa7b504cc ! 166: FCMPNE32 fcmpne32 %d20, %d12, %r19
.word 0xf16fe1c0 ! 167: PREFETCH_I prefetch [%r31 + 0x01c0], #24
.word 0xe1e7e000 ! 168: CASA_R casa [%r31] %asi, %r0, %r16
.word 0x91a109c5 ! 169: FDIVd fdivd %f4, %f36, %f8
.word 0xf16fe140 ! 169: PREFETCH_I prefetch [%r31 + 0x0140], #24
nop
nop
mov 3, %g1
loopz_40_96:
ldstub [%r31+0x1d0], %r2
brnz,a %r1, loopz_40_96
dec %r1
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
intvec_40_97:
.word 0xd11fe040 ! 170: LDDF_I ldd [%r31, 0x0040], %f8
.word 0x00800001 ! 171: BN bn <label_0x1>
.word 0x39400001 ! 172: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0xd01fc000 ! 173: LDD_R ldd [%r31 + %r0], %r8
.word 0x95b144c6 ! 173: FCMPNE32 fcmpne32 %d36, %d6, %r10
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
intvec_40_99:
.word 0xa5a449d4 ! 174: FDIVd fdivd %f48, %f20, %f18
.word 0xc1bfda00 ! 175: STDFA_R stda %f0, [%r0, %r31]
.word 0xc19fdc40 ! 176: LDDFA_R ldda [%r31, %r0], %f0
.word 0xa7a349d4 ! 177: FDIVd fdivd %f44, %f20, %f50
.word 0x81b7c7c0 ! 177: PDIST pdistn %d62, %d0, %d0
nop
nop
mov 27, %g1
loopb_40_101:
ldstub [%r31+0x40], %r2
brnz,a %r1, loopb_40_101
dec %r1
memptr_40_102:
set user_data_start, %r31
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
intvec_40_103:
.word 0xc1bfdd40 ! 178: STDFA_R stda %f0, [%r0, %r31]
.word 0x85852469 ! 179: WRCCR_I wr %r20, 0x0469, %ccr
.word 0xa1a489d0 ! 180: FDIVd fdivd %f18, %f16, %f16
.word 0xc19fde00 ! 181: LDDFA_R ldda [%r31, %r0], %f0
.word 0x85813eb8 ! 181: WRCCR_I wr %r4, 0x1eb8, %ccr
nop
nop
mov 27, %g1
loopz_40_104:
ldstub [%r31+0x70], %r2
brnz,a %r1, loopz_40_104
dec %r1
memptr_40_105:
set user_data_start, %r31
.word 0xd61fe160 ! 182: LDD_I ldd [%r31 + 0x0160], %r11
.word 0x8580aa3f ! 183: WRCCR_I wr %r2, 0x0a3f, %ccr
.word 0xe1bfde00 ! 184: STDFA_R stda %f16, [%r0, %r31]
.word 0xd71fe0f0 ! 185: LDDF_I ldd [%r31, 0x00f0], %f11
.word 0xc19fc2c0 ! 185: LDDFA_R ldda [%r31, %r0], %f0
nop
nop
mov 7, %g1
loopb_40_107:
ldstub [%r31+0x110], %r2
brnz,a %r1, loopb_40_107
dec %r1
.word 0xe19fc2c0 ! 186: LDDFA_R ldda [%r31, %r0], %f16
.word 0x81b7c7c0 ! 187: PDIST pdistn %d62, %d0, %d0
.word 0xd697c2e0 ! 188: LDUHA_R lduha [%r31, %r0] 0x17, %r11
.word 0xe19fdf20 ! 189: LDDFA_R ldda [%r31, %r0], %f16
.word 0xe1bfdf00 ! 189: STDFA_R stda %f16, [%r0, %r31]
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_108) + 16, 16, 16)) -> intp(6,0,16,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_108)&0xffffffff) + 40, 16, 16)) -> intp(2,0,5,,,,,1)
#else
set 0xfe0049b3, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_40_108:
memptr_40_109:
set 0x60340000, %r31
.word 0x19400001 ! 190: FBPUGE fbuge
.word 0x85823349 ! 191: WRCCR_I wr %r8, 0x1349, %ccr
.word 0x20800001 ! 192: BN bn,a <label_0x1>
.word 0x39400002 ! 193: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
.word 0x85812fa5 ! 193: WRCCR_I wr %r4, 0x0fa5, %ccr
nop
nop
mov 51, %g1
loopb_40_111:
ldstub [%r31+0x90], %r2
brnz,a %r1, loopb_40_111
dec %r1
memptr_40_112:
set 0x60140000, %r31
.word 0xc1bfde00 ! 194: STDFA_R stda %f0, [%r0, %r31]
.word 0x858529a8 ! 195: WRCCR_I wr %r20, 0x09a8, %ccr
.word 0xd63fe150 ! 196: STD_I std %r11, [%r31 + 0x0150]
.word 0xc19fda60 ! 197: LDDFA_R ldda [%r31, %r0], %f0
.word 0x85847d11 ! 197: WRCCR_I wr %r17, 0x1d11, %ccr
nop
nop
mov 35, %g1
loopb_40_114:
ldstub [%r31+32], %r2
brnz,a %r1, loopb_40_114
dec %r1
.word 0xc1bfde20 ! 198: STDFA_R stda %f0, [%r0, %r31]
.word 0xc19fdc00 ! 199: LDDFA_R ldda [%r31, %r0], %f0
.word 0xf1efe1a0 ! 200: PREFETCHA_I prefetcha [%r31, + 0x01a0] %asi, #24
.word 0xc1bfdc40 ! 201: STDFA_R stda %f0, [%r0, %r31]
.word 0x20800001 ! 201: BN bn,a <label_0x1>
memptr_40_116:
set 0x60540000, %r31
nop
nop
mov 63, %g1
loopz_40_117:
ldstub [%r31+0x190], %r2
brnz,a %r1, loopz_40_117
dec %r1
.word 0xc32fe1a0 ! 202: STXFSR_I st-sfr %f1, [0x01a0, %r31]
.word 0x85827349 ! 203: WRCCR_I wr %r9, 0x1349, %ccr
.word 0xc32fe080 ! 204: STXFSR_I st-sfr %f1, [0x0080, %r31]
.word 0xd73fe0f0 ! 205: STDF_I std %f11, [0x00f0, %r31]
.word 0x8583380d ! 205: WRCCR_I wr %r12, 0x180d, %ccr
nop
nop
mov 47, %g1
loopz_40_118:
ldstub [%r31+0xe0], %r2
brnz,a %r1, loopz_40_118
dec %r1
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0x97a449c7 ! 1: FDIVd fdivd %f48, %f38, %f42
intvec_40_119:
.word 0xd9e7e000 ! 206: CASA_R casa [%r31] %asi, %r0, %r12
.word 0xc19fdf20 ! 207: LDDFA_R ldda [%r31, %r0], %f0
.word 0xa5a149c6 ! 208: FDIVd fdivd %f36, %f6, %f18
.word 0xe41fe1c0 ! 209: LDD_I ldd [%r31 + 0x01c0], %r18
.word 0x95a509c8 ! 209: FDIVd fdivd %f20, %f8, %f10
nop
nop
mov 27, %g1
loopz_40_121:
ldstub [%r31+0x140], %r2
brnz,a %r1, loopz_40_121
dec %r1
memptr_40_122:
set 0x60340000, %r31
.word 0xd41fc000 ! 210: LDD_R ldd [%r31 + %r0], %r10
.word 0x85837503 ! 211: WRCCR_I wr %r13, 0x1503, %ccr
.word 0xc19fda00 ! 212: LDDFA_R ldda [%r31, %r0], %f0
.word 0xc32fe1e0 ! 213: STXFSR_I st-sfr %f1, [0x01e0, %r31]
.word 0xe1bfdf20 ! 213: STDFA_R stda %f16, [%r0, %r31]
nop
nop
mov 47, %g1
loopz_40_124:
ldstub [%r31+0x1e0], %r2
brnz,a %r1, loopz_40_124
dec %r1
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0x97b304c6 ! 1: FCMPNE32 fcmpne32 %d12, %d6, %r11
intvec_40_125:
.word 0xe01fc000 ! 214: LDD_R ldd [%r31 + %r0], %r16
.word 0xe1e7dc40 ! 215: CASA_I casa [%r31] 0xe2, %r0, %r16
.word 0x93b144c5 ! 216: FCMPNE32 fcmpne32 %d36, %d36, %r9
.word 0xda1fc000 ! 217: LDD_R ldd [%r31 + %r0], %r13
.word 0x39400001 ! 217: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
nop
nop
mov 35, %g1
loopb_40_127:
ldstub [%r31+0x110], %r2
brnz,a %r1, loopb_40_127
dec %r1
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_128) + 0, 16, 16)) -> intp(7,0,6,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_128)&0xffffffff) + 0, 16, 16)) -> intp(5,0,8,,,,,1)
#else
set 0x88b014c0, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x19400001 ! 1: FBPUGE fbuge
intvec_40_128:
.word 0xc19fde00 ! 218: LDDFA_R ldda [%r31, %r0], %f0
.word 0x81b7c7c0 ! 219: PDIST pdistn %d62, %d0, %d0
.word 0x39400001 ! 220: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0xc1bfdb20 ! 221: STDFA_R stda %f0, [%r0, %r31]
.word 0xc1bfda00 ! 221: STDFA_R stda %f0, [%r0, %r31]
.word 0xf1efe0d0 ! 222: PREFETCHA_I prefetcha [%r31, + 0x00d0] %asi, #24
.word 0x20800001 ! 223: BN bn,a <label_0x1>
.word 0x81b7c7c0 ! 224: PDIST pdistn %d62, %d0, %d0
.word 0xf1efe120 ! 225: PREFETCHA_I prefetcha [%r31, + 0x0120] %asi, #24
.word 0x81b7c7c0 ! 225: PDIST pdistn %d62, %d0, %d0
nop
nop
mov 43, %g1
loopz_40_131:
ldstub [%r31+0x180], %r2
brnz,a %r1, loopz_40_131
dec %r1
.word 0xe61fc000 ! 226: LDD_R ldd [%r31 + %r0], %r19
.word 0x24cfc001 ! 227: BRLEZ brlez,a,pt %r31,<label_0xfc001>
.word 0xe6dfdf00 ! 228: LDXA_R ldxa [%r31, %r0] 0xf8, %r19
.word 0xe61fc000 ! 229: LDD_R ldd [%r31 + %r0], %r19
.word 0xe69fc2c0 ! 229: LDDA_R ldda [%r31, %r0] 0x16, %r19
nop
nop
mov 43, %g1
loopb_40_133:
ldstub [%r31+0x70], %r2
brnz,a %r1, loopb_40_133
dec %r1
.word 0xc1bfdd40 ! 230: STDFA_R stda %f0, [%r0, %r31]
.word 0xe19fdd40 ! 231: LDDFA_R ldda [%r31, %r0], %f16
.word 0xc1bfdb20 ! 232: STDFA_R stda %f0, [%r0, %r31]
.word 0xe1bfdd40 ! 233: STDFA_R stda %f16, [%r0, %r31]
.word 0x20800002 ! 233: BN bn,a <label_0x2>
memptr_40_135:
set user_data_start, %r31
nop
nop
mov 31, %g1
loopz_40_136:
ldstub [%r31+0x1d0], %r2
brnz,a %r1, loopz_40_136
dec %r1
.word 0x20800001 ! 234: BN bn,a <label_0x1>
.word 0x8584e81d ! 235: WRCCR_I wr %r19, 0x081d, %ccr
.word 0xe61fe050 ! 236: LDD_I ldd [%r31 + 0x0050], %r19
.word 0xe1bfde00 ! 237: STDFA_R stda %f16, [%r0, %r31]
.word 0x8584f0d2 ! 237: WRCCR_I wr %r19, 0x10d2, %ccr
memptr_40_138:
set user_data_start, %r31
.word 0xa1b7c7c0 ! 238: PDIST pdistn %d62, %d0, %d16
.word 0x8584a4fb ! 239: WRCCR_I wr %r18, 0x04fb, %ccr
.word 0x20800001 ! 240: BN bn,a <label_0x1>
.word 0xc19fdd40 ! 241: LDDFA_R ldda [%r31, %r0], %f0
.word 0x858231c3 ! 241: WRCCR_I wr %r8, 0x11c3, %ccr
nop
nop
mov 35, %g1
loopz_40_139:
ldstub [%r31+0x1e0], %r2
brnz,a %r1, loopz_40_139
dec %r1
nop
nop
mov 35, %g1
loopb_40_140:
ldstub [%r31+0x1c0], %r2
brnz,a %r1, loopb_40_140
dec %r1
.word 0xc32fe160 ! 242: STXFSR_I st-sfr %f1, [0x0160, %r31]
.word 0x24cfc002 ! 243: BRLEZ brlez,a,pt %r31,<label_0xfc002>
.word 0xc1bfdc40 ! 244: STDFA_R stda %f0, [%r0, %r31]
.word 0xe61fc000 ! 245: LDD_R ldd [%r31 + %r0], %r19
.word 0xa7a7c9c0 ! 245: FDIVd fdivd %f62, %f0, %f50
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_142) + 24, 16, 16)) -> intp(4,0,14,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_142)&0xffffffff) + 24, 16, 16)) -> intp(2,0,10,,,,,1)
#else
set 0x33604c00, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x99b1c4d0 ! 1: FCMPNE32 fcmpne32 %d38, %d16, %r12
intvec_40_142:
.word 0x00800001 ! 246: BN bn <label_0x1>
.word 0xe1e7c180 ! 247: CASA_I casa [%r31] 0x c, %r0, %r16
.word 0x39400001 ! 248: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0xc19fc3e0 ! 249: LDDFA_R ldda [%r31, %r0], %f0
.word 0x39400001 ! 249: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_144) + 48, 16, 16)) -> intp(5,0,19,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_144)&0xffffffff) + 56, 16, 16)) -> intp(2,0,31,,,,,1)
#else
set 0x66f00192, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_40_144:
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0x99b104ca ! 1: FCMPNE32 fcmpne32 %d4, %d10, %r12
intvec_40_145:
.word 0x39400001 ! 250: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0x04cfc001 ! 251: BRLEZ brlez,pt %r31,<label_0xfc001>
.word 0x99b044c8 ! 252: FCMPNE32 fcmpne32 %d32, %d8, %r12
.word 0x19400001 ! 253: FBPUGE fbuge
.word 0xd83fe090 ! 253: STD_I std %r12, [%r31 + 0x0090]
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_146) + 56, 16, 16)) -> intp(3,0,6,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_146)&0xffffffff) + 24, 16, 16)) -> intp(6,0,21,,,,,1)
#else
set 0x39005977, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_40_146:
.word 0xc1bfdf20 ! 254: STDFA_R stda %f0, [%r0, %r31]
.word 0xf16fe140 ! 255: PREFETCH_I prefetch [%r31 + 0x0140], #24
.word 0xa9b504d3 ! 256: FCMPNE32 fcmpne32 %d20, %d50, %r20
.word 0x20800002 ! 257: BN bn,a <label_0x2>
.word 0x39400001 ! 257: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
nop
nop
mov 35, %g1
loopb_40_148:
ldstub [%r31+48], %r2
brnz,a %r1, loopb_40_148
dec %r1
.word 0xc19fdb20 ! 258: LDDFA_R ldda [%r31, %r0], %f0
.word 0x20800001 ! 259: BN bn,a <label_0x1>
.word 0xc19fdf20 ! 260: LDDFA_R ldda [%r31, %r0], %f0
.word 0x20800002 ! 261: BN bn,a <label_0x2>
.word 0xc1bfdd40 ! 261: STDFA_R stda %f0, [%r0, %r31]
nop
nop
mov 19, %g1
loopz_40_149:
ldstub [%r31+0x1c0], %r2
brnz,a %r1, loopz_40_149
dec %r1
.word 0xe41fe0d0 ! 262: LDD_I ldd [%r31 + 0x00d0], %r18
.word 0xe19fda00 ! 263: LDDFA_R ldda [%r31, %r0], %f16
.word 0xe51fe1d0 ! 264: LDDF_I ldd [%r31, 0x01d0], %f18
.word 0xe53fe130 ! 265: STDF_I std %f18, [0x0130, %r31]
.word 0xc1bfda00 ! 265: STDFA_R stda %f0, [%r0, %r31]
memptr_40_151:
set 0x60140000, %r31
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_152) + 0, 16, 16)) -> intp(4,0,9,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_152)&0xffffffff) + 56, 16, 16)) -> intp(4,0,1,,,,,1)
#else
set 0x8c40ef81, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x19400001 ! 1: FBPUGE fbuge
intvec_40_152:
.word 0xc1bfdc00 ! 266: STDFA_R stda %f0, [%r0, %r31]
.word 0x8584bbd0 ! 267: WRCCR_I wr %r18, 0x1bd0, %ccr
.word 0xa9a109d0 ! 268: FDIVd fdivd %f4, %f16, %f20
.word 0xa1b7c7c0 ! 269: PDIST pdistn %d62, %d0, %d16
.word 0x19400001 ! 269: FBPUGE fbuge
nop
nop
mov 7, %g1
loopb_40_154:
ldstub [%r31+0x110], %r2
brnz,a %r1, loopb_40_154
dec %r1
.word 0xd23fe160 ! 270: STD_I std %r9, [%r31 + 0x0160]
.word 0x93a7c9c0 ! 271: FDIVd fdivd %f62, %f0, %f40
.word 0xe19fdf20 ! 272: LDDFA_R ldda [%r31, %r0], %f16
.word 0xd21fe010 ! 273: LDD_I ldd [%r31 + 0x0010], %r9
.word 0xd23fe070 ! 273: STD_I std %r9, [%r31 + 0x0070]
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0x99b4c4c8 ! 1: FCMPNE32 fcmpne32 %d50, %d8, %r12
intvec_40_155:
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_156) + 8, 16, 16)) -> intp(3,0,23,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_156)&0xffffffff) + 32, 16, 16)) -> intp(6,0,15,,,,,1)
#else
set 0xafb0f397, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_40_156:
.word 0xa5b084c7 ! 274: FCMPNE32 fcmpne32 %d2, %d38, %r18
.word 0xa1b7c7c0 ! 275: PDIST pdistn %d62, %d0, %d16
.word 0xa9a4c9d1 ! 276: FDIVd fdivd %f50, %f48, %f20
.word 0x95b404c4 ! 277: FCMPNE32 fcmpne32 %d16, %d4, %r10
.word 0xe19fdc40 ! 277: LDDFA_R ldda [%r31, %r0], %f16
memptr_40_158:
set 0x60540000, %r31
.word 0xc19fdf20 ! 278: LDDFA_R ldda [%r31, %r0], %f0
.word 0x858061dc ! 279: WRCCR_I wr %r1, 0x01dc, %ccr
.word 0xc19fdc40 ! 280: LDDFA_R ldda [%r31, %r0], %f0
.word 0xc1bfdc00 ! 281: STDFA_R stda %f0, [%r0, %r31]
.word 0xe19fdc00 ! 281: LDDFA_R ldda [%r31, %r0], %f16
.word 0x00800002 ! 282: BN bn <label_0x2>
.word 0xe19fda60 ! 283: LDDFA_R ldda [%r31, %r0], %f16
.word 0xd097d160 ! 284: LDUHA_R lduha [%r31, %r0] 0x8b, %r8
.word 0xe19fdc40 ! 285: LDDFA_R ldda [%r31, %r0], %f16
.word 0xe19fda60 ! 285: LDDFA_R ldda [%r31, %r0], %f16
memptr_40_161:
set 0x60340000, %r31
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_162) + 8, 16, 16)) -> intp(2,0,20,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_162)&0xffffffff) + 16, 16, 16)) -> intp(7,0,23,,,,,1)
#else
set 0x19901acf, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_40_162:
.word 0xe19fdf00 ! 286: LDDFA_R ldda [%r31, %r0], %f16
.word 0x8584783d ! 287: WRCCR_I wr %r17, 0x183d, %ccr
.word 0x95a209d1 ! 288: FDIVd fdivd %f8, %f48, %f10
.word 0xa1b7c7c0 ! 289: PDIST pdistn %d62, %d0, %d16
.word 0xa1b144c8 ! 289: FCMPNE32 fcmpne32 %d36, %d8, %r16
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_40_164) + 40, 16, 16)) -> intp(2,0,12,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_40_164)&0xffffffff) + 40, 16, 16)) -> intp(2,0,3,,,,,1)
#else
set 0x9c005dda, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x19400002 ! 1: FBPUGE fbuge
intvec_40_164:
memptr_40_165:
set user_data_start, %r31
nop
nop
mov 19, %g1
loopb_40_166:
ldstub [%r31+0x60], %r2
brnz,a %r1, loopb_40_166
dec %r1
.word 0x97b204d1 ! 290: FCMPNE32 fcmpne32 %d8, %d48, %r11
.word 0x8581f1e2 ! 291: WRCCR_I wr %r7, 0x11e2, %ccr
.word 0xe19fc2c0 ! 292: LDDFA_R ldda [%r31, %r0], %f16
.word 0x9bb444d4 ! 293: FCMPNE32 fcmpne32 %d48, %d20, %r13
.word 0x8584a826 ! 293: WRCCR_I wr %r18, 0x0826, %ccr
nop
nop
mov 43, %g1
loopb_40_168:
ldstub [%r31+0x1a0], %r2
brnz,a %r1, loopb_40_168
dec %r1
nop
nop
mov 35, %g1
loopb_40_169:
ldstub [%r31+0x80], %r2
brnz,a %r1, loopb_40_169
dec %r1
.word 0xe1bfdd40 ! 294: STDFA_R stda %f16, [%r0, %r31]
.word 0x87afca40 ! 295: FCMPd fcmpd %fcc<n>, %f62, %f0
.word 0xe19fdc40 ! 296: LDDFA_R ldda [%r31, %r0], %f16
.word 0xe1bfdb20 ! 297: STDFA_R stda %f16, [%r0, %r31]
.word 0x93a7c9c0 ! 297: FDIVd fdivd %f62, %f0, %f40
.word 0xe1bfc3e0 ! 298: STDFA_R stda %f16, [%r0, %r31]
.word 0xc1bfdc00 ! 299: STDFA_R stda %f0, [%r0, %r31]
.word 0xd21fe060 ! 300: LDD_I ldd [%r31 + 0x0060], %r9
.word 0x20800001 ! 301: BN bn,a <label_0x1>
.word 0x20800001 ! 301: BN bn,a <label_0x1>
nop
nop
ta T_CHANGE_PRIV
wrpr %g0, %g0, %gl
nop
nop
.text
setx join_lbl_0_0, %g1, %g2
jmp %g2
nop
fork_lbl_0_6:
master_thread_stuff:
setup_tick:
setx 0x15f53ec43b3736f1, %r1, %r17
wrpr %g0, %r17, %tick
rd %asi, %r12
#ifdef XIR_RND_CORES
setup_xir_20:
setx 0x73c8816cfc2b229a, %r1, %r28
mov 0x30, %r17
stxa %r28, [%r17] 0x41
#endif
#ifdef SPLASH_HIDECR
mov 8, %r1
set SPLASH_HIDECR, %r2
sllx %r2, 32, %r2
stxa %r2, [%r1] 0x45
#endif
#if (MULTIPASS > 0)
mov 0x38, %g1
ldxa [%g1]ASI_SCRATCHPAD, %r10
brnz %g1, unlock_sync_thds_20
wrpr %g0, %g0, %pstate
#endif
#ifndef NO_INTERNAL_SPU
setup_spu_20:
wr %g0, 0x40, %asi
!# allocate control word queue (e.g., setup head/tail/first/last registers)
set CWQ_BASE, %l6
#ifndef SPC
ldxa [%g0]0x63, %o2
and %o2, 0x38, %o2
sllx %o2, 5, %o2 !(CID*256)
add %l6, %o2, %l6
#endif
!# write base addr to first, head, and tail ptr
!# first store to first
stxa %l6, [%g0 + ASI_SPU_CWQ_FIRST] %asi !# first store to first
stxa %l6, [%g0 + ASI_SPU_CWQ_HEAD] %asi !# then to head
stxa %l6, [%g0 + ASI_SPU_CWQ_TAIL] %asi !# then to tail
setx CWQ_LAST, %g1, %l5 !# then end of CWQ region to LAST
#ifndef SPC
add %l5, %o2, %l5
#endif
stxa %l5, [%g0 + ASI_SPU_CWQ_LAST] %asi
!# set CWQ control word ([39:37] is strand ID ..)
best_set_reg(0x20610060, %l1, %l2) !# Control Word
sllx %l2, 32, %l2
!# write CWQ entry (%l6 points to CWQ)
stx %l2, [%l6 + 0x0]
setx msg, %g1, %l2
stx %l2, [%l6 + 0x8] !# source address
stx %g0, [%l6 + 0x10] !# Authentication Key Address (40-bit)
stx %g0, [%l6 + 0x18] !# Authentication IV Address (40-bit)
stx %g0, [%l6 + 0x20] !# Authentication FSAS Address (40-bit)
stx %g0, [%l6 + 0x28] !# Encryption Key Address (40-bit)
stx %g0, [%l6 + 0x30] !# Encryption Initialization Vector Address (40-bit)
setx results, %g1, %o3
stx %o3, [%l6 + 0x38] !# Destination Address (40-bit)
membar #Sync
ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l2
add %l2, 0x40, %l2
stxa %l2, [%g0 + ASI_SPU_CWQ_TAIL] %asi
!# Kick off the CWQ operation by writing to the CWQ_CSR
!# Set the enabled bit and reset the other bits
or %g0, 0x1, %g1
stxa %g1, [%g0 + ASI_SPU_CWQ_CSR] %asi
#endif
unlock_sync_thds_20:
set sync_thr_counter6, %r23
#ifndef SPC
ldxa [%g0]0x63, %o2
and %o2, 0x38, %o2
add %o2, %r23, %r23
#endif
st %r0, [%r23] !unlock sync_thr_counter6
sub %r23, 64, %r23
st %r0, [%r23] !unlock sync_thr_counter5
sub %r23, 64, %r23
st %r0, [%r23] !unlock sync_thr_counter4
wr %r0, %r12, %asi
wrhpr %g0, 0x693, %hpstate ! ta T_CHANGE_NONHPRIV
splash_lsu_20_0:
nop
nop
ta T_CHANGE_HPRIV
set 0x98748a5e, %r2
mov 0x3, %r1
sllx %r1, 32, %r1
or %r1, %r2, %r2
stxa %r2, [%r0] ASI_LSU_CONTROL
ta T_CHANGE_NONHPRIV
.word 0x1d400002 ! 1: FBPULE fbule
.word 0xe717c000 ! 5: LDQF_R - [%r31, %r0], %f19
.word 0xc19fdb20 ! 9: LDDFA_R ldda [%r31, %r0], %f0
jmptr_20_6:
nop
nop
best_set_reg(0xe0a00000, %r20, %r27)
.word 0xb7c6c000 ! 13: JMPL_R jmpl %r27 + %r0, %r27
memptr_20_8:
set 0x60540000, %r31
.word 0x8580e187 ! 17: WRCCR_I wr %r3, 0x0187, %ccr
cancelint_20_12:
rdhpr %halt, %r16
.word 0x85880000 ! 21: ALLCLEAN <illegal instruction>
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_20_15:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_20_15-donret_20_15+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
wrpr %g0, 0x1, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00b1b900 | (0x58 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0xcc9, %htstate
best_set_reg(0x3c0, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (20)
done
.align 2048
donretarg_20_15:
.word 0xd46fe080 ! 25: LDSTUB_I ldstub %r10, [%r31 + 0x0080]
memptr_20_17:
set 0x60140000, %r31
.word 0x85842e07 ! 29: WRCCR_I wr %r16, 0x0e07, %ccr
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_20_20) , 16, 16)) -> intp(6,0,25,*,992,*,30,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_20_20)&0xffffffff) , 16, 16)) -> intp(3,0,20,*,640,*,30,1)
#else
set 0xa2d0e77c, %r28 !TTID : 7 (mask2tid(0x20))
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#if (MAX_THREADS == 8)
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
intvec_20_20:
#if (defined SPC || defined CMP1)
wrhpr %g0, 0x0, %halt ! HALT
#else
ifelse(7,mask2tid(0x20),`wrhpr %g0, 0x0, %halt ! HALT')
#endif
.word 0x39400001 ! 33: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
cmp_20_21:
nop
nop
ta T_CHANGE_HPRIV
rd %asi, %r12
wr %r0, 0x41, %asi
set sync_thr_counter4, %r23
#ifndef SPC
ldxa [%g0]0x63, %r8
and %r8, 0x38, %r8 ! Core ID
add %r8, %r23, %r23
mov 0xff, %r9
#if (MAX_THREADS == 8)
xor %r9, 0x20, %r9 ! My core mask
#else
xor %r9, 0x20, %r9
#endif
sllx %r9, %r8, %r9 ! My core mask
#else
mov 0, %r8
mov 0xff, %r9
xor %r9, 0x20, %r9 ! My core mask
#endif
mov 0x20, %r10
cmp_startwait20_21:
cas [%r23],%g0,%r10 !lock
brz,a %r10, continue_cmp_20_21
ldxa [0x50]%asi, %r13 !Running_rw
ld [%r23], %r10
cmp_wait20_21:
brnz,a %r10, cmp_wait20_21
ld [%r23], %r10
ba cmp_startwait20_21
mov 0x20, %r10
continue_cmp_20_21:
ldxa [0x58]%asi, %r14 !Running_status
xnor %r14, %r13, %r14 !Bits equal
brz,a %r8, cmp_multi_core_20_21
mov 0x93, %r17
best_set_reg(0x3417a55ef7b4f9cc, %r16, %r17)
cmp_multi_core_20_21:
and %r14, %r17, %r14 !Apply set/clear mask to bits equal
and %r14, %r9, %r14 !Apply core-mask
stxa %r14, [0x60]%asi
st %g0, [%r23] !clear lock
wr %g0, %r12, %asi
wrhpr %g0, 0x740, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0x91914014 ! 37: WRPR_PIL_R wrpr %r5, %r20, %pil
memptr_20_24:
set user_data_start, %r31
.word 0x8582e8e4 ! 41: WRCCR_I wr %r11, 0x08e4, %ccr
.word 0xe2800bc0 ! 45: LDUWA_R lduwa [%r0, %r0] 0x5e, %r17
.word 0xc19fe0e0 ! 49: LDDFA_I ldda [%r31, 0x00e0], %f0
splash_tick_20_30:
nop
nop
ta T_CHANGE_HPRIV
best_set_reg(0x7c23adef2e8061b4, %r16, %r17)
.word 0x8980000a ! 53: WRTICK_R wr %r0, %r10, %tick
fpinit_20_32:
nop
setx fp_data_quads, %r19, %r20
ldd [%r20], %f0
ldd [%r20+8], %f4
ld [%r20+16], %fsr
ld [%r20+24], %r19
wr %r19, %g0, %gsr
.word 0x91b00484 ! 57: FCMPLE32 fcmple32 %d0, %d4, %r8
pmu_20_34:
nop
nop
ta T_CHANGE_PRIV
setx 0xffffffbdffffffaa, %g1, %g7
.word 0xa3800007 ! 61: WR_PERF_COUNTER_R wr %r0, %r7, %-
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_20_38:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_20_38-donret_20_38), %r12
add %r12, 0x4, %r11 ! seq tnpc
wrpr %g0, 0x1, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00c61200 | (0x4f << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x15d7, %htstate
wrhpr %g0, 0xa0a, %hpstate ! rand=1 (20)
retry
.align 2048
donretarg_20_38:
.word 0x8d90244d ! 65: WRPR_PSTATE_I wrpr %r0, 0x044d, %pstate
.word 0xdadfd060 ! 69: LDXA_R ldxa [%r31, %r0] 0x83, %r13
.word 0xdb37e0d0 ! 73: STQF_I - %f13, [0x00d0, %r31]
jmptr_20_46:
nop
nop
best_set_reg(0xe0a00000, %r20, %r27)
.word 0xb7c6c000 ! 77: JMPL_R jmpl %r27 + %r0, %r27
.word 0xda8008a0 ! 81: LDUWA_R lduwa [%r0, %r0] 0x45, %r13
demap_20_50:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %g3, [%g3] 0x57
.word 0xe1bfde20 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xe19fc3e0 ! 1: LDDFA_R ldda [%r31, %r0], %f16
.word 0xc09fda00 ! 1: LDDA_R ldda [%r31, %r0] 0xd0, %r0
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x5f
.word 0xc1bfde20 ! 1: STDFA_R stda %f0, [%r0, %r31]
.word 0xe1bfc2c0 ! 1: STDFA_R stda %f16, [%r0, %r31]
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x57
.word 0xe1bfdd40 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xe19fdc40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
stxa %g3, [%g3] 0x5f
.word 0xe1bfde00 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xe19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
wrhpr %g0, 0x719, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xda1fe0c8 ! 85: LDD_I ldd [%r31 + 0x00c8], %r13
splash_tba_20_53:
nop
ta T_CHANGE_PRIV
set 0x120000, %r12
.word 0x8b90000c ! 89: WRPR_TBA_R wrpr %r0, %r12, %tba
.word 0x36780001 ! 93: BPGE <illegal instruction>
memptr_20_56:
set 0x60340000, %r31
.word 0x8584ffb0 ! 97: WRCCR_I wr %r19, 0x1fb0, %ccr
frzptr_20_58:
nop
nop
best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
jmpl %r27+4, %r27
.word 0xa3702070 ! 1: POPC_I popc 0x0070, %r17
best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
jmpl %r27, %r27
.word 0xe1bfdf20 ! 101: STDFA_R stda %f16, [%r0, %r31]
.word 0xdb3fc000 ! 105: STDF_R std %f13, [%r0, %r31]
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_20_61:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_20_61-donret_20_61+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
andn %r12, %r10, %r12 ! low VA tpc
wrpr %g0, 0x1, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00592a00 | (57 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0xba1, %htstate
best_set_reg(0x19e8, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (20)
ldx [%r11+%r0], %g1
done
donretarg_20_61:
.word 0xdaffc180 ! 109: SWAPA_R swapa %r13, [%r31 + %r0] 0x0c
pmu_20_62:
nop
nop
ta T_CHANGE_PRIV
setx 0xffffffb1ffffffae, %g1, %g7
.word 0xa3800007 ! 113: WR_PERF_COUNTER_R wr %r0, %r7, %-
splash_tba_20_63:
nop
ta T_CHANGE_PRIV
setx 0x00000000003a0000, %r11, %r12
.word 0x8b90000c ! 117: WRPR_TBA_R wrpr %r0, %r12, %tba
.word 0x90fb0012 ! 121: SDIVcc_R sdivcc %r12, %r18, %r8
nop
nop
set 0x56a053ec, %r28 !TTID : 3 (mask2tid(0x20))
#if (MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(3,mask2tid(0x20),`.align 16')
stxa %r28, [%g0] 0x73
intvec_20_69:
.word 0x97b184d2 ! 125: FCMPNE32 fcmpne32 %d6, %d18, %r11
memptr_20_71:
set 0x60340000, %r31
.word 0x8582e72f ! 129: WRCCR_I wr %r11, 0x072f, %ccr
jmptr_20_73:
nop
nop
best_set_reg(0xe0a00000, %r20, %r27)
.word 0xb7c6c000 ! 133: JMPL_R jmpl %r27 + %r0, %r27
jmptr_20_76:
nop
nop
best_set_reg(0xe0a00000, %r20, %r27)
.word 0xb7c6c000 ! 137: JMPL_R jmpl %r27 + %r0, %r27
.word 0x8d903c59 ! 141: WRPR_PSTATE_I wrpr %r0, 0x1c59, %pstate
.word 0x2c780001 ! 145: BPNEG <illegal instruction>
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_20_86) , 16, 16)) -> intp(2,0,13,*,928,*,e6,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_20_86)&0xffffffff) , 16, 16)) -> intp(2,0,2,*,704,*,e6,1)
#else
set 0x47b03037, %r28 !TTID : 0 (mask2tid(0x20))
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#if (MAX_THREADS == 8)
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_20_86:
#if (defined SPC || defined CMP1)
wrhpr %g0, 0x0, %halt ! HALT
#else
ifelse(0,mask2tid(0x20),`wrhpr %g0, 0x0, %halt ! HALT')
#endif
.word 0x91a309d2 ! 149: FDIVd fdivd %f12, %f18, %f8
.word 0xda3fe19e ! 153: STD_I std %r13, [%r31 + 0x019e]
splash_hpstate_20_88:
.word 0x81983dd7 ! 157: WRHPR_HPSTATE_I wrhpr %r0, 0x1dd7, %hpstate
splash_lsu_20_90:
nop
nop
ta T_CHANGE_HPRIV
set 0xe8dae615, %r2
mov 0x1, %r1
sllx %r1, 32, %r1
or %r1, %r2, %r2
stxa %r2, [%r0] ASI_LSU_CONTROL
.word 0x1d400001 ! 161: FBPULE fbule
frzptr_20_92:
nop
nop
best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
jmpl %r27+4, %r27
.word 0x93b7c7c0 ! 1: PDIST pdistn %d62, %d0, %d40
best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
jmpl %r27, %r27
.word 0x00800001 ! 165: BN bn <label_0x1>
cmp_20_95:
nop
nop
ta T_CHANGE_HPRIV
rd %asi, %r12
wr %r0, 0x41, %asi
set sync_thr_counter4, %r23
#ifndef SPC
ldxa [%g0]0x63, %r8
and %r8, 0x38, %r8 ! Core ID
add %r8, %r23, %r23
mov 0xff, %r9
#if (MAX_THREADS == 8)
xor %r9, 0x20, %r9 ! My core mask
#else
xor %r9, 0x20, %r9
#endif
sllx %r9, %r8, %r9 ! My core mask
#else
mov 0, %r8
mov 0xff, %r9
xor %r9, 0x20, %r9 ! My core mask
#endif
mov 0x20, %r10
cmp_startwait20_95:
cas [%r23],%g0,%r10 !lock
brz,a %r10, continue_cmp_20_95
ldxa [0x50]%asi, %r13 !Running_rw
ld [%r23], %r10
cmp_wait20_95:
brnz,a %r10, cmp_wait20_95
ld [%r23], %r10
ba cmp_startwait20_95
mov 0x20, %r10
continue_cmp_20_95:
ldxa [0x58]%asi, %r14 !Running_status
xnor %r14, %r13, %r14 !Bits equal
brz,a %r8, cmp_multi_core_20_95
mov 0xd3, %r17
best_set_reg(0x1008287746f54dce, %r16, %r17)
cmp_multi_core_20_95:
and %r14, %r17, %r14 !Apply set/clear mask to bits equal
and %r14, %r9, %r14 !Apply core-mask
stxa %r14, [0x60]%asi
st %g0, [%r23] !clear lock
wr %g0, %r12, %asi
wrhpr %g0, 0x51b, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0x91914010 ! 169: WRPR_PIL_R wrpr %r5, %r16, %pil
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_20_98) , 16, 16)) -> intp(0,0,0,*,744,*,38,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_20_98)&0xffffffff) , 16, 16)) -> intp(7,0,10,*,1000,*,38,1)
#else
set 0xf4106c9e, %r28 !TTID : 4 (mask2tid(0x20))
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#if (MAX_THREADS == 8)
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_20_98:
.word 0x39400002 ! 173: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
.word 0x8d9034c7 ! 177: WRPR_PSTATE_I wrpr %r0, 0x14c7, %pstate
.word 0xa4687220 ! 181: UDIVX_I udivx %r1, 0xfffff220, %r18
intveclr_20_106:
nop
nop
ta T_CHANGE_HPRIV
setx 0xac1156191977e6fb, %r1, %r28
stxa %r28, [%g0] 0x72
.word 0x25400001 ! 185: FBPLG fblg,a,pn %fcc0, <label_0x1>
.word 0xd6dfc400 ! 189: LDXA_R ldxa [%r31, %r0] 0x20, %r11
.word 0xc30fc000 ! 193: LDXFSR_R ld-fsr [%r31, %r0], %f1
#if (defined SPC || defined CMP)
!$EV trig_pc_d(1, expr(@VA(.MAIN.xir_20_113), 16, 16)) -> intp(mask2tid(0x20),1,3,*,1008,*,*,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.xir_20_113)&0xffffffff), 16, 16)) -> intp(mask2tid(0x20),1,3,*,968,*,*,1)
xir_20_113:
#else
#if (defined FC)
!! Generate XIR via RESET_GEN register
ta T_CHANGE_HPRIV
rdpr %pstate, %r18
andn %r18, 0x208, %r18 ! Reset pstate.am,cle
wrpr %r18, %pstate
#ifndef XIR_RND_CORES
ldxa [%g0] 0x63, %o1
mov 1, %r18
sllx %r18, %o1, %r18
#endif
mov 0x30, %r19
setx 0x8900000808, %r16, %r17
mov 0x2, %r16
!! Poll RESET gen to see if no XIRs pending
ldx [%r17], %g1
xirwait_20_113:
and %g1, 2, %g1
brnz,a %g1, xirwait_20_113
ldx [%r17], %g1
xir_20_113:
stxa %r18, [%r19] 0x41
stx %r16, [%r17]
#endif
#endif
.word 0xab81ff3b ! 197: WR_CLEAR_SOFTINT_I wr %r7, 0x1f3b, %clear_softint
.word 0x8d9030df ! 201: WRPR_PSTATE_I wrpr %r0, 0x10df, %pstate
.word 0xd8800b20 ! 205: LDUWA_R lduwa [%r0, %r0] 0x59, %r12
memptr_20_120:
set user_data_start, %r31
.word 0x85826ec9 ! 209: WRCCR_I wr %r9, 0x0ec9, %ccr
nop
nop
set 0xa4509ed0, %r28 !TTID : 6 (mask2tid(0x20))
#if (MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(6,mask2tid(0x20),`.align 16')
stxa %r28, [%g0] 0x73
.word 0x97b4c4d3 ! 1: FCMPNE32 fcmpne32 %d50, %d50, %r11
intvec_20_123:
.word 0x19400001 ! 213: FBPUGE fbuge
brcommon3_20_126:
nop
nop
setx common_target, %r12, %r27
lduw [%r27], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27] ! Load common dest into dcache ..
ba,a .+12
.word 0xd537c000 ! 1: STQF_R - %f10, [%r0, %r31]
ba,a .+8
jmpl %r27+0, %r27
stxa %r7, [%r0] ASI_LSU_CONTROL
.word 0xa3aac824 ! 217: FMOVGE fmovs %fcc1, %f4, %f17
nop
nop
set 0xfa809544, %r28 !TTID : 5 (mask2tid(0x20))
#if (MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(5,mask2tid(0x20),`.align 16')
stxa %r28, [%g0] 0x73
.word 0x95b444c1 ! 1: FCMPNE32 fcmpne32 %d48, %d32, %r10
intvec_20_129:
.word 0xa9b144c4 ! 221: FCMPNE32 fcmpne32 %d36, %d4, %r20
#if (defined SPC || defined CMP)
!$EV trig_pc_d(1, expr(@VA(.MAIN.xir_20_130), 16, 16)) -> intp(mask2tid(0x20),1,3,*,704,*,*,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.xir_20_130)&0xffffffff), 16, 16)) -> intp(mask2tid(0x20),1,3,*,712,*,*,1)
xir_20_130:
#else
#if (defined FC)
!! Generate XIR via RESET_GEN register
ta T_CHANGE_HPRIV
rdpr %pstate, %r18
andn %r18, 0x208, %r18 ! Reset pstate.am,cle
wrpr %r18, %pstate
#ifndef XIR_RND_CORES
ldxa [%g0] 0x63, %o1
mov 1, %r18
sllx %r18, %o1, %r18
#endif
mov 0x30, %r19
setx 0x8900000808, %r16, %r17
mov 0x2, %r16
!! Poll RESET gen to see if no XIRs pending
ldx [%r17], %g1
xirwait_20_130:
and %g1, 2, %g1
brnz,a %g1, xirwait_20_130
ldx [%r17], %g1
xir_20_130:
stxa %r18, [%r19] 0x41
stx %r16, [%r17]
#endif
#endif
.word 0xab852e19 ! 225: WR_CLEAR_SOFTINT_I wr %r20, 0x0e19, %clear_softint
.word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
br_longdelay5_20_132:
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_20_132)&0xffffffff) , 16, 16)) -> intp(mask2tid(0x20),0,0,*,696,*,ffffffffffffffff,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_20_132)) , 16, 16)) -> intp(mask2tid(0x20),0,0,*,680,*,ffffffffffffffff,1)
wrhpr %g0, 0x0, %halt ! HALT
#endif
.word 0xa7a7c9c0 ! 229: FDIVd fdivd %f62, %f0, %f50
br_longdelay3_20_134:
nop
not %g0, %r27
jmpl %r27+0, %r27
.word 0x8d902d9f ! 233: WRPR_PSTATE_I wrpr %r0, 0x0d9f, %pstate
nop
nop
mov 0x1, %r11
splash_cmpr_20_137:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x250, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_20_137)+8 , 16, 16)) -> intp(3,0,15,*,752,*,2f,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_20_137)&0xffffffff)+8 , 16, 16)) -> intp(6,0,17,*,984,*,2f,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 237: SIAM siam 1
.word 0xa190200e ! 241: WRPR_GL_I wrpr %r0, 0x000e, %-
.word 0x19400002 ! 245: FBPUGE fbuge
brcommon3_20_143:
nop
nop
setx common_target, %r12, %r27
lduw [%r27], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27] ! Load common dest into dcache ..
ba,a .+12
.word 0xe5e7dd40 ! 1: CASA_I casa [%r31] 0xea, %r0, %r18
ba,a .+8
jmpl %r27+0, %r27
stxa %r12, [%r0] ASI_LSU_CONTROL
.word 0xa1aac831 ! 249: FMOVGE fmovs %fcc1, %f17, %f16
.word 0xd81fc000 ! 253: LDD_R ldd [%r31 + %r0], %r12
splash_tba_20_147:
nop
ta T_CHANGE_PRIV
set 0x120000, %r12
.word 0x8b90000c ! 257: WRPR_TBA_R wrpr %r0, %r12, %tba
.word 0x2c800001 ! 261: BNEG bneg,a <label_0x1>
.word 0x0d400001 ! 1: FBPG fbg
.word 0x8d90391d ! 265: WRPR_PSTATE_I wrpr %r0, 0x191d, %pstate
nop
nop
ta T_CHANGE_HPRIV
mov 0x1, %r11
splash_cmpr_20_153:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
wrhpr %r10, %g0, %hsys_tick_cmpr
wrhpr %g0, 0x0, %halt ! HALT
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x700, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_20_153)+8 , 16, 16)) -> intp(3,0,14,*,904,*,b3,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_20_153)&0xffffffff)+8 , 16, 16)) -> intp(4,0,31,*,912,*,b3,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81982813 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0813, %hpstate
.word 0x81b01021 ! 269: SIAM siam 1
.word 0x87802020 ! 273: WRASI_I wr %r0, 0x0020, %asi
cancelint_20_157:
rdhpr %halt, %r11
.word 0x85880000 ! 277: ALLCLEAN <illegal instruction>
frzptr_20_159:
nop
nop
best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
jmpl %r27+4, %r27
.word 0x91b7c4c0 ! 1: FCMPNE32 fcmpne32 %d62, %d0, %r8
best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
jmpl %r27, %r27
.word 0xc1bfde00 ! 281: STDFA_R stda %f0, [%r0, %r31]
brcommon3_20_160:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-0], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xd1e7c180 ! 1: CASA_I casa [%r31] 0x c, %r0, %r8
ba,a .+8
jmpl %r27+0, %r27
.word 0x81982986 ! 285: WRHPR_HPSTATE_I wrhpr %r0, 0x0986, %hpstate
splash_tick_20_163:
nop
nop
ta T_CHANGE_HPRIV
best_set_reg(0xd91c91786d4cb763, %r16, %r17)
.word 0x8980000a ! 289: WRTICK_R wr %r0, %r10, %tick
nop
nop
set 0xd010b534, %r28 !TTID : 5 (mask2tid(0x20))
#if (MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(5,mask2tid(0x20),`.align 16')
stxa %r28, [%g0] 0x73
intvec_20_167:
.word 0x19400001 ! 293: FBPUGE fbuge
.word 0x87802016 ! 297: WRASI_I wr %r0, 0x0016, %asi
cmpenall_20_170:
nop
nop
ta T_CHANGE_HPRIV
rd %asi, %r12
wr %r0, 0x41, %asi
set sync_thr_counter4, %r23
#ifndef SPC
ldxa [%g0]0x63, %r8
and %r8, 0x38, %r8 ! Core ID
add %r8, %r23, %r23
mov 0xff, %r9
sllx %r9, %r8, %r9 ! My core mask
#else
mov 0xff, %r9 ! My core mask
#endif
cmpenall_startwait20_170:
mov 0x20, %r10
cas [%r23],%g0,%r10 !lock
brz,a %r10, continue_cmpenall_20_170
nop
cmpenall_wait20_170:
ld [%r23], %r10
brnz %r10, cmpenall_wait20_170
nop
ba,a cmpenall_startwait20_170
continue_cmpenall_20_170:
ldxa [0x58]%asi, %r14 !Running_status
wait_for_cmpstat_20_170:
ldxa [0x50]%asi, %r13 !Running_rw
cmp %r13, %r14
bne,a %xcc, wait_for_cmpstat_20_170
ldxa [0x58]%asi, %r14 !Running_status
ldxa [0x10]%asi, %r14 !Get enabled threads
and %r14, %r9, %r14 !My core mask
stxa %r14, [0x60]%asi !W1S
ldxa [0x58]%asi, %r16 !Running_status
wait_for_cmpstat2_20_170:
and %r16, %r9, %r16 !My core mask
cmp %r14, %r16
bne,a %xcc, wait_for_cmpstat2_20_170
ldxa [0x58]%asi, %r16 !Running_status
st %g0, [%r23] !clear lock
#if (MULTIPASS > 0)
multipass_check_mt:
rd %asi, %r12
wr %g0, ASI_SCRATCHPAD, %asi
ldxa [0x38]%asi, %r10
cmp %r10, MULTIPASS
inc %r10
stxa %r10, [0x38]%asi
be finish_diag
wr %g0, %r12, %asi
lock_sync_thds_again:
mov 0xff, %r10
set sync_thr_counter4, %r23
#ifndef SPC
add %r23,%r8,%r23 !Core's sync counter
#endif
st %r10, [%r23] !lock sync_thr_counter4
add %r23, 64, %r23
st %r10, [%r23] !lock sync_thr_counter5
add %r23, 64, %r23
st %r10, [%r23] !lock sync_thr_counter6
ba fork_threads
wrpr %g0, %g0, %gl
#endif
nop
nop
ta T_CHANGE_PRIV
wrpr %g0, %g0, %gl
nop
nop
.text
setx join_lbl_0_0, %g1, %g2
jmp %g2
nop
fork_lbl_0_5:
wrhpr %g0, 0x4cb, %hpstate ! ta T_CHANGE_NONHPRIV
splash_lsu_10_0:
nop
nop
ta T_CHANGE_HPRIV
set 0xda161d20, %r2
mov 0x4, %r1
sllx %r1, 32, %r1
or %r1, %r2, %r2
stxa %r2, [%r0] ASI_LSU_CONTROL
ta T_CHANGE_NONHPRIV
.word 0x3d400002 ! 1: FBPULE fbule,a,pn %fcc0, <label_0x2>
.word 0xe717c000 ! 5: LDQF_R - [%r31, %r0], %f19
.word 0xe19fdc40 ! 9: LDDFA_R ldda [%r31, %r0], %f16
jmptr_10_6:
nop
nop
best_set_reg(0xe1200000, %r20, %r27)
.word 0xb7c6c000 ! 13: JMPL_R jmpl %r27 + %r0, %r27
memptr_10_8:
set 0x60540000, %r31
.word 0x8581b6a1 ! 17: WRCCR_I wr %r6, 0x16a1, %ccr
cancelint_10_12:
rdhpr %halt, %r8
.word 0x85880000 ! 21: ALLCLEAN <illegal instruction>
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_10_15:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_10_15-donret_10_15+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
wrpr %g0, 0x2, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00059c00 | (0x88 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0xf5b, %htstate
best_set_reg(0xa03, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (10)
done
.align 2048
donretarg_10_15:
.word 0xd46fe170 ! 25: LDSTUB_I ldstub %r10, [%r31 + 0x0170]
memptr_10_17:
set 0x60540000, %r31
.word 0x8585283a ! 29: WRCCR_I wr %r20, 0x083a, %ccr
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_20) , 16, 16)) -> intp(4,0,5,*,936,*,50,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_20)&0xffffffff) , 16, 16)) -> intp(7,0,24,*,760,*,50,1)
#else
set 0xafb03fa0, %r28 !TTID : 7 (mask2tid(0x10))
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#if (MAX_THREADS == 8)
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_10_20:
#if (defined SPC || defined CMP1)
wrhpr %g0, 0x0, %halt ! HALT
#else
ifelse(7,mask2tid(0x10),`wrhpr %g0, 0x0, %halt ! HALT')
#endif
.word 0x39400001 ! 33: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0x91944013 ! 37: WRPR_PIL_R wrpr %r17, %r19, %pil
memptr_10_24:
set user_data_start, %r31
.word 0x85852059 ! 41: WRCCR_I wr %r20, 0x0059, %ccr
.word 0xe2800b60 ! 45: LDUWA_R lduwa [%r0, %r0] 0x5b, %r17
.word 0xc19fe080 ! 49: LDDFA_I ldda [%r31, 0x0080], %f0
.word 0x8980000a ! 53: WRTICK_R wr %r0, %r10, %tick
fpinit_10_32:
nop
setx fp_data_quads, %r19, %r20
ldd [%r20], %f0
ldd [%r20+8], %f4
ld [%r20+16], %fsr
ld [%r20+24], %r19
wr %r19, %g0, %gsr
.word 0x89a009a4 ! 57: FDIVs fdivs %f0, %f4, %f4
pmu_10_34:
nop
nop
ta T_CHANGE_PRIV
setx 0xffffffb7ffffffa7, %g1, %g7
.word 0xa3800007 ! 61: WR_PERF_COUNTER_R wr %r0, %r7, %-
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_10_38:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_10_38-donret_10_38), %r12
add %r12, 0x4, %r11 ! seq tnpc
wrpr %g0, 0x1, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x000ec500 | (0x55 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x158e, %htstate
wrhpr %g0, 0xa4b, %hpstate ! rand=1 (10)
retry
.align 2048
donretarg_10_38:
.word 0x8d903f47 ! 65: WRPR_PSTATE_I wrpr %r0, 0x1f47, %pstate
.word 0xdadfd060 ! 69: LDXA_R ldxa [%r31, %r0] 0x83, %r13
.word 0xdb37e068 ! 73: STQF_I - %f13, [0x0068, %r31]
jmptr_10_46:
nop
nop
best_set_reg(0xe1200000, %r20, %r27)
.word 0xb7c6c000 ! 77: JMPL_R jmpl %r27 + %r0, %r27
.word 0xda8008a0 ! 81: LDUWA_R lduwa [%r0, %r0] 0x45, %r13
demap_10_50:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %g3, [%g3] 0x57
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
stxa %g3, [%g3] 0x5f
stxa %g3, [%g3] 0x5f
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x5f
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
stxa %g3, [%g3] 0x57
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
wrhpr %g0, 0x5d3, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xda1fe0d1 ! 85: LDD_I ldd [%r31 + 0x00d1], %r13
splash_tba_10_53:
nop
ta T_CHANGE_PRIV
set 0x120000, %r12
.word 0x8b90000c ! 89: WRPR_TBA_R wrpr %r0, %r12, %tba
.word 0x36780001 ! 93: BPGE <illegal instruction>
memptr_10_56:
set 0x60540000, %r31
.word 0x85826879 ! 97: WRCCR_I wr %r9, 0x0879, %ccr
frzptr_10_58:
nop
nop
best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
jmpl %r27+4, %r27
.word 0xa3702130 ! 1: POPC_I popc 0x0130, %r17
best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
jmpl %r27, %r27
.word 0x20800001 ! 101: BN bn,a <label_0x1>
.word 0xdb3fc000 ! 105: STDF_R std %f13, [%r0, %r31]
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_10_61:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_10_61-donret_10_61+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
andn %r12, %r10, %r12 ! low VA tpc
wrpr %g0, 0x2, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00f76300 | (0x4f << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x155b, %htstate
best_set_reg(0x17e2, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (10)
ldx [%r11+%r0], %g1
done
donretarg_10_61:
.word 0xdaffc180 ! 109: SWAPA_R swapa %r13, [%r31 + %r0] 0x0c
pmu_10_62:
nop
nop
ta T_CHANGE_PRIV
setx 0xffffffb1ffffffac, %g1, %g7
.word 0xa3800007 ! 113: WR_PERF_COUNTER_R wr %r0, %r7, %-
splash_tba_10_63:
nop
ta T_CHANGE_PRIV
setx 0x0000000400380000, %r11, %r12
.word 0x8b90000c ! 117: WRPR_TBA_R wrpr %r0, %r12, %tba
.word 0xa8fd000a ! 121: SDIVcc_R sdivcc %r20, %r10, %r20
nop
nop
set 0xcce0fc9f, %r28 !TTID : 4 (mask2tid(0x10))
#if (MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(4,mask2tid(0x10),`.align 16')
stxa %r28, [%g0] 0x73
.word 0xa3b504c5 ! 1: FCMPNE32 fcmpne32 %d20, %d36, %r17
intvec_10_69:
.word 0x97a509d2 ! 125: FDIVd fdivd %f20, %f18, %f42
memptr_10_71:
set 0x60740000, %r31
.word 0x8582782d ! 129: WRCCR_I wr %r9, 0x182d, %ccr
jmptr_10_73:
nop
nop
best_set_reg(0xe1200000, %r20, %r27)
.word 0xb7c6c000 ! 133: JMPL_R jmpl %r27 + %r0, %r27
jmptr_10_76:
nop
nop
best_set_reg(0xe1200000, %r20, %r27)
.word 0xb7c6c000 ! 137: JMPL_R jmpl %r27 + %r0, %r27
.word 0x8d90232b ! 141: WRPR_PSTATE_I wrpr %r0, 0x032b, %pstate
.word 0x2c780001 ! 145: BPNEG <illegal instruction>
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_86) , 16, 16)) -> intp(5,0,8,*,904,*,d5,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_86)&0xffffffff) , 16, 16)) -> intp(7,0,6,*,944,*,d5,1)
#else
set 0xd1e0c674, %r28 !TTID : 6 (mask2tid(0x10))
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#if (MAX_THREADS == 8)
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_10_86:
.word 0xa1b484cd ! 149: FCMPNE32 fcmpne32 %d18, %d44, %r16
.word 0xda3fe188 ! 153: STD_I std %r13, [%r31 + 0x0188]
splash_hpstate_10_88:
.word 0x81982c0d ! 157: WRHPR_HPSTATE_I wrhpr %r0, 0x0c0d, %hpstate
splash_lsu_10_90:
nop
nop
ta T_CHANGE_HPRIV
set 0x85d86cd0, %r2
mov 0x4, %r1
sllx %r1, 32, %r1
or %r1, %r2, %r2
stxa %r2, [%r0] ASI_LSU_CONTROL
.word 0x3d400001 ! 161: FBPULE fbule,a,pn %fcc0, <label_0x1>
frzptr_10_92:
nop
nop
best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
jmpl %r27+4, %r27
.word 0x93b7c7c0 ! 1: PDIST pdistn %d62, %d0, %d40
best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
jmpl %r27, %r27
.word 0x00800001 ! 165: BN bn <label_0x1>
.word 0x91910014 ! 169: WRPR_PIL_R wrpr %r4, %r20, %pil
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_98) , 16, 16)) -> intp(4,0,25,*,648,*,76,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_98)&0xffffffff) , 16, 16)) -> intp(3,0,8,*,760,*,76,1)
#else
set 0x1220ae97, %r28 !TTID : 6 (mask2tid(0x10))
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#if (MAX_THREADS == 8)
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0xa7b244d1 ! 1: FCMPNE32 fcmpne32 %d40, %d48, %r19
intvec_10_98:
#if (defined SPC || defined CMP1)
wrhpr %g0, 0x0, %halt ! HALT
#else
ifelse(6,mask2tid(0x10),`wrhpr %g0, 0x0, %halt ! HALT')
#endif
.word 0x9bb4c4d3 ! 173: FCMPNE32 fcmpne32 %d50, %d50, %r13
.word 0x8d902747 ! 177: WRPR_PSTATE_I wrpr %r0, 0x0747, %pstate
.word 0xa6696853 ! 181: UDIVX_I udivx %r5, 0x0853, %r19
intveclr_10_106:
nop
nop
ta T_CHANGE_HPRIV
setx 0x7c140457c889646a, %r1, %r28
stxa %r28, [%g0] 0x72
.word 0x05400001 ! 185: FBPLG fblg
.word 0xd6dfd040 ! 189: LDXA_R ldxa [%r31, %r0] 0x82, %r11
.word 0xd7e7c180 ! 193: CASA_I casa [%r31] 0x c, %r0, %r11
#if (defined SPC || defined CMP)
!$EV trig_pc_d(1, expr(@VA(.MAIN.xir_10_113), 16, 16)) -> intp(mask2tid(0x10),1,3,*,680,*,*,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.xir_10_113)&0xffffffff), 16, 16)) -> intp(mask2tid(0x10),1,3,*,1008,*,*,1)
xir_10_113:
#else
#if (defined FC)
!! Generate XIR via RESET_GEN register
ta T_CHANGE_HPRIV
rdpr %pstate, %r18
andn %r18, 0x208, %r18 ! Reset pstate.am,cle
wrpr %r18, %pstate
#ifndef XIR_RND_CORES
ldxa [%g0] 0x63, %o1
mov 1, %r18
sllx %r18, %o1, %r18
#endif
mov 0x30, %r19
setx 0x8900000808, %r16, %r17
mov 0x2, %r16
!! Poll RESET gen to see if no XIRs pending
ldx [%r17], %g1
xirwait_10_113:
and %g1, 2, %g1
brnz,a %g1, xirwait_10_113
ldx [%r17], %g1
xir_10_113:
stxa %r18, [%r19] 0x41
stx %r16, [%r17]
#endif
#endif
.word 0xab8539df ! 197: WR_CLEAR_SOFTINT_I wr %r20, 0x19df, %clear_softint
.word 0x8d903663 ! 201: WRPR_PSTATE_I wrpr %r0, 0x1663, %pstate
.word 0xd88008a0 ! 205: LDUWA_R lduwa [%r0, %r0] 0x45, %r12
memptr_10_120:
set user_data_start, %r31
.word 0x85826bde ! 209: WRCCR_I wr %r9, 0x0bde, %ccr
nop
nop
set 0x198037d9, %r28 !TTID : 7 (mask2tid(0x10))
#if (MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(7,mask2tid(0x10),`.align 16')
stxa %r28, [%g0] 0x73
intvec_10_123:
.word 0x39400001 ! 213: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
brcommon3_10_126:
nop
nop
setx common_target, %r12, %r27
lduw [%r27], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27] ! Load common dest into dcache ..
ba,a .+12
.word 0xd537c000 ! 1: STQF_R - %f10, [%r0, %r31]
ba,a .+8
jmpl %r27+0, %r27
stxa %r13, [%r0] ASI_LSU_CONTROL
.word 0x9baac826 ! 217: FMOVGE fmovs %fcc1, %f6, %f13
nop
nop
set 0xa480cef3, %r28 !TTID : 6 (mask2tid(0x10))
#if (MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(6,mask2tid(0x10),`.align 16')
stxa %r28, [%g0] 0x73
.word 0x95a409cd ! 1: FDIVd fdivd %f16, %f44, %f10
intvec_10_129:
.word 0x39400002 ! 221: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
#if (defined SPC || defined CMP)
!$EV trig_pc_d(1, expr(@VA(.MAIN.xir_10_130), 16, 16)) -> intp(mask2tid(0x10),1,3,*,960,*,*,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.xir_10_130)&0xffffffff), 16, 16)) -> intp(mask2tid(0x10),1,3,*,752,*,*,1)
xir_10_130:
#else
#if (defined FC)
!! Generate XIR via RESET_GEN register
ta T_CHANGE_HPRIV
rdpr %pstate, %r18
andn %r18, 0x208, %r18 ! Reset pstate.am,cle
wrpr %r18, %pstate
#ifndef XIR_RND_CORES
ldxa [%g0] 0x63, %o1
mov 1, %r18
sllx %r18, %o1, %r18
#endif
mov 0x30, %r19
setx 0x8900000808, %r16, %r17
mov 0x2, %r16
!! Poll RESET gen to see if no XIRs pending
ldx [%r17], %g1
xirwait_10_130:
and %g1, 2, %g1
brnz,a %g1, xirwait_10_130
ldx [%r17], %g1
xir_10_130:
stxa %r18, [%r19] 0x41
stx %r16, [%r17]
#endif
#endif
.word 0xab8168b5 ! 225: WR_CLEAR_SOFTINT_I wr %r5, 0x08b5, %clear_softint
.word 0x10800001 ! 1: BA ba <label_0x1>
br_longdelay5_10_132:
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_10_132)&0xffffffff) , 16, 16)) -> intp(mask2tid(0x10),0,0,*,752,*,ffffffffffffffff,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_10_132)) , 16, 16)) -> intp(mask2tid(0x10),0,0,*,928,*,ffffffffffffffff,1)
wrhpr %g0, 0x0, %halt ! HALT
#endif
.word 0x87afca40 ! 229: FCMPd fcmpd %fcc<n>, %f62, %f0
br_longdelay3_10_134:
nop
not %g0, %r27
jmpl %r27+0, %r27
.word 0x81983a93 ! 233: WRHPR_HPSTATE_I wrhpr %r0, 0x1a93, %hpstate
nop
nop
mov 0x1, %r11
splash_cmpr_10_137:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x250, %r10
#else
add %r10, 0xc00, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_10_137)+8 , 16, 16)) -> intp(0,0,9,*,664,*,94,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_10_137)&0xffffffff)+8 , 16, 16)) -> intp(6,0,11,*,936,*,94,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 237: SIAM siam 1
.word 0xa1902000 ! 241: WRPR_GL_I wrpr %r0, 0x0000, %-
.word 0x24cc4002 ! 245: BRLEZ brlez,a,pt %r17,<label_0xc4002>
brcommon3_10_143:
nop
nop
setx common_target, %r12, %r27
lduw [%r27], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27] ! Load common dest into dcache ..
ba,a .+12
.word 0xe5e7c380 ! 1: CASA_I casa [%r31] 0x1c, %r0, %r18
ba,a .+8
jmpl %r27+0, %r27
stxa %r6, [%r0] ASI_LSU_CONTROL
.word 0x93aac831 ! 249: FMOVGE fmovs %fcc1, %f17, %f9
.word 0xd81fc000 ! 253: LDD_R ldd [%r31 + %r0], %r12
splash_tba_10_147:
nop
ta T_CHANGE_PRIV
set 0x120000, %r12
.word 0x8b90000c ! 257: WRPR_TBA_R wrpr %r0, %r12, %tba
.word 0x2c800001 ! 261: BNEG bneg,a <label_0x1>
.word 0x21400001 ! 1: FBPN fbn,a,pn %fcc0, <label_0x1>
.word 0x8d903595 ! 265: WRPR_PSTATE_I wrpr %r0, 0x1595, %pstate
nop
nop
ta T_CHANGE_HPRIV
mov 0x1, %r11
splash_cmpr_10_153:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x700, %r10
#endif
and %r10, %r11, %r10
wrhpr %r10, %g0, %hsys_tick_cmpr
wrhpr %g0, 0x0, %halt ! HALT
ta T_CHANGE_PRIV
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_10_153)+8 , 16, 16)) -> intp(3,0,22,*,1008,*,77,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_10_153)&0xffffffff)+8 , 16, 16)) -> intp(0,0,20,*,672,*,77,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x819831d5 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x11d5, %hpstate
.word 0x81b01021 ! 269: SIAM siam 1
.word 0x87802036 ! 273: WRASI_I wr %r0, 0x0036, %asi
cancelint_10_157:
rdhpr %halt, %r20
.word 0x85880000 ! 277: ALLCLEAN <illegal instruction>
frzptr_10_159:
nop
nop
best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
jmpl %r27+4, %r27
.word 0x91b7c4c0 ! 1: FCMPNE32 fcmpne32 %d62, %d0, %r8
best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
jmpl %r27, %r27
.word 0x00800002 ! 281: BN bn <label_0x2>
brcommon3_10_160:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-4], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xd1e7c280 ! 1: CASA_I casa [%r31] 0x14, %r0, %r8
ba,a .+8
jmpl %r27+0, %r27
.word 0x8d903e54 ! 285: WRPR_PSTATE_I wrpr %r0, 0x1e54, %pstate
.word 0x8980000a ! 289: WRTICK_R wr %r0, %r10, %tick
nop
nop
set 0x65906b03, %r28 !TTID : 3 (mask2tid(0x10))
#if (MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(3,mask2tid(0x10),`.align 16')
stxa %r28, [%g0] 0x73
.word 0x99a4c9c9 ! 1: FDIVd fdivd %f50, %f40, %f12
intvec_10_167:
.word 0x39400002 ! 293: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
.word 0x8780201c ! 297: WRASI_I wr %r0, 0x001c, %asi
nop
nop
ta T_CHANGE_PRIV
wrpr %g0, %g0, %gl
nop
nop
.text
setx join_lbl_0_0, %g1, %g2
jmp %g2
nop
fork_lbl_0_4:
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0x9bb144c1 ! 1: FCMPNE32 fcmpne32 %d36, %d32, %r13
intvec_8_1:
.word 0xdbe7c600 ! 2: CASA_I casa [%r31] 0x30, %r0, %r13
.word 0xc19fdc40 ! 3: LDDFA_R ldda [%r31, %r0], %f0
.word 0xa7b304c3 ! 4: FCMPNE32 fcmpne32 %d12, %d34, %r19
.word 0xe7e7dc40 ! 5: CASA_I casa [%r31] 0xe2, %r0, %r19
.word 0x20800002 ! 5: BN bn,a <label_0x2>
nop
nop
mov 63, %g1
loopb_8_2:
ldstub [%r31+0x1d0], %r2
brnz,a %r1, loopb_8_2
dec %r1
nop
nop
mov 63, %g1
loopz_8_3:
ldstub [%r31+0x150], %r2
brnz,a %r1, loopz_8_3
dec %r1
.word 0xe19fdf20 ! 6: LDDFA_R ldda [%r31, %r0], %f16
.word 0xe63fe0d0 ! 7: STD_I std %r19, [%r31 + 0x00d0]
.word 0xc32fe190 ! 8: STXFSR_I st-sfr %f1, [0x0190, %r31]
.word 0xe1bfdc00 ! 9: STDFA_R stda %f16, [%r0, %r31]
.word 0x39400001 ! 9: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_4) + 40, 16, 16)) -> intp(0,0,8,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_4)&0xffffffff) + 24, 16, 16)) -> intp(1,0,5,,,,,1)
#else
set 0x78a07ea8, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_8_4:
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
intvec_8_5:
.word 0xa7b244d2 ! 10: FCMPNE32 fcmpne32 %d40, %d18, %r19
.word 0xa1b7c7c0 ! 11: PDIST pdistn %d62, %d0, %d16
.word 0xa7a289d4 ! 12: FDIVd fdivd %f10, %f20, %f50
.word 0xa7b444c2 ! 13: FCMPNE32 fcmpne32 %d48, %d2, %r19
.word 0xe19fdc40 ! 13: LDDFA_R ldda [%r31, %r0], %f16
nop
nop
mov 27, %g1
loopz_8_7:
ldstub [%r31+0x110], %r2
brnz,a %r1, loopz_8_7
dec %r1
.word 0xe7e7e000 ! 14: CASA_R casa [%r31] %asi, %r0, %r19
.word 0xa7a7c9c0 ! 15: FDIVd fdivd %f62, %f0, %f50
.word 0xc19fdf20 ! 16: LDDFA_R ldda [%r31, %r0], %f0
.word 0xe7e7e000 ! 17: CASA_R casa [%r31] %asi, %r0, %r19
.word 0xe63fe1f0 ! 17: STD_I std %r19, [%r31 + 0x01f0]
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
intvec_8_9:
memptr_8_10:
set 0x60340000, %r31
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0x91a189c9 ! 1: FDIVd fdivd %f6, %f40, %f8
intvec_8_11:
.word 0x91a2c9d1 ! 18: FDIVd fdivd %f42, %f48, %f8
.word 0x8581ee35 ! 19: WRCCR_I wr %r7, 0x0e35, %ccr
.word 0x19400001 ! 20: FBPUGE fbuge
.word 0xa7a0c9d2 ! 21: FDIVd fdivd %f34, %f18, %f50
.word 0x85843b05 ! 21: WRCCR_I wr %r16, 0x1b05, %ccr
nop
nop
mov 7, %g1
loopb_8_13:
ldstub [%r31+0x1c0], %r2
brnz,a %r1, loopb_8_13
dec %r1
nop
nop
mov 39, %g1
loopz_8_14:
ldstub [%r31+0xa0], %r2
brnz,a %r1, loopz_8_14
dec %r1
.word 0xe1bfde20 ! 22: STDFA_R stda %f16, [%r0, %r31]
.word 0x19400002 ! 23: FBPUGE fbuge
.word 0xd5e7e000 ! 24: CASA_R casa [%r31] %asi, %r0, %r10
.word 0xe19fdb20 ! 25: LDDFA_R ldda [%r31, %r0], %f16
.word 0xd41fe0e0 ! 25: LDD_I ldd [%r31 + 0x00e0], %r10
nop
nop
mov 35, %g1
loopb_8_16:
ldstub [%r31+0x50], %r2
brnz,a %r1, loopb_8_16
dec %r1
.word 0xa1b7c7c0 ! 26: PDIST pdistn %d62, %d0, %d16
.word 0xc1bfc2c0 ! 27: STDFA_R stda %f0, [%r0, %r31]
.word 0xe1bfde00 ! 28: STDFA_R stda %f16, [%r0, %r31]
.word 0xe1bfda60 ! 29: STDFA_R stda %f16, [%r0, %r31]
.word 0xc1bfdb20 ! 29: STDFA_R stda %f0, [%r0, %r31]
memptr_8_18:
set user_data_start, %r31
nop
nop
mov 63, %g1
loopz_8_19:
ldstub [%r31+0x1e0], %r2
brnz,a %r1, loopz_8_19
dec %r1
.word 0xc19fde20 ! 30: LDDFA_R ldda [%r31, %r0], %f0
.word 0x85826182 ! 31: WRCCR_I wr %r9, 0x0182, %ccr
.word 0xd5e7e000 ! 32: CASA_R casa [%r31] %asi, %r0, %r10
.word 0xa1b7c7c0 ! 33: PDIST pdistn %d62, %d0, %d16
.word 0xd5e7e000 ! 33: CASA_R casa [%r31] %asi, %r0, %r10
.word 0xc19fc3e0 ! 34: LDDFA_R ldda [%r31, %r0], %f0
.word 0x24cfc001 ! 35: BRLEZ brlez,a,pt %r31,<label_0xfc001>
.word 0xa1b7c7c0 ! 36: PDIST pdistn %d62, %d0, %d16
.word 0x20800001 ! 37: BN bn,a <label_0x1>
.word 0xe63fe150 ! 37: STD_I std %r19, [%r31 + 0x0150]
nop
nop
mov 51, %g1
loopz_8_22:
ldstub [%r31+0], %r2
brnz,a %r1, loopz_8_22
dec %r1
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
intvec_8_23:
.word 0xe01fe020 ! 38: LDD_I ldd [%r31 + 0x0020], %r16
.word 0xe1e7c540 ! 39: CASA_I casa [%r31] 0x2a, %r0, %r16
.word 0x19400001 ! 40: FBPUGE fbuge
.word 0xe61fc000 ! 41: LDD_R ldd [%r31 + %r0], %r19
.word 0x93b484c4 ! 41: FCMPNE32 fcmpne32 %d18, %d4, %r9
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
intvec_8_25:
.word 0xe1bfc2c0 ! 42: STDFA_R stda %f16, [%r0, %r31]
.word 0x81b7c7c0 ! 43: PDIST pdistn %d62, %d0, %d0
.word 0x93a2c9d4 ! 44: FDIVd fdivd %f42, %f20, %f40
.word 0x81b7c7c0 ! 45: PDIST pdistn %d62, %d0, %d0
.word 0xa1b7c7c0 ! 45: PDIST pdistn %d62, %d0, %d16
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_26) + 16, 16, 16)) -> intp(6,0,26,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_26)&0xffffffff) + 0, 16, 16)) -> intp(6,0,6,,,,,1)
#else
set 0x4909b34, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0xa3b284d0 ! 1: FCMPNE32 fcmpne32 %d10, %d16, %r17
intvec_8_26:
memptr_8_27:
set user_data_start, %r31
.word 0x99a449c2 ! 46: FDIVd fdivd %f48, %f2, %f12
.word 0x8581b3fd ! 47: WRCCR_I wr %r6, 0x13fd, %ccr
.word 0xc19fdc00 ! 48: LDDFA_R ldda [%r31, %r0], %f0
.word 0x39400001 ! 49: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0x20800002 ! 49: BN bn,a <label_0x2>
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_28) + 32, 16, 16)) -> intp(6,0,24,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_28)&0xffffffff) + 56, 16, 16)) -> intp(7,0,4,,,,,1)
#else
set 0x3f308ce5, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0xa3b344c6 ! 1: FCMPNE32 fcmpne32 %d44, %d6, %r17
intvec_8_28:
nop
nop
mov 51, %g1
loopz_8_29:
ldstub [%r31+32], %r2
brnz,a %r1, loopz_8_29
dec %r1
.word 0x39400001 ! 50: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0x20800002 ! 51: BN bn,a <label_0x2>
.word 0xc32fe1c0 ! 52: STXFSR_I st-sfr %f1, [0x01c0, %r31]
.word 0x39400001 ! 53: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0xda1fc000 ! 53: LDD_R ldd [%r31 + %r0], %r13
memptr_8_31:
set 0x60540000, %r31
.word 0xdadfc3c0 ! 54: LDXA_R ldxa [%r31, %r0] 0x1e, %r13
.word 0x85842e55 ! 55: WRCCR_I wr %r16, 0x0e55, %ccr
.word 0xc19fdb40 ! 56: LDDFA_R ldda [%r31, %r0], %f0
.word 0xda9fd100 ! 57: LDDA_R ldda [%r31, %r0] 0x88, %r13
.word 0xc1bfda60 ! 57: STDFA_R stda %f0, [%r0, %r31]
nop
nop
mov 3, %g1
loopb_8_33:
ldstub [%r31+0x150], %r2
brnz,a %r1, loopb_8_33
dec %r1
.word 0xe19fdd40 ! 58: LDDFA_R ldda [%r31, %r0], %f16
.word 0xe1bfc2c0 ! 59: STDFA_R stda %f16, [%r0, %r31]
.word 0xdbe7c380 ! 60: CASA_I casa [%r31] 0x1c, %r0, %r13
.word 0xc1bfde00 ! 61: STDFA_R stda %f0, [%r0, %r31]
.word 0xc1bfdc40 ! 61: STDFA_R stda %f0, [%r0, %r31]
nop
nop
mov 23, %g1
loopz_8_35:
ldstub [%r31+0x140], %r2
brnz,a %r1, loopz_8_35
dec %r1
memptr_8_36:
set user_data_start, %r31
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
intvec_8_37:
.word 0xdb1fe060 ! 62: LDDF_I ldd [%r31, 0x0060], %f13
.word 0x85843ac6 ! 63: WRCCR_I wr %r16, 0x1ac6, %ccr
.word 0xa3a049d3 ! 64: FDIVd fdivd %f32, %f50, %f48
.word 0xe21fe180 ! 65: LDD_I ldd [%r31 + 0x0180], %r17
.word 0x9ba189d1 ! 65: FDIVd fdivd %f6, %f48, %f44
memptr_8_39:
set user_data_start, %r31
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_40) + 56, 16, 16)) -> intp(2,0,14,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_40)&0xffffffff) + 8, 16, 16)) -> intp(3,0,11,,,,,1)
#else
set 0x3f2034e8, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
intvec_8_40:
.word 0x81b7c7c0 ! 66: PDIST pdistn %d62, %d0, %d0
.word 0x8581b882 ! 67: WRCCR_I wr %r6, 0x1882, %ccr
.word 0x19400001 ! 68: FBPUGE fbuge
.word 0x81b7c7c0 ! 69: PDIST pdistn %d62, %d0, %d0
.word 0x9bb404ca ! 69: FCMPNE32 fcmpne32 %d16, %d10, %r13
nop
nop
mov 23, %g1
loopz_8_41:
ldstub [%r31+0x80], %r2
brnz,a %r1, loopz_8_41
dec %r1
memptr_8_42:
set user_data_start, %r31
nop
nop
mov 55, %g1
loopb_8_43:
ldstub [%r31+0x130], %r2
brnz,a %r1, loopb_8_43
dec %r1
.word 0xda1fc000 ! 70: LDD_R ldd [%r31 + %r0], %r13
.word 0x858538df ! 71: WRCCR_I wr %r20, 0x18df, %ccr
.word 0xe19fde00 ! 72: LDDFA_R ldda [%r31, %r0], %f16
.word 0xdbe7e000 ! 73: CASA_R casa [%r31] %asi, %r0, %r13
.word 0xc1bfdc40 ! 73: STDFA_R stda %f0, [%r0, %r31]
nop
nop
mov 51, %g1
loopb_8_44:
ldstub [%r31+0x130], %r2
brnz,a %r1, loopb_8_44
dec %r1
memptr_8_45:
set user_data_start, %r31
.word 0xe19fdc00 ! 74: LDDFA_R ldda [%r31, %r0], %f16
.word 0x8581b9de ! 75: WRCCR_I wr %r6, 0x19de, %ccr
.word 0xe1bfc2c0 ! 76: STDFA_R stda %f16, [%r0, %r31]
.word 0xc19fdf00 ! 77: LDDFA_R ldda [%r31, %r0], %f0
.word 0x00800001 ! 77: BN bn <label_0x1>
memptr_8_47:
set 0x60740000, %r31
.word 0xf1efe0e0 ! 78: PREFETCHA_I prefetcha [%r31, + 0x00e0] %asi, #24
.word 0x858478aa ! 79: WRCCR_I wr %r17, 0x18aa, %ccr
.word 0xdb3fe1b0 ! 80: STDF_I std %f13, [0x01b0, %r31]
.word 0xdb3fe120 ! 81: STDF_I std %f13, [0x0120, %r31]
.word 0xda9fc200 ! 81: LDDA_R ldda [%r31, %r0] 0x10, %r13
nop
nop
mov 19, %g1
loopz_8_48:
ldstub [%r31+0x50], %r2
brnz,a %r1, loopz_8_48
dec %r1
nop
nop
mov 3, %g1
loopz_8_49:
ldstub [%r31+0x130], %r2
brnz,a %r1, loopz_8_49
dec %r1
.word 0xdb1fe110 ! 82: LDDF_I ldd [%r31, 0x0110], %f13
.word 0xc1bfdd40 ! 83: STDFA_R stda %f0, [%r0, %r31]
.word 0xc32fe060 ! 84: STXFSR_I st-sfr %f1, [0x0060, %r31]
.word 0xc32fe1f0 ! 85: STXFSR_I st-sfr %f1, [0x01f0, %r31]
.word 0x00800001 ! 85: BN bn <label_0x1>
.word 0xe0bfc3e0 ! 1: STDA_R stda %r16, [%r31 + %r0] 0x1f
.word 0xc1bfda00 ! 1: STDFA_R stda %f0, [%r0, %r31]
.word 0xe0bfdb20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd9
.word 0xc09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r0
.word 0xe1bfde00 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xc09fc2c0 ! 1: LDDA_R ldda [%r31, %r0] 0x16, %r0
.word 0xc1bfdf20 ! 1: STDFA_R stda %f0, [%r0, %r31]
.word 0xc1bfdc00 ! 1: STDFA_R stda %f0, [%r0, %r31]
.word 0xe0bfde20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf1
nop
nop
mov 47, %g1
loopb_8_51:
ldstub [%r31+0xd0], %r2
brnz,a %r1, loopb_8_51
dec %r1
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_52) + 56, 16, 16)) -> intp(7,0,12,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_52)&0xffffffff) + 40, 16, 16)) -> intp(5,0,12,,,,,1)
#else
set 0x3e60060f, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
intvec_8_52:
.word 0xe19fdd40 ! 86: LDDFA_R ldda [%r31, %r0], %f16
.word 0x9ba7c9c0 ! 87: FDIVd fdivd %f62, %f0, %f44
.word 0x39400001 ! 88: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0xe1bfdf20 ! 89: STDFA_R stda %f16, [%r0, %r31]
.word 0x87afca40 ! 89: FCMPd fcmpd %fcc<n>, %f62, %f0
memptr_8_54:
set user_data_start, %r31
.word 0xe3e7df00 ! 90: CASA_I casa [%r31] 0xf8, %r0, %r17
.word 0x8585295e ! 91: WRCCR_I wr %r20, 0x095e, %ccr
.word 0xe31fe090 ! 92: LDDF_I ldd [%r31, 0x0090], %f17
.word 0xf1efe1e0 ! 93: PREFETCHA_I prefetcha [%r31, + 0x01e0] %asi, #24
.word 0xf1efe120 ! 93: PREFETCHA_I prefetcha [%r31, + 0x0120] %asi, #24
nop
nop
mov 43, %g1
loopb_8_55:
ldstub [%r31+0x70], %r2
brnz,a %r1, loopb_8_55
dec %r1
.word 0xc19fdf20 ! 94: LDDFA_R ldda [%r31, %r0], %f0
.word 0xc1bfdf00 ! 95: STDFA_R stda %f0, [%r0, %r31]
.word 0xe19fde00 ! 96: LDDFA_R ldda [%r31, %r0], %f16
.word 0x20800001 ! 97: BN bn,a <label_0x1>
.word 0xc1bfdb40 ! 97: STDFA_R stda %f0, [%r0, %r31]
nop
nop
mov 19, %g1
loopz_8_57:
ldstub [%r31+0x1c0], %r2
brnz,a %r1, loopz_8_57
dec %r1
.word 0xe3e7e000 ! 98: CASA_R casa [%r31] %asi, %r0, %r17
.word 0x39400001 ! 99: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0xe19fde20 ! 100: LDDFA_R ldda [%r31, %r0], %f16
.word 0xe21fe090 ! 101: LDD_I ldd [%r31 + 0x0090], %r17
.word 0x24cfc001 ! 101: BRLEZ brlez,a,pt %r31,<label_0xfc001>
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0x97b284cc ! 1: FCMPNE32 fcmpne32 %d10, %d12, %r11
intvec_8_59:
.word 0xc19fdf20 ! 102: LDDFA_R ldda [%r31, %r0], %f0
.word 0xc1bfdf00 ! 103: STDFA_R stda %f0, [%r0, %r31]
.word 0xa9b044d1 ! 104: FCMPNE32 fcmpne32 %d32, %d48, %r20
.word 0x20800001 ! 105: BN bn,a <label_0x1>
.word 0x9bb504cb ! 105: FCMPNE32 fcmpne32 %d20, %d42, %r13
memptr_8_60:
set user_data_start, %r31
.word 0xf1efe0d0 ! 106: PREFETCHA_I prefetcha [%r31, + 0x00d0] %asi, #24
.word 0x858525ea ! 107: WRCCR_I wr %r20, 0x05ea, %ccr
.word 0xc19fda00 ! 108: LDDFA_R ldda [%r31, %r0], %f0
.word 0xda3fe0b0 ! 109: STD_I std %r13, [%r31 + 0x00b0]
.word 0x20800001 ! 109: BN bn,a <label_0x1>
.word 0xa1b7c7c0 ! 110: PDIST pdistn %d62, %d0, %d16
.word 0x20800001 ! 111: BN bn,a <label_0x1>
.word 0xa1b7c7c0 ! 112: PDIST pdistn %d62, %d0, %d16
.word 0x20800001 ! 113: BN bn,a <label_0x1>
.word 0x20800001 ! 113: BN bn,a <label_0x1>
.word 0xda97d920 ! 114: LDUHA_R lduha [%r31, %r0] 0xc9, %r13
.word 0x20800001 ! 115: BN bn,a <label_0x1>
.word 0xdbe7c200 ! 116: CASA_I casa [%r31] 0x10, %r0, %r13
.word 0xda97c600 ! 117: LDUHA_R lduha [%r31, %r0] 0x30, %r13
.word 0x20800001 ! 117: BN bn,a <label_0x1>
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_64) + 32, 16, 16)) -> intp(1,0,15,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_64)&0xffffffff) + 8, 16, 16)) -> intp(7,0,29,,,,,1)
#else
set 0x96c025b2, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_8_64:
memptr_8_65:
set 0x60740000, %r31
nop
nop
mov 31, %g1
loopz_8_66:
ldstub [%r31+0x1e0], %r2
brnz,a %r1, loopz_8_66
dec %r1
.word 0xa3a489d1 ! 118: FDIVd fdivd %f18, %f48, %f48
.word 0x8581617e ! 119: WRCCR_I wr %r5, 0x017e, %ccr
.word 0xe31fe020 ! 120: LDDF_I ldd [%r31, 0x0020], %f17
.word 0x99a409d3 ! 121: FDIVd fdivd %f16, %f50, %f12
.word 0x8581f91f ! 121: WRCCR_I wr %r7, 0x191f, %ccr
nop
nop
mov 19, %g1
loopz_8_67:
ldstub [%r31+0xa0], %r2
brnz,a %r1, loopz_8_67
dec %r1
nop
nop
mov 51, %g1
loopz_8_68:
ldstub [%r31+0x40], %r2
brnz,a %r1, loopz_8_68
dec %r1
.word 0xd21fe0c0 ! 122: LDD_I ldd [%r31 + 0x00c0], %r9
.word 0xd3e7dd40 ! 123: CASA_I casa [%r31] 0xea, %r0, %r9
.word 0xd3e7e000 ! 124: CASA_R casa [%r31] %asi, %r0, %r9
.word 0xc32fe1d0 ! 125: STXFSR_I st-sfr %f1, [0x01d0, %r31]
.word 0xd21fc000 ! 125: LDD_R ldd [%r31 + %r0], %r9
memptr_8_70:
set 0x60540000, %r31
.word 0x81b7c7c0 ! 126: PDIST pdistn %d62, %d0, %d0
.word 0x858528ab ! 127: WRCCR_I wr %r20, 0x08ab, %ccr
.word 0xe7e7c720 ! 128: CASA_I casa [%r31] 0x39, %r0, %r19
.word 0xc1bfdc00 ! 129: STDFA_R stda %f0, [%r0, %r31]
.word 0x8581fd4f ! 129: WRCCR_I wr %r7, 0x1d4f, %ccr
memptr_8_72:
set user_data_start, %r31
.word 0xc19fda60 ! 130: LDDFA_R ldda [%r31, %r0], %f0
.word 0x8584f1f6 ! 131: WRCCR_I wr %r19, 0x11f6, %ccr
.word 0xc19fde00 ! 132: LDDFA_R ldda [%r31, %r0], %f0
.word 0x20800001 ! 133: BN bn,a <label_0x1>
.word 0x858428b7 ! 133: WRCCR_I wr %r16, 0x08b7, %ccr
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_74) + 0, 16, 16)) -> intp(6,0,17,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_74)&0xffffffff) + 24, 16, 16)) -> intp(0,0,5,,,,,1)
#else
set 0x48409b1b, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x97a2c9d2 ! 1: FDIVd fdivd %f42, %f18, %f42
intvec_8_74:
nop
nop
mov 31, %g1
loopb_8_75:
ldstub [%r31+0xe0], %r2
brnz,a %r1, loopb_8_75
dec %r1
.word 0x95b1c4d3 ! 134: FCMPNE32 fcmpne32 %d38, %d50, %r10
.word 0xd43fe0c0 ! 135: STD_I std %r10, [%r31 + 0x00c0]
.word 0xc1bfdf00 ! 136: STDFA_R stda %f0, [%r0, %r31]
.word 0xa7a1c9d2 ! 137: FDIVd fdivd %f38, %f18, %f50
.word 0xc19fdc40 ! 137: LDDFA_R ldda [%r31, %r0], %f0
memptr_8_77:
set 0x60540000, %r31
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_78) + 24, 16, 16)) -> intp(0,0,27,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_78)&0xffffffff) + 0, 16, 16)) -> intp(0,0,27,,,,,1)
#else
set 0x59603f5b, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x97a509d3 ! 1: FDIVd fdivd %f20, %f50, %f42
intvec_8_78:
.word 0xc32fe1f0 ! 138: STXFSR_I st-sfr %f1, [0x01f0, %r31]
.word 0x8584b52c ! 139: WRCCR_I wr %r18, 0x152c, %ccr
.word 0xa3b0c4d0 ! 140: FCMPNE32 fcmpne32 %d34, %d16, %r17
.word 0xe33fe1f0 ! 141: STDF_I std %f17, [0x01f0, %r31]
.word 0x39400001 ! 141: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
nop
nop
mov 11, %g1
loopb_8_80:
ldstub [%r31+0x50], %r2
brnz,a %r1, loopb_8_80
dec %r1
memptr_8_81:
set user_data_start, %r31
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_82) + 0, 16, 16)) -> intp(6,0,30,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_82)&0xffffffff) + 16, 16, 16)) -> intp(1,0,23,,,,,1)
#else
set 0xe7306bfc, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
intvec_8_82:
.word 0xe1bfdc40 ! 142: STDFA_R stda %f16, [%r0, %r31]
.word 0x8584a188 ! 143: WRCCR_I wr %r18, 0x0188, %ccr
.word 0xa9b404d1 ! 144: FCMPNE32 fcmpne32 %d16, %d48, %r20
.word 0xc1bfc3e0 ! 145: STDFA_R stda %f0, [%r0, %r31]
.word 0x39400001 ! 145: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
nop
nop
mov 59, %g1
loopz_8_83:
ldstub [%r31+0x1b0], %r2
brnz,a %r1, loopz_8_83
dec %r1
memptr_8_84:
set user_data_start, %r31
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
intvec_8_85:
.word 0xc32fe0e0 ! 146: STXFSR_I st-sfr %f1, [0x00e0, %r31]
.word 0x8584bef8 ! 147: WRCCR_I wr %r18, 0x1ef8, %ccr
.word 0x91a1c9d2 ! 148: FDIVd fdivd %f38, %f18, %f8
.word 0xd01fe030 ! 149: LDD_I ldd [%r31 + 0x0030], %r8
.word 0x93b504d4 ! 149: FCMPNE32 fcmpne32 %d20, %d20, %r9
memptr_8_87:
set user_data_start, %r31
.word 0xda97c600 ! 150: LDUHA_R lduha [%r31, %r0] 0x30, %r13
.word 0x85823612 ! 151: WRCCR_I wr %r8, 0x1612, %ccr
.word 0xe1bfdd40 ! 152: STDFA_R stda %f16, [%r0, %r31]
.word 0xdb1fe1a0 ! 153: LDDF_I ldd [%r31, 0x01a0], %f13
.word 0xe1bfdf20 ! 153: STDFA_R stda %f16, [%r0, %r31]
.word 0xc19fc2c0 ! 154: LDDFA_R ldda [%r31, %r0], %f0
.word 0xe19fdf00 ! 155: LDDFA_R ldda [%r31, %r0], %f16
.word 0xdbe7c180 ! 156: CASA_I casa [%r31] 0x c, %r0, %r13
.word 0x00800001 ! 157: BN bn <label_0x1>
.word 0xe19fdc00 ! 157: LDDFA_R ldda [%r31, %r0], %f16
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
intvec_8_89:
.word 0x00800001 ! 158: BN bn <label_0x1>
.word 0xdbe7c180 ! 159: CASA_I casa [%r31] 0x c, %r0, %r13
.word 0x39400001 ! 160: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0xc1bfc3e0 ! 161: STDFA_R stda %f0, [%r0, %r31]
.word 0x87afca40 ! 161: FCMPd fcmpd %fcc<n>, %f62, %f0
nop
nop
mov 63, %g1
loopz_8_91:
ldstub [%r31+0xb0], %r2
brnz,a %r1, loopz_8_91
dec %r1
.word 0xd21fe1a0 ! 162: LDD_I ldd [%r31 + 0x01a0], %r9
.word 0x81b7c7c0 ! 163: PDIST pdistn %d62, %d0, %d0
.word 0xe1bfdb20 ! 164: STDFA_R stda %f16, [%r0, %r31]
.word 0xd3e7e000 ! 165: CASA_R casa [%r31] %asi, %r0, %r9
.word 0x81b7c7c0 ! 165: PDIST pdistn %d62, %d0, %d0
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
intvec_8_93:
nop
nop
mov 43, %g1
loopz_8_94:
ldstub [%r31+0x180], %r2
brnz,a %r1, loopz_8_94
dec %r1
.word 0xa1b104cd ! 166: FCMPNE32 fcmpne32 %d4, %d44, %r16
.word 0xa1b7c4c0 ! 167: FCMPNE32 fcmpne32 %d62, %d0, %r16
.word 0xe11fe000 ! 168: LDDF_I ldd [%r31, 0x0000], %f16
.word 0x91a449d0 ! 169: FDIVd fdivd %f48, %f16, %f8
.word 0x19400001 ! 169: FBPUGE fbuge
nop
nop
mov 23, %g1
loopz_8_96:
ldstub [%r31+0x70], %r2
brnz,a %r1, loopz_8_96
dec %r1
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
intvec_8_97:
.word 0xc32fe010 ! 170: STXFSR_I st-sfr %f1, [0x0010, %r31]
.word 0xa1b7c7c0 ! 171: PDIST pdistn %d62, %d0, %d16
.word 0x39400002 ! 172: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
.word 0xd01fe060 ! 173: LDD_I ldd [%r31 + 0x0060], %r8
.word 0x93a209cb ! 173: FDIVd fdivd %f8, %f42, %f40
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0xa5b084cd ! 1: FCMPNE32 fcmpne32 %d2, %d44, %r18
intvec_8_99:
.word 0x95b4c4c1 ! 174: FCMPNE32 fcmpne32 %d50, %d32, %r10
.word 0xa1b7c7c0 ! 175: PDIST pdistn %d62, %d0, %d16
.word 0xc1bfc3e0 ! 176: STDFA_R stda %f0, [%r0, %r31]
.word 0x39400001 ! 177: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0x20800001 ! 177: BN bn,a <label_0x1>
nop
nop
mov 15, %g1
loopb_8_101:
ldstub [%r31+16], %r2
brnz,a %r1, loopb_8_101
dec %r1
memptr_8_102:
set user_data_start, %r31
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
intvec_8_103:
.word 0xe19fdf20 ! 178: LDDFA_R ldda [%r31, %r0], %f16
.word 0x8584e1de ! 179: WRCCR_I wr %r19, 0x01de, %ccr
.word 0x99b0c4d4 ! 180: FCMPNE32 fcmpne32 %d34, %d20, %r12
.word 0xe1bfdb20 ! 181: STDFA_R stda %f16, [%r0, %r31]
.word 0x85847fdb ! 181: WRCCR_I wr %r17, 0x1fdb, %ccr
nop
nop
mov 19, %g1
loopz_8_104:
ldstub [%r31+0x60], %r2
brnz,a %r1, loopz_8_104
dec %r1
memptr_8_105:
set user_data_start, %r31
.word 0xd71fe050 ! 182: LDDF_I ldd [%r31, 0x0050], %f11
.word 0x8582b0a0 ! 183: WRCCR_I wr %r10, 0x10a0, %ccr
.word 0xc1bfdc40 ! 184: STDFA_R stda %f0, [%r0, %r31]
.word 0xd61fc000 ! 185: LDD_R ldd [%r31 + %r0], %r11
.word 0x20800001 ! 185: BN bn,a <label_0x1>
nop
nop
mov 47, %g1
loopb_8_107:
ldstub [%r31+0], %r2
brnz,a %r1, loopb_8_107
dec %r1
.word 0xc1bfdc40 ! 186: STDFA_R stda %f0, [%r0, %r31]
.word 0x20800001 ! 187: BN bn,a <label_0x1>
.word 0xd71fe010 ! 188: LDDF_I ldd [%r31, 0x0010], %f11
.word 0xc1bfda00 ! 189: STDFA_R stda %f0, [%r0, %r31]
.word 0xa1b7c7c0 ! 189: PDIST pdistn %d62, %d0, %d16
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_108) + 16, 16, 16)) -> intp(3,0,4,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_108)&0xffffffff) + 40, 16, 16)) -> intp(5,0,12,,,,,1)
#else
set 0x5f90e1d3, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_8_108:
memptr_8_109:
set 0x60140000, %r31
.word 0x39400001 ! 190: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0x8582bb16 ! 191: WRCCR_I wr %r10, 0x1b16, %ccr
.word 0xc19fda00 ! 192: LDDFA_R ldda [%r31, %r0], %f0
.word 0x39400001 ! 193: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0x8582bf5f ! 193: WRCCR_I wr %r10, 0x1f5f, %ccr
nop
nop
mov 59, %g1
loopb_8_111:
ldstub [%r31+0xf0], %r2
brnz,a %r1, loopb_8_111
dec %r1
memptr_8_112:
set 0x60740000, %r31
.word 0xc1bfda60 ! 194: STDFA_R stda %f0, [%r0, %r31]
.word 0x8580b7db ! 195: WRCCR_I wr %r2, 0x17db, %ccr
.word 0xd69fc200 ! 196: LDDA_R ldda [%r31, %r0] 0x10, %r11
.word 0xc19fda00 ! 197: LDDFA_R ldda [%r31, %r0], %f0
.word 0x8580675a ! 197: WRCCR_I wr %r1, 0x075a, %ccr
nop
nop
mov 35, %g1
loopb_8_114:
ldstub [%r31+0], %r2
brnz,a %r1, loopb_8_114
dec %r1
.word 0xc19fdf00 ! 198: LDDFA_R ldda [%r31, %r0], %f0
.word 0xc19fdc40 ! 199: LDDFA_R ldda [%r31, %r0], %f0
.word 0xd61fe080 ! 200: LDD_I ldd [%r31 + 0x0080], %r11
.word 0xc19fdf20 ! 201: LDDFA_R ldda [%r31, %r0], %f0
.word 0x81b7c7c0 ! 201: PDIST pdistn %d62, %d0, %d0
memptr_8_116:
set 0x60140000, %r31
nop
nop
mov 19, %g1
loopz_8_117:
ldstub [%r31+0x100], %r2
brnz,a %r1, loopz_8_117
dec %r1
.word 0xf1efe1e0 ! 202: PREFETCHA_I prefetcha [%r31, + 0x01e0] %asi, #24
.word 0x85826874 ! 203: WRCCR_I wr %r9, 0x0874, %ccr
.word 0xd61fc000 ! 204: LDD_R ldd [%r31 + %r0], %r11
.word 0xd7e7d160 ! 205: CASA_I casa [%r31] 0x8b, %r0, %r11
.word 0x8584a2d1 ! 205: WRCCR_I wr %r18, 0x02d1, %ccr
nop
nop
mov 11, %g1
loopz_8_118:
ldstub [%r31+0x1a0], %r2
brnz,a %r1, loopz_8_118
dec %r1
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0x99a489cb ! 1: FDIVd fdivd %f18, %f42, %f12
intvec_8_119:
.word 0xd9e7e000 ! 206: CASA_R casa [%r31] %asi, %r0, %r12
.word 0xa1b7c7c0 ! 207: PDIST pdistn %d62, %d0, %d16
.word 0x39400001 ! 208: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0xc32fe090 ! 209: STXFSR_I st-sfr %f1, [0x0090, %r31]
.word 0x39400001 ! 209: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
nop
nop
mov 47, %g1
loopz_8_121:
ldstub [%r31+0x160], %r2
brnz,a %r1, loopz_8_121
dec %r1
memptr_8_122:
set 0x60740000, %r31
.word 0xd51fe080 ! 210: LDDF_I ldd [%r31, 0x0080], %f10
.word 0x85843938 ! 211: WRCCR_I wr %r16, 0x1938, %ccr
.word 0xc1bfdf20 ! 212: STDFA_R stda %f0, [%r0, %r31]
.word 0xd51fe080 ! 213: LDDF_I ldd [%r31, 0x0080], %f10
.word 0xc1bfdf20 ! 213: STDFA_R stda %f0, [%r0, %r31]
nop
nop
mov 15, %g1
loopz_8_124:
ldstub [%r31+0x1a0], %r2
brnz,a %r1, loopz_8_124
dec %r1
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0x99b084d3 ! 1: FCMPNE32 fcmpne32 %d2, %d50, %r12
intvec_8_125:
.word 0xe1e7e000 ! 214: CASA_R casa [%r31] %asi, %r0, %r16
.word 0xe1e7c400 ! 215: CASA_I casa [%r31] 0x20, %r0, %r16
.word 0x9bb0c4c4 ! 216: FCMPNE32 fcmpne32 %d34, %d4, %r13
.word 0xc32fe0e0 ! 217: STXFSR_I st-sfr %f1, [0x00e0, %r31]
.word 0x95a289c1 ! 217: FDIVd fdivd %f10, %f32, %f10
nop
nop
mov 51, %g1
loopb_8_127:
ldstub [%r31+0xc0], %r2
brnz,a %r1, loopb_8_127
dec %r1
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_128) + 8, 16, 16)) -> intp(2,0,28,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_128)&0xffffffff) + 24, 16, 16)) -> intp(6,0,11,,,,,1)
#else
set 0xbc70b4ce, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x97b504c5 ! 1: FCMPNE32 fcmpne32 %d20, %d36, %r11
intvec_8_128:
.word 0xe19fdf00 ! 218: LDDFA_R ldda [%r31, %r0], %f16
.word 0xe1bfc2c0 ! 219: STDFA_R stda %f16, [%r0, %r31]
.word 0x39400002 ! 220: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
.word 0xc1bfde00 ! 221: STDFA_R stda %f0, [%r0, %r31]
.word 0xe19fda60 ! 221: LDDFA_R ldda [%r31, %r0], %f16
.word 0xe73fe0a0 ! 222: STDF_I std %f19, [0x00a0, %r31]
.word 0x20800001 ! 223: BN bn,a <label_0x1>
.word 0xe1bfc3e0 ! 224: STDFA_R stda %f16, [%r0, %r31]
.word 0xe63fe0b0 ! 225: STD_I std %r19, [%r31 + 0x00b0]
.word 0x81b7c7c0 ! 225: PDIST pdistn %d62, %d0, %d0
nop
nop
mov 3, %g1
loopz_8_131:
ldstub [%r31+48], %r2
brnz,a %r1, loopz_8_131
dec %r1
.word 0xe7e7e000 ! 226: CASA_R casa [%r31] %asi, %r0, %r19
.word 0xe7e7c380 ! 227: CASA_I casa [%r31] 0x1c, %r0, %r19
.word 0xe73fe150 ! 228: STDF_I std %f19, [0x0150, %r31]
.word 0xe61fe1a0 ! 229: LDD_I ldd [%r31 + 0x01a0], %r19
.word 0xe697dd40 ! 229: LDUHA_R lduha [%r31, %r0] 0xea, %r19
nop
nop
mov 15, %g1
loopb_8_133:
ldstub [%r31+0x140], %r2
brnz,a %r1, loopb_8_133
dec %r1
.word 0xc1bfda00 ! 230: STDFA_R stda %f0, [%r0, %r31]
.word 0x81b7c7c0 ! 231: PDIST pdistn %d62, %d0, %d0
.word 0xc1bfc2c0 ! 232: STDFA_R stda %f0, [%r0, %r31]
.word 0xe19fc2c0 ! 233: LDDFA_R ldda [%r31, %r0], %f16
.word 0xe1bfc2c0 ! 233: STDFA_R stda %f16, [%r0, %r31]
memptr_8_135:
set user_data_start, %r31
nop
nop
mov 27, %g1
loopz_8_136:
ldstub [%r31+0xb0], %r2
brnz,a %r1, loopz_8_136
dec %r1
.word 0xc19fde00 ! 234: LDDFA_R ldda [%r31, %r0], %f0
.word 0x85836e80 ! 235: WRCCR_I wr %r13, 0x0e80, %ccr
.word 0xe7e7e000 ! 236: CASA_R casa [%r31] %asi, %r0, %r19
.word 0xe1bfdb40 ! 237: STDFA_R stda %f16, [%r0, %r31]
.word 0x8584add0 ! 237: WRCCR_I wr %r18, 0x0dd0, %ccr
memptr_8_138:
set user_data_start, %r31
.word 0xe1bfc2c0 ! 238: STDFA_R stda %f16, [%r0, %r31]
.word 0x8584b504 ! 239: WRCCR_I wr %r18, 0x1504, %ccr
.word 0xc19fdc00 ! 240: LDDFA_R ldda [%r31, %r0], %f0
.word 0xe1bfda00 ! 241: STDFA_R stda %f16, [%r0, %r31]
.word 0x858421af ! 241: WRCCR_I wr %r16, 0x01af, %ccr
nop
nop
mov 3, %g1
loopz_8_139:
ldstub [%r31+0x1b0], %r2
brnz,a %r1, loopz_8_139
dec %r1
nop
nop
mov 35, %g1
loopb_8_140:
ldstub [%r31+0x50], %r2
brnz,a %r1, loopb_8_140
dec %r1
.word 0xc32fe050 ! 242: STXFSR_I st-sfr %f1, [0x0050, %r31]
.word 0xe63fe190 ! 243: STD_I std %r19, [%r31 + 0x0190]
.word 0xc19fdc00 ! 244: LDDFA_R ldda [%r31, %r0], %f0
.word 0xe71fe1c0 ! 245: LDDF_I ldd [%r31, 0x01c0], %f19
.word 0x39400001 ! 245: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_142) + 8, 16, 16)) -> intp(3,0,5,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_142)&0xffffffff) + 48, 16, 16)) -> intp(2,0,5,,,,,1)
#else
set 0x4e408795, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x99b4c4d0 ! 1: FCMPNE32 fcmpne32 %d50, %d16, %r12
intvec_8_142:
.word 0xc1bfdf00 ! 246: STDFA_R stda %f0, [%r0, %r31]
.word 0x87afca40 ! 247: FCMPd fcmpd %fcc<n>, %f62, %f0
.word 0x39400001 ! 248: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0xc19fdc00 ! 249: LDDFA_R ldda [%r31, %r0], %f0
.word 0xa5b404ca ! 249: FCMPNE32 fcmpne32 %d16, %d10, %r18
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_144) + 8, 16, 16)) -> intp(6,0,0,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_144)&0xffffffff) + 48, 16, 16)) -> intp(7,0,6,,,,,1)
#else
set 0xfe30aaa4, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_8_144:
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0xa7a1c9d1 ! 1: FDIVd fdivd %f38, %f48, %f50
intvec_8_145:
.word 0x19400001 ! 250: FBPUGE fbuge
.word 0x87afca40 ! 251: FCMPd fcmpd %fcc<n>, %f62, %f0
.word 0x19400001 ! 252: FBPUGE fbuge
.word 0x39400001 ! 253: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0xd9e7d160 ! 253: CASA_I casa [%r31] 0x8b, %r0, %r12
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_146) + 16, 16, 16)) -> intp(7,0,8,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_146)&0xffffffff) + 16, 16, 16)) -> intp(6,0,4,,,,,1)
#else
set 0xdc20d14c, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_8_146:
.word 0xe19fde00 ! 254: LDDFA_R ldda [%r31, %r0], %f16
.word 0x39400001 ! 255: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0xa5a509d3 ! 256: FDIVd fdivd %f20, %f50, %f18
.word 0x81b7c7c0 ! 257: PDIST pdistn %d62, %d0, %d0
.word 0x39400001 ! 257: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
nop
nop
mov 63, %g1
loopb_8_148:
ldstub [%r31+0x1f0], %r2
brnz,a %r1, loopb_8_148
dec %r1
.word 0x81b7c7c0 ! 258: PDIST pdistn %d62, %d0, %d0
.word 0x20800001 ! 259: BN bn,a <label_0x1>
.word 0xe19fda00 ! 260: LDDFA_R ldda [%r31, %r0], %f16
.word 0xa1b7c7c0 ! 261: PDIST pdistn %d62, %d0, %d16
.word 0xc19fdb20 ! 261: LDDFA_R ldda [%r31, %r0], %f0
nop
nop
mov 55, %g1
loopz_8_149:
ldstub [%r31+0x1c0], %r2
brnz,a %r1, loopz_8_149
dec %r1
.word 0xe43fe0d0 ! 262: STD_I std %r18, [%r31 + 0x00d0]
.word 0xa1b7c7c0 ! 263: PDIST pdistn %d62, %d0, %d16
.word 0xc32fe090 ! 264: STXFSR_I st-sfr %f1, [0x0090, %r31]
.word 0xe5e7c720 ! 265: CASA_I casa [%r31] 0x39, %r0, %r18
.word 0xc19fc2c0 ! 265: LDDFA_R ldda [%r31, %r0], %f0
memptr_8_151:
set 0x60340000, %r31
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_152) + 48, 16, 16)) -> intp(4,0,20,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_152)&0xffffffff) + 40, 16, 16)) -> intp(2,0,28,,,,,1)
#else
set 0x7a300736, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x19400001 ! 1: FBPUGE fbuge
intvec_8_152:
.word 0x81b7c7c0 ! 266: PDIST pdistn %d62, %d0, %d0
.word 0x85843487 ! 267: WRCCR_I wr %r16, 0x1487, %ccr
.word 0xa7a149c4 ! 268: FDIVd fdivd %f36, %f4, %f50
.word 0xe19fc2c0 ! 269: LDDFA_R ldda [%r31, %r0], %f16
.word 0x93b1c4cc ! 269: FCMPNE32 fcmpne32 %d38, %d12, %r9
nop
nop
mov 7, %g1
loopb_8_154:
ldstub [%r31+0xb0], %r2
brnz,a %r1, loopb_8_154
dec %r1
.word 0xd297c240 ! 270: LDUHA_R lduha [%r31, %r0] 0x12, %r9
.word 0x93b7c4c0 ! 271: FCMPNE32 fcmpne32 %d62, %d0, %r9
.word 0xe1bfdd40 ! 272: STDFA_R stda %f16, [%r0, %r31]
.word 0xd23fe150 ! 273: STD_I std %r9, [%r31 + 0x0150]
.word 0xd23fe130 ! 273: STD_I std %r9, [%r31 + 0x0130]
rd %tick, %r28
#if (MAX_THREADS == 8)
sethi %hi(0x33800), %r27
#else
sethi %hi(0x30000), %r27
#endif
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
stxa %r28, [%g0] 0x73
.word 0xa7b444d1 ! 1: FCMPNE32 fcmpne32 %d48, %d48, %r19
intvec_8_155:
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_156) + 40, 16, 16)) -> intp(3,0,22,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_156)&0xffffffff) + 24, 16, 16)) -> intp(2,0,5,,,,,1)
#else
set 0xa5607abe, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_8_156:
.word 0x95b404d0 ! 274: FCMPNE32 fcmpne32 %d16, %d16, %r10
.word 0xc1bfc3e0 ! 275: STDFA_R stda %f0, [%r0, %r31]
.word 0xa5a449c6 ! 276: FDIVd fdivd %f48, %f6, %f18
.word 0x91b444d0 ! 277: FCMPNE32 fcmpne32 %d48, %d16, %r8
.word 0x00800002 ! 277: BN bn <label_0x2>
memptr_8_158:
set 0x60140000, %r31
.word 0xc1bfdd40 ! 278: STDFA_R stda %f0, [%r0, %r31]
.word 0x8584f13e ! 279: WRCCR_I wr %r19, 0x113e, %ccr
.word 0xe1bfdc40 ! 280: STDFA_R stda %f16, [%r0, %r31]
.word 0xc19fda60 ! 281: LDDFA_R ldda [%r31, %r0], %f0
.word 0x00800001 ! 281: BN bn <label_0x1>
.word 0xe1bfde00 ! 282: STDFA_R stda %f16, [%r0, %r31]
.word 0xc19fc3e0 ! 283: LDDFA_R ldda [%r31, %r0], %f0
.word 0xc32fe0e0 ! 284: STXFSR_I st-sfr %f1, [0x00e0, %r31]
.word 0xa1b7c7c0 ! 285: PDIST pdistn %d62, %d0, %d16
.word 0x00800001 ! 285: BN bn <label_0x1>
memptr_8_161:
set 0x60140000, %r31
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_162) + 40, 16, 16)) -> intp(2,0,20,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_162)&0xffffffff) + 0, 16, 16)) -> intp(2,0,21,,,,,1)
#else
set 0xa480ed89, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_8_162:
.word 0xa1b7c7c0 ! 286: PDIST pdistn %d62, %d0, %d16
.word 0x8584bb95 ! 287: WRCCR_I wr %r18, 0x1b95, %ccr
.word 0x91b084c5 ! 288: FCMPNE32 fcmpne32 %d2, %d36, %r8
.word 0x00800001 ! 289: BN bn <label_0x1>
.word 0x39400001 ! 289: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_164) + 0, 16, 16)) -> intp(7,0,9,,,,,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_164)&0xffffffff) + 56, 16, 16)) -> intp(0,0,29,,,,,1)
#else
set 0x51609144, %r28
#if (MAX_THREADS == 8)
and %r28, 0x7ff, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0xa1b244d4 ! 1: FCMPNE32 fcmpne32 %d40, %d20, %r16
intvec_8_164:
memptr_8_165:
set user_data_start, %r31
nop
nop
mov 31, %g1
loopb_8_166:
ldstub [%r31+0x100], %r2
brnz,a %r1, loopb_8_166
dec %r1
.word 0xa1b0c4d3 ! 290: FCMPNE32 fcmpne32 %d34, %d50, %r16
.word 0x8584fcba ! 291: WRCCR_I wr %r19, 0x1cba, %ccr
.word 0xe1bfdc40 ! 292: STDFA_R stda %f16, [%r0, %r31]
.word 0xa5a509d0 ! 293: FDIVd fdivd %f20, %f16, %f18
.word 0x85853945 ! 293: WRCCR_I wr %r20, 0x1945, %ccr
nop
nop
mov 7, %g1
loopb_8_168:
ldstub [%r31+0x1a0], %r2
brnz,a %r1, loopb_8_168
dec %r1
nop
nop
mov 39, %g1
loopb_8_169:
ldstub [%r31+0x40], %r2
brnz,a %r1, loopb_8_169
dec %r1
.word 0xc19fdd40 ! 294: LDDFA_R ldda [%r31, %r0], %f0
.word 0x87afca40 ! 295: FCMPd fcmpd %fcc<n>, %f62, %f0
.word 0xe1bfdb20 ! 296: STDFA_R stda %f16, [%r0, %r31]
.word 0xe19fdc00 ! 297: LDDFA_R ldda [%r31, %r0], %f16
.word 0xd23fe160 ! 297: STD_I std %r9, [%r31 + 0x0160]
.word 0x20800001 ! 298: BN bn,a <label_0x1>
.word 0xa1b7c7c0 ! 299: PDIST pdistn %d62, %d0, %d16
.word 0xd2dfd920 ! 300: LDXA_R ldxa [%r31, %r0] 0xc9, %r9
.word 0x20800002 ! 301: BN bn,a <label_0x2>
.word 0xc1bfdb40 ! 301: STDFA_R stda %f0, [%r0, %r31]
nop
nop
ta T_CHANGE_PRIV
wrpr %g0, %g0, %gl
nop
nop
.text
setx join_lbl_0_0, %g1, %g2
jmp %g2
nop
fork_lbl_0_3:
wrhpr %g0, 0xc12, %hpstate ! ta T_CHANGE_NONHPRIV
splash_lsu_4_0:
nop
nop
ta T_CHANGE_HPRIV
set 0x00032b1b, %r2
mov 0x4, %r1
sllx %r1, 32, %r1
or %r1, %r2, %r2
stxa %r2, [%r0] ASI_LSU_CONTROL
ta T_CHANGE_NONHPRIV
.word 0x3d400001 ! 1: FBPULE fbule,a,pn %fcc0, <label_0x1>
.word 0xe717c000 ! 5: LDQF_R - [%r31, %r0], %f19
.word 0xc19fde20 ! 9: LDDFA_R ldda [%r31, %r0], %f0
jmptr_4_6:
nop
nop
best_set_reg(0xe1a00000, %r20, %r27)
.word 0xb7c6c000 ! 13: JMPL_R jmpl %r27 + %r0, %r27
memptr_4_8:
set 0x60140000, %r31
.word 0x8584bfdc ! 17: WRCCR_I wr %r18, 0x1fdc, %ccr
cancelint_4_12:
rdhpr %halt, %r10
.word 0x85880000 ! 21: ALLCLEAN <illegal instruction>
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_4_15:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_4_15-donret_4_15+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
wrpr %g0, 0x2, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00373300 | (4 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x287, %htstate
best_set_reg(0x763, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (4)
done
.align 2048
donretarg_4_15:
.word 0xd46fe047 ! 25: LDSTUB_I ldstub %r10, [%r31 + 0x0047]
memptr_4_17:
set 0x60540000, %r31
.word 0x8581341b ! 29: WRCCR_I wr %r4, 0x141b, %ccr
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_4_20) , 16, 16)) -> intp(3,0,22,*,760,*,bd,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_4_20)&0xffffffff) , 16, 16)) -> intp(7,0,10,*,1008,*,bd,1)
#else
set 0x66e03577, %r28 !TTID : 5 (mask2tid(0x4))
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#if (MAX_THREADS == 8)
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
intvec_4_20:
#if (defined SPC || defined CMP1)
wrhpr %g0, 0x0, %halt ! HALT
#else
ifelse(5,mask2tid(0x4),`wrhpr %g0, 0x0, %halt ! HALT')
#endif
.word 0x39400001 ! 33: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0x9194400d ! 37: WRPR_PIL_R wrpr %r17, %r13, %pil
memptr_4_24:
set user_data_start, %r31
.word 0x8582f04d ! 41: WRCCR_I wr %r11, 0x104d, %ccr
.word 0xe2800c20 ! 45: LDUWA_R lduwa [%r0, %r0] 0x61, %r17
.word 0xc19fe0c0 ! 49: LDDFA_I ldda [%r31, 0x00c0], %f0
.word 0x8980000a ! 53: WRTICK_R wr %r0, %r10, %tick
fpinit_4_32:
nop
setx fp_data_quads, %r19, %r20
ldd [%r20], %f0
ldd [%r20+8], %f4
ld [%r20+16], %fsr
ld [%r20+24], %r19
wr %r19, %g0, %gsr
.word 0x87a80a44 ! 57: FCMPd fcmpd %fcc<n>, %f0, %f4
pmu_4_34:
nop
nop
ta T_CHANGE_PRIV
setx 0xffffffb4ffffffa7, %g1, %g7
.word 0xa3800007 ! 61: WR_PERF_COUNTER_R wr %r0, %r7, %-
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_4_38:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_4_38-donret_4_38), %r12
add %r12, 0x4, %r11 ! seq tnpc
wrpr %g0, 0x2, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00dd8800 | (0x83 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0xf56, %htstate
wrhpr %g0, 0xfd2, %hpstate ! rand=1 (4)
retry
.align 2048
donretarg_4_38:
.word 0x819826ab ! 65: WRHPR_HPSTATE_I wrhpr %r0, 0x06ab, %hpstate
.word 0xdadfc720 ! 69: LDXA_R ldxa [%r31, %r0] 0x39, %r13
.word 0xdb37e0ae ! 73: STQF_I - %f13, [0x00ae, %r31]
jmptr_4_46:
nop
nop
best_set_reg(0xe1a00000, %r20, %r27)
.word 0xb7c6c000 ! 77: JMPL_R jmpl %r27 + %r0, %r27
.word 0xda800c80 ! 81: LDUWA_R lduwa [%r0, %r0] 0x64, %r13
demap_4_50:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %g3, [%g3] 0x5f
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x5f
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x5f
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
stxa %g3, [%g3] 0x5f
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
wrhpr %g0, 0x1ca, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xda1fe161 ! 85: LDD_I ldd [%r31 + 0x0161], %r13
splash_tba_4_53:
nop
ta T_CHANGE_PRIV
set 0x120000, %r12
.word 0x8b90000c ! 89: WRPR_TBA_R wrpr %r0, %r12, %tba
.word 0x36780001 ! 93: BPGE <illegal instruction>
memptr_4_56:
set 0x60340000, %r31
.word 0x85807852 ! 97: WRCCR_I wr %r1, 0x1852, %ccr
frzptr_4_58:
nop
nop
best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
jmpl %r27+4, %r27
.word 0xa3702090 ! 1: POPC_I popc 0x0090, %r17
best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
jmpl %r27, %r27
.word 0x20800001 ! 101: BN bn,a <label_0x1>
.word 0xdb3fc000 ! 105: STDF_R std %f13, [%r0, %r31]
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_4_61:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_4_61-donret_4_61+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
andn %r12, %r10, %r12 ! low VA tpc
wrpr %g0, 0x2, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00851200 | (4 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 23, %htstate
best_set_reg(0xb4a, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (4)
ldx [%r11+%r0], %g1
done
donretarg_4_61:
.word 0xdaffc180 ! 109: SWAPA_R swapa %r13, [%r31 + %r0] 0x0c
pmu_4_62:
nop
nop
ta T_CHANGE_PRIV
setx 0xffffffb6ffffffa6, %g1, %g7
.word 0xa3800007 ! 113: WR_PERF_COUNTER_R wr %r0, %r7, %-
splash_tba_4_63:
nop
ta T_CHANGE_PRIV
setx 0x00000004003a0000, %r11, %r12
.word 0x8b90000c ! 117: WRPR_TBA_R wrpr %r0, %r12, %tba
.word 0x92fc8001 ! 121: SDIVcc_R sdivcc %r18, %r1, %r9
nop
nop
set 0x91a09009, %r28 !TTID : 0 (mask2tid(0x4))
#if (MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(0,mask2tid(0x4),`.align 16')
stxa %r28, [%g0] 0x73
intvec_4_69:
.word 0x39400001 ! 125: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
memptr_4_71:
set 0x60140000, %r31
.word 0x8581f0ce ! 129: WRCCR_I wr %r7, 0x10ce, %ccr
jmptr_4_73:
nop
nop
best_set_reg(0xe1a00000, %r20, %r27)
.word 0xb7c6c000 ! 133: JMPL_R jmpl %r27 + %r0, %r27
jmptr_4_76:
nop
nop
best_set_reg(0xe1a00000, %r20, %r27)
.word 0xb7c6c000 ! 137: JMPL_R jmpl %r27 + %r0, %r27
.word 0x8d9036f5 ! 141: WRPR_PSTATE_I wrpr %r0, 0x16f5, %pstate
.word 0x2c780001 ! 145: BPNEG <illegal instruction>
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_4_86) , 16, 16)) -> intp(7,0,0,*,744,*,4c,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_4_86)&0xffffffff) , 16, 16)) -> intp(1,0,28,*,704,*,4c,1)
#else
set 0x2d202f13, %r28 !TTID : 7 (mask2tid(0x4))
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#if (MAX_THREADS == 8)
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x39400002 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x2>
intvec_4_86:
#if (defined SPC || defined CMP1)
wrhpr %g0, 0x0, %halt ! HALT
#else
ifelse(7,mask2tid(0x4),`wrhpr %g0, 0x0, %halt ! HALT')
#endif
.word 0x19400001 ! 149: FBPUGE fbuge
.word 0xda3fe193 ! 153: STD_I std %r13, [%r31 + 0x0193]
splash_hpstate_4_88:
.word 0x81982587 ! 157: WRHPR_HPSTATE_I wrhpr %r0, 0x0587, %hpstate
splash_lsu_4_90:
nop
nop
ta T_CHANGE_HPRIV
set 0xab0d3d8e, %r2
mov 0x4, %r1
sllx %r1, 32, %r1
or %r1, %r2, %r2
stxa %r2, [%r0] ASI_LSU_CONTROL
.word 0x1d400002 ! 161: FBPULE fbule
frzptr_4_92:
nop
nop
best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
jmpl %r27+4, %r27
.word 0x93b7c7c0 ! 1: PDIST pdistn %d62, %d0, %d40
best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
jmpl %r27, %r27
.word 0x00800001 ! 165: BN bn <label_0x1>
.word 0x9192c003 ! 169: WRPR_PIL_R wrpr %r11, %r3, %pil
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_4_98) , 16, 16)) -> intp(5,0,31,*,960,*,26,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_4_98)&0xffffffff) , 16, 16)) -> intp(5,0,3,*,944,*,26,1)
#else
set 0xe0301ba9, %r28 !TTID : 3 (mask2tid(0x4))
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#if (MAX_THREADS == 8)
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
intvec_4_98:
.word 0x99b504c4 ! 173: FCMPNE32 fcmpne32 %d20, %d4, %r12
.word 0x8d903837 ! 177: WRPR_PSTATE_I wrpr %r0, 0x1837, %pstate
.word 0x98692600 ! 181: UDIVX_I udivx %r4, 0x0600, %r12
intveclr_4_106:
nop
nop
ta T_CHANGE_HPRIV
setx 0x2e6a546550a7303a, %r1, %r28
stxa %r28, [%g0] 0x72
.word 0x25400001 ! 185: FBPLG fblg,a,pn %fcc0, <label_0x1>
.word 0xd6dfc3c0 ! 189: LDXA_R ldxa [%r31, %r0] 0x1e, %r11
.word 0xc30fc000 ! 193: LDXFSR_R ld-fsr [%r31, %r0], %f1
#if (defined SPC || defined CMP)
!$EV trig_pc_d(1, expr(@VA(.MAIN.xir_4_113), 16, 16)) -> intp(mask2tid(0x4),1,3,*,968,*,*,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.xir_4_113)&0xffffffff), 16, 16)) -> intp(mask2tid(0x4),1,3,*,936,*,*,1)
xir_4_113:
#else
#if (defined FC)
!! Generate XIR via RESET_GEN register
ta T_CHANGE_HPRIV
rdpr %pstate, %r18
andn %r18, 0x208, %r18 ! Reset pstate.am,cle
wrpr %r18, %pstate
#ifndef XIR_RND_CORES
ldxa [%g0] 0x63, %o1
mov 1, %r18
sllx %r18, %o1, %r18
#endif
mov 0x30, %r19
setx 0x8900000808, %r16, %r17
mov 0x2, %r16
!! Poll RESET gen to see if no XIRs pending
ldx [%r17], %g1
xirwait_4_113:
and %g1, 2, %g1
brnz,a %g1, xirwait_4_113
ldx [%r17], %g1
xir_4_113:
stxa %r18, [%r19] 0x41
stx %r16, [%r17]
#endif
#endif
.word 0xab80f978 ! 197: WR_CLEAR_SOFTINT_I wr %r3, 0x1978, %clear_softint
.word 0x8d9034e2 ! 201: WRPR_PSTATE_I wrpr %r0, 0x14e2, %pstate
.word 0xd8800b60 ! 205: LDUWA_R lduwa [%r0, %r0] 0x5b, %r12
memptr_4_120:
set user_data_start, %r31
.word 0x85843593 ! 209: WRCCR_I wr %r16, 0x1593, %ccr
nop
nop
set 0xb0401d79, %r28 !TTID : 5 (mask2tid(0x4))
#if (MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(5,mask2tid(0x4),`.align 16')
stxa %r28, [%g0] 0x73
intvec_4_123:
.word 0x99a449d0 ! 213: FDIVd fdivd %f48, %f16, %f12
brcommon3_4_126:
nop
nop
setx common_target, %r12, %r27
lduw [%r27], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27] ! Load common dest into dcache ..
ba,a .+12
.word 0xd537c000 ! 1: STQF_R - %f10, [%r0, %r31]
ba,a .+8
jmpl %r27+0, %r27
stxa %r9, [%r0] ASI_LSU_CONTROL
.word 0x93aac828 ! 217: FMOVGE fmovs %fcc1, %f8, %f9
nop
nop
set 0x718027cb, %r28 !TTID : 7 (mask2tid(0x4))
#if (MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(7,mask2tid(0x4),`.align 16')
stxa %r28, [%g0] 0x73
.word 0x19400001 ! 1: FBPUGE fbuge
intvec_4_129:
.word 0x97b444d0 ! 221: FCMPNE32 fcmpne32 %d48, %d16, %r11
#if (defined SPC || defined CMP)
!$EV trig_pc_d(1, expr(@VA(.MAIN.xir_4_130), 16, 16)) -> intp(mask2tid(0x4),1,3,*,656,*,*,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.xir_4_130)&0xffffffff), 16, 16)) -> intp(mask2tid(0x4),1,3,*,984,*,*,1)
xir_4_130:
#else
#if (defined FC)
!! Generate XIR via RESET_GEN register
ta T_CHANGE_HPRIV
rdpr %pstate, %r18
andn %r18, 0x208, %r18 ! Reset pstate.am,cle
wrpr %r18, %pstate
#ifndef XIR_RND_CORES
ldxa [%g0] 0x63, %o1
mov 1, %r18
sllx %r18, %o1, %r18
#endif
mov 0x30, %r19
setx 0x8900000808, %r16, %r17
mov 0x2, %r16
!! Poll RESET gen to see if no XIRs pending
ldx [%r17], %g1
xirwait_4_130:
and %g1, 2, %g1
brnz,a %g1, xirwait_4_130
ldx [%r17], %g1
xir_4_130:
stxa %r18, [%r19] 0x41
stx %r16, [%r17]
#endif
#endif
.word 0xab84a220 ! 225: WR_CLEAR_SOFTINT_I wr %r18, 0x0220, %clear_softint
.word 0x20800001 ! 1: BN bn,a <label_0x1>
br_longdelay5_4_132:
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_4_132)&0xffffffff) , 16, 16)) -> intp(mask2tid(0x4),0,0,*,640,*,ffffffffffffffff,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_4_132)) , 16, 16)) -> intp(mask2tid(0x4),0,0,*,936,*,ffffffffffffffff,1)
wrhpr %g0, 0x0, %halt ! HALT
#endif
.word 0x87afca40 ! 229: FCMPd fcmpd %fcc<n>, %f62, %f0
br_longdelay3_4_134:
nop
not %g0, %r27
jmpl %r27+0, %r27
.word 0x8d902f41 ! 233: WRPR_PSTATE_I wrpr %r0, 0x0f41, %pstate
nop
nop
mov 0x1, %r11
splash_cmpr_4_137:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x700, %r10
#endif
and %r10, %r11, %r10
ta T_CHANGE_PRIV
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_4_137)+8 , 16, 16)) -> intp(7,0,14,*,928,*,b4,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_4_137)&0xffffffff)+8 , 16, 16)) -> intp(0,0,24,*,960,*,b4,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 237: SIAM siam 1
.word 0xa190200c ! 241: WRPR_GL_I wrpr %r0, 0x000c, %-
.word 0x19400001 ! 245: FBPUGE fbuge
brcommon3_4_143:
nop
nop
setx common_target, %r12, %r27
lduw [%r27], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27] ! Load common dest into dcache ..
ba,a .+12
.word 0xe5e7c2c0 ! 1: CASA_I casa [%r31] 0x16, %r0, %r18
ba,a .+8
jmpl %r27+0, %r27
stxa %r7, [%r0] ASI_LSU_CONTROL
.word 0x95aac831 ! 249: FMOVGE fmovs %fcc1, %f17, %f10
.word 0xd81fc000 ! 253: LDD_R ldd [%r31 + %r0], %r12
splash_tba_4_147:
nop
ta T_CHANGE_PRIV
set 0x120000, %r12
.word 0x8b90000c ! 257: WRPR_TBA_R wrpr %r0, %r12, %tba
.word 0x2c800001 ! 261: BNEG bneg,a <label_0x1>
.word 0x25400001 ! 1: FBPLG fblg,a,pn %fcc0, <label_0x1>
.word 0x8d903772 ! 265: WRPR_PSTATE_I wrpr %r0, 0x1772, %pstate
nop
nop
ta T_CHANGE_HPRIV
mov 0x1, %r11
splash_cmpr_4_153:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x250, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
wrhpr %r10, %g0, %hsys_tick_cmpr
wrhpr %g0, 0x0, %halt ! HALT
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_4_153)+8 , 16, 16)) -> intp(4,0,16,*,896,*,8c,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_4_153)&0xffffffff)+8 , 16, 16)) -> intp(7,0,4,*,896,*,8c,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x819824d9 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x04d9, %hpstate
.word 0x81b01021 ! 269: SIAM siam 1
.word 0x87802010 ! 273: WRASI_I wr %r0, 0x0010, %asi
cancelint_4_157:
rdhpr %halt, %r11
.word 0x85880000 ! 277: ALLCLEAN <illegal instruction>
frzptr_4_159:
nop
nop
best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
jmpl %r27+4, %r27
.word 0x91b7c4c0 ! 1: FCMPNE32 fcmpne32 %d62, %d0, %r8
best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
jmpl %r27, %r27
.word 0x00800001 ! 281: BN bn <label_0x1>
brcommon3_4_160:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-4], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xd1e7d060 ! 1: CASA_I casa [%r31] 0x83, %r0, %r8
ba,a .+8
jmpl %r27+0, %r27
.word 0x00800001 ! 285: BN bn <label_0x1>
.word 0x8980000a ! 289: WRTICK_R wr %r0, %r10, %tick
nop
nop
set 0x2aa0720e, %r28 !TTID : 2 (mask2tid(0x4))
#if (MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(2,mask2tid(0x4),`.align 16')
stxa %r28, [%g0] 0x73
.word 0xa1b304d1 ! 1: FCMPNE32 fcmpne32 %d12, %d48, %r16
intvec_4_167:
.word 0x39400001 ! 293: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0x87802010 ! 297: WRASI_I wr %r0, 0x0010, %asi
nop
nop
ta T_CHANGE_PRIV
wrpr %g0, %g0, %gl
nop
nop
.text
setx join_lbl_0_0, %g1, %g2
jmp %g2
nop
fork_lbl_0_2:
wrhpr %g0, 0x902, %hpstate ! ta T_CHANGE_NONHPRIV
splash_lsu_2_0:
nop
nop
ta T_CHANGE_HPRIV
set 0x0f8dce38, %r2
mov 0x2, %r1
sllx %r1, 32, %r1
or %r1, %r2, %r2
stxa %r2, [%r0] ASI_LSU_CONTROL
ta T_CHANGE_NONHPRIV
.word 0x3d400001 ! 1: FBPULE fbule,a,pn %fcc0, <label_0x1>
.word 0xe717c000 ! 5: LDQF_R - [%r31, %r0], %f19
.word 0xe19fc2c0 ! 9: LDDFA_R ldda [%r31, %r0], %f16
jmptr_2_6:
nop
nop
best_set_reg(0xe0200000, %r20, %r27)
.word 0xb7c6c000 ! 13: JMPL_R jmpl %r27 + %r0, %r27
memptr_2_8:
set 0x60140000, %r31
.word 0x8584286d ! 17: WRCCR_I wr %r16, 0x086d, %ccr
cancelint_2_12:
rdhpr %halt, %r10
.word 0x85880000 ! 21: ALLCLEAN <illegal instruction>
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_2_15:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_2_15-donret_2_15+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
wrpr %g0, 0x2, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00686200 | (20 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x1104, %htstate
best_set_reg(0x600, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (2)
done
.align 2048
donretarg_2_15:
.word 0xd46fe09f ! 25: LDSTUB_I ldstub %r10, [%r31 + 0x009f]
memptr_2_17:
set 0x60140000, %r31
.word 0x85842df3 ! 29: WRCCR_I wr %r16, 0x0df3, %ccr
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_20) , 16, 16)) -> intp(5,0,7,*,1008,*,6f,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_20)&0xffffffff) , 16, 16)) -> intp(2,0,0,*,760,*,6f,1)
#else
set 0xe280de0d, %r28 !TTID : 6 (mask2tid(0x2))
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#if (MAX_THREADS == 8)
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x19400001 ! 1: FBPUGE fbuge
intvec_2_20:
#if (defined SPC || defined CMP1)
wrhpr %g0, 0x0, %halt ! HALT
#else
ifelse(6,mask2tid(0x2),`wrhpr %g0, 0x0, %halt ! HALT')
#endif
.word 0xa7b404c8 ! 33: FCMPNE32 fcmpne32 %d16, %d8, %r19
.word 0x91940011 ! 37: WRPR_PIL_R wrpr %r16, %r17, %pil
memptr_2_24:
set user_data_start, %r31
.word 0x8584b15b ! 41: WRCCR_I wr %r18, 0x115b, %ccr
.word 0xe28008a0 ! 45: LDUWA_R lduwa [%r0, %r0] 0x45, %r17
.word 0xe19fe100 ! 49: LDDFA_I ldda [%r31, 0x0100], %f16
.word 0x8980000a ! 53: WRTICK_R wr %r0, %r10, %tick
fpinit_2_32:
nop
setx fp_data_quads, %r19, %r20
ldd [%r20], %f0
ldd [%r20+8], %f4
ld [%r20+16], %fsr
ld [%r20+24], %r19
wr %r19, %g0, %gsr
.word 0x91a009c4 ! 57: FDIVd fdivd %f0, %f4, %f8
pmu_2_34:
nop
nop
ta T_CHANGE_PRIV
setx 0xffffffbfffffffa6, %g1, %g7
.word 0xa3800007 ! 61: WR_PERF_COUNTER_R wr %r0, %r7, %-
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_2_38:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_2_38-donret_2_38), %r12
add %r12, 0x4, %r11 ! seq tnpc
wrpr %g0, 0x2, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00688000 | (0x82 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x1c55, %htstate
wrhpr %g0, 0xa, %hpstate ! rand=1 (2)
retry
.align 2048
donretarg_2_38:
.word 0x8d90230f ! 65: WRPR_PSTATE_I wrpr %r0, 0x030f, %pstate
.word 0xdadfdd40 ! 69: LDXA_R ldxa [%r31, %r0] 0xea, %r13
.word 0xdb37e1ec ! 73: STQF_I - %f13, [0x01ec, %r31]
jmptr_2_46:
nop
nop
best_set_reg(0xe0200000, %r20, %r27)
.word 0xb7c6c000 ! 77: JMPL_R jmpl %r27 + %r0, %r27
.word 0xda8008a0 ! 81: LDUWA_R lduwa [%r0, %r0] 0x45, %r13
demap_2_50:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %r8, [%r0] ASI_LSU_CONTROL
stxa %g3, [%g3] 0x57
.word 0xe1bfdb40 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xc1bfdf20 ! 1: STDFA_R stda %f0, [%r0, %r31]
.word 0xc0bfdc00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xe0
stxa %g3, [%g3] 0x5f
stxa %g3, [%g3] 0x57
.word 0xc0bfc3e0 ! 1: STDA_R stda %r0, [%r31 + %r0] 0x1f
.word 0xc0bfdc40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xe2
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x57
.word 0xe19fda60 ! 1: LDDFA_R ldda [%r31, %r0], %f16
.word 0xe1bfdd40 ! 1: STDFA_R stda %f16, [%r0, %r31]
stxa %g3, [%g3] 0x57
.word 0xc09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r0
.word 0xc0bfde00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf0
wrhpr %g0, 0xadb, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xda1fe078 ! 85: LDD_I ldd [%r31 + 0x0078], %r13
splash_tba_2_53:
nop
ta T_CHANGE_PRIV
set 0x120000, %r12
.word 0x8b90000c ! 89: WRPR_TBA_R wrpr %r0, %r12, %tba
.word 0x36780001 ! 93: BPGE <illegal instruction>
memptr_2_56:
set 0x60540000, %r31
.word 0x858078aa ! 97: WRCCR_I wr %r1, 0x18aa, %ccr
frzptr_2_58:
nop
nop
best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
jmpl %r27+4, %r27
.word 0xa37020d0 ! 1: POPC_I popc 0x00d0, %r17
best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
jmpl %r27, %r27
.word 0x20800001 ! 101: BN bn,a <label_0x1>
.word 0xdb3fc000 ! 105: STDF_R std %f13, [%r0, %r31]
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_2_61:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_2_61-donret_2_61+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
andn %r12, %r10, %r12 ! low VA tpc
wrpr %g0, 0x2, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x0027fa00 | (0x82 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0xe9d, %htstate
best_set_reg(0x4f3, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (2)
ldx [%r11+%r0], %g1
done
donretarg_2_61:
.word 0xdaffc180 ! 109: SWAPA_R swapa %r13, [%r31 + %r0] 0x0c
pmu_2_62:
nop
nop
ta T_CHANGE_PRIV
setx 0xffffffbcffffffa8, %g1, %g7
.word 0xa3800007 ! 113: WR_PERF_COUNTER_R wr %r0, %r7, %-
splash_tba_2_63:
nop
ta T_CHANGE_PRIV
setx 0x0000000000380000, %r11, %r12
.word 0x8b90000c ! 117: WRPR_TBA_R wrpr %r0, %r12, %tba
.word 0x92f98014 ! 121: SDIVcc_R sdivcc %r6, %r20, %r9
nop
nop
set 0x4d06c12, %r28 !TTID : 4 (mask2tid(0x2))
#if (MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(4,mask2tid(0x2),`.align 16')
stxa %r28, [%g0] 0x73
intvec_2_69:
.word 0xa7b144d3 ! 125: FCMPNE32 fcmpne32 %d36, %d50, %r19
memptr_2_71:
set 0x60140000, %r31
.word 0x8581afdd ! 129: WRCCR_I wr %r6, 0x0fdd, %ccr
jmptr_2_73:
nop
nop
best_set_reg(0xe0200000, %r20, %r27)
.word 0xb7c6c000 ! 133: JMPL_R jmpl %r27 + %r0, %r27
jmptr_2_76:
nop
nop
best_set_reg(0xe0200000, %r20, %r27)
.word 0xb7c6c000 ! 137: JMPL_R jmpl %r27 + %r0, %r27
.word 0x8d9030b4 ! 141: WRPR_PSTATE_I wrpr %r0, 0x10b4, %pstate
.word 0x2c780001 ! 145: BPNEG <illegal instruction>
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_86) , 16, 16)) -> intp(1,0,14,*,936,*,1f,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_86)&0xffffffff) , 16, 16)) -> intp(2,0,18,*,936,*,1f,1)
#else
set 0x7b0fec1, %r28 !TTID : 6 (mask2tid(0x2))
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#if (MAX_THREADS == 8)
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
intvec_2_86:
.word 0x9ba2c9d2 ! 149: FDIVd fdivd %f42, %f18, %f44
.word 0xda3fe0d4 ! 153: STD_I std %r13, [%r31 + 0x00d4]
splash_hpstate_2_88:
.word 0x81982ec5 ! 157: WRHPR_HPSTATE_I wrhpr %r0, 0x0ec5, %hpstate
splash_lsu_2_90:
nop
nop
ta T_CHANGE_HPRIV
set 0x386a50ed, %r2
mov 0x1, %r1
sllx %r1, 32, %r1
or %r1, %r2, %r2
stxa %r2, [%r0] ASI_LSU_CONTROL
.word 0x1d400001 ! 161: FBPULE fbule
frzptr_2_92:
nop
nop
best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
jmpl %r27+4, %r27
.word 0x93b7c7c0 ! 1: PDIST pdistn %d62, %d0, %d40
best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
jmpl %r27, %r27
.word 0x00800001 ! 165: BN bn <label_0x1>
.word 0x91930008 ! 169: WRPR_PIL_R wrpr %r12, %r8, %pil
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_98) , 16, 16)) -> intp(1,0,6,*,920,*,87,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_98)&0xffffffff) , 16, 16)) -> intp(4,0,1,*,904,*,87,1)
#else
set 0x806072d3, %r28 !TTID : 2 (mask2tid(0x2))
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#if (MAX_THREADS == 8)
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
stxa %r28, [%g0] 0x73
#endif
.word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
intvec_2_98:
#if (defined SPC || defined CMP1)
wrhpr %g0, 0x0, %halt ! HALT
#else
ifelse(2,mask2tid(0x2),`wrhpr %g0, 0x0, %halt ! HALT')
#endif
.word 0x99a349c4 ! 173: FDIVd fdivd %f44, %f4, %f12
.word 0x8d903e27 ! 177: WRPR_PSTATE_I wrpr %r0, 0x1e27, %pstate
.word 0x966ce3bd ! 181: UDIVX_I udivx %r19, 0x03bd, %r11
intveclr_2_106:
nop
nop
ta T_CHANGE_HPRIV
setx 0x80fd795fb3b35ad9, %r1, %r28
stxa %r28, [%g0] 0x72
.word 0x25400001 ! 185: FBPLG fblg,a,pn %fcc0, <label_0x1>
.word 0xd6dfc720 ! 189: LDXA_R ldxa [%r31, %r0] 0x39, %r11
fble skip_2_110
stxa %r15, [%r0] ASI_LSU_CONTROL
brz,pt %r19, skip_2_110
stxa %r17, [%r0] ASI_LSU_CONTROL
.align 2048
skip_2_110:
.word 0xf16fe179 ! 193: PREFETCH_I prefetch [%r31 + 0x0179], #24
#if (defined SPC || defined CMP)
!$EV trig_pc_d(1, expr(@VA(.MAIN.xir_2_113), 16, 16)) -> intp(mask2tid(0x2),1,3,*,720,*,*,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.xir_2_113)&0xffffffff), 16, 16)) -> intp(mask2tid(0x2),1,3,*,1008,*,*,1)
xir_2_113:
#else
#if (defined FC)
!! Generate XIR via RESET_GEN register
ta T_CHANGE_HPRIV
rdpr %pstate, %r18
andn %r18, 0x208, %r18 ! Reset pstate.am,cle
wrpr %r18, %pstate
#ifndef XIR_RND_CORES
ldxa [%g0] 0x63, %o1
mov 1, %r18
sllx %r18, %o1, %r18
#endif
mov 0x30, %r19
setx 0x8900000808, %r16, %r17
mov 0x2, %r16
!! Poll RESET gen to see if no XIRs pending
ldx [%r17], %g1
xirwait_2_113:
and %g1, 2, %g1
brnz,a %g1, xirwait_2_113
ldx [%r17], %g1
xir_2_113:
stxa %r18, [%r19] 0x41
stx %r16, [%r17]
#endif
#endif
.word 0xab85330a ! 197: WR_CLEAR_SOFTINT_I wr %r20, 0x130a, %clear_softint
.word 0x8d902373 ! 201: WRPR_PSTATE_I wrpr %r0, 0x0373, %pstate
.word 0xd88008a0 ! 205: LDUWA_R lduwa [%r0, %r0] 0x45, %r12
memptr_2_120:
set user_data_start, %r31
.word 0x85817dcd ! 209: WRCCR_I wr %r5, 0x1dcd, %ccr
nop
nop
set 0xecc01ca2, %r28 !TTID : 4 (mask2tid(0x2))
#if (MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(4,mask2tid(0x2),`.align 16')
stxa %r28, [%g0] 0x73
.word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
intvec_2_123:
.word 0xa1a209d1 ! 213: FDIVd fdivd %f8, %f48, %f16
brcommon3_2_126:
nop
nop
setx common_target, %r12, %r27
lduw [%r27], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27] ! Load common dest into dcache ..
ba,a .+12
.word 0xd537c000 ! 1: STQF_R - %f10, [%r0, %r31]
ba,a .+8
jmpl %r27+0, %r27
stxa %r13, [%r0] ASI_LSU_CONTROL
.word 0xa9aac832 ! 217: FMOVGE fmovs %fcc1, %f18, %f20
nop
nop
set 0x30b0ee98, %r28 !TTID : 6 (mask2tid(0x2))
#if (MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(6,mask2tid(0x2),`.align 16')
stxa %r28, [%g0] 0x73
intvec_2_129:
.word 0xa7b444ca ! 221: FCMPNE32 fcmpne32 %d48, %d10, %r19
#if (defined SPC || defined CMP)
!$EV trig_pc_d(1, expr(@VA(.MAIN.xir_2_130), 16, 16)) -> intp(mask2tid(0x2),1,3,*,992,*,*,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.xir_2_130)&0xffffffff), 16, 16)) -> intp(mask2tid(0x2),1,3,*,1000,*,*,1)
xir_2_130:
#else
#if (defined FC)
!! Generate XIR via RESET_GEN register
ta T_CHANGE_HPRIV
rdpr %pstate, %r18
andn %r18, 0x208, %r18 ! Reset pstate.am,cle
wrpr %r18, %pstate
#ifndef XIR_RND_CORES
ldxa [%g0] 0x63, %o1
mov 1, %r18
sllx %r18, %o1, %r18
#endif
mov 0x30, %r19
setx 0x8900000808, %r16, %r17
mov 0x2, %r16
!! Poll RESET gen to see if no XIRs pending
ldx [%r17], %g1
xirwait_2_130:
and %g1, 2, %g1
brnz,a %g1, xirwait_2_130
ldx [%r17], %g1
xir_2_130:
stxa %r18, [%r19] 0x41
stx %r16, [%r17]
#endif
#endif
.word 0xab8430bf ! 225: WR_CLEAR_SOFTINT_I wr %r16, 0x10bf, %clear_softint
.word 0x28800002 ! 1: BLEU bleu,a <label_0x2>
br_longdelay5_2_132:
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_2_132)&0xffffffff) , 16, 16)) -> intp(mask2tid(0x2),0,0,*,1008,*,ffffffffffffffff,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.br_longdelay5_2_132)) , 16, 16)) -> intp(mask2tid(0x2),0,0,*,976,*,ffffffffffffffff,1)
wrhpr %g0, 0x0, %halt ! HALT
#endif
.word 0xf16fe190 ! 229: PREFETCH_I prefetch [%r31 + 0x0190], #24
br_longdelay3_2_134:
nop
not %g0, %r27
jmpl %r27+0, %r27
.word 0x8d9022d5 ! 233: WRPR_PSTATE_I wrpr %r0, 0x02d5, %pstate
nop
nop
mov 0x0, %r11
splash_cmpr_2_137:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x300, %r10
#else
add %r10, 0xc00, %r10
#endif
and %r10, %r11, %r10
ta T_CHANGE_PRIV
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
.word 0x81b01021 ! 237: SIAM siam 1
.word 0xa190200f ! 241: WRPR_GL_I wrpr %r0, 0x000f, %-
brlz,a,pn %r3, skip_2_141
fbge skip_2_141
.align 4096
skip_2_141:
.word 0xa1b4c4c3 ! 245: FCMPNE32 fcmpne32 %d50, %d34, %r16
brcommon3_2_143:
nop
nop
setx common_target, %r12, %r27
lduw [%r27], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27] ! Load common dest into dcache ..
ba,a .+12
.word 0xe5e7c400 ! 1: CASA_I casa [%r31] 0x20, %r0, %r18
ba,a .+8
jmpl %r27+0, %r27
stxa %r19, [%r0] ASI_LSU_CONTROL
.word 0xa1aac82b ! 249: FMOVGE fmovs %fcc1, %f11, %f16
.word 0xd81fc000 ! 253: LDD_R ldd [%r31 + %r0], %r12
splash_tba_2_147:
nop
ta T_CHANGE_PRIV
set 0x120000, %r12
.word 0x8b90000c ! 257: WRPR_TBA_R wrpr %r0, %r12, %tba
.word 0x2c800001 ! 261: BNEG bneg,a <label_0x1>
.word 0x2acc0001 ! 1: BRNZ brnz,a,pt %r16,<label_0xc0001>
.word 0x8d902b30 ! 265: WRPR_PSTATE_I wrpr %r0, 0x0b30, %pstate
nop
nop
ta T_CHANGE_HPRIV
mov 0x1, %r11
splash_cmpr_2_153:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x250, %r10
#else
add %r10, 0xc00, %r10
#endif
and %r10, %r11, %r10
wrhpr %r10, %g0, %hsys_tick_cmpr
wrhpr %g0, 0x0, %halt ! HALT
ta T_CHANGE_PRIV
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x300, %r10
#else
add %r10, 0x700, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_2_153)+8 , 16, 16)) -> intp(6,0,25,*,1008,*,82,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_2_153)&0xffffffff)+8 , 16, 16)) -> intp(3,0,2,*,952,*,82,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81982d9d ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0d9d, %hpstate
.word 0x81b01021 ! 269: SIAM siam 1
.word 0x87802036 ! 273: WRASI_I wr %r0, 0x0036, %asi
cancelint_2_157:
rdhpr %halt, %r8
.word 0x85880000 ! 277: ALLCLEAN <illegal instruction>
frzptr_2_159:
nop
nop
best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
jmpl %r27+4, %r27
.word 0x19400001 ! 1: FBPUGE fbuge
best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
jmpl %r27, %r27
.word 0x00800001 ! 281: BN bn <label_0x1>
brcommon3_2_160:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-0], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-0] ! Load common dest into dcache ..
ba,a .+12
.word 0xd1e7c200 ! 1: CASA_I casa [%r31] 0x10, %r0, %r8
ba,a .+8
jmpl %r27+0, %r27
.word 0x00800001 ! 285: BN bn <label_0x1>
.word 0x8980000a ! 289: WRTICK_R wr %r0, %r10, %tick
nop
nop
set 0x1370790f, %r28 !TTID : 1 (mask2tid(0x2))
#if (MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(1,mask2tid(0x2),`.align 16')
stxa %r28, [%g0] 0x73
intvec_2_167:
.word 0x93b404c5 ! 293: FCMPNE32 fcmpne32 %d16, %d36, %r9
.word 0x87802020 ! 297: WRASI_I wr %r0, 0x0020, %asi
nop
nop
ta T_CHANGE_PRIV
wrpr %g0, %g0, %gl
nop
nop
.text
setx join_lbl_0_0, %g1, %g2
jmp %g2
nop
fork_lbl_0_1:
#define SPU_8
#define MAX_TIMEOUT 0x002
#define WAIT_LOOP 0x100
.text
.global _t2_main
_t2_main:
setx 0x0000deadbeefbad0, %l5, %l4
!# Switch to hpriv mode
ta T_CHANGE_HPRIV
set 0x3fe7, %g3
stxa %g3, [%g0] ASI_SPARC_PWR_MGMT
! Set up for PMU
set 0x39a18e30, %g2
wr %g2, %g0, %pcr
setx 0xffffffb6ffffffaa, %g2, %g7
wr %g7, %g0, %pic
! Get core ID & offset
ldxa [%g0]0x63, %o1
srlx %o1, 3, %o1
sllx %o1, 20, %o1 !! %o1 has core ID offset
or %g0, 0x0, %g2 !# Operation Step
or %g0, 0x0, %g4 !# Operand Step
!# Execute Main Diag ..
!# Write address of data region to load from in MA_PA reg, and check
setx _t2_ma_operands, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
add %l7, 12, %l7 !# _t2_WARNING : Misaligned _t2_address
add %l7, %g4, %l7
wr %g0, 0x40, %asi
stxa %l7, [%g0 + 0x88] %asi
_t2_ma_load:
!# Write MAMEM address, start at 0
or %g0, 0x0, %l2
stxa %l2, [%g0 + 0x90] %asi
!# write MA_CTL
set 0x00020067, %l1
mov 0, %g7
sllx %g7, 18, %g7
or %l1, %g7, %l1
stxa %l1, [%g0 + 0x80] %asi !# LOAD
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x80] %asi, %l1
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
_t2_loop_0:
wrpr %g0, 0x95, %pstate
!# write NPRIME
setx 0x23dc2b0e324d7852, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 6, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_0:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 55, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_1:
wrpr %g0, 0x54, %pstate
!# write NPRIME
setx 0xe752602f1b2c5e3c, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 6, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_1:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 5, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_2:
wrpr %g0, 34, %pstate
!# write NPRIME
setx 0x0eba66b607edefa6, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 6, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_2:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xc5, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_3:
wrpr %g0, 0xd7, %pstate
!# write NPRIME
setx 0x826b7761439ab1d7, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 13, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_3:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xa4, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_4:
wrpr %g0, 5, %pstate
!# write NPRIME
setx 0xb4f87e20e2731fa3, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 13, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_4:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 18, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_5:
wrpr %g0, 0xb0, %pstate
!# write NPRIME
setx 0x07168ec08b6d8b6a, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 5, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_5:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xf4, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_6:
wrpr %g0, 34, %pstate
!# write NPRIME
setx 0x562b2de3b340c79a, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 4, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_6:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x93, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_7:
wrpr %g0, 16, %pstate
!# write NPRIME
setx 0x84668bf84a9a7849, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 11, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_7:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x42, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_8:
wrpr %g0, 0x80, %pstate
!# write NPRIME
setx 0xd46c41fbab622af6, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 12, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_8:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_9:
wrpr %g0, 0xb4, %pstate
!# write NPRIME
setx 0xe0e71a9737bbb79b, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 6, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_9:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_10:
wrpr %g0, 0xb6, %pstate
!# write NPRIME
setx 0xfe5c1488beb955c1, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 0, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_10:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xc3, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_11:
wrpr %g0, 0xf4, %pstate
!# write NPRIME
setx 0x7e672fcd28982673, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 7, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_11:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x53, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_12:
wrpr %g0, 0x70, %pstate
!# write NPRIME
setx 0x5c9ce643faa0e45a, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 13, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_12:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 22, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_13:
wrpr %g0, 0x93, %pstate
!# write NPRIME
setx 0x61732bfb85096304, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 4, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_13:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xd5, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_14:
wrpr %g0, 0xe1, %pstate
!# write NPRIME
setx 0x7571cf1124f9ab1c, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 7, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_14:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x86, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_15:
wrpr %g0, 0x65, %pstate
!# write NPRIME
setx 0x61189dd9c7c0f091, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 13, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_15:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x95, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_16:
wrpr %g0, 0xf3, %pstate
!# write NPRIME
setx 0xbacd9890589b67d4, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 17, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_16:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x61, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_17:
wrpr %g0, 0x43, %pstate
!# write NPRIME
setx 0x7dc9458b9410eb9b, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 13, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_17:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 35, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_18:
wrpr %g0, 0x56, %pstate
!# write NPRIME
setx 0xf7b16e49838ecfcc, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 6, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_18:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xd2, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_19:
wrpr %g0, 32, %pstate
!# write NPRIME
setx 0x6332188784e9f452, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 10, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_19:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x43, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_20:
wrpr %g0, 0x75, %pstate
!# write NPRIME
setx 0xcb99d2d7602855a3, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 4, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_20:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xf0, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_21:
wrpr %g0, 2, %pstate
!# write NPRIME
setx 0x83124e4ac2b688cf, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 13, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_21:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x50, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_22:
wrpr %g0, 0xf4, %pstate
!# write NPRIME
setx 0x3172f639417c9ef0, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 16, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_22:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 53, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_23:
wrpr %g0, 1, %pstate
!# write NPRIME
setx 0x4b63f1b347a059fd, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 4, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_23:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x71, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_24:
wrpr %g0, 0x86, %pstate
!# write NPRIME
setx 0x6f292bd4e2d9dad9, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 11, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_24:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x77, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_25:
wrpr %g0, 0x44, %pstate
!# write NPRIME
setx 0x16fe754d3c9ca0a0, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 0, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_25:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x41, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_26:
wrpr %g0, 0x96, %pstate
!# write NPRIME
setx 0x3d09f95d3e67d7a9, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 15, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_26:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 50, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_ma_store:
!# write MA_ADDR
or %g0, 0x00, %l2
stxa %l2, [%g0 + 0x90] %asi
!# Write result address into MA_PA reg
setx _t2_ma_results, %g7, %l6
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l6, %o1, %l6
#endif
#endif
add %l6, 2, %l6 !# _t2_WARNING : Misaligned _t2_address
stxa %l6, [%g0 + 0x88] %asi
!# write MA_CTL
set 0x00020132, %l1
mov 0, %g7
sllx %g7, 18, %g7
or %l1, %g7, %l1
stxa %l1, [%g0 + 0x80] %asi !# STORE
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait3:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x80] %asi, %l1
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
_t2_idle3:
#ifndef NO_MA_CHECK
!# do dummy loads into %g1
setx _t2_ma_results, %g7, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, %g0, %l3
!# setup loop count
or %g0, 164, %i0
_t2_more:
ldx [%l7+%l3], %l0 !# a[_t2_i]
addcc %i0, -1, %i0
bgt _t2_more
add %l3, 0x8, %l3 !# i++
#endif
b _t2_done_spu_ma_rand5
_t2_fail:
EXIT_BAD
nop
nop
_t2_done_spu_ma_rand5:
nop
nop
ta T_CHANGE_PRIV
wrpr %g0, %g0, %gl
nop
nop
join_lbl_0_0:
SECTION .MAIN
.text
diag_finish:
nop
nop
nop
ta T_CHANGE_HPRIV
#if (MULTIPASS > 0)
multipass_check:
rd %asi, %r12
wr %g0, ASI_SCRATCHPAD, %asi
ldxa [0x38]%asi, %r10
cmp %r10, MULTIPASS
inc %r10
stxa %r10, [0x38]%asi
wr %g0, %r12, %asi
bne fork_threads
wrpr %g0, %g0, %gl
#endif
finish_diag:
best_set_reg(HV_TRAP_BASE_PA, %r1, %r2)
wrhpr %g2, %g0, %htba
ta T_GOOD_TRAP
nop
nop
nop
.data
.xword 0x0
! fp data rs1, rs2, fsr, gsr quads ..
.global fp_data_quads
fp_data_quads:
.xword 0x0044000000000000
.xword 0x4028000000000000
.xword 0x0fc0400400000000
.xword 0x0000000000000000
.xword 0x0041000000000000
.xword 0x4022000000000000
.xword 0x0600800000000000
.xword 0x0000000000000000
.xword 0x0220000000000000
.xword 0x4140000000000000
.xword 0x4fc0400400000000
.xword 0x0000000000000000
.xword 0x4090000000000000
.xword 0x0090000000000000
.xword 0x0f80400800000000
.xword 0x0a00000000000000
.align 128
.global user_data_start
.data
user_data_start:
.xword 0xdb343a1de84cd9e1
.xword 0x23fab6b1eb307752
.xword 0xc3f97d968f9f9956
.xword 0x2a6fa227f5c3bca9
.xword 0x55021584d9948389
.xword 0x98d5f5a5e787175c
.xword 0xd4e8ad665c518d5c
.xword 0x272d9406fe46ad3c
.xword 0xd57674bd0da15671
.xword 0x0d883069c72b1d8a
.xword 0x5edfa92d138eea1d
.xword 0x2a8b66e44edfe5e9
.xword 0xffca20d8b191c6eb
.xword 0x7f18f702daebea84
.xword 0x171c68831f9a4815
.xword 0x6ef11c0ac2c97d1a
.xword 0x206f5c63a4629142
.xword 0x2fbf56151ab2c90e
.xword 0x3d42246b7cb73969
.xword 0x8c91eccc729687e8
.xword 0xf7dc11f276b96aec
.xword 0x6160206e694af600
.xword 0x538979904484bdc7
.xword 0xa2e49e5899b81581
.xword 0x1a8800363e6cdad0
.xword 0xde732cdcfa703bc5
.xword 0x7500bc9114805a75
.xword 0xc165c334035362be
.xword 0xe77dc20fe91f4982
.xword 0xa2e8ae300ac0d31e
.xword 0x5003622d0bd06851
.xword 0x122fe9c7290cecce
.xword 0x0848ffcde8832628
.xword 0x21b5198310b817b4
.xword 0x583e72fffdabe962
.xword 0xbc5b3e4fae72355b
.xword 0xa8a69d70e6bb5354
.xword 0x9f4d8f808f77f86d
.xword 0xd8d2b4455711d008
.xword 0x87d65ddd68d5b5a0
.xword 0x2d91eaf44b02942e
.xword 0x75e83c5451226dc4
.xword 0x370ec4ca8af11818
.xword 0xf482dea6a2950f6d
.xword 0x722341fe933a033d
.xword 0xfdfcfc8572701f84
.xword 0xd1390a8e125bc7c3
.xword 0x978605a1cacd86ab
.xword 0xa532c76e97c82a6c
.xword 0x0df177895101d307
.xword 0xf6470982e9da3bb9
.xword 0x2b14f594db900903
.xword 0x504ff578aafb26a0
.xword 0x46087751d2ee23fd
.xword 0xb5a25b159d80b2fa
.xword 0x7fc18775ef4911e2
.xword 0xfa32eb99737cc112
.xword 0x68e49de5e32ca56d
.xword 0xaea180050655a1a2
.xword 0xd146039f99d58497
.xword 0xf1e744e2ee2ec0ed
.xword 0xd21e815173b58ae4
.xword 0xba39221232a80821
.xword 0x5e83265936b52950
.xword 0x93ad52a8b7f3e550
.xword 0xdb131522769a9640
.xword 0x4e2d913002e36f5b
.xword 0x42b2903dedff45d0
.xword 0xfdab140757427ac1
.xword 0xa98f203a81fa39da
.xword 0xe2cf4a95eecdb034
.xword 0xe13b00f4ec4d72e7
.xword 0x25d2902d265a4bd0
.xword 0x97728911f22e430e
.xword 0xe1271f68a4ea55d5
.xword 0x0f65f9ecfc2fe27e
.xword 0xeac31e1fa6c03825
.xword 0x8e12421419832efe
.xword 0x06a58543e1fa7467
.xword 0xd3c0b0830786b1c1
.xword 0xf4cab6c13f3b43a4
.xword 0x16630566047f1053
.xword 0xfd0740680a285ff8
.xword 0xa9cc88da2ab053fa
.xword 0x0695fbdf9b9b5d9e
.xword 0x4f63973d5e4e32ea
.xword 0xc1f595d589502b56
.xword 0x863f3932627687e0
.xword 0x7636365d93590e21
.xword 0x8a282fd0ade90155
.xword 0xc58bd71309edfda6
.xword 0x86e6377acc118871
.xword 0xbbf90de919430530
.xword 0x45726d9b207a0a6d
.xword 0xcbf7b6db0249694b
.xword 0x49f3c911ae33bcef
.xword 0x6a5bad5692a01891
.xword 0xcf675dc412d4c988
.xword 0xa0bce4855ac23b05
.xword 0x65922be336f7b3b7
.xword 0x995f99c97f46ba45
.xword 0x2c9fa4e6b091a7f2
.xword 0x4a71d400d70b54b7
.xword 0xfbba5d4969315959
.xword 0x7ab13e55eab85c35
.xword 0x2f08f908d9e9981c
.xword 0xe153208507a65af9
.xword 0x425be089e501f3f3
.xword 0x51ec2aca768456d4
.xword 0x7e57b9abca8b1f48
.xword 0x9788fbb5398822dd
.xword 0xef354469558fd20b
.xword 0x3c587892ba12e63f
.xword 0x43099ea59da72f93
.xword 0x8db5b6ed32db1ca4
.xword 0xf07d0051beca183b
.xword 0x0192bc2692bb46ee
.xword 0x65009e9c8ff1a56d
.xword 0xd5f5dc49cf286e15
.xword 0x8ef5d6a54cb83f6a
.xword 0x03f5a1942e6f2556
.xword 0x90585c39ac592535
.xword 0xa5d1d99cd4cc96a5
.xword 0x6ec839ee92c905fd
.xword 0x268524a769cca573
.xword 0xdba6331a48a47146
.xword 0x76f8fe0833d3c7e0
.xword 0x43a941f09ed879f2
.xword 0x9f3bc1cb742197c5
.xword 0xcbd9cae12368c149
.xword 0xf87486efbffe193b
.xword 0xc2d2ba9e1132831f
.xword 0x008154c1d5a3b567
.xword 0x0613e3c09ee2d546
.xword 0x688a631c40186275
.xword 0xf0acc27721f6d5a2
.xword 0x6c941130cc80174b
.xword 0x3cf422a7d5a9f7f2
.xword 0x3b16c9960dc67b96
.xword 0x3d66b3192f2b6c3b
.xword 0x41226d54c0c35b3e
.xword 0xf69497212b51a63a
.xword 0x66971439c0ecbbbb
.xword 0x2a255ffdc8da59b1
.xword 0xfcd2c7daf4689c23
.xword 0x29d9b54637ef037f
.xword 0xeb71bb4f52feaa03
.xword 0xba6191489c9805fd
.xword 0x24eafc6ccb3f76ae
.xword 0x34ba7f37e087b3ab
.xword 0x541a9747ce0fcf59
.xword 0x237fefddc61bc8b1
.xword 0xf4d7bbb47cb67631
.xword 0x09d9e850f15ddbd1
.xword 0xd062bcb8626f89b8
.xword 0x62c33d99720cd0a8
.xword 0xe748cb62edee9e04
.xword 0x6966eed9e9b5479c
.xword 0x604b495b523d84bf
.xword 0xc97bba2acf463339
.xword 0xcacc0ae706818de0
.xword 0x4f57549a7539e52e
.xword 0xf08736d2c0103b35
.xword 0xd084ae5723d20359
.xword 0x0ddc7050623606c2
.xword 0x2ee871d4b3df56c4
.xword 0x4dbe5f7841c59944
.xword 0x8d6f2c9ca60b77e1
.xword 0x30d3ed2b51ba12c8
.xword 0x6585783290e923e6
.xword 0x1a0fd27f354a9e77
.xword 0xd8b2e2775a6ccb07
.xword 0x2f13cc44f8eecc75
.xword 0x50b55419095bbd62
.xword 0x4d39dd07f531b20c
.xword 0xdbe1b16bccc7f174
.xword 0xa713c387d928bbd4
.xword 0xc09ea22b486964c7
.xword 0x4b47d6ab0db83aea
.xword 0xaae81faf8c8eb80d
.xword 0x4512c09fc86aeb98
.xword 0xe7b19cc00ec3d4c3
.xword 0x04cc575a2227a167
.xword 0x2deeb2e42877e8df
.xword 0x7207609d2c9722a8
.xword 0x53f31ca4a40f35c2
.xword 0x5f97128962139661
.xword 0xdf6fb3811f79f29a
.xword 0xc8f461fd6c624ace
.xword 0xedf2ba89a6efc134
.xword 0x85ff3097562e4995
.xword 0xb171dc3f860df3d1
.xword 0x9cb32f082d870b14
.xword 0x98b66230d52b6b67
.xword 0x240d5b9e4dc087a3
.xword 0xc817c88288e8cc81
.xword 0x24ce5b9edb4b9f02
.xword 0x88bc2bfa9a1901c8
.xword 0x3042d53d8fa74187
.xword 0xf28c2405cceb8bbe
.xword 0x7b697326a205020d
.xword 0x377e0471188a503c
.xword 0x6dbbf568ab714e4e
.xword 0x59efa06e8f468afa
.xword 0xc8d8c5f00799c128
.xword 0x7765ab58acf5bd97
.xword 0x0a89ade0f564c497
.xword 0x2b02b08cb68db86d
.xword 0x1aae3a4efb4c1a23
.xword 0x0d15b2f49a2808db
.xword 0xa5ce5f51433c81aa
.xword 0x4a0c8eb9c0c6c0f2
.xword 0x6ac4c865a39726ff
.xword 0x015a8d99c16ac420
.xword 0x79ccbbb0c570f3f3
.xword 0x2e16f92b3654f898
.xword 0x8d8853e9b6c67884
.xword 0x35d9f2f17155f3e2
.xword 0x8aece3c428228033
.xword 0x17d7559437ba9a16
.xword 0xde64b76e288c33cd
.xword 0x5e6dfa801e96fa2f
.xword 0x085a12da046342a1
.xword 0x734125960d1bcd09
.xword 0x49e01eb4c5a72d43
.xword 0x0e14875e30642cf7
.xword 0x75d5638dcb6ff03f
.xword 0x14e3c0e9213e79dd
.xword 0x73ba9ed331609a48
.xword 0xc406b42ee66d11f5
.xword 0x527eea4f502cdcbe
.xword 0xc9b9be72bf435579
.xword 0xdab889b41ae624e8
.xword 0xeb9131f978baf08c
.xword 0x2572c386a780fb7a
.xword 0x33a870fe17645233
.xword 0x53b7700f51014d33
.xword 0x4110e97338a98104
.xword 0xdecb009143b54a5b
.xword 0x7d4d66f854120420
.xword 0xaa626f9a10fb10f7
.xword 0x3660cfafaf282338
.xword 0x6ea0799920e6388f
.xword 0xfed97f76f5a40421
.xword 0x6001975b3715ae83
.xword 0x5f43449d670f61a7
.xword 0x4954c7df81294e40
.xword 0x44095e141c3caff1
.xword 0x3c2952fad05654d2
.xword 0x0f69e128eba706ae
.xword 0x43e5fea2d18fe404
.xword 0x572ae122a7772c18
.xword 0x2251ca33492d4371
.xword 0x7cc2f928f5ab27ac
.xword 0xec30966250595870
.xword 0x495b823d1df9e6d7
SECTION .HTRAPS
.text
.global restore_range_regs
restore_range_regs:
wr %g0, ASI_MMU_REAL_RANGE, %asi
mov 1, %g1
sllx %g1, 63, %g1
ldxa [ASI_MMU_REAL_RANGE_0] %asi, %g2
or %g2 ,%g1, %g2
stxa %g2, [ASI_MMU_REAL_RANGE_0] %asi
ldxa [ASI_MMU_REAL_RANGE_1] %asi, %g2
or %g2 ,%g1, %g2
stxa %g2, [ASI_MMU_REAL_RANGE_1] %asi
ldxa [ASI_MMU_REAL_RANGE_2] %asi, %g2
or %g2 ,%g1, %g2
stxa %g2, [ASI_MMU_REAL_RANGE_2] %asi
ldxa [ASI_MMU_REAL_RANGE_3] %asi, %g2
or %g2 ,%g1, %g2
stxa %g2, [ASI_MMU_REAL_RANGE_3] %asi
retry
.global wdog_2_ext
SECTION .HTRAPS
.global wdog_2_ext
.global retry_with_base_tba
.global resolve_bad_tte
.text
resolve_bad_tte:
!if pc[63:15] matches tba, then relocated handler ..
rdpr %tpc, %r4
check_tba:
set 0x7fff, %r5
andn %r4, %r5, %r5 !clear 14:0
rdpr %tba, %r6 !compare pc[63:15] to tba
cmp %r5, %r6
bne,a not_a_reloc_handler
andn %r27, 0x1f, %r6
retry_with_base_tba:
best_set_reg(TRAP_BASE_VA, %r3, %r5)
cmp %r4, %r5
bz htrap_5_ext_done
set 0x7fff, %r3
and %r4, %r3, %r4
or %r5, %r4, %r4
wrpr %r4, %tpc
rdpr %tnpc, %r4
and %r4, %r3, %r4
or %r5, %r4, %r4
wrpr %r4, %tnpc
retry
!assume %r27 is where we came from ..
not_a_reloc_handler:
stxa %r27, [%r6] 0x57
add %r27, 8, %r27
wrpr %r27, %tnpc
done
htrap_5_ext:
rd %pc, %l2
inc %l3
add %l2, htrap_5_ext_done-htrap_5_ext, %l2
rdpr %tl, %l3
rdpr %tstate, %l4
rdhpr %htstate, %l5
or %l5, 0x4, %l5
inc %l3
wrpr %l3, %tl
wrpr %l2, %tpc
add %l2, 4, %l2
wrpr %l2, %tnpc
wrpr %l4, %tstate
wrhpr %l5, %htstate
retry
htrap_5_ext_done:
done
wdog_2_ext:
mov 0x1f, %l1
stxa %l1, [%g0] ASI_LSU_CTL_REG
! If TT != 2, then goto trap handler
rdpr %tt, %l1
cmp %l1, 0x2
bne wdog_2_goto_handler
nop
! else done
done
wdog_2_goto_handler:
rdhpr %htstate, %l3
and %l3, 0x4, %l3 ! If previously in hpriv mode, go to hpriv
brnz,a %l3, wdog_2_goto_handler_1
rdhpr %htba, %l3
srlx %l1, 7, %l3 ! Send priv sw traps to priv mode ..
cmp %l3, 0x2 ! 0x2 = priv sw trap, 0x3=hpriv sw trap ..
be,a wdog_2_goto_handler_1
rdpr %tba, %l3
rdhpr %htba, %l3
wdog_2_goto_handler_1:
sllx %l1, 5, %l1
add %l1, %l3, %l3
jmp %l3
nop
! Red mode other reset handler
! Get htba, and tt and make trap address
! Jump to trap handler ..
SECTION .RED_SEC
.global red_other_ext
.global wdog_red_ext
.text
red_other_ext:
! IF TL=6, shift stack by one ..
rdpr %tl, %l1
cmp %l1, 6
be start_tsa_shift
nop
continue_red_other:
mov 0x1f, %l1
stxa %l1, [%g0] ASI_LSU_CTL_REG
rdpr %tt, %l1
rdhpr %htstate, %l2
and %l2, 0x4, %l2 ! If previously in hpriv mode, go to hpriv
brnz,a %l2, red_goto_handler
rdhpr %htba, %l2
srlx %l1, 7, %l2 ! Send priv sw traps to priv mode ..
cmp %l2, 0x2 ! 0x2 = priv sw trap, 0x3=hpriv sw trap ..
be,a red_goto_handler
rdpr %tba, %l2
rdhpr %htba, %l2
red_goto_handler:
sllx %l1, 5, %l1
add %l1, %l2, %l2
rdhpr %htstate, %l1
andn %l1, 0x20, %l1
wrhpr %g0, %l1, %htstate
rdhpr %hpstate, %l1
jmp %l2
wrhpr %l1, 0x20, %hpstate
nop
wdog_red_ext:
! Shift stack down by 1 ...
rdpr %tl, %l1
cmp %l1, 6
bl wdog_end
start_tsa_shift:
mov 0x2, %l2
tsa_shift:
wrpr %l2, %tl
rdpr %tt, %l3
rdpr %tpc, %l4
rdpr %tnpc, %l5
rdpr %tstate, %l6
rdhpr %htstate, %l7
dec %l2
wrpr %l2, %tl
wrpr %l3, %tt
wrpr %l4, %tpc
wrpr %l5, %tnpc
wrpr %l6, %tstate
wrhpr %l7, %htstate
add %l2, 2, %l2
cmp %l2, %l1
ble tsa_shift
nop
tsa_shift_done:
dec %l1
wrpr %l1, %tl
wdog_end:
! If TT != 2, then goto trap handler
rdpr %tt, %l1
cmp %l1, 0x2
bne continue_red_other
nop
! else done
mov 0x1f, %l1
stxa %l1, [%g0] ASI_LSU_CTL_REG
done
SECTION .T_CWQ_DATA DATA_VA=0x11000000
attr_data {
Name = .T_CWQ_DATA
hypervisor
}
.data
.global _t1_user_data_start
_t1_user_data_start:
.global _t1_scratch_area
_t1_scratch_area:
.align 16
.global _t1_spu_op_array
_t1_spu_op_array:
.xword 3
.xword 2
.xword 7
.xword 0
.xword 6
.xword 1
.xword 4
.xword 3
.xword 5
.xword 3
.xword 1
.xword 0
.xword 7
.xword 0
.xword 0
.align 16
.global _t1_aes_cwd_array
_t1_aes_cwd_array:
.xword 0xc0e100e01300001f
.xword 0x40e100201400003f
.xword 0x40e000e01800000f
.xword 0xc06100e01800001f
.xword 0xc0e100801b00000f
.xword 0xc06000a01900003f
.xword 0xc06000c01300002f
.xword 0xc0e000c01900001f
.xword 0x406100a01500000f
.xword 0x40e000401100003f
.xword 0x406000a01900001f
.xword 0x406000001500000f
.xword 0xc06100201300003f
.xword 0xc0e000201b00003f
.xword 0x40e100a01500003f
.align 16
.global _t1_des_cwd_array
_t1_des_cwd_array:
.xword 0x40e000e00c000017
.xword 0x406100e008000007
.xword 0xc0e000a00900000f
.xword 0x406100800d000017
.xword 0xc06000800e00001f
.xword 0x406100600800000f
.xword 0xc0e000200e000017
.xword 0xc0e100400d00000f
.xword 0x40e000a00a00001f
.xword 0xc0e000a008000017
.xword 0xc0e100600900000f
.xword 0xc0e100600c000007
.xword 0x40e000a00e000007
.xword 0xc0e000a008000017
.xword 0x406000e00a000007
.align 16
.global _t1_copy_cwd_array
_t1_copy_cwd_array:
.xword 0x206100c000000001
.xword 0x206100e000000007
.xword 0xa06000c00000000e
.xword 0x2060008000000007
.xword 0x206100600000000a
.xword 0x2060004000000001
.xword 0x206000c00000000f
.xword 0xa06000e00000000f
.xword 0xa061008000000003
.xword 0xa06100c00000000c
.xword 0x2061000000000002
.xword 0xa06000000000000b
.xword 0x2060004000000003
.xword 0x2061004000000001
.xword 0x2060008000000009
.align 16
.global _t1_crc_cwd_array
_t1_crc_cwd_array:
.xword 0x416303440000000e
.xword 0xc16001c80000000d
.xword 0xc160036400000003
.xword 0x416101680000000b
.xword 0x416103e400000005
.xword 0x416301880000000f
.xword 0x416303040000000b
.xword 0xc16201280000000e
.xword 0xc160030400000001
.xword 0xc16301080000000e
.xword 0x416103a400000008
.xword 0xc161016800000004
.xword 0x4163034400000005
.xword 0xc16001e800000006
.align 16
.global _t1_hash_cwd_array
_t1_hash_cwd_array:
.xword 0xc16206c20000000d
.xword 0xc161066100000008
.xword 0x416111c300000003
.xword 0x416004a100000039
.xword 0xc16205810000001e
.xword 0xc16114c300000010
.xword 0x41630f6100000007
.xword 0x4162134200000021
.xword 0xc163022100000028
.xword 0xc16305e100000000
.xword 0x416002220000000e
.xword 0x4162002300000029
.xword 0x41600e4100000031
.xword 0xc16001e300000027
.xword 0x41600aa100000001
.align 16
.global _t1_hmac_cwd_array
_t1_hmac_cwd_array:
.xword 0x41610fa5000f001c
.xword 0x41630169000f0020
.xword 0x41600f49000f002f
.xword 0xc1620449000f0007
.xword 0x41610d05000f000b
.xword 0x41630227001f0037
.xword 0x416107eb001f001b
.xword 0xc162008a0013000a
.xword 0x41600289000f0025
.xword 0xc16208c5000f0021
.xword 0xc1600525000f002f
.xword 0x41600949000f001d
.xword 0x416300c9000f0026
.xword 0xc16006e5000f0007
.xword 0x416013460013003d
.align 16
.global _t1_rc4_cwd_array
_t1_rc4_cwd_array:
.xword 0xc0e000c004000008
.xword 0xc0e1006000000008
.xword 0xc0e100c000000008
.xword 0xc0e100e004000001
.xword 0xc0e100e000000004
.xword 0xc0e1002000000007
.xword 0xc0e000c004000005
.xword 0xc0e000c000000000
.xword 0x40e000a000000001
.xword 0x40e0002004000000
.xword 0x40e100c000000005
.xword 0x40e1006000000003
.xword 0x40e0004004000000
.xword 0xc0e000c000000003
.xword 0x40e100e004000006
.global _t1_sslkey_cwd_array
.align 16
_t1_sslkey_cwd_array:
.xword 0x10601e2000000000, 0
.xword 0x90600c8000000000, 0
.xword 0x10602e6000000000, 0
.xword 0x1060404000000000, 0
.xword 0x9060128000000000, 0
.xword 0x10603c0000000000, 0
.xword 0x90601c4000000000, 0
.xword 0x1060406000000000, 0
.xword 0x9060150000000000, 0
.xword 0x90601ca000000000, 0
.xword 0x1060034000000000, 0
.xword 0x906015c000000000, 0
.xword 0x106018c000000000, 0
.xword 0x10603f8000000000, 0
.xword 0x906039a000000000, 0
.align 16
_t1_aes_key_array:
.xword 0x7821ea14bb2e78a7
.xword 0xd328c4ea3e4cbda2
.xword 0xf6457430e9d7f7c7
.xword 0x8ddd1ec19ba6efb1
.xword 0xfde69e5bc3d473a0
.xword 0x27cd9f05e2b87ce9
.xword 0xaa36fb1223e4a3cb
.xword 0x774564ff30d71251
.xword 0x2c9222a255aead5e
.xword 0x04d7917be40f89c5
.xword 0xdbc0a6cba213271d
.xword 0xf48579be3e4aca22
.xword 0x7bedf4ed2377cd60
.xword 0xe06187bfecee876f
.xword 0x01e192861fcf004a
.xword 0xc044d4276439d8e9
.xword 0xf953266b64bcaf3b
.xword 0xef343bc894a7cd22
.xword 0x6a18194dfa73293a
.xword 0xedd6692930c2e178
.xword 0x654f65f648457d19
.xword 0xe25e3be2bf04d878
.xword 0x33240cfebf4420eb
.xword 0x9f68657bdabc5f47
.xword 0x39c92202a7ae2254
.xword 0xdd6175dc9783e329
.xword 0x5e2ba3737f155906
.xword 0x28a92536f07bc279
.xword 0x96bcbf39e3af5518
.xword 0x0c11bd0402e5923a
.xword 0x705a448a94e8240a
.xword 0x9448a5f4ae80c0fa
.xword 0x71904fc2e39bd416
.xword 0x9745d9c8e93008f8
.xword 0xb043a4581957780b
.xword 0x232d2d1b6081ad15
.xword 0x9f682315876cd5c1
.xword 0x20ec75f5bfafc786
.xword 0x4f521561e7422e5a
.xword 0xd928ee0cd5fc3bfd
.xword 0x68b31ed6103712d7
.xword 0x27f44d0f02101da2
.xword 0x2b1c344ea13e87ef
.xword 0x2ce8a9a62f55fa1d
.xword 0x7cfe2328efdf18e1
.xword 0xd0a1dd7fe0e44245
.xword 0xdd3a055ad6ff61c2
.xword 0xf280272c9cadf78f
.xword 0x6cd3988f8a5e15b1
.xword 0xfa615bb1996c8999
.xword 0x87d6a2ee4ef56ac8
.align 16
_t1_aes_iv_array:
.xword 0x7c1a65d0bb7df1c2
.xword 0x540774fa27be4875
.xword 0x5d4c5d5feba420e2
.xword 0x7c14af995c872cc0
.xword 0x8bdae6a63e556ad1
.xword 0x7afa6a03820e8400
.xword 0x1356f3af31219b07
.xword 0x38bdb52c799efe55
.xword 0x11668c60e2509dcd
.xword 0xd6f42724eb0d1b2f
.xword 0x7062159793ea5f11
.xword 0x2f123080cb9ea046
.xword 0x33fa05b948e89690
.xword 0x6f273413c5095464
.xword 0x97094cf0c9bbc707
.xword 0xb1fe96293c3f1f28
.xword 0x7afc008889cf33a0
.xword 0xff0381530c6c867b
.xword 0x840309f38be4fb92
.xword 0x4af5a64a251f2b42
.xword 0xff95fe0bcc978176
.xword 0xaa3d23a12d8c9c4b
.xword 0x0119eaeffcc4a941
.xword 0x124562e2d6adf959
.xword 0x45fe363a1446a0de
.xword 0x14ecf010dab019dc
.xword 0x61f2eddec05c737d
.xword 0x8449fd76a14973f1
.xword 0x9d4353abb7d53391
.xword 0x108ffdd73ce0fe41
.xword 0x7ed1e8bb4c191972
.xword 0x76440c4b9a3d0a9d
.xword 0xfc82ef3dbec9b882
.xword 0x7fcd0ca68f9f43a7
.xword 0xd76da39076b38d61
.xword 0x289cc14280ae6aae
.xword 0x945fdaef1880c78d
.xword 0x76636298d34ea1ce
.xword 0x71ae27e4c76fe5bc
.xword 0xfcf2b57701ec6fc1
.xword 0x5f3d17dfa00c6782
.xword 0x233087d3988500ac
.xword 0x2cb8edfaee7a8bb1
.xword 0x393247ba93cf30f0
.xword 0xd5cf33683778a396
.align 16
_t1_aes_alignment_array:
.xword 4
.xword 7
.xword 8
.xword 9
.xword 14
.xword 3
.xword 14
.xword 15
.xword 0
.xword 13
.xword 6
.xword 6
.xword 13
.xword 14
.xword 6
.xword 3
.xword 2
.xword 8
.xword 15
.xword 12
.xword 8
.xword 7
.xword 14
.xword 7
.xword 5
.xword 6
.xword 11
.xword 6
.xword 10
.xword 4
.xword 13
.xword 2
.xword 5
.xword 11
.xword 15
.xword 8
.xword 2
.xword 7
.xword 15
.xword 4
.xword 11
.xword 10
.xword 12
.xword 7
.xword 7
.xword 3
.xword 15
.xword 8
.xword 15
.xword 4
.xword 5
.xword 7
.xword 7
.xword 11
.xword 11
.xword 0
.xword 8
.xword 7
.xword 1
.xword 15
.xword 15
.xword 11
.xword 11
.xword 14
.xword 13
.xword 11
.xword 1
.xword 3
.xword 1
.xword 1
.xword 8
.xword 10
.xword 12
.xword 8
.xword 8
.xword 4
.xword 12
.xword 12
.xword 7
.xword 12
.xword 1
.xword 6
.xword 5
.xword 9
.xword 0
.xword 14
.xword 0
.xword 3
.xword 2
.xword 12
.xword 7
.xword 14
.xword 13
.xword 11
.xword 2
.xword 12
.xword 2
.xword 6
.xword 7
.xword 15
.xword 7
.xword 1
.xword 3
.xword 10
.xword 13
.align 16
_t1_aes_src:
.xword 0x19f7d177410dad8d
.xword 0xf2f099af56929c01
.xword 0x5d1ab8f2714db962
.xword 0xa9ac87464c6ac24f
.xword 0x2ef8482500054f35
.xword 0x44f322a9b46159ea
.xword 0xe7b9243c3fa6d056
.xword 0xc2a3a7e3f2dc2823
.xword 0xe192f5bea646b29a
.xword 0x90f3a491b29ba3cc
.xword 0x63f8ac86219b3fb8
.xword 0x7764d736141ff44e
.xword 0xc79aac3b81867907
.xword 0xc78cf66d62d30c94
.xword 0x0900286019729a21
.xword 0x34279780341f5e85
.xword 0x417930174612977d
.xword 0x3f32732f65609e44
.xword 0xe1c420083c830731
.xword 0xa4117eed154898ff
.xword 0xad4b26aaf980ab83
.xword 0x9c3042b544f0ce8a
.xword 0x4a184e5ebb091454
.xword 0x1a5ce7c5ac7701cd
.xword 0x9fcd2762219c1460
.xword 0xde397d47b4939606
.xword 0x677653a9c7030c6a
.xword 0x44511025ca633a28
.xword 0x38ed36c0946c2ce8
.xword 0x87f952000af03402
.xword 0x2b7b96b983cf548e
.xword 0xcbd7c26ed3362e47
.xword 0x64e9fbc1ca1dd7cc
.xword 0x3259a2503879d061
.xword 0xbce81d25fc1f1e1b
.xword 0x74fa2e642ca09258
.xword 0x4a46b584b9d7b8bb
.xword 0x3a14bd215cc28ce3
.xword 0xa69f54cb485af2c1
.xword 0xfd1a4ca46faed159
.xword 0x712850a3099de7c6
.xword 0x8ea344a6988c6675
.xword 0x274aa92d05661ea9
.xword 0x15bc43b31f212f7e
.xword 0x9c30f3cf87daecce
.xword 0xd27caf831d2f692e
.xword 0x3e1b0eb1c88d50ce
.xword 0x11cd509ced99b397
.xword 0x5dcb4a942464f358
.xword 0x16f092039892834c
.xword 0x8eb480e4a22acdfa
.xword 0x0b49edc93cc6604e
.xword 0xebecbb7bc0e8be95
.xword 0x43bf39aa6552c9ed
.xword 0x72875ddf99ad5867
.xword 0x686c61460d8ff82e
.xword 0x87f502e22228be0c
.xword 0x3a7e511df88407ca
.xword 0x402ef4ea7846095b
.xword 0x6140b8b442996809
.xword 0x2978ee53fae7f475
.xword 0xbc119988f9fd9c24
.xword 0x7ef92a556c4a36fa
.xword 0x043f42941ddf26f0
.xword 0xe92a4c351b5fc5e3
.xword 0xa1c6a93d6ea509c4
.xword 0xf70ac43d1f1e9718
.xword 0x9474697deee33a00
.xword 0x5a34c6aaabde792b
.xword 0xe07e7f9b7d294212
.xword 0x2d1d4df493833beb
.xword 0x9fac9c133438bc85
.xword 0x69c3a4d0dccd9c64
.xword 0xb2a855eaccfbcf7b
.xword 0x1875b9ca9cb67fa8
.xword 0x397fbf9988e4d1a6
.xword 0x2abf5756fc53af9e
.xword 0x88e67a8302f2e41e
.xword 0xf75fedbe1e5ef9c4
.xword 0xf2d0b2f1a6b2aeab
.xword 0xccff99eef0b4c2c4
.xword 0xfa861eb9c2a9ddea
.xword 0x6a2c039ec1c45932
.xword 0x1b480b5f75e2ae6d
.xword 0x26a60490237ee0b6
.xword 0x90fbda0eb19be724
.xword 0xf8da354292c2d22d
.xword 0x0927e5c54d28f6b4
.xword 0x68274db7ed9e69ca
.xword 0xf96a50ad2b3feaf9
.xword 0xb9a2f93f7da4c266
.xword 0x23cb41a5ab17ef0c
.xword 0x985f19dd7e65f379
.xword 0x181bdf108bb196e8
.xword 0xaf3af71a50260aa1
.xword 0x0c807cde30fad44e
.xword 0x815b9311489f158c
.xword 0x89c80a40b4a21970
.xword 0x8e9f2ead74c06b81
.xword 0x829b416bbdb3cc95
.xword 0xe9e86a8935ed5219
.xword 0xea3c1cfb0d956536
.xword 0x4552dfdaea2887ca
.xword 0xb891953538c377b3
.xword 0xd52d2f02ec9e6b1a
.xword 0x6746101f80d29bb5
.xword 0xbf6a476fe5d03b04
.xword 0x15d9862d05d846cc
.xword 0x91b43542fcfd305a
.xword 0x8a32368b84febc76
.xword 0xec25fb0674eb65af
.xword 0xa1d41baadfb285d8
.xword 0x193df212d2acb3b8
.xword 0xef970bf24a78638b
.xword 0xc2261d287f1f16ff
.xword 0xffff9e0850094cee
.xword 0x1f8610c8a3964c1c
.xword 0x32fc264d97fd9a0d
.xword 0x1fba8a442f714323
.xword 0x33241baf170e84c5
.xword 0x62785d4201e670e0
.xword 0x2d9a64411b56bbd8
.xword 0x3fe04d2bd62ed529
.xword 0xce6b2c15b8f7f0ef
.xword 0x53a4fab30f4b33db
.xword 0x18eb24081392df48
.xword 0xf6592ace3757e8ce
.xword 0x83245b0510f39dec
.xword 0x80e6f111cbb90819
.xword 0xa8fe6b822e789377
.xword 0x6f2d480267df4e65
.xword 0x69f1f1c885a9abe3
.xword 0x668499cc0fb68834
.xword 0x624b73f867447ba4
.xword 0xb138542a444f7bd4
.xword 0xe1aa3c5ced26a65f
.xword 0xfcfbd31e3de49eb1
.xword 0x46d58f5177dd1a3d
.xword 0x53455c0cb87978dd
.xword 0x2f906b73087fb783
.xword 0xfe73967d08b9ac45
.xword 0x3078e5606a796dc0
.xword 0xf6f4fadf4066b600
.xword 0x09ba774c4f9d84df
.xword 0xba4a742e0c8f481f
.xword 0x7ca08d894a711e22
.xword 0x818cfe54c5603979
.xword 0x97b1f16c3813ec30
.xword 0xc5eda28c24ea21c3
.xword 0x3815f1d48d1e6f08
.xword 0x876ffb2fe0303725
.xword 0xedf4121b7696c2d1
.xword 0xa82e6cef28cc06a4
.xword 0xf433475fccb634c3
.xword 0xecb76902abdc7097
.xword 0x856c434da74577ca
.xword 0x3355640ee21bd0ae
.xword 0xbffdc643dd51ed8c
.xword 0x965801a6c9df5125
.xword 0xce25c75cc249885e
.xword 0xf6a98712a0562362
.xword 0xe5cc52119ada4723
.xword 0x7612323f8f0dd217
.xword 0xb15b38e3609f6feb
.xword 0x42c76b8afce33d2b
.xword 0x7425d2f1bf8690e2
.xword 0x15fa0b82995fa18d
.xword 0xae106059a3f087e2
.xword 0x32c65298a9ba2e7b
.xword 0x9bd200f6df57c012
.xword 0xfcea5045b5c72434
.xword 0xacc55980677d6a8d
.xword 0x050141cf2c3786f6
.xword 0xe177a36259c456bb
.xword 0xa3a32352d9d5724e
.xword 0x06debc156cb52ade
.xword 0xf453ba015464de99
.xword 0x1a9a4db86f79c89c
.xword 0x4f71c9042b2af1dc
.xword 0x59e45c372d6796ec
.xword 0x75b5ca8d8a3a9499
.xword 0x98b972d161d43327
.xword 0x6ac3955d6dcc92be
.xword 0x19cc61048b4aa890
.xword 0x4df7e58ca2c475a2
.xword 0x695b8e281cf15a5a
.xword 0x7cb4bbadeeb27f24
.xword 0x1a197a2c74b3c562
.xword 0xc28943199659572b
.xword 0x9defe0c07e0b307e
.xword 0x98db9415b2ca8ba2
.xword 0x674c0325e5bdb2f0
.xword 0xec7f14b065a2cb49
.xword 0xab4550f739b56d7e
.xword 0xc0968daa66ff7feb
.xword 0x8868a713d55560d4
.xword 0x5c2ddbc8f22ed796
.xword 0xbfba6c6608a5f53c
.xword 0xdfe71874aaf817f2
.xword 0x218bf010f791534c
.xword 0x819660e3b9117dc4
.xword 0x10e36cb09408a3c8
.xword 0x83394c467f8c9737
.xword 0xad684107cafec74e
.xword 0x228245116db72711
.xword 0x42a80098921e52a6
.xword 0xcb1b117eb866f38a
.xword 0xf5c250185434071d
.xword 0xce3533f9dfb134d1
.xword 0x4111aaea08b3de4c
.xword 0x83d5f4ea188ca280
.xword 0xa5bea9934f5fa082
.xword 0xf76693c2089ed948
.xword 0xbb81afd3abe7f6ad
.xword 0x3ae2af2d062d1c1c
.xword 0xb224b65f684a402c
.xword 0x96ff73d8715b865a
.xword 0x61412572bc536653
.xword 0x1dc19a4f8896623d
.xword 0x0fa5c97c240046e5
.xword 0xce08d8c4ac3a6af2
.xword 0x510f4fa446d05307
.xword 0xadf1856a89167673
.xword 0x7d6be1669c4c2c32
.xword 0x9a67515cd55fafad
.xword 0x7614820d1cdb03af
.xword 0x3de7a018f6441ef0
.xword 0x1e39df6c33648afd
.xword 0xa84c34b5e6395375
.xword 0x6316c839f74f75f7
.xword 0xe92fd8e0c6e59e1d
.xword 0x8d57096f1458acea
.xword 0xb68eea48dacaf536
.xword 0x906dbe9b36c44c4d
.xword 0x16a490ae128bb5a9
.xword 0x1c59c2cb0683951a
.xword 0xc406624f2a22fc90
.xword 0x54d7427fe3d5fb7e
.xword 0xce6f45318e55574d
.xword 0x5af64cb4d93f9500
.xword 0x5512407b14b72ed4
.xword 0x514aace797fa3d4a
.xword 0x8bd570a1a4aeb37e
.xword 0x641173ebfa88ce4b
.xword 0x3b88f010e7b650f5
.xword 0x58f0f8d2d23d8355
.xword 0x7fa0a64f847cd089
.xword 0x52642e129adbb5ec
.xword 0x81e9171140502903
.xword 0x88df5756e3fc5328
.xword 0x4b47f05b0d66a0af
.xword 0x7e5a97be41d27585
.xword 0xb13fcd53fe770553
.xword 0xf5656069827e37e1
.xword 0x8f5d70f7e2a38ee7
.xword 0xfa3aae4a0090b5bc
.xword 0x60bd3611ce3407f6
.xword 0x4a35336bb356dc7e
.xword 0xca6595ec189af424
.xword 0x8e7136e8497944d6
.xword 0x82fb333af55a1ec7
.xword 0x475bfde578be93a0
.xword 0x05e82ebd6e0ab340
.xword 0x07d7555d418376fb
.xword 0xa58bf455bde4e5d8
.xword 0x8dbc9defbe88cb2a
.xword 0x0eb62ad185b5cc52
.xword 0x741df64655801837
.xword 0x7bcdcdfd584d88f5
.xword 0x553793b3edb136a8
.xword 0xcaf7ef542b8dc4ba
.xword 0x0acc5378a554afc2
.xword 0xa6a3bb668c71aabf
.xword 0x8979b074819a86a6
.xword 0x3bba6e0dfc197c9e
.align 16
_t1_aes_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_aes_auth_key:
.xword 0xe554bb210b71e8e8
.xword 0x565b1af80ffc9a8a
.xword 0xae1d82a86cda0ce9
.xword 0xd49f52b67a9d754c
.xword 0xada2c69c69011103
.xword 0x4d395a106a19534c
.xword 0xcf575fdf8783d937
.xword 0x0a19275e19f28e48
.xword 0x1284fff744f1eae0
.xword 0x2640e268f7196a95
.xword 0x930aa27355fe5113
.xword 0x6bda20f56c284d74
.xword 0xc66875c5bd34d4e4
.xword 0x4b497bc0babe57ae
.xword 0x071eae7adfcc9893
.xword 0xbc1aa3b9703fde96
.xword 0x6a067c753f663973
.xword 0xdfd134c52ff67dab
.xword 0xa5f300533c8577b4
.xword 0x66fdf2e096cd77bf
.xword 0x9f46b2025e98df1d
.xword 0x984c47f90f3c69eb
.xword 0xc8ba8d06ddc1068e
.align 16
_t1_aes_auth_iv:
.xword 0xc0af6b5a123237b3
.xword 0x6157a1d0d3c013a7
.xword 0x46f8907d28086a18
.xword 0x9722fa53a59e7c1f
.xword 0xcbe536cca9cb42c6
.xword 0xa2a7deb5f3a62237
.xword 0xb29e8d494955770f
.xword 0xec927d2c0b085210
.xword 0xdc2e7afef791d272
.xword 0xeb153e851176a6ea
.xword 0x2a663e2ce8a29a88
.xword 0x5dd504294170e4cd
.xword 0xfc2a55e8605402de
.xword 0xa51041ffe23d055d
.xword 0xf2771a9231ad16e5
.xword 0x0836dc06c6deab68
.xword 0x5a26aa20cf3ee8b9
.xword 0x28bfe63dd38fafe1
.xword 0xec453b5387dee002
.xword 0x16a8f6b38864ca27
.xword 0x856402067ae0b677
.xword 0x5ff4b30ec6f8be07
.xword 0x550b8e5abd3e53ba
.align 16
_t1_aes_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_des_key_array:
.xword 0x9d0ccd53eb5fc476
.xword 0x26eae5f939eee5a3
.xword 0x38f408113874f72e
.xword 0x952732622541cab5
.xword 0x36c31057a3d5e53a
.xword 0x42a10ae1c368591e
.xword 0xa9b44e9fe42dc0f0
.xword 0xdca7e4a2bf4bb30d
.xword 0x4ef1ee4dd08983c6
.xword 0x3aeb356d73358d4f
.xword 0xfeb28494df74b297
.xword 0x9401a93f0b24ba55
.xword 0x5a14e2f82cd4dcb2
.xword 0xa9dd4e3859454789
.xword 0x56012ea9dde0dd3c
.xword 0x7f7669bedf18adaf
.xword 0xa588f0d9472f26c8
.xword 0xea095d09df223ea1
.xword 0xefc24d26cada68fd
.xword 0x3f2e018f55251619
.xword 0xc827dafbec993876
.xword 0xb16165c4df6003ce
.xword 0x3d63dc4d940d3166
.xword 0x2cafad299219568b
.xword 0xfc2fc1aa2836f4c1
.xword 0x5156b4e4aaffeeca
.xword 0x4ffe2aa63b151a93
.xword 0x085f64413dadc415
.xword 0xe96ca7fdeacbd797
.xword 0xc6960571386e0fb5
.xword 0x4c937e8cbf12a542
.xword 0x80bb848c4d2299e9
.xword 0x370a648bf03cd736
.xword 0xb1a8be9803db8a1b
.xword 0x6dbdec363cc8bc55
.xword 0xbec26e46db063f5c
.xword 0x1acfe983d000b6d6
.xword 0x8ff8c41eb87b58ca
.xword 0xff9a23c9716a15df
.xword 0xe7106d1c8618720b
.xword 0x33c3e7a2134ab8ec
.xword 0xb7d44ebbdcc900d7
.xword 0x1147a17c7422f7e9
.xword 0x2d965b3982b19de2
.xword 0x57f5b6b8bf997290
.xword 0x0b9bf01f249f41ce
.xword 0xe0ce36b6172e6b26
.xword 0xb995f501c9611cc6
.xword 0x37c2d5a6f4b584a0
.xword 0x0cd1099b6d7ab67b
.xword 0x34e1da2c6d2ad616
.align 16
_t1_des_iv_array:
.xword 0xa791e60930d0c813
.xword 0x68f814818258ceee
.xword 0x724198c217e357db
.xword 0x3c5f3a4f6a822f61
.xword 0x42d782acf9360122
.xword 0x077b2bccdc70b92c
.xword 0x3124445675d740a3
.xword 0x9dd301cac4dcad37
.xword 0x98170c2be4d012a7
.xword 0x3b824ed70073ff6e
.xword 0x5f3f76846af9c8e0
.xword 0x1040629e7d09b299
.xword 0x4c056328f1ab1fca
.xword 0x202b90f3b031266a
.xword 0x74a1eaa1efe0e159
.xword 0x5601abc84c2e31b9
.xword 0x84d29038a9116fc3
.xword 0x8072fbea72c5eb7a
.xword 0x52dbda668f724e45
.xword 0x89c0ecd34af672be
.xword 0x4c654dec9debb287
.xword 0xeb4f25de2a300130
.xword 0x328e7361d9f57f05
.xword 0x18c5a166ca28d4f6
.xword 0x51b009cec79c729f
.xword 0xe6ed2de499bda4b5
.xword 0x62b831b7a27ba10a
.xword 0x457abd2b1bf2df8f
.xword 0x3da758958ed6a5fc
.xword 0x7ad4d37af73499d8
.xword 0x0b151862301a0619
.xword 0x8320e6fac4f50b96
.xword 0x7bfb92efa900f03b
.xword 0x5769e9bd5b0fec35
.xword 0xd642ebb49346b0d3
.xword 0xee9e21b9552d5bc3
.xword 0x8b1b86d30aed182c
.xword 0x431761ee875806fe
.xword 0x3a9c2e15dc652862
.xword 0xb3943550cebeaf88
.xword 0x94d3e92ab54870bd
.xword 0x2b37fbd77c28f5ad
.xword 0xb7cf0e9322049d8b
.xword 0xa1c47f32b92411a8
.xword 0xa17f129850b15481
.align 16
_t1_des_alignment_array:
.xword 7
.xword 12
.xword 2
.xword 13
.xword 10
.xword 4
.xword 11
.xword 5
.xword 0
.xword 0
.xword 10
.xword 15
.xword 15
.xword 1
.xword 0
.xword 12
.xword 0
.xword 10
.xword 13
.xword 0
.xword 14
.xword 6
.xword 2
.xword 2
.xword 7
.xword 12
.xword 10
.xword 11
.xword 0
.xword 6
.xword 12
.xword 5
.xword 2
.xword 11
.xword 4
.xword 0
.xword 6
.xword 5
.xword 12
.xword 8
.xword 6
.xword 15
.xword 10
.xword 3
.xword 14
.xword 1
.xword 6
.xword 0
.xword 2
.xword 4
.xword 5
.xword 15
.xword 5
.xword 5
.xword 6
.xword 2
.xword 0
.xword 1
.xword 3
.xword 9
.xword 13
.xword 1
.xword 14
.xword 8
.xword 7
.xword 9
.xword 9
.xword 11
.xword 6
.xword 13
.xword 15
.xword 15
.xword 8
.xword 10
.xword 12
.xword 8
.xword 2
.xword 9
.xword 0
.xword 1
.xword 10
.xword 1
.xword 5
.xword 11
.xword 11
.xword 6
.xword 11
.xword 10
.xword 4
.xword 10
.xword 11
.xword 14
.xword 3
.xword 1
.xword 8
.xword 14
.xword 9
.xword 11
.xword 3
.xword 10
.xword 2
.xword 11
.xword 7
.xword 1
.xword 11
.align 16
_t1_des_src:
.xword 0x4be532d096c12521
.xword 0x7dadeb7ffbb66b2e
.xword 0xcca473b4fce55a70
.xword 0x08cad656c7a118f8
.xword 0x8738a65f352cc6c9
.xword 0x45fa8e27ff195681
.xword 0x4997ec4010420803
.xword 0xd3c322da53701579
.xword 0xb3fc76f122aabe31
.xword 0x375484c5814bd239
.xword 0x67d7fcfa2aeab9b7
.xword 0x4fe5dace24f3a2ae
.xword 0x36c0bb83db96d4c5
.xword 0x8d29715db456b7e3
.xword 0x1bc712e95c938c7d
.xword 0xff6ad49da2860883
.xword 0xb5753861644ee07b
.xword 0x1f33de3e7c482f12
.xword 0xbd2a02d3077b7777
.xword 0xe51d68b601aa85dc
.xword 0x8f7c0db780078715
.xword 0x3366090e702c9c19
.xword 0xddce25b86e6920c6
.xword 0x1a65ac8185b0ce64
.xword 0x163ca07c62df7317
.xword 0xfcd76cc27e61d36f
.xword 0x3cfad64dd368c3ee
.xword 0x2b8da38a66854e71
.xword 0x8c7275766151cb5b
.xword 0xb7cd612cf1e7355c
.xword 0x36f1893beb3747a4
.xword 0x1daab1f8f527dfbb
.xword 0xcfb3cdc8aeac1b7e
.xword 0xa447855fa9aa5da9
.xword 0xfac619b6085054c3
.xword 0x97644c9fc090730b
.xword 0x2a2683034ce64e36
.xword 0x44a9c76f55d64a62
.xword 0x7b5ac2c6fd10b0aa
.xword 0x91cc4635c127a5fe
.xword 0xabe66074c0b0025d
.xword 0xca30dc62d6538932
.xword 0x9b4ec40f8a75c8dd
.xword 0xfb3cc43e4278cb41
.xword 0x87292fd569daba98
.xword 0x47cc86de9abc427b
.xword 0xcd586ccec74b1f81
.xword 0x0ce5c71d9e8514d0
.xword 0x3c3b0860dca6c24a
.xword 0xe5ce8129691afb06
.xword 0x1dbf4bcf83acaf44
.xword 0xae6b6793d8598bf6
.xword 0xa3fa428d684d6ac7
.xword 0x7aa24c2a5598ffcc
.xword 0x45e160fc49a36fa0
.xword 0x8c73451b4bec471b
.xword 0x86797ce5ba236f19
.xword 0xc05afca8e1b47ff1
.xword 0x6e576d436fdb4e6f
.xword 0x479e4f2317d3e894
.xword 0x13d6ab6ef2230a9f
.xword 0x5920249417543e3d
.xword 0x0426a2e43f70b3a2
.xword 0x838618c1dd863e8c
.xword 0xf10e62d810a5f9b8
.xword 0xb26aceb0c9bc68d1
.xword 0x3b5a37eba778def6
.xword 0x0738a58896e32c27
.xword 0x30e08366c5dfe5ff
.xword 0x9f4d29fe3c8188cb
.xword 0xea562fd6308b2d89
.xword 0x9292bc7c44f6815f
.xword 0x915702dbb30e28f0
.xword 0x81825860784eb186
.xword 0x71c7602888a3d70a
.xword 0x02a2745bd29a826c
.xword 0xf28323452e51b547
.xword 0x5083b6f362ae9451
.xword 0xef0b8a2ece4070de
.xword 0x0b62eed84063b171
.xword 0x6302beb941cb821d
.xword 0x53a8ca8a2223cba9
.xword 0xf842a2a888e1c16f
.xword 0xfaaf4a7abdf7586a
.xword 0x73c071c4ad6ea84b
.xword 0x65899cb2d53bdffe
.xword 0x1eb7c9a8ab9e0725
.xword 0x89b64e938ac9a485
.xword 0x8313bbaa3acbc52c
.xword 0x2bf26f402fb48c46
.xword 0x081545b075fb4c2e
.xword 0x0d4d47532bcdaee1
.xword 0x85f0ad60472ac76c
.xword 0x48900c2c91d64e65
.xword 0x46781bc35007d15f
.xword 0x929269be17a6b6dd
.xword 0xc59943dab82274c4
.xword 0x6e644e23f3cf845e
.xword 0x230bf95c92831e91
.xword 0x7e3096bfecfa97ca
.xword 0x884504bee8f78aaf
.xword 0xedf9b9ef3a3e853f
.xword 0x89b20a22339a10d1
.xword 0xb642e5eb463877c7
.xword 0x7e67a1e00e0cd3f2
.xword 0x13b647532aa1eaf9
.xword 0x97f931c0b00b7e1d
.xword 0x7f7599ecbb6bd0cd
.xword 0xa12880c66eb4db47
.xword 0xae9a45b93fb09af3
.xword 0x853eabaee7321af4
.xword 0x2c85687d951d9560
.xword 0x685dd3df515b9735
.xword 0x5914e8f038571665
.xword 0x73801d6454de882d
.xword 0xde39e7bba6899838
.xword 0xe6856356118cb8fe
.xword 0xc711defd9c9e53a6
.xword 0x3caff2902de5b397
.xword 0x016463ff584dfc36
.xword 0x347ef79f2cbe2d42
.xword 0x86b0630ace6c7844
.xword 0xe6217ea63f815175
.xword 0x6e3ed3813f20f057
.xword 0x0cfe91da12eab36d
.xword 0x16dcac38c92e45c3
.xword 0xb63d48bbeca252f5
.xword 0xe8ec613c329509b9
.xword 0x36443696cd2e105c
.xword 0x528a9534fd13dd20
.xword 0x429aecbf0df603b0
.xword 0xc80d2d4373767dba
.xword 0x63633f3e069e5619
.xword 0x5aaa1a2e39ab461c
.xword 0x53404f6bda822416
.xword 0xa1978b057acf7580
.xword 0xaf2d4cd5c4dd0a59
.xword 0x309394f5ae0ff613
.xword 0xffeeaa6350a337f2
.xword 0xeddb65ff31dc0757
.xword 0x6a5fcb9f3c3984a5
.xword 0xe0702b58bf36a57a
.xword 0x0192925c3799c30c
.xword 0xd19fd5687dc534b6
.xword 0xf52e0ac0d24d6f4d
.xword 0x892cdbcceecdaf68
.xword 0xcd8c604472c03f3f
.xword 0x28458ab2a056d5bf
.xword 0xeee97d7e1293a234
.xword 0x5961d113bbd5b778
.xword 0xcd473ecf2277d60b
.xword 0x20b3d0d8c84a623f
.xword 0xf38bff8db477246a
.xword 0x8cbb8f7040fafc0b
.xword 0xc07d07d231adc656
.xword 0xd8e35261b02a3419
.xword 0x9e10c68f19d192ed
.xword 0x2c136a26bc78f0d5
.xword 0x9433e69407efe2fa
.xword 0x92e7c63bfd258cb3
.xword 0xe788eb0fed3f2c10
.xword 0x7c733557f4be92e3
.xword 0xcb4280da9d945de3
.xword 0x677518aed7cd9c4c
.xword 0x3dda4c63bc063cd0
.xword 0xaf87229715bd4b55
.xword 0x5e0060e4268634fc
.xword 0x4a61d159d14b2ac6
.xword 0x67f5b86cfa93e15a
.xword 0x9806e5cb2b41e30a
.xword 0x276dc680ca1c2c65
.xword 0x018921afc50a487e
.xword 0x574be60847492ee7
.xword 0xc41cd8eb8c4152b5
.xword 0x4bf72f74c3e3b672
.xword 0x8bf7df5637c0da41
.xword 0x19635f2c384b7024
.xword 0x3aaa195d1804fa9a
.xword 0xfe7b0eaa1a5d0b4b
.xword 0x887b63ef57e1162b
.xword 0xa8caa505d7943672
.xword 0x068c7af7cdac8967
.xword 0x1c5e4176b6d3f147
.xword 0x69961c95c3283b92
.xword 0x227f14a8b76198e2
.xword 0x36ae1e0a3eddc135
.xword 0xd3b48e55719009ad
.xword 0xbcec536343d58b03
.xword 0x75ac57731796b542
.xword 0x3ea61f110d8fee6f
.xword 0x562351f936e8cc8d
.xword 0xd60b4b84004357d0
.xword 0x85039b2bd612e3f8
.xword 0x8b02f6fffdf6642b
.xword 0x655b85a29789569d
.xword 0xe7ae77b7527dea5a
.xword 0x445e2e3b320727e8
.xword 0x66915b4727e7fcad
.xword 0xbaa3933829c7e6e6
.xword 0xa3d00cf5e30aff36
.xword 0xdeff80ade1445b74
.xword 0x85b4dd24d04e3150
.xword 0xa9cd42bf3968da5c
.xword 0xd89aa23bfe614c29
.xword 0xfe5c46fefbe30077
.xword 0x0702f3e20304e87d
.xword 0x746ca9e044f5e30c
.xword 0x4842e049258829a5
.xword 0x27cb4f07355be1b7
.xword 0xef8009b76c291971
.xword 0x04f8d16cf7952ca3
.xword 0x488e7cd1e1ba4e46
.xword 0xb801102f3553e2f0
.xword 0xa6c03143f89df121
.xword 0xf5c3e92a7c4d5170
.xword 0x84dab6cda4519981
.xword 0xb6a0f98e0cab6105
.xword 0x387b455c2762bec9
.xword 0x9f5dd1fba64b7301
.xword 0x3af5417adac0eb85
.xword 0xa4360bc31271182c
.xword 0x40ae2142f183c2de
.xword 0xf43fa76ccfa7b688
.xword 0xfad5db8e0960b57e
.xword 0x8306a2e5144dcb92
.xword 0x27665d1d8e530155
.xword 0x44eda9f04b39675e
.xword 0xc0dd9a087079e3df
.xword 0x1fd9980b39f7c30e
.xword 0x3d80a7dc4eed71ec
.xword 0x709e1eb44ef31005
.xword 0xf057c6cb1a421a87
.xword 0xae8bb7a24220e3fa
.xword 0x1a1722f5dc275deb
.xword 0x38f90eece7df17d9
.xword 0x59d4af2e573487ae
.xword 0x7afe81a79c951d9b
.xword 0x40418747d490b670
.xword 0x5d85ab13aa407e17
.xword 0x9605948e3698015a
.xword 0xb87d30e418241d97
.xword 0x21902d7ff260e0e9
.xword 0xc44ce7ac818bc856
.xword 0xf8d5bdbf3e045d67
.xword 0xc5bc749f6b320b8f
.xword 0x1bf270249a8afc6d
.xword 0xcac71224982e9869
.xword 0x1654fbb212c1405f
.xword 0xdb92f9e089694125
.xword 0x502c4734865d844d
.xword 0x46e372121cf28971
.xword 0x68a3f5b62790770f
.xword 0x497f059e7cfefacc
.xword 0x2ee82502c658367a
.xword 0x56b16ed00438482c
.xword 0x4520222af80c57df
.xword 0x32b8d801ef303cb4
.xword 0x0f91994810637335
.xword 0xb8d9299b1c3fbc8e
.xword 0xb28154414d1b77f9
.xword 0x506a2c4a9128eb32
.xword 0x185b9541905a3a0b
.xword 0x77ebc6d732c741ac
.xword 0x1903cf46ee3848f2
.xword 0x6c3b4f2174cf8da4
.xword 0xa5ef57f0381d8cae
.xword 0x082ef8f9173dab7d
.xword 0xe818c376cbe54ed8
.xword 0x1949bbac7063f6ea
.xword 0xe9f9d1952de1e833
.xword 0x5a562655998104d6
.xword 0xf982485ae089c202
.xword 0x28d44e9d1be3196d
.xword 0xc256c51e517489cb
.xword 0xabd420bfd79eab4a
.align 16
_t1_des_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_des_auth_key:
.xword 0x0a9c045c845d2e18
.xword 0x932b8dabe61366a9
.xword 0x75468327871ca554
.xword 0xdcc53b22bad0c31a
.xword 0xbb65d890809ec1d4
.xword 0x9f2fe2d4e76a5d4e
.xword 0xd7accfddda2c4165
.xword 0x7c5c5f37990788f9
.xword 0x238a96892891c7a9
.xword 0x27352e85b3921749
.xword 0x82a8582c09cbad54
.xword 0x4773a5f40e116fc2
.xword 0x3633d35021dda42e
.xword 0x0a471dc243a55a28
.xword 0x65b38af3ffe4add3
.xword 0x9a50ec9c1941d901
.xword 0x176f6b0541a974a0
.xword 0x552fa7a564df772f
.xword 0xc6795fd70a39dc63
.xword 0x05763dafa6a56ac5
.xword 0x09d1319a21ce9fd7
.xword 0x014449e7b31664d0
.xword 0x9411d0af7d12d450
.align 16
_t1_des_auth_iv:
.xword 0xa15984d6b3de76f7
.xword 0xbb7d9a3925ef474e
.xword 0x8b867bfa4c5e7bf6
.xword 0x482e01c2eb502f88
.xword 0x9dc7e5ced0c42639
.xword 0xd407de5a073d8e9c
.xword 0x6b4dcbc687cb7590
.xword 0xbc899863fc10034a
.xword 0x082cb0eabab73c11
.xword 0x513fb66b15534ddf
.xword 0xbb8f21589415b402
.xword 0xf7ae7ed136d1fec4
.xword 0xffcd3206cb17c7d5
.xword 0x9dbf63216f560849
.xword 0xbc289485392d8cc4
.xword 0xe973a8293e8fbd31
.xword 0x1b1f0bc664141755
.xword 0xda5d35e9f66d0d42
.xword 0x1ba190caec3a7c43
.xword 0xb25a0ae1c40c6f76
.xword 0x9f9e924f63607f0b
.xword 0x416c66270d7df0b3
.xword 0xfb6796ebd96b9915
.align 16
_t1_des_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_copy_key_array:
.xword 0x1c2f4dfc6c3278e6
.xword 0x85af9504e8e35dde
.xword 0x40463dfa40f56f35
.xword 0x6437f4356b3c9ebe
.xword 0x81aabfb049042cff
.xword 0x35c4b3822321dd08
.xword 0x790a69b2658b1701
.xword 0x14a71fe5351ff241
.xword 0x981951c509f1599f
.xword 0x24583f775eca808b
.xword 0xb55cabcd2f923da7
.xword 0x7a1fc29db8e2f430
.xword 0xa6c3d01057074562
.xword 0xb64622f7dc095cd3
.xword 0xf25c9fa610aa7022
.xword 0x64fd774565df5f10
.xword 0xf86ac83553ed57b3
.xword 0x820aa9f0534ee970
.xword 0x304a361dcaf0df02
.xword 0xedf238fdae8c66d6
.xword 0x8588cf39a1336853
.xword 0x521e4ba79859c7f6
.xword 0x26db79873ad0b0f9
.xword 0xe14e1696b10930b4
.xword 0xdc685e995f2d1de0
.xword 0xfcbb2dbcd9fc35c9
.xword 0x886d95abcc8e1e88
.xword 0x8f2a64034c15fb55
.xword 0x64bf196bc58b6579
.xword 0xc010715ca71db9b0
.xword 0x1edccc9be4837995
.xword 0x4ac540bab9eba963
.xword 0x40a19f5f9feb6466
.xword 0x544e7df3c06602c5
.xword 0x17e02717e83a96fa
.xword 0xce20751d5e2fece7
.xword 0xe0cdc25bb4bc4624
.xword 0x6264a9a36da2d78d
.xword 0x4b35de16c701a514
.xword 0x2be91d65d149557b
.xword 0x588e37167ffcc6cb
.xword 0xc1e09460433a8de5
.xword 0xfe16bb15018b271f
.xword 0x34d65cb1501a2bef
.xword 0x598abb6db89ca610
.xword 0x711e52d63512e933
.xword 0xf7f02902a2de8751
.xword 0x460254bef42f5394
.xword 0xc7f5c9f612c8c517
.xword 0x2204572e7331cc68
.xword 0x656717e24aae54ed
.align 16
_t1_copy_iv_array:
.xword 0x10b911935dd2b4da
.xword 0x1e0db243a46b23b1
.xword 0x810211ae463b7f55
.xword 0x306a3d2c8d909147
.xword 0x1bd8fc6870f562f6
.xword 0xb6216df080b38adb
.xword 0xafb00e158486deee
.xword 0xa3b8036ab1b1fd00
.xword 0xa7e75a08f7d6dc63
.xword 0xfc9627b01983ca3b
.xword 0x1a96f7dc849cbb57
.xword 0xc6308bd6ccd260e3
.xword 0x45ef32e7fbea8eba
.xword 0x09125ef09c4f0625
.xword 0xd199e7d1c4a20bcc
.xword 0x105517e904ccc9bc
.xword 0x2182cee4f4970558
.xword 0x6fe520241245be26
.xword 0xa4a3d5c14f6e734f
.xword 0xc1688466350b0475
.xword 0x367109851120a489
.xword 0x77b75110df52079b
.xword 0xa0881555e3b2607d
.xword 0xf85fa8c9cc4be66c
.xword 0x4b61ec0430305674
.xword 0x2bd10bd1c1010255
.xword 0xd1fa5ec35396cc75
.xword 0x7a74ba75e086653f
.xword 0x1b88f4adc47dbd8f
.xword 0x5b20505d4a65ffc8
.xword 0xa737ed3b1e913ae6
.xword 0xdcaed76a068af7ad
.xword 0x0b861013349d77f4
.xword 0x8528004a9dd30018
.xword 0xd4fe518d855ad303
.xword 0xca0dcf7302fbc275
.xword 0x27498b08ed38dd1f
.xword 0x44f033fe927cbf89
.xword 0x3e5bda8dca94a658
.xword 0x6f314f1579c0475d
.xword 0x56cdcd53055895bb
.xword 0x1b681ccc21cd58ec
.xword 0x3e18277d2176d9ab
.xword 0x4a5dfe8ad1244861
.xword 0xa1bb8b2bdfe0f676
.align 16
_t1_copy_alignment_array:
.xword 9
.xword 7
.xword 14
.xword 4
.xword 12
.xword 11
.xword 5
.xword 0
.xword 10
.xword 1
.xword 2
.xword 1
.xword 10
.xword 13
.xword 6
.xword 2
.xword 7
.xword 12
.xword 8
.xword 9
.xword 10
.xword 1
.xword 2
.xword 13
.xword 3
.xword 15
.xword 12
.xword 8
.xword 0
.xword 8
.xword 9
.xword 6
.xword 10
.xword 6
.xword 8
.xword 2
.xword 11
.xword 1
.xword 15
.xword 11
.xword 1
.xword 2
.xword 7
.xword 8
.xword 7
.xword 13
.xword 10
.xword 1
.xword 11
.xword 7
.xword 15
.xword 3
.xword 13
.xword 6
.xword 1
.xword 11
.xword 3
.xword 13
.xword 12
.xword 3
.xword 1
.xword 0
.xword 8
.xword 15
.xword 7
.xword 13
.xword 4
.xword 13
.xword 9
.xword 6
.xword 15
.xword 9
.xword 13
.xword 15
.xword 9
.xword 4
.xword 4
.xword 6
.xword 6
.xword 6
.xword 2
.xword 8
.xword 6
.xword 15
.xword 2
.xword 3
.xword 15
.xword 7
.xword 13
.xword 0
.xword 8
.xword 14
.xword 12
.xword 7
.xword 0
.xword 12
.xword 3
.xword 13
.xword 7
.xword 5
.xword 2
.xword 4
.xword 2
.xword 9
.xword 3
.align 16
_t1_copy_src:
.xword 0x26e421123c47f3ad
.xword 0xbfba2cf762cfba41
.xword 0x74e4700ef74c01b4
.xword 0x993799dfebbb2d31
.xword 0x542f38e2b4db20cc
.xword 0x7af1748a9b6caf8d
.xword 0xacc1126f6f978af0
.xword 0x25f7a856a5343318
.xword 0x08d64905fab4d97c
.xword 0x52524f54a4533faa
.xword 0x497391e02d9cb18d
.xword 0x99e5af183b3e20d2
.xword 0xae0b4c43875fcbfd
.xword 0xf7fd559e020fdeaa
.xword 0x43643884625b8667
.xword 0xdc7b81b6e9f5ee07
.xword 0x19154871d14b5c61
.xword 0xbb7fe197502fe88b
.xword 0x27c147e676cbe726
.xword 0xca4283503a03bd61
.xword 0xf7057f7be4d14c41
.xword 0xcd07c840190aed98
.xword 0x025e23de4bb228b6
.xword 0x37e913fdc9a3e2df
.xword 0x9715b5e53bd4847c
.xword 0x39f56249387a9936
.xword 0x70bb48fe4c49700e
.xword 0x936bf28c5e409677
.xword 0x5cc3a7697734dc29
.xword 0x6ba9c384f4cfdcd9
.xword 0x078160ea7849a4d0
.xword 0xc919c383355ea4d3
.xword 0xaeba0b29cc1992e9
.xword 0x80b6fdb24c2e4c54
.xword 0x97207b2bd924304e
.xword 0xde534280988ed5b3
.xword 0x6a489935133d234d
.xword 0x502dd3aa5cef0dd2
.xword 0x746337b229bcaf7c
.xword 0x1fe0091fc6333a79
.xword 0xc415715498d262fb
.xword 0xc14152ff60a6f096
.xword 0xef015fa75842dd21
.xword 0xd26508cf09a05cf7
.xword 0x71fbe9ee8960a6a7
.xword 0x2580079c2c0df212
.xword 0x51f6e7c12fbe634b
.xword 0xf9596f98802c6bc6
.xword 0x01c2b3fa5bbf3a72
.xword 0x87afe5f41b0be172
.xword 0xf61f3c0a5db65137
.xword 0xc0e3d427f7f54780
.xword 0x471c17f3937f248e
.xword 0x8b0052bb4917a968
.xword 0xca9f9cb2a3e314ba
.xword 0xc2b5b60a5a0d6558
.xword 0xe9a21812ff1bcc81
.xword 0x5d3dcf2ae34047f0
.xword 0xdfb1f6df299ccc1e
.xword 0x7f171437409342f0
.xword 0x579d0661b6ac1af0
.xword 0xc7e8004ae15839cd
.xword 0x787198511c2876bf
.xword 0x64345cceed40edf2
.xword 0x8b93014880253566
.xword 0x48258668be8a658d
.xword 0x4fa67d8c3cd4e558
.xword 0x6a0f8addff0199ed
.xword 0x54af2bf213d5a0bc
.xword 0x0fc2f92ee36daed6
.xword 0x409f90e83f09f79c
.xword 0x52e3e106002ffe95
.xword 0xe834b753c6259cee
.xword 0xb35a4e845ff55e6f
.xword 0x5b92ca33de88dd43
.xword 0x19487cdd42bc03a7
.xword 0xc2c3ea4b6043aa48
.xword 0x0da93da4a05c8222
.xword 0x75d4eb619c28f50e
.xword 0x64d3f16ff845d6d0
.xword 0x74eebbf00ede23cc
.xword 0xa49992afa98cbdb0
.xword 0xea90e4180884f5db
.xword 0x9e2b822edf407008
.xword 0xe25fb40cb30b7a3b
.xword 0x9dd9c4f9e645efa4
.xword 0xf345a1e7f8b9c435
.xword 0x10b8d49b054279a9
.xword 0x1d94804b86392459
.xword 0x2c7c75a79a64413d
.xword 0xd7fe9fef68487762
.xword 0xfc30d17242680086
.xword 0x2a6df85b1bc4b28d
.xword 0x6956c23877ee9caa
.xword 0xe1b6f4e80127deea
.xword 0x19ab7b9d5d307520
.xword 0x4d903deb5bf981b3
.xword 0xe4c1826c30943356
.xword 0x3396be4f9ec8fecb
.xword 0xb8674581218820ea
.xword 0x3a2f37f9c709dcee
.xword 0x92be1e52d3a68330
.xword 0xc7c23eb7b52a5b89
.xword 0xff72356764a2b135
.xword 0xf99fcae27826f475
.xword 0x3f51b5bae9ca6c07
.xword 0x9c2dbd7ba90c7434
.xword 0x43aa147418669d48
.xword 0xa5502f776b2b5cad
.xword 0xf676a60c0cbbd838
.xword 0xbda205012e6e70e7
.xword 0x7b0c8629c390ecfc
.xword 0x710e4a3e85b50b89
.xword 0x39f341d0e7a75ed8
.xword 0x6a6115f0d3d9399f
.xword 0xc331111a12ae99b8
.xword 0xd976aa46f9147154
.xword 0x7b6fd7fef56286e5
.xword 0xdf2ddaaf20dd8a11
.xword 0x1467603190e5059b
.xword 0x69500c56285e7e77
.xword 0xf23cac453e3f6f83
.xword 0x1f4df5c548f58f75
.xword 0x0f07b336e6bafcb2
.xword 0x52c85fe9da954c20
.xword 0x98e93d51f2a5a778
.xword 0xdab064eda8112b17
.xword 0xcd7b7b80292ae8a7
.xword 0x924f1c95dc71e9af
.xword 0xdbc8004acbe5270c
.xword 0xba9f6401d913f7de
.xword 0xaa22fdcbf3880567
.xword 0x501348e638268f34
.xword 0x4c4971c0c3a888d5
.xword 0x769320b3069cb380
.xword 0xa57aca3c915760f3
.xword 0x72823eb0e23a9e2a
.xword 0xf77feea9f1c0a285
.xword 0xa8dfdff34954e0a9
.xword 0x0d4b7c9266bec26b
.xword 0x13ef8cfd296add08
.xword 0x75ff5f02de41d9d9
.xword 0x4f36ddd2d095a28a
.xword 0x2e34c827297dba5f
.xword 0x6e011eb75780c6de
.xword 0xa0086e94b93504d2
.xword 0xc113dd50d194c54c
.xword 0x3975199802ec5493
.xword 0x9ebdcae8c9357b1f
.xword 0xe3855894423eeae0
.xword 0x147812beb7d7467a
.xword 0x33f37d823829168b
.xword 0x9cd27119ec2b25d9
.xword 0xf656fea3c1eb1495
.xword 0xf16da61773eeb345
.xword 0x834e76549b1071de
.xword 0x566902e028518ce9
.xword 0x5007d473fdd86cb9
.xword 0xda11da1688750945
.xword 0x3f73c78ad9f6a6cf
.xword 0x51a43e708f53bdc5
.xword 0xe1b2f1a60015bb94
.xword 0xfcb1895d71824968
.xword 0xa5707549bd88a8ca
.xword 0x9e1eb071b41e7b54
.xword 0xe13d57e0380c2789
.xword 0xd3070e8f32a0938a
.xword 0x241c8984d9c5fddb
.xword 0xacf6193ef464db90
.xword 0x0beafb6dceaec947
.xword 0x2a51c14c5b008dff
.xword 0x162f84ba34bb53a4
.xword 0x4140865a4240ffc0
.xword 0x0ba73b8d0d8b8cdb
.xword 0xa590af52260c1b71
.xword 0xbacf7f42e76eb219
.xword 0xac43d080c55a597e
.xword 0x7151bbd421c53053
.xword 0x57750d99e445937d
.xword 0xd6c85357b12f691b
.xword 0x4f518d229ff66c43
.xword 0x2769f41f25cbdb5e
.xword 0xf75ba6b5db66c184
.xword 0xafb5429ae8c189e0
.xword 0x646e01436b0c058b
.xword 0x3d64afda86d90ca4
.xword 0xd52e6afd7f6710a3
.xword 0x3aa50622a4185f61
.xword 0xbf7b47d627714e05
.xword 0x095f39ee7b758e2c
.xword 0x613c4619c5d84cda
.xword 0xd31b71583035a883
.xword 0x3bdae65803f58b7d
.xword 0x9c1869ddec789dfd
.xword 0xd12d994066b017e0
.xword 0xb2bc7967854e7c6e
.xword 0x0a1d65fd9af63614
.xword 0x8040165488f293b4
.xword 0x48247e770bf031e9
.xword 0x7138031aba0eac86
.xword 0x35d21d5ee460cfbb
.xword 0x5c24253edb2d15f7
.xword 0xf3e5e2746046cb89
.xword 0x465bb1d61422d2eb
.xword 0x55d387b6e520d9b1
.xword 0xb84b5eba0fb48193
.xword 0x350c7642748a6f2e
.xword 0xd8421ba07612b666
.xword 0x063354522c100dd7
.xword 0xb11b7980b9d17fa6
.xword 0x15f645e0a12806f5
.xword 0x9b67bc50282065e2
.xword 0x6c3e929f3d70e6ae
.xword 0x8411aeda8d1a3ce1
.xword 0x25b583125911fe29
.xword 0xb83bcda030e2e5e9
.xword 0x372cfd31697b893c
.xword 0x0f954b7322bcf4b8
.xword 0x9509d618cf67ef5f
.xword 0x79759c1332d0c666
.xword 0x1ddd29dc9845ee2d
.xword 0x2f90e62f6d9dc187
.xword 0x2937285ca39119a6
.xword 0x02fd77630bf0d6ff
.xword 0xd0c930f040dfc1d9
.xword 0x66ab3d85a590cdf5
.xword 0xa9aa4ce64471167f
.xword 0x55a88c5bba8b7a96
.xword 0xbc46f6382eb77ea8
.xword 0x2da02eeab013f3e5
.xword 0xac6658435c1d59e4
.xword 0xfd1c8dc66c125e1d
.xword 0xf0b1ffd54c79934d
.xword 0x6cda9e2400c887f7
.xword 0xbdd325eb3180774a
.xword 0x2208544a3ef5930c
.xword 0x655f4bfdee54febc
.xword 0x8eba104ac334ee69
.xword 0xf26b513a931163a6
.xword 0x77237aeb7d1d7211
.xword 0x275bd6b2f6b9b3c2
.xword 0xe5dc4a92c698953f
.xword 0xa5fc41d7f4d53ab0
.xword 0x8491220f335183de
.xword 0x7c1ddf86c2821bed
.xword 0x2b73650415404339
.xword 0x58356e327189872f
.xword 0x5492465d2c4bf806
.xword 0x2d4702ef22a3b89c
.xword 0x8a9801c907d710f8
.xword 0x511cda24f0ccf655
.xword 0x5d5e6353801c0665
.xword 0x7238fe503047512b
.xword 0x0deda3915bd26a31
.xword 0x7b39a9f07af4d655
.xword 0xe095dc9a558a16b8
.xword 0x511919bfdb13d9b5
.xword 0x7c5d87aa3bc48359
.xword 0xeb61fa283d941349
.xword 0x6eabfd4d359341ce
.xword 0x7f2fb4ebfde7d8a2
.xword 0xcbe1ab3c3cb101c8
.xword 0xf954bf8eb938ced4
.xword 0x6b628192d607f69a
.xword 0x16821f5317038fbe
.xword 0x27cfee92c94ed450
.xword 0xf5adcaf277fa85de
.xword 0xa64ec43e2ae520c7
.xword 0xc46800be21ac765a
.xword 0x0327376ec136b1b1
.xword 0x84995af0689dc2cf
.xword 0x23a616051117dd24
.xword 0x0c2a359d2e364889
.xword 0x477cac80fb7498df
.xword 0x6c26b1231acda657
.align 16
_t1_copy_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_copy_auth_key:
.xword 0xf4209012b4df534b
.xword 0xf9ba2aa9fd9b42b0
.xword 0x3ea2c6d270a15840
.xword 0x932acbb441ce0196
.xword 0x9bf22bd0b48330ae
.xword 0x2441469abc521211
.xword 0x2cbf9c5198f18f5d
.xword 0x644bd36768ce1c42
.xword 0xb74ccfb557a7a107
.xword 0x37021376190b7ba0
.xword 0xcd5fcf966c2d458a
.xword 0x7f0d597ebf0e93b2
.xword 0x44189acc333b993d
.xword 0x28cbcf3d4aed253b
.xword 0x6298182880bdb877
.xword 0x45882b3b6b94203d
.xword 0x206eb6264a78dd2b
.xword 0xfd4a1b0cd774bf39
.xword 0x92b31ee1482091af
.xword 0xad5d2e1cce6747dc
.xword 0xe2c7d25f3c12b198
.xword 0x233c17d82691fef7
.xword 0x9f86a7d3ee3a000a
.align 16
_t1_copy_auth_iv:
.xword 0x30ab57d0aac65798
.xword 0x87562d91e6b5193d
.xword 0x25874af83f8d8674
.xword 0xe3dbe88ff0701104
.xword 0xfea70a12ac131a74
.xword 0x07027dc27aaec7eb
.xword 0xbe72e07f36bab62e
.xword 0x0a0fbe1b566cc2fb
.xword 0x41c89b242b095720
.xword 0x62e469e42e271762
.xword 0x6d51f1d83720d7fa
.xword 0x9997ae0cbba0de3a
.xword 0x6fe54b72c6e90349
.xword 0x061bec7930042383
.xword 0x796f6605b42a3198
.xword 0x7f5cd709e589490c
.xword 0xdfd8626f86b61b3a
.xword 0x73079d36ae937cb9
.xword 0x217ac98820508646
.xword 0x09addbb027ac3379
.xword 0x427577a650dc87cb
.xword 0xb8301e43562cb40d
.xword 0x126b83a525e21a3c
.align 16
_t1_copy_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_crc_key_array:
.xword 0x6588559a201ba33d
.xword 0xdee8874a9abf4d6c
.xword 0x83e2f9a5d1172b25
.xword 0xec2fc8f71d4beebf
.xword 0x17e1046bf98c08b7
.xword 0xcf5ce46b85649c21
.xword 0xe716e7f6fdd345cc
.xword 0xd96f9c18c9082ae8
.xword 0xde54fa563f1589a8
.xword 0xa1a47e25dceb97e0
.xword 0x536a308e31e71589
.xword 0x06fd87859ddd3f74
.xword 0x4407d048b85e6c12
.xword 0xb55f007bb310c53d
.xword 0x60973cb96f9f85f0
.xword 0x008104624d8d913d
.xword 0x4cf91f2976af40cb
.xword 0xccea82a494ed2c50
.xword 0x687f51cffbb671a6
.xword 0xd13246a53fe9b214
.xword 0xe0edafe73bf28fbd
.xword 0xc1520dee1580ca1f
.xword 0xf1a79dc42c0d32dc
.xword 0xf2bfed8563505da7
.xword 0xf6d1ee72db176b1d
.xword 0xde32ab1a4fcc6400
.xword 0x8ec3e6331ef12b21
.xword 0xeae893deed1f582e
.xword 0x2ae9be957983519a
.xword 0x66c67bc2bc6122fa
.xword 0x43d663c0f441867f
.xword 0xe5266080fc9a158f
.xword 0x09c303de33d6b686
.xword 0xefb3ef9434b60ccf
.xword 0x4d72f98f73e8cc1e
.xword 0x5c090f6781660f43
.xword 0x2a0de71506de6282
.xword 0xced6c1c391ec6f7c
.xword 0xe00ed5a480f813b3
.xword 0x8a6f1199eb363a2b
.xword 0x73ae1c94d2146d97
.xword 0x13b95789a9f64d87
.xword 0x4fe7e9076ffcb538
.xword 0xe95f06a0fb8b22b9
.xword 0xf9b70b5bec30cde3
.xword 0x18a8fd5eeebffad5
.xword 0xe55f923bb7e35413
.xword 0xfbf48a188060b587
.xword 0x8abbedc84dfdae40
.xword 0x813e3e8edc7e1d64
.xword 0x29514a555b2bce9e
.align 16
_t1_crc_iv_array:
.xword 0xddc50a82ecb09e57
.xword 0x8d4e6c9293f325c2
.xword 0x6c6bb46b281bfe85
.xword 0x4382686c84acc935
.xword 0x273826146d3cbbe5
.xword 0x14a46c47f2c41c8e
.xword 0xa3ec39d487a94f51
.xword 0x24195f831d5cf922
.xword 0x30ac9403ce1cc846
.xword 0xd8b8bf9bf29e14a4
.xword 0x5fe4aaab0ff3d10b
.xword 0x8ddddfb46285000e
.xword 0x9d1fc161227c53f5
.xword 0xb3004883e36c6eaa
.xword 0x67e8e73f09c4196e
.xword 0x150fdc69eed94ec2
.xword 0xf4a9bcae098b73b6
.xword 0x0e4a46a35ac3ac8b
.xword 0xcba80693ddfeaf31
.xword 0xfee7c54c9b25de4b
.xword 0x864b252d92ad333e
.xword 0x1986eefa3a7d314e
.xword 0xd6a17d697d131d60
.xword 0xecfe4d03b545a466
.xword 0xb7f11c5df89104c0
.xword 0x2c32a14650c7489e
.xword 0x38efe767c7f7b4bd
.xword 0x2bcb331ce3b82312
.xword 0x423489e948732524
.xword 0xb56f857716acfcfe
.xword 0x84a05f3fbb83faf2
.xword 0xe06cd434355bb1d8
.xword 0x3e44c266ada80af1
.xword 0xd4fc8b96f6af542d
.xword 0xa10ef453cb520d58
.xword 0x15cea9882ff36b71
.xword 0xcd228d93a7434aa3
.xword 0xffcda16889ed7d59
.xword 0x77bff9dae2dc821e
.xword 0x495ebf71a9bca973
.xword 0x7a01f0e294c68677
.xword 0x3d100e9b98eba30a
.xword 0xb3391da3e8f8b01f
.xword 0xf7655fe39e90898f
.xword 0xf38596a6f4b65607
.align 16
_t1_crc_alignment_array:
.xword 11
.xword 0
.xword 0
.xword 14
.xword 7
.xword 2
.xword 15
.xword 15
.xword 9
.xword 10
.xword 11
.xword 8
.xword 12
.xword 12
.xword 1
.xword 15
.xword 2
.xword 11
.xword 11
.xword 6
.xword 11
.xword 7
.xword 13
.xword 13
.xword 1
.xword 11
.xword 9
.xword 13
.xword 3
.xword 2
.xword 6
.xword 4
.xword 14
.xword 1
.xword 5
.xword 6
.xword 1
.xword 12
.xword 3
.xword 7
.xword 9
.xword 0
.xword 3
.xword 13
.xword 9
.xword 15
.xword 13
.xword 5
.xword 6
.xword 5
.xword 14
.xword 6
.xword 15
.xword 6
.xword 3
.xword 3
.xword 5
.xword 1
.xword 15
.xword 15
.xword 3
.xword 7
.xword 6
.xword 4
.xword 11
.xword 12
.xword 13
.xword 2
.xword 2
.xword 14
.xword 6
.xword 9
.xword 0
.xword 10
.xword 15
.xword 4
.xword 6
.xword 12
.xword 14
.xword 3
.xword 13
.xword 15
.xword 4
.xword 11
.xword 5
.xword 1
.xword 8
.xword 12
.xword 15
.xword 7
.xword 5
.xword 0
.xword 1
.xword 9
.xword 12
.xword 1
.xword 4
.xword 9
.xword 2
.xword 3
.xword 2
.xword 4
.xword 1
.xword 7
.xword 2
.align 16
_t1_crc_src:
.xword 0x1f7e5af71a8167f9
.xword 0x776426927c31eeb0
.xword 0x575ec78aea36105f
.xword 0x9f53b062b321feb2
.xword 0x15e82ba105d42bcb
.xword 0x47ecd03e45124c86
.xword 0xbfee81bf7f14e38d
.xword 0xa3cb3becbce0ef99
.xword 0x5d89ae1549271a9f
.xword 0xd9364f1e08276d6d
.xword 0x552b87687001b1c5
.xword 0xc37fe58f69e08fc4
.xword 0xd5a4cf456060ad4c
.xword 0x9aac670d42559106
.xword 0x7ca8553bce9c8877
.xword 0xc3064696e966ad4b
.xword 0x241d6cf64f0d4fc2
.xword 0x7f1e9e64cd6ee88c
.xword 0x9654a6353c7c0b90
.xword 0x563dccbcc75da83f
.xword 0xc5680648c4aacbeb
.xword 0x7f88108ce574b46c
.xword 0xeba8fbbafdedb393
.xword 0xe385aba2e8e64289
.xword 0xc4ab154a232d0734
.xword 0xb03d3ded0ba135e7
.xword 0xc4c73157a023e76b
.xword 0xc57a192014ff7c73
.xword 0x4b2253d14309f794
.xword 0x28e2e57642425d65
.xword 0x832df6353c400c53
.xword 0xb003eeb3b55a6857
.xword 0x7e69b782678c7ff9
.xword 0x2eadeebd6bc516ce
.xword 0x530d2a911f2fc871
.xword 0x410e7c180212ab3c
.xword 0xcbeb3b370a864ec6
.xword 0x1d3efbc6d4f46b52
.xword 0xc30b859b8afbf6ed
.xword 0x1f0d9f562070cf6b
.xword 0x9bd18baf41e29c5e
.xword 0x12321f9c2c13c696
.xword 0xaef0dcc4dc0d2035
.xword 0xb79c0f8d9421531d
.xword 0x142fc4a8008266c0
.xword 0xe8f68e5495dfd807
.xword 0xf0e8e2d03a2fadcc
.xword 0x09c61bf9ff1ea3ec
.xword 0x01d98d4f1aadd1a3
.xword 0xcfbaf2389354e3eb
.xword 0x0b0fdc2772394cff
.xword 0xd2651689d4accc29
.xword 0xbfbda9201a3e1422
.xword 0x5fe702dfeb9cd352
.xword 0x1c632503bace3352
.xword 0xf27f597d3c33fe90
.xword 0x832d846c51a0c168
.xword 0x76ea54c69d4d3cc0
.xword 0x9527afe7ce9859b8
.xword 0x87748a81d8abc006
.xword 0x189e79c649c92a93
.xword 0xe57d85a06ef9eade
.xword 0x6e881b5cd5cbcc8e
.xword 0x6f40f92b8de79c7f
.xword 0x61f5352e836d8296
.xword 0x5ead2ccb24926b45
.xword 0x7b6fc82e19576cb7
.xword 0x83eb9cd32fa9820e
.xword 0x309d311c251cfcf3
.xword 0x93320c3b6c6a6eea
.xword 0x9ffcbc827b50535f
.xword 0xaad566249a9f5afc
.xword 0xb429c57b17aaaeef
.xword 0xb1358302d3c95039
.xword 0x70c174625f4a9d2d
.xword 0x545088aac18046a8
.xword 0xed295710bda18e09
.xword 0xc2c27851a1f23b89
.xword 0x3f0c157954f78660
.xword 0x0db7d3eee2454b32
.xword 0x3be289f03518f937
.xword 0x947e83fe57145c63
.xword 0xca88cc568f7b48fa
.xword 0xa638ad3a7631f187
.xword 0x3fd923f5ee603544
.xword 0x6303589b46275e64
.xword 0x9ba06fc0f56b1f24
.xword 0x2132b8416c525182
.xword 0xc443fdcc851bf969
.xword 0x5dfb96af347e227b
.xword 0xc9957440afec5add
.xword 0xdc5e4ace2efb0100
.xword 0xe5a6b1c7e4704a60
.xword 0xf63cc5a1d8aaf90b
.xword 0xf1c711c2a3ff31d7
.xword 0x074ccf5e17133614
.xword 0xc79ab919a08d4d94
.xword 0xc03d8dde024ee4aa
.xword 0x8b4ab4450d145897
.xword 0xb7104912f541bbf9
.xword 0x7ec14e3658ce876f
.xword 0xcf8cde00a21ebea8
.xword 0x08267f1a6c112776
.xword 0x95a0cf2a7696f253
.xword 0x7b91e50f162ee89d
.xword 0x126592119bde1f09
.xword 0x3395249e911f63b2
.xword 0x8596155a020da7d1
.xword 0x7bfcb0b8ff7aa804
.xword 0x9e4f9f1ca11b6fd2
.xword 0x401b72844e2d34d1
.xword 0x61efe2b111708406
.xword 0x31fb13146fe1cfb7
.xword 0x7c1e1db25a84b76d
.xword 0xded972ef95fdc2fe
.xword 0x37b2475430a7678c
.xword 0x151f65db0c24f608
.xword 0x0bd872245fc22583
.xword 0xfc1a674d61c634f7
.xword 0x619428d32154d965
.xword 0xb399f0eb918f18fd
.xword 0xe69ea660224b1e7a
.xword 0x778c0299fb7ad7b7
.xword 0x90155c1b06adba55
.xword 0x81472d1faeb959a4
.xword 0x7642bcb82e6fa9ab
.xword 0x3147a0944b0662cf
.xword 0xdc19e267a2468376
.xword 0x378de47ac86c1d37
.xword 0xed3fcaac0825cbf4
.xword 0xa213cfe479f47111
.xword 0x1d85523bf02c9b8f
.xword 0x81f958e289c54b09
.xword 0xfc7a1952616d033f
.xword 0x39ad0aa1f69f04f1
.xword 0x6cbd7a306adc3ff5
.xword 0x304acc1ae084423e
.xword 0x5d6cd2fa65a662af
.xword 0xb270f492c07b94ad
.xword 0x5359fb0e94f63ec6
.xword 0x3909d93adc11faa9
.xword 0x1c287213159f3719
.xword 0x1275d379700b71c5
.xword 0x424fab84f02adc18
.xword 0xf9ebd36d05e94546
.xword 0xa162793cc34508ce
.xword 0x4c4c033f67c16fbb
.xword 0x1d47a7cb8f18dd9d
.xword 0xedd95ef95715f013
.xword 0xacd9845501bff6ca
.xword 0x234a0a3fa40f4ab7
.xword 0xd1c23eaa69d0053a
.xword 0x10da0125187e65d3
.xword 0x586aa278f72835af
.xword 0x3433d9b8cb7af8a2
.xword 0xefae38b63863c10c
.xword 0xd03ac2aa6e931013
.xword 0x2e6d4bd70f25c7ef
.xword 0x0646843c64308484
.xword 0x5ad0d031d2144265
.xword 0x85d07ba5b08b4204
.xword 0x979bbf48d99a33f6
.xword 0x44ee98ca93243be1
.xword 0x5c8539f8f9690ed1
.xword 0xf67e28c9090457ae
.xword 0xd6dafdc380a79cbb
.xword 0x11882050f78cec09
.xword 0xde0839b2ad883f6a
.xword 0x216dae4d15f959f7
.xword 0x58e482c9cb3cef8f
.xword 0x7c26be38aa283578
.xword 0x1621498d9e929c25
.xword 0x9b9beb0dcc37b3f6
.xword 0x069df43bd8a93e99
.xword 0x1943024bcb3dd347
.xword 0xa95742158e4872df
.xword 0xff37de29daebc7af
.xword 0xfa2ae06a34d539a5
.xword 0x91c1d708e008f373
.xword 0x5ebff019de95d366
.xword 0x180aacdc34787275
.xword 0xeebcb7f37d7d233e
.xword 0xdb376f325154ad91
.xword 0x00a31ed5113f7394
.xword 0x7b352be9fa1a7b26
.xword 0xe40b662da152d30f
.xword 0x82e163e89cff40da
.xword 0x3ed7955e6fe95307
.xword 0xa4691c67d1d05a32
.xword 0xf64738ec34173a1b
.xword 0xea6c2ad85f4cab2a
.xword 0x4b15557af9cbbea7
.xword 0xb104501010818cb4
.xword 0x546b0bea7de7ad33
.xword 0x65b38a242c5690b6
.xword 0x7fa52ad3a90d0d77
.xword 0xd090d1f269459798
.xword 0x579fb726a822b565
.xword 0x5a3f9da4134dde20
.xword 0xb741fed3a4ece4e1
.xword 0x9bfa238dfd50bdd6
.xword 0xc505d2987cb2550d
.xword 0x9c0b24da3e002e9c
.xword 0xc8d0f7d826f52de2
.xword 0x68d649bcbf94e9b7
.xword 0xfbadc89cd8f640a1
.xword 0x850a49782aa0210d
.xword 0xc043c64e261edd20
.xword 0x112184e14a0759ef
.xword 0x4eef48481a5bc45e
.xword 0xd5722de3cec300bf
.xword 0xac38fbf1e3bcdc85
.xword 0x958eb2ea3e4c753a
.xword 0x6ccd3e94acc45877
.xword 0x525c0b49f3fa19e0
.xword 0x6609bf20e34f34d3
.xword 0xe67d45d927f68eee
.xword 0x5d881ee7226630a3
.xword 0xc7970ec98aa8f1c5
.xword 0xe3cfff3fe4330bc4
.xword 0xff6ba1059bdbab0e
.xword 0xfbb457b2ea8706a9
.xword 0xb6997205e3dad8b7
.xword 0x30d0282fb4d92022
.xword 0x649972b0f675ae47
.xword 0x19d7c8fb365f093e
.xword 0x42cfa5c9f8fd911a
.xword 0x1bfb780d6aa2fdc3
.xword 0x0526daec69e43e29
.xword 0xcb3bc53a4165e16c
.xword 0xc201d1c180968aed
.xword 0x6c29110fa01fb62f
.xword 0x3afd0a7e6cabf002
.xword 0x1f3bece604ff233e
.xword 0x0bc300092cde5c71
.xword 0xbdf8b43891c707f7
.xword 0x6172d0515cdb21fe
.xword 0x81045e6baf13c6cb
.xword 0xf554531c62e0cf5e
.xword 0xc54c43183eac532f
.xword 0x66967bc7d8cb4910
.xword 0x9a8de4a7a91cce59
.xword 0x5bd54c420e93e97f
.xword 0x901f09d3a75efc36
.xword 0x4a7151e6300347b8
.xword 0x169a1b58b017ebef
.xword 0x61b9d9752da132d1
.xword 0xe6c79c4bd9d66e6e
.xword 0x3b25c1a17b8e896b
.xword 0xad66a1a383ebb1ab
.xword 0x807e078c48aa150e
.xword 0x66ca03fcd6e98116
.xword 0x22952789b28f4fce
.xword 0x5a84808968c82a97
.xword 0xe07186473f498692
.xword 0x62e09aae28a32130
.xword 0x491ec6a8206bd121
.xword 0x2e35ccba02476898
.xword 0x0adbfa43f872eb7c
.xword 0xbd84b1f27ec5e15a
.xword 0x21a44ddfa679e654
.xword 0x401c078ffb741ce8
.xword 0x6b322b990e33ba57
.xword 0xa6ea29c0b541a1fc
.xword 0x4f385708f1981ca4
.xword 0x58d7e82296387bd0
.xword 0x9ac07f8f4d418945
.xword 0x0e2f7148a6636f95
.xword 0x734f1077aa3c6332
.xword 0x563cd23ccb981f31
.xword 0xccabfc3e6a5b201e
.xword 0x5515ac72a5454152
.xword 0x3ec2621f46156d78
.xword 0xd27477c9bd21ffd4
.xword 0x73939eaf5fe4cd5c
.align 16
_t1_crc_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_crc_auth_key:
.xword 0xca2342a092b834f7
.xword 0x784c5e02dba4fc12
.xword 0x7a231251e4e3195f
.xword 0x37d24aed175f27a2
.xword 0xfc7c91adbce1b4d4
.xword 0x1ffaf56eadb8e076
.xword 0x1cfd064f97900d23
.xword 0xcfca40e86a3ecd4b
.xword 0x3b6104529679eaa4
.xword 0x8c7815c998841053
.xword 0xde98749dd596cada
.xword 0x9c0da8e2b402a38c
.xword 0x9171e46ee7311cdf
.xword 0x0e7bea0638651318
.xword 0xe525075c78cba59a
.xword 0x5dd4cef9c74cfeee
.xword 0x8435fbc892d4c399
.xword 0x606e782f6d845d28
.xword 0xd45926d3aed368c3
.xword 0x19478cc8a0ef9794
.xword 0x7f6af890d61e60fa
.xword 0xcbbd7ac0b14516a3
.xword 0x043373b69b953c82
.align 16
_t1_crc_auth_iv:
.xword 0x65e25d63fb360e94
.xword 0x9bc4567399d502ea
.xword 0x99cf045edbf05717
.xword 0x2ba0019105c16cfa
.xword 0x9e804c1159691690
.xword 0xab08f508ea47e218
.xword 0x12d7dffe8b1fa51b
.xword 0xcae69dd0cce5244e
.xword 0xea72c93d91c7db2e
.xword 0xe720b9bd84cbf795
.xword 0x84fe02f00583b5dd
.xword 0xed96aefa6ed7fb3d
.xword 0x630f18750cdbae17
.xword 0xce431a6d3d9a6aa7
.xword 0xd5ace5e195c813f7
.xword 0xf994675c5e8891a6
.xword 0x85da082a875a11e2
.xword 0x5ec6cc1ca8b1e785
.xword 0x08aa42659035ed13
.xword 0xa7a3de75d31c33a2
.xword 0x0dc12e86a09c0bca
.xword 0x4e1d4782760a3dad
.xword 0xc89aa2797b3c335a
.align 16
_t1_crc_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_hash_key_array:
.xword 0x13db37b35fe5c7f8
.xword 0x22d057c407faac56
.xword 0x15a174a8c0adebe4
.xword 0x38235609ca101ec3
.xword 0xfad68a1d7a025486
.xword 0x0a2de7256464434b
.xword 0x1be8438758c82f82
.xword 0x65bfc1cdf9da3a92
.xword 0xb255af4439f40e75
.xword 0xdcc167cdc96ebe36
.xword 0x1eaa8ccd2140d573
.xword 0xae6f4b2585699172
.xword 0xf44b5d4af0c838b9
.xword 0x4320fc2f64df57ea
.xword 0xc92dc093f3cc91c2
.xword 0xbb4cbf8fe9be63d9
.xword 0xece675fb2fc2aef1
.xword 0x11dad31b56add063
.xword 0x4cd178135619680d
.xword 0x946ced65a8fcbbb4
.xword 0x588d9ccff4e13d59
.xword 0x6a569ce276f65aca
.xword 0x5ed2c6d1b840efaf
.xword 0x433141be9cdf436e
.xword 0x9fa8465e8b679cc5
.xword 0xfe350ed5e07ea77c
.xword 0x40d82908c1f1ac23
.xword 0xb0992a04dab017b9
.xword 0xf72b69e98796155f
.xword 0x3a8de66cedb65089
.xword 0x32516844c1f4dac2
.xword 0x20d2b8985bff664a
.xword 0x628954437a8589a3
.xword 0x27f7a06d0cc96f0a
.xword 0xe903ea41338912c9
.xword 0x9fe0bec2eb623a04
.xword 0x18d6dce0e9709a92
.xword 0xed0be51525187b73
.xword 0x9cdab62b85bda223
.xword 0xe6124f1096c80f37
.xword 0xa311ea0902c32158
.xword 0x5f08e17043bd558f
.xword 0x5cbf90b6e289d396
.xword 0x045c014467abc20f
.xword 0xac207b33f8a2691a
.xword 0x4746f0281da0144c
.xword 0x4061210dd60e6dd1
.xword 0x168d283090b0966a
.xword 0x4835e2fbbb7e3e13
.xword 0x32e821c8ddfb8de5
.xword 0x595d0ee60e873e45
.align 16
_t1_hash_iv_array:
.xword 0xddbe7eaaff6ca74b
.xword 0x8318c068b32607ea
.xword 0xd42fc06e72378696
.xword 0x0e112d1fb54232f3
.xword 0x013ecfa029680783
.xword 0xe6fabfddf9279cac
.xword 0x35037ef334f727ed
.xword 0x241b1946dda2067c
.xword 0x75c846134893a6d3
.xword 0x494058f72193a782
.xword 0xe0c682e327de4a11
.xword 0xaaeea5fa715e7ea6
.xword 0x865308e3c423662d
.xword 0xfd8161a0a936937c
.xword 0x03f9b4273925016b
.xword 0x53889382c907f1a8
.xword 0x972981731f0d6421
.xword 0x48bbe7135d5ebdc4
.xword 0xb774e5422086f4b9
.xword 0xc2d2123062e10817
.xword 0xb3077a464e2e0a6f
.xword 0xd9c4ed305a17b1cf
.xword 0xe5cd25651c3ec3df
.xword 0x5fc98ee351a2634d
.xword 0x16870e97505ed662
.xword 0xad232546629437a3
.xword 0x6d52f4c0f8d77cca
.xword 0x4e52c838ab363dfa
.xword 0x1147c95cefeeb176
.xword 0x2c865dcb7546362f
.xword 0xd68d6ae09f90b2fa
.xword 0x274beef8f37b713a
.xword 0x247a9b5e28734a91
.xword 0x815616ab8f77c5cf
.xword 0x5c9e6af322fdbc91
.xword 0x70ef063c4543b9a9
.xword 0xfb91ef0db92bcc62
.xword 0x59131f9fe1d375d3
.xword 0x54da0e657b3c79d1
.xword 0x32eb066413b9ead9
.xword 0xe908145d92ab71a7
.xword 0xdf1c8c888bf6e5d7
.xword 0xc6497d812b299dfb
.xword 0x06cf1fab2c65a528
.xword 0x8cbb9bdbfc431411
.align 16
_t1_hash_alignment_array:
.xword 0
.xword 1
.xword 7
.xword 12
.xword 3
.xword 9
.xword 9
.xword 15
.xword 14
.xword 2
.xword 6
.xword 12
.xword 0
.xword 3
.xword 9
.xword 2
.xword 10
.xword 6
.xword 14
.xword 3
.xword 10
.xword 14
.xword 15
.xword 1
.xword 4
.xword 13
.xword 2
.xword 9
.xword 2
.xword 15
.xword 11
.xword 4
.xword 14
.xword 9
.xword 9
.xword 14
.xword 15
.xword 11
.xword 11
.xword 1
.xword 11
.xword 7
.xword 3
.xword 2
.xword 4
.xword 1
.xword 11
.xword 9
.xword 6
.xword 12
.xword 13
.xword 2
.xword 4
.xword 15
.xword 14
.xword 14
.xword 6
.xword 11
.xword 7
.xword 7
.xword 4
.xword 13
.xword 4
.xword 7
.xword 7
.xword 12
.xword 13
.xword 12
.xword 3
.xword 0
.xword 1
.xword 8
.xword 11
.xword 14
.xword 0
.xword 9
.xword 4
.xword 14
.xword 14
.xword 11
.xword 11
.xword 6
.xword 12
.xword 5
.xword 10
.xword 8
.xword 2
.xword 10
.xword 10
.xword 8
.xword 13
.xword 5
.xword 7
.xword 5
.xword 11
.xword 12
.xword 2
.xword 0
.xword 11
.xword 9
.xword 2
.xword 7
.xword 10
.xword 4
.xword 11
.align 16
_t1_hash_src:
.xword 0xe24ce9968e0d9842
.xword 0x3573e18530ffc655
.xword 0xa29e07a21459e43d
.xword 0xce18df160e4e2a4a
.xword 0x7b5354ae4677359d
.xword 0x5ad205bae119fa94
.xword 0xe70a30b6a2d73413
.xword 0xd533a4eb533c1201
.xword 0x977a6f4447fdfd13
.xword 0xadec561160d52194
.xword 0x99abbde8a92f1e30
.xword 0xcd47f47d8fb909ee
.xword 0x514122a654a3a480
.xword 0xaf68c0449f2c792e
.xword 0x8a9039c5f809a086
.xword 0x4cd1e42e09bca2b3
.xword 0xddcf6cbb1db16f5c
.xword 0x3eb894be99a95573
.xword 0xd176ade91e4b5fa6
.xword 0xf38671db502b2d5c
.xword 0x847d16c2f9568906
.xword 0x0940c2b05b272a12
.xword 0xf1d743896105151f
.xword 0xb986bb104f05dbb5
.xword 0x23503e8e4aecdf45
.xword 0x5f72aac089b99e1b
.xword 0x5c90ea37ced542fb
.xword 0x170409ad332207fa
.xword 0x32d13a4e5a83d404
.xword 0xea7dc48aec5853db
.xword 0x1d14d779d75fca7e
.xword 0x799c5fbbbf51d64d
.xword 0xe330761024ea6ed2
.xword 0x857bc50a204d0ea6
.xword 0xe70ef2a7ea304c19
.xword 0x1f4d885bfdf00355
.xword 0x0ad6a37dc26394a9
.xword 0x2fc21c3010cd8b4a
.xword 0xcd286bd02547c9b4
.xword 0x012f0567cb633854
.xword 0x33452069dc622fec
.xword 0xf18660f6f69c2b37
.xword 0x207f7b77695aea7a
.xword 0x40fc770daa5cd48a
.xword 0xbc786cd8490e008b
.xword 0xfdaa6e3bbb5b777a
.xword 0xb104428b73e15c0f
.xword 0xffb8c4aabe3e2d20
.xword 0x3d9ac322efeb260b
.xword 0x178a2a13fd205e29
.xword 0xd5786b98ed79a849
.xword 0xc11bd78f7b08d1f4
.xword 0x2bc5ed5c5dd9f66e
.xword 0xe6f14fbb588021b4
.xword 0x755d1ad86de8572d
.xword 0xb1fdc775708c337b
.xword 0xb7c0e4fdd6768aa7
.xword 0x4c7003e2942b3211
.xword 0xea29f489cddd10e9
.xword 0xc7503e27798f930c
.xword 0x7969f3b0037be0ea
.xword 0x6ee6507717b69b77
.xword 0xb17dd8167fcad9ba
.xword 0xa1103f1e3fb29bde
.xword 0x1102e69ed88c12ed
.xword 0x5ac78584aab18378
.xword 0x3fabf7a9fe783bb6
.xword 0x7ed714a3571e9d6a
.xword 0x60082b16028c01be
.xword 0x8cf987fe573ea4ca
.xword 0xafa5be7cd0cf2d00
.xword 0x215052a0f67c9046
.xword 0x41b7789d103dcba2
.xword 0xd5709cc5ebbecdce
.xword 0x30bd7964d7773e10
.xword 0xe3eef51b9917ba39
.xword 0x48fcbb63487243bb
.xword 0x98252423a9320b89
.xword 0x01a10ade962fe465
.xword 0x39dc4ec004440efa
.xword 0xe2243b6ac63db055
.xword 0xb7541ecdd90e1ba3
.xword 0x9cbb022136a50368
.xword 0xacf188630c5f9c43
.xword 0xb3a9fb74856917e6
.xword 0xd2b8d842de5b5d75
.xword 0xaab4b4e9eb116f0e
.xword 0x84ecd823ebf09c16
.xword 0xf3a0881d86bc3275
.xword 0x9abfaf862f10e84a
.xword 0x13d1285e523fd997
.xword 0xf0f37371d80a566e
.xword 0x49813b0b2cdb2c2f
.xword 0x72f85d676f03be0e
.xword 0xa58236f9592dd90c
.xword 0xadca3968fe79e622
.xword 0x37f767e267c050c0
.xword 0x7b4f9a78a0f4c836
.xword 0x37bb5ecb9751fffb
.xword 0x18e7361b1ee83418
.xword 0x00074b93249f2128
.xword 0x91ad4210c4df9977
.xword 0x3a879dd7f5ec7843
.xword 0xf13219d89124559e
.xword 0xe7595bf1c8815515
.xword 0x8bf0a9a520c85556
.xword 0xc32783922c9ee721
.xword 0x46fc90b9fd034d28
.xword 0x2a3f0d34ec84fc47
.xword 0x3a67832d74708ce9
.xword 0x624ad41b7ad62a04
.xword 0xa66eb267914408e9
.xword 0x7c678e463151d34e
.xword 0xe52cd7d58feff497
.xword 0x515915a09811b607
.xword 0x639c82cdbd7cc187
.xword 0xd8253affc9f5d484
.xword 0xd44dcb77070cc673
.xword 0x359407f9b8dec967
.xword 0x5eb88460e9a91923
.xword 0x6fd768c698c9fbfa
.xword 0xf1de02c264872be2
.xword 0xdd38372b97d714cf
.xword 0x7178f31108de50ff
.xword 0x25da5c2402354301
.xword 0x682e420cf7cde63c
.xword 0x0d4249cab5b2298c
.xword 0x0cf82bfa814fe2e2
.xword 0x1eee5ba29b1cc518
.xword 0x2bc14035907dd602
.xword 0x110da1d96f861d28
.xword 0x4fcf7bbaa8dee6e7
.xword 0x6eecf62da1d02592
.xword 0xbb39f1e75ed7ebda
.xword 0xef876c5e7872d04d
.xword 0x7fd3cf114ce5572a
.xword 0xf5f71af2d6c5a500
.xword 0xb0a41cc0c74406ba
.xword 0x95ccbb48cb8f119a
.xword 0x2e3667cebd7cebb3
.xword 0xf4830e75e392292e
.xword 0x149c9c2b9a0aeabf
.xword 0xfe3721fd80dbbc67
.xword 0x990517583e6eea47
.xword 0x56f508980d96fe1d
.xword 0xb15fccdb26e9fba0
.xword 0x8902613fed87d7bc
.xword 0x7cfae639eec10c31
.xword 0x89999839125f0ed0
.xword 0x665d6d93fbf5cd7b
.xword 0x2a7382700ea35c68
.xword 0xf3d82711db89a9d1
.xword 0x6bf017213167e2ff
.xword 0xcea4912630032735
.xword 0x0c2eab13f99bd570
.xword 0x889d9edee88cb824
.xword 0x7641d84c75c7c46e
.xword 0xd6625047b63e5460
.xword 0xd80837ccd5c63fd1
.xword 0xb2deb9581dcf2c78
.xword 0x3a7e4c6cece43b8a
.xword 0xac8b63017779f0ff
.xword 0xda9165b0720a3a07
.xword 0x09d76ca31cdfb2bd
.xword 0x0791eb2a89212749
.xword 0xa80a269f95a2271b
.xword 0xd3f48fea968df78b
.xword 0x0eb9b919a0e23cd6
.xword 0xaa9813303e492492
.xword 0x329f5735ce887cb5
.xword 0xd2128952854f5d53
.xword 0x8eead41722198b18
.xword 0x5eec7e0241339139
.xword 0xad6793bb398ae1d8
.xword 0xeaf5f6ccf3c08bd7
.xword 0xdaebab81445b16c7
.xword 0xc9a842cb3f677421
.xword 0xab8feb459e1cc487
.xword 0x8f4f9e4cadc04119
.xword 0x7ae5b4aa0121a9a3
.xword 0xdd633850aa1a597f
.xword 0x028091c8f109ed18
.xword 0xa3eeb4d5f1fa0d51
.xword 0x39d513228952081f
.xword 0xf56103b922e7e0d3
.xword 0x1dc9cafd015e461f
.xword 0x80691637c8f6b793
.xword 0xc83e6e18c63c9deb
.xword 0xdf366eaf14732fd2
.xword 0xc6ad13bea5b3054c
.xword 0x3213cf3d94aabd9a
.xword 0x834eb779fbdabe63
.xword 0xb72f681d8db99ae0
.xword 0xd7ef61d1b114bdb9
.xword 0xc2c52edf3b93ab77
.xword 0x2e174dc505189974
.xword 0x9f5690fda3d68dc3
.xword 0xf98e8411b9798ca7
.xword 0xe176b40a6ead463e
.xword 0x84602a8ba036d8c7
.xword 0x3264d1b3b6a4c636
.xword 0x9adc6bd059d95daf
.xword 0x06cd8b7938c3b44b
.xword 0x610af76e5eb9fdd2
.xword 0x03de95ba5ea14467
.xword 0x691e3682d4d7e637
.xword 0xe54c7c24547a2228
.xword 0x9d4926bd3b324efb
.xword 0xf4de62def24028d1
.xword 0xcb5a330c00272788
.xword 0x1b3e50cb8b30c741
.xword 0x2a6c0e24ac5abb8b
.xword 0xd2e1e3400b1be71b
.xword 0x0513368fbd6c5f7b
.xword 0x608049c31abbc32e
.xword 0xb0040f431e86b550
.xword 0xeff8300bf67c1f69
.xword 0xc242283d27679281
.xword 0x6a0ff5667455fb2b
.xword 0x0c2fa69e36499fd4
.xword 0x24c891d7c1dbfdbf
.xword 0x59db0717b0bf50ee
.xword 0x809022bb66c3bc24
.xword 0x8495a6833fdafbc6
.xword 0xfb0659d7c0b492fb
.xword 0x36b2f6af8221541b
.xword 0xc019cedc167ed185
.xword 0x2ec488e0d085d6a8
.xword 0x3c30cec324deec5c
.xword 0x72e9686b0f1046f9
.xword 0x58c1fb40322dd0ac
.xword 0x2daea51fdb2face5
.xword 0x1569127357788043
.xword 0xb3d5b660a1e6331e
.xword 0x6a6a2c549aff298d
.xword 0xdb07819a7ca792fc
.xword 0xf9b323c4b8accaf6
.xword 0xd36efcdc0fcd73a8
.xword 0xa237b99c528530b3
.xword 0xff6132de80bd86fe
.xword 0xaefc654a36bd0b3d
.xword 0x7c36462d55c6eb3d
.xword 0x6ba8f6c8a38141ac
.xword 0xb89fd9d4a92ffd84
.xword 0x83c4f5a9fb28a7ab
.xword 0x8347017380edafc9
.xword 0x36ea5dc1e245b3cd
.xword 0x398cfcf2ecc99115
.xword 0x9a2a43cc503c8ce7
.xword 0xef672e6243d72fa5
.xword 0x76430ee8dce73aba
.xword 0x64cd91e541a03878
.xword 0x3dc798a4f71e4350
.xword 0xcb85c17e9ee3d949
.xword 0x76d21f2fafa36209
.xword 0x8adf83cbd7a99724
.xword 0x3441ac5137cc0dd6
.xword 0x430e5c20f0882eff
.xword 0x313b912aaab71cd4
.xword 0xbd36fa54f0ad7df2
.xword 0x9ba0af47f177b4a3
.xword 0xebb4d72622f15613
.xword 0x09d241784a1e4012
.xword 0xa5eb13fb22bbd05a
.xword 0xc0a609fa74ff6716
.xword 0x76ed0b9ffe23fc56
.xword 0x1a396a713f7f364e
.xword 0x6ee6245b5d2ee3e2
.xword 0xbc2ebed6dbf5b887
.xword 0x6b19e0f7a89b4696
.xword 0x31510faaf7d3d6e3
.xword 0xd82de8a0cdb2d368
.xword 0x637e4b47f10e64b1
.xword 0xdb3635de73bb510b
.xword 0xfa06bc499374c2cd
.align 16
_t1_hash_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_hash_auth_key:
.xword 0xe4a551bc3dc9f5e6
.xword 0x6d68404255e95813
.xword 0x2160af0a83962328
.xword 0x1eed88d0cff12cf3
.xword 0x0489e9f2773d5f48
.xword 0x8d3b0b5e612bf901
.xword 0xa0373d964858715c
.xword 0xd26ad70affcd587f
.xword 0x468957e21eac17ee
.xword 0x5817b61e0b564444
.xword 0x601f7e2488182065
.xword 0x92718d2fb74a3ba3
.xword 0x0512ceece50b1da4
.xword 0x2ec68f4816574c1f
.xword 0xe55127847e7ba32a
.xword 0xa75cae3e7bb67598
.xword 0xe20e10d86391dd99
.xword 0xf9f5aad51ef42681
.xword 0x5db021853d1f1ccc
.xword 0x942acc182e881842
.xword 0x44e9e889f8db383c
.xword 0xc26e55767eda6b81
.xword 0x30e9b5f57ae9e971
.align 16
_t1_hash_auth_iv:
.xword 0x40fdc26ef6ef61ee
.xword 0x4646cc97f1df2ed2
.xword 0xab4d7fc95649fd8b
.xword 0x3aee0c0650aca83c
.xword 0x408b525bf5d7b3d6
.xword 0x6121ae56b72bd108
.xword 0xf228b17990716528
.xword 0x570200382dbf80ca
.xword 0xc371fd65250dc1c3
.xword 0x7e2bf4f5758f8ee3
.xword 0x4eec33e1b9170b13
.xword 0x552bca0d940c6b9b
.xword 0xf88a8ac53399810f
.xword 0xd140d4ae9ee5b18b
.xword 0x5a7ef9d110c603de
.xword 0x70ba1bc727b2ae46
.xword 0x64ae9ff322713d7e
.xword 0x2ba40d0c77a74f45
.xword 0x7f8e2342d3e324d0
.xword 0x7f6c2164d6262957
.xword 0xafd181e92578dd2d
.xword 0xd2240c534a51db05
.xword 0x43f6229137bf62e8
.align 16
_t1_hash_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_hmac_key_array:
.xword 0x173cedc21c7dcf7c
.xword 0x16a2b37c4cee56b8
.xword 0x99e61a7ba99bc69e
.xword 0x1a45a40c3b5a47a7
.xword 0x99c2c3b101c62948
.xword 0x5fa3e88a96131a79
.xword 0xe8fb26839d2e8097
.xword 0xfdef0a4124ef4557
.xword 0x3f487882c41f786b
.xword 0x601b50b3d3b5109b
.xword 0x0f6eb871f038e0a6
.xword 0xac683eb04a14a734
.xword 0xb6358377e67daced
.xword 0x1049dd5248bd4b74
.xword 0x99095fca574f36ce
.xword 0x98ac8afadcac4c22
.xword 0xe0e2bad99489b492
.xword 0xe17579ec25ebc70f
.xword 0x94cd7ae63428fc57
.xword 0xf5f3ed6f8fce3517
.xword 0x6e3ccaefc2056df4
.xword 0xf677822db6b9ab5d
.xword 0xbc105d64bd0c73c0
.xword 0xc904ad6a41e4d6da
.xword 0xff61301d5e427fae
.xword 0x0a1fd12507b1ebf1
.xword 0x8f0d98b160243db8
.xword 0x45a0e4b6fedd9275
.xword 0x28190cbd594dbbbf
.xword 0x3230c5bd3a3fb18d
.xword 0x567be8e4f48c5f4e
.xword 0x9a0b9c1e80051ca4
.xword 0xe1011349625db613
.xword 0x843a0866993d148b
.xword 0xd0ea7874272dc907
.xword 0x084882f386abe17b
.xword 0x0ae3f9b15bc903c9
.xword 0x533eaca3b651d2fb
.xword 0x561ea69b4f0a434b
.xword 0x37b140e70155d9e8
.xword 0xb98ed4da66baecab
.xword 0x48e7610afaab971a
.xword 0xa8a3bb445bdb6989
.xword 0xb3c4397dad9f87bb
.xword 0x7c0813c875245b5a
.xword 0x2970993070fe1d53
.xword 0x2c33ee7ddd4742d0
.xword 0xd77dcd331b13c59f
.xword 0xd1166c578c8e44fa
.xword 0x092c03a90dd87aa6
.xword 0xda648ad680bdcd90
.align 16
_t1_hmac_iv_array:
.xword 0x60c55b8fab39b554
.xword 0x46730ee725bf80a9
.xword 0x2f91b6a586e9a806
.xword 0x2c5f2a451e1d3d7f
.xword 0xb2671100190487f8
.xword 0x86afdff75d47224b
.xword 0xfbe20e5bf6933a73
.xword 0x2ded86bfb5c65a47
.xword 0x22ca2135e614b935
.xword 0x1bbc9617a4acfcde
.xword 0xa094d2f66cac8bd4
.xword 0x8191b1052143df43
.xword 0x2f9d3a04b491bac1
.xword 0x6432661438e9f030
.xword 0x9de472ad8d998b6e
.xword 0x16a8cae49a2cacfd
.xword 0x60777a4efc14fe2b
.xword 0x604a039548753ef3
.xword 0x25554de057162a2e
.xword 0x594bb452d24bb20a
.xword 0x856addc719c1f387
.xword 0x628ee210e42247d8
.xword 0xa3dad7d2bbdc6e4c
.xword 0x57fb4614151f67b7
.xword 0xc7e22f511ff58ed2
.xword 0xbfb9acd4f14bb1a6
.xword 0x972500125bdf7e97
.xword 0xea644622309dd718
.xword 0x81d5d443a3d388a7
.xword 0x5dc6deff54e681ed
.xword 0x751eb4b3b1e35bd4
.xword 0x24533b18f98049d6
.xword 0xf67ebba1e086bf8f
.xword 0x61384957c5f30710
.xword 0xf84324a5901dad5f
.xword 0x9c7c661b0399e7ef
.xword 0x808670d608b42e0c
.xword 0xb6af4975aba5531a
.xword 0x78bd73c0bf5ef667
.xword 0xd4b32b4652c106df
.xword 0xd88db50dd650d490
.xword 0xe78dd41bde5153aa
.xword 0x0d8c4e440e44514f
.xword 0x47eb3835c668ec21
.xword 0xecfe17bb2c47e523
.align 16
_t1_hmac_alignment_array:
.xword 12
.xword 9
.xword 10
.xword 1
.xword 8
.xword 5
.xword 9
.xword 2
.xword 2
.xword 0
.xword 9
.xword 14
.xword 8
.xword 10
.xword 15
.xword 0
.xword 3
.xword 13
.xword 10
.xword 12
.xword 12
.xword 11
.xword 4
.xword 7
.xword 4
.xword 9
.xword 1
.xword 10
.xword 0
.xword 8
.xword 7
.xword 13
.xword 4
.xword 4
.xword 6
.xword 11
.xword 2
.xword 14
.xword 9
.xword 15
.xword 7
.xword 10
.xword 13
.xword 13
.xword 12
.xword 14
.xword 11
.xword 2
.xword 12
.xword 8
.xword 15
.xword 6
.xword 9
.xword 8
.xword 2
.xword 12
.xword 3
.xword 12
.xword 8
.xword 10
.xword 7
.xword 6
.xword 3
.xword 14
.xword 15
.xword 9
.xword 0
.xword 5
.xword 12
.xword 9
.xword 13
.xword 8
.xword 6
.xword 6
.xword 12
.xword 1
.xword 3
.xword 8
.xword 13
.xword 3
.xword 2
.xword 13
.xword 13
.xword 4
.xword 4
.xword 12
.xword 9
.xword 9
.xword 14
.xword 7
.xword 5
.xword 9
.xword 15
.xword 11
.xword 11
.xword 13
.xword 3
.xword 8
.xword 9
.xword 8
.xword 9
.xword 10
.xword 5
.xword 1
.xword 10
.align 16
_t1_hmac_src:
.xword 0xfbbeb01a34bf6a31
.xword 0x49f849547a5549ca
.xword 0xf89ea95e71edaa2e
.xword 0xa04e76bfbaae7192
.xword 0x9510d180d79deffe
.xword 0x75c9032f9040ee97
.xword 0x623d4e719a48d98c
.xword 0xf0022e521386b44a
.xword 0x3b7676fa6ac2c967
.xword 0xa40e343142c02bac
.xword 0x0b6d832abd26eb82
.xword 0x183eb9d796fa2305
.xword 0xb6ebc5c61dc653a0
.xword 0xef1c0b078cd77df1
.xword 0x7a46534fcfac5995
.xword 0xc62143bc604b469c
.xword 0xd23aedcfa2291edd
.xword 0x2521d10f9b2f03b8
.xword 0x196e6aeada44e0c0
.xword 0x89c591fb4d9e9dc5
.xword 0x109fcd15dd6ccd20
.xword 0x9b08ea1006965198
.xword 0x2ac6ba594594b9f2
.xword 0x1092ad0f0562435b
.xword 0x97475e3fa720451e
.xword 0x4f051d4df7c46be8
.xword 0x9f7215722d8d8441
.xword 0x83e8264ec1d7a41c
.xword 0x14e7926ef4f32c81
.xword 0x6f0a07555501768c
.xword 0x2c0c201ac54f1f75
.xword 0x7cedf531e10ea32c
.xword 0x30d52ba96da809e9
.xword 0x16c72ce4586bbd56
.xword 0x906ac6a4cd7e91c7
.xword 0x6e26a96593b85003
.xword 0xefb28fc40c9f7dc9
.xword 0x67b2c9bed4a96744
.xword 0x8b8ba2fa9da65120
.xword 0x3ab03f3c182e3f4f
.xword 0x40c70c428c9af3cc
.xword 0x867caa39f1fd962b
.xword 0xe54208ee80d01abd
.xword 0x91f15a8553241e73
.xword 0xcc20925bd2653b37
.xword 0x2eff21a22e5b4ab3
.xword 0xaa299892616d9d97
.xword 0x16ef57d6cbe37e6c
.xword 0xeb927a33f261c018
.xword 0x6a50f7b945ae3066
.xword 0xd7e0c6b2707f096a
.xword 0x53e303d815228580
.xword 0xe3987e254bff0fd5
.xword 0xe8db8dc91393fd42
.xword 0x182fd1933d2a5e5a
.xword 0x7ea86ff73eaac431
.xword 0x80c2ce8e2a9aad54
.xword 0xa2c64e633645ebdf
.xword 0xfcd96904e54ed72d
.xword 0x2ffae47efe9264a5
.xword 0x3a7cb51ec8de6729
.xword 0x6a2df9b11c756f6a
.xword 0x95e5c640098210a9
.xword 0x672fe0802e9a8ece
.xword 0xa6639c24ac21a798
.xword 0x2c7db2de68403ae8
.xword 0xddb30c35ceaa075a
.xword 0xc07bb8d864c53974
.xword 0x61bcc6b933347a84
.xword 0x523ae48f79cb2a82
.xword 0xbb49a9cab454ebff
.xword 0x7091bc618b0436f8
.xword 0x9307bceb768f6c18
.xword 0x508580eb67d716b6
.xword 0x7e3e2c76a199b62f
.xword 0x693746ad3e60c03f
.xword 0xeae7fefe1ca1949a
.xword 0xc2966e424273770b
.xword 0xdafd37a2ecf29edf
.xword 0xace5be7c19beaed5
.xword 0x5f294062b6c642d0
.xword 0x97a242faccb89d13
.xword 0x1d71efb597b45ed2
.xword 0x571356c8e1b1422a
.xword 0xb12e40aad5cd92af
.xword 0xe3cb2b11ed033449
.xword 0x15b94e1e3f6a35ca
.xword 0x68e45ad6d91d8ced
.xword 0x43c852bb9e4a6a34
.xword 0x781203b0c2383f79
.xword 0xd0b4863ecdc3c1a5
.xword 0xf371969c4465360f
.xword 0xf17d57cc8294ddce
.xword 0x5fe0431a5176ceb2
.xword 0xb981f702d90f247f
.xword 0x1e38518bacc15618
.xword 0xd132fc4529686ac3
.xword 0xdd9bb4a1fbc098ad
.xword 0x0484760fd24be6d4
.xword 0x6501a08bdbda10e7
.xword 0xaa1df889d7e12425
.xword 0x10326b8a669b9b51
.xword 0x30725370d30048b5
.xword 0x4ce9d9cb6bb148c3
.xword 0x57507741571a3978
.xword 0xe62320fbdfd5e771
.xword 0xca2556e754c054ff
.xword 0xb5de6b27a402b133
.xword 0x8f16731d48454fec
.xword 0x59c19e37eeb7df59
.xword 0xea46e5226ada3510
.xword 0x74b86dece3988336
.xword 0x43569a13519bfe7b
.xword 0xc8118637f445c9e9
.xword 0xd87a136923f772a2
.xword 0x7a130fc451f8f8ad
.xword 0x7f2f6ebf24c62e47
.xword 0x049e65f85789463c
.xword 0x821ba2d71e4935ac
.xword 0xe09925aae32c3ef8
.xword 0x5535f98dae24b314
.xword 0x791a8de303743397
.xword 0xdf0c3ef0270a97f4
.xword 0x606f7b4650210800
.xword 0x7a9ba202368e3e82
.xword 0x23554e749d80b038
.xword 0xfb2ab61e836c5944
.xword 0x80bcceb1d16645eb
.xword 0x683dac68dcc7ed76
.xword 0x3f974320d429534c
.xword 0xa2d605321946c6ec
.xword 0xe49a53c1aae097ae
.xword 0x521cbfe9d5570a03
.xword 0xdebddaa5a023d49f
.xword 0xe4e2016d8327f222
.xword 0xf53bc51d5f46081e
.xword 0x945fc918b46f3655
.xword 0x673e46025f3e473d
.xword 0xa7996e90e1a32843
.xword 0x1ad70c948b589d8c
.xword 0xca682cb86a128269
.xword 0xbc4ef06ed397079e
.xword 0x3cdb3a8b6fe24f93
.xword 0x9d2b8afdd25fc769
.xword 0xff47608fbd2de125
.xword 0xa015664bafada2b9
.xword 0x94a271be0fa7e3a6
.xword 0x63b5eb9886edc339
.xword 0xf6ad3e62a5d6a99f
.xword 0x50601033315679ad
.xword 0x909c43ba1759d02c
.xword 0x0dd494e3f17a50e2
.xword 0x9eb7cc0128de015c
.xword 0x6fde12d3bfcb0b45
.xword 0xc58738b2606ca25d
.xword 0xb49705c01ebdafb3
.xword 0xf5d3b6fc07a78413
.xword 0x2b56db824e6083fd
.xword 0x36e4a450fdebbcb8
.xword 0x0d8bfa3677ad13e2
.xword 0xadc873b0a4529717
.xword 0xa0ec704d2dd87156
.xword 0xe4dd46c2cc19cc1a
.xword 0x5966ea7ef6dee381
.xword 0x500267f63cb8a33a
.xword 0xcb0b0845443130cd
.xword 0x1c75205901b9073c
.xword 0x345c9af672f174c7
.xword 0x7f1206640e07df7a
.xword 0x0d94b35ca7ce4376
.xword 0xc6483f413fa7a7dc
.xword 0xbfab70df4f5c0c3f
.xword 0x33b597edda29792e
.xword 0x5d4a4700509c6407
.xword 0xef8b5b4700a424a0
.xword 0xcb10f17d56645889
.xword 0xc1274c7b2a095d95
.xword 0x25398c3e71f1efc3
.xword 0x8a674e541bc94896
.xword 0x2481e3e276f3df7f
.xword 0x619950d8ff0e645f
.xword 0xd1427ec0e1e02715
.xword 0x3a31b87a0c63171e
.xword 0xdc4376d5a7213d04
.xword 0x33493da3aef9c693
.xword 0x70d1d8c92f956adc
.xword 0x81300ff78a253e21
.xword 0xdafe8b256451b6f9
.xword 0x84e27238787530af
.xword 0x5d3bdc334035aca3
.xword 0x66cd67fd6c2b486e
.xword 0xf6adecc14132fd6c
.xword 0x11dee361f48ea63c
.xword 0x28741cf3b0e0b193
.xword 0xade9f6b880eb38f3
.xword 0x218bbc30cb604228
.xword 0x4bcf36e52573c7c4
.xword 0x39d5e1397028a2a1
.xword 0xbad2a1d04004767e
.xword 0x90bb70ad5b229075
.xword 0x93ed024787692432
.xword 0xdacd8ba5835d7a54
.xword 0x6bfb7cdc947ab17f
.xword 0xd737bf1bbb76f983
.xword 0x07c795846454a246
.xword 0xc0bd3df2e7254fbd
.xword 0xde3a50fa95423f98
.xword 0x39c1801bd8717410
.xword 0xb8b44c304cde68c4
.xword 0x71443ea908a4c325
.xword 0xace417b8c885a325
.xword 0xf39f60f4a8d2c8e4
.xword 0x088fd021db5b5c0f
.xword 0xc24504e07459e315
.xword 0x06f82b9a801b36d5
.xword 0xda5bd959d9e57ef4
.xword 0x593167c3874567d7
.xword 0xcaf8594be8ac8d59
.xword 0x7da939a478a22755
.xword 0xa459003c3e3b6c61
.xword 0x54ed303495de09c3
.xword 0x89fae00790ed1e9e
.xword 0xc1b92dd72349515c
.xword 0x98bc9f23f26d0857
.xword 0x8808d94af1330830
.xword 0x618256a8ad9346f3
.xword 0x335f8645d032e66c
.xword 0xc76f32b688cf258d
.xword 0xf999a1b9e6ae3821
.xword 0xd492c18448fbc491
.xword 0x6276261956c6d5e8
.xword 0xdccc9eeebd9f1f98
.xword 0x9350a2c57e4f442d
.xword 0x718e834ad86fc844
.xword 0xb1d8f5ec7c47f073
.xword 0x0bf6fe80be089485
.xword 0x0719af127d1881ec
.xword 0x5cee78b44c99f649
.xword 0x767d7c10a955685b
.xword 0xeda2d12961320fc3
.xword 0x5e04b2146218214b
.xword 0x5ea7b2ce70fdc37b
.xword 0xaf9a59f801f30741
.xword 0xbc1464488a24ceb3
.xword 0x8bab78e672ab5b47
.xword 0x52cbce80f2f6ca72
.xword 0xdd6b41428521b394
.xword 0x9d57a0565137ad86
.xword 0xad33e1541c8a52e0
.xword 0xf84d8e191d96cd4e
.xword 0x0ae63b34cabf798e
.xword 0xea805e6dbb05117c
.xword 0x5ed77bbcafb8db38
.xword 0x86551bf884665102
.xword 0x016efdd92b339c42
.xword 0xfa3aaa4ef107efb7
.xword 0x63a3487d114d84f3
.xword 0x5739ae2c9538b6c9
.xword 0x89c9c18e0b68ed8f
.xword 0xcab7834cf0427b3e
.xword 0x3cc42409e5211e5c
.xword 0x8f9fd78d7159f551
.xword 0x622c68ab1399d62c
.xword 0xe14d63fa91e7621d
.xword 0x2573ddde8c43db01
.xword 0x25f9823e26cdf0d7
.xword 0x99731f8717befa28
.xword 0x0df9a39eef7ff113
.xword 0xcca31271894a5a17
.xword 0x8402e019f55fcf48
.xword 0x1b82070d0400b03a
.xword 0x318d66274479b965
.xword 0xe07514cd32c03dad
.xword 0x053c939809366667
.xword 0x4972871245e2891a
.align 16
_t1_hmac_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_hmac_auth_key:
.xword 0xb85909c9e2a4bede
.xword 0x3664d65c0b1f51f5
.xword 0xbb04d23a0e39f308
.xword 0x1010cb901cb4bd23
.xword 0x467735d60e60a013
.xword 0x33ef43b59b0d33c0
.xword 0x968371fc632f460f
.xword 0x4dbcf6c35d8770b0
.xword 0x341a61199d831b71
.xword 0xca4d3970e9be2c46
.xword 0xadf7c933b7227585
.xword 0x62ab56d6359aaf7c
.xword 0x7fdb85fbf84d7636
.xword 0xd825c6694e42baa0
.xword 0x5832925185d7433f
.xword 0xb48e6bafcdcce814
.xword 0x84f1105b59375cc5
.xword 0x8dbec38755c0da74
.xword 0xfebf6f198a039dd4
.xword 0x3c992f53e57434ad
.xword 0x09e7944320e4530d
.xword 0x5587a3cff6245b79
.xword 0xf40988d5dcbb15e8
.align 16
_t1_hmac_auth_iv:
.xword 0xaba24a059d6d8b4c
.xword 0x518d23ed3c76bf24
.xword 0xc11b024f977e8ec1
.xword 0xdcf32e1ca4a15354
.xword 0xfc3869709721b2c2
.xword 0x72b5ed877230d44d
.xword 0x55b1f5a328e6e20c
.xword 0xe62689485eaa2971
.xword 0x4d8dfed0799f6a1a
.xword 0x08ee058cc732dcae
.xword 0xc20d63da5e40d05f
.xword 0x71ef3e9f254b5bfe
.xword 0x82c8ccaa943bbf2b
.xword 0x2006e6987e21f690
.xword 0x086aa76f45534bac
.xword 0x747a31336b745544
.xword 0x84d3c754a33a28b7
.xword 0xf4c54a6771abd772
.xword 0x7d05d532fd50db78
.xword 0xb8b4eb5a10e1e78b
.xword 0xa7f285db5cead2c8
.xword 0x0cfec6edacf58861
.xword 0x06c19613920db1bc
.align 16
_t1_hmac_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_rc4_key_array:
.xword 0x1ed837055b7738b8
.xword 0xeb82f59a69856a93
.xword 0xec9de045a64635ba
.xword 0x41bbdede1b1431fd
.xword 0xa3a0ebe5aa6f019b
.xword 0x6249126551bdf28d
.xword 0x3350c9f9f3b251f4
.xword 0x573a795f6c384905
.xword 0xc903de378b39713c
.xword 0xc3c631ad57bd4bfc
.xword 0xe18ab0d959caae5a
.xword 0x9f0d03fafd3eae76
.xword 0x72dc909c61ab3400
.xword 0x35a99b304d60f303
.xword 0x271d1ab0aea5c937
.xword 0x3f36217361f717d3
.xword 0x091449e126489c10
.xword 0xb53847d375d72516
.xword 0x3df8521119a7992f
.xword 0x562727b3a248d0d5
.xword 0x0194d7c33311b12f
.xword 0x1afd08cd76fb2008
.xword 0xeb3fae2463ca60ec
.xword 0xeeb69fcf00e7ab0d
.xword 0x29b339c30f32387e
.xword 0x4b9ed6410c9f9ca0
.xword 0x33bbafb810afb92c
.xword 0x65dda815ac415737
.xword 0xbcbe7b31c644bcc9
.xword 0xbbdba461c01a4cb2
.xword 0x7f461dd9de095ec3
.xword 0xb26554552c7f6581
.xword 0x0b16b723243566c6
.xword 0x7111b2e4667d7464
.xword 0xd25646df89961f5e
.xword 0x47367c3bee358571
.xword 0x4d280b722ce55384
.xword 0x6f67ea753079c2c6
.xword 0x810ed245070b701c
.xword 0xfa9c80b24d2b127c
.xword 0x58bd04b070b26afb
.xword 0x95c7cbc8320399d4
.xword 0x40ab5c48f8ec1c99
.xword 0x0fd2c1f0a463f4ee
.xword 0xfed62c8d70825e79
.xword 0x1de16d7ebc0b17aa
.xword 0x25fbe4b284533db9
.xword 0xa68c4c2f5e6324a6
.xword 0x93f5aeb9068263e3
.xword 0x47d073c3fcc95cbd
.xword 0x5b742f72cdda4064
.align 16
_t1_rc4_iv_array:
.xword 0x5fc0f743e29aaf45
.xword 0x8a83c0c6ea38f2c1
.xword 0x35f57643a4085939
.xword 0x7fd7f278cee82939
.xword 0xa13ca4a53b62ed27
.xword 0x6dfd5501705f45ec
.xword 0x444d7f438c92fe53
.xword 0x843b4b7295546b4f
.xword 0xa4d90fd17e188516
.xword 0x69fc14b4437f5bc2
.xword 0xa5ed4f02180cd142
.xword 0x8a1ead6d45ce4e01
.xword 0x439ecc2ad23c123b
.xword 0x1c7934e4c2905ebf
.xword 0x54cc3124e4d286af
.xword 0xe717f43584bab670
.xword 0x9973346a8edbdbcd
.xword 0x9900ca669cfc6185
.xword 0xc95d6ce4bdc8def2
.xword 0x479db4d0f8a536b1
.xword 0xfc989195a9ae6e1e
.xword 0x05b0eb4af2847418
.xword 0x48c27196f0456336
.xword 0xfcde12dd055bca8b
.xword 0xd8d6cd9a2f7ff901
.xword 0x623ec13d91970277
.xword 0x650999020191f4c0
.xword 0x47f2e60ebca7c58a
.xword 0x02a41fde104738b6
.xword 0x12552980cd3b4b9f
.xword 0xd96a4c9cf2b2990d
.xword 0x04266869eb73d297
.xword 0xd29070aa88953a46
.xword 0xd7f8e4d08e773446
.xword 0x9d65a2fca3d3f0ec
.xword 0x25d6ff4327aa670b
.xword 0xd3ba5f237b695258
.xword 0xf47fbcf442aa440d
.xword 0x59ed8450aef5d2d9
.xword 0x617411a55e629e02
.xword 0x993cd5c1f369a401
.xword 0x82c7130960460445
.xword 0x0b53d29a2b8be8b8
.xword 0x4e11f98db29bceb2
.xword 0x74d1844ac3b0392f
.align 16
_t1_rc4_alignment_array:
.xword 2
.xword 2
.xword 7
.xword 13
.xword 15
.xword 9
.xword 3
.xword 5
.xword 10
.xword 6
.xword 2
.xword 2
.xword 14
.xword 3
.xword 2
.xword 2
.xword 8
.xword 15
.xword 10
.xword 4
.xword 15
.xword 11
.xword 12
.xword 15
.xword 1
.xword 15
.xword 2
.xword 7
.xword 13
.xword 6
.xword 9
.xword 3
.xword 0
.xword 11
.xword 3
.xword 13
.xword 8
.xword 14
.xword 0
.xword 8
.xword 9
.xword 13
.xword 14
.xword 8
.xword 5
.xword 12
.xword 14
.xword 3
.xword 12
.xword 14
.xword 2
.xword 8
.xword 5
.xword 7
.xword 1
.xword 14
.xword 14
.xword 14
.xword 11
.xword 11
.xword 4
.xword 9
.xword 1
.xword 12
.xword 12
.xword 0
.xword 13
.xword 15
.xword 13
.xword 4
.xword 12
.xword 9
.xword 5
.xword 15
.xword 14
.xword 7
.xword 5
.xword 14
.xword 1
.xword 4
.xword 9
.xword 13
.xword 3
.xword 3
.xword 9
.xword 10
.xword 5
.xword 14
.xword 13
.xword 0
.xword 10
.xword 6
.xword 13
.xword 3
.xword 0
.xword 9
.xword 8
.xword 12
.xword 1
.xword 14
.xword 3
.xword 0
.xword 14
.xword 8
.xword 12
.align 16
_t1_rc4_src:
.xword 0x837abcb32fcf9b7b
.xword 0xe162de4d25a66958
.xword 0xe980ce3076c6781b
.xword 0xf0922b52c79b5bb8
.xword 0xe62a2c32642991c6
.xword 0xde5e315f416ab286
.xword 0x49d108e501bbce0e
.xword 0x5dfc4fda0f5f3557
.xword 0x3d32b15ac01dc1a0
.xword 0x486f60cde3742e0a
.xword 0x58059614e38f5688
.xword 0x2d6bdd36299cfd07
.xword 0xc36d20f01f789e35
.xword 0x6229563376f0c9a3
.xword 0xa7c40fd2d30589f2
.xword 0xddca80b4dff7ac1e
.xword 0xb133beb354f62471
.xword 0xdbdc3e44b35479b9
.xword 0xca56611e59e14330
.xword 0x3caeab8da68e1c4b
.xword 0x5e67e8468737caca
.xword 0xa0c2ab9094eab261
.xword 0xd4023aceea6e55b4
.xword 0xae0cc00fe9179a35
.xword 0xaff6cf71423d3d05
.xword 0xbd798b40e02adc5a
.xword 0x9bd6974930cee325
.xword 0x65aecc9485a2b6df
.xword 0xace04e95c40bb325
.xword 0xd03c1941a9f5739e
.xword 0x58c89a9b824210fe
.xword 0x6112dd17b2a0686d
.xword 0x0b32ab57d1f79e92
.xword 0xf36864f7b71303bc
.xword 0xd55345fed8b2178e
.xword 0x0a854f77cef860ee
.xword 0x2128af993069b968
.xword 0x1d7a85680bd772ff
.xword 0x66f41c4f89cca824
.xword 0xf5c4652d80b62326
.xword 0x4b60ed06b450a286
.xword 0xaedda659c785bcf4
.xword 0x492fbe20c6d7d566
.xword 0x30e412aea00e026f
.xword 0xc16362c317ae38c9
.xword 0x75bd243540ef7ace
.xword 0xbadb435c8e610444
.xword 0x087b6f47202ab894
.xword 0xedf745c985fb6b5c
.xword 0x0ff0e4a0985b26b9
.xword 0xd5b551f5304345e7
.xword 0xe417bb456481e517
.xword 0xaf9f9bf994ba00ec
.xword 0x867c847bc7d36b14
.xword 0x3ac7d2f5d0af6cf1
.xword 0x8581ac026c7a6e2c
.xword 0x59f0e41e8ec82eb2
.xword 0x4af9ce20f4e9202a
.xword 0x8e04e979c129cd09
.xword 0x6cc20e5b3c140232
.xword 0x4ef6999dcf5494d2
.xword 0xd57cddb4ae908c9f
.xword 0x3bcc6da3149abcf2
.xword 0x38ec157533be3b68
.xword 0xebe19b68a0e320e1
.xword 0x2a5814ae31c2a5e4
.xword 0xbcfd79a7d3f8e9ae
.xword 0x4a3ef0572f72bb78
.xword 0x7184024a1f3249f4
.xword 0xbe2bf0dcb1bad642
.xword 0x9ae262356ca26bf7
.xword 0x289102a8b23fc1ee
.xword 0x6ccd4763cbe63d03
.xword 0x90961737aa1ba474
.xword 0xfd5c74b659007d19
.xword 0x58610cb5e21b0c39
.xword 0x0b280aa1a93c91f9
.xword 0xa419320cf7eccd9f
.xword 0xfbff0ae52cf5a511
.xword 0xfbf5a73fd087d9d2
.xword 0x47afcebb1344500d
.xword 0x2ed77ce90d75883d
.xword 0x52bac476a679f55e
.xword 0x76878ce448e2b5c5
.xword 0x1dde2a5c769cdfdd
.xword 0xb0133ae3acbdda1d
.xword 0x9e1fc225d810754a
.xword 0xdfdb9482937bcc88
.xword 0x3bc4668f520473cb
.xword 0xf870b1ff2a8c3425
.xword 0xb6d9d468a8643789
.xword 0x7c7f857a7114c5ab
.xword 0x7961140ad18757b2
.xword 0xc4284e2738d5f39b
.xword 0x4d4f37a3ba088315
.xword 0xba21500a0f58c946
.xword 0x2de778d4c6fe08ab
.xword 0x43b7d4ca7e449c49
.xword 0x467358a1add920b0
.xword 0x56258c76bcf8908e
.xword 0x3ee1baa88e5857fd
.xword 0x984db31a13c8ade9
.xword 0x7cddab6b238cac86
.xword 0x250e21b7d290e26b
.xword 0x65ffd9c254a66a1c
.xword 0xf1f177334ebcd7e8
.xword 0x2be829ce0a664706
.xword 0x2aa68a8c6f70f18f
.xword 0xa4b8c4477f7dd643
.xword 0xfeec23afc03e6fe5
.xword 0xdcf2fca391ab9cc2
.xword 0xa5ed08768c02d15c
.xword 0x946472159e581404
.xword 0x8e9af2b2c0877aa8
.xword 0xb761a1aafa1c736b
.xword 0xda412dbdf7750a01
.xword 0xb0086e8b08310961
.xword 0x3f21ac76684df497
.xword 0xf77346a529497290
.xword 0x910037fd4d20f51f
.xword 0x04cf7a23fd81ad66
.xword 0x59b5bcbdb1ead247
.xword 0x4ad6813dfee28108
.xword 0xe6a00e208aa7a590
.xword 0xab906190620a46fe
.xword 0x314dd85440bcd0b8
.xword 0x4fc17f490b5a666d
.xword 0x162751fe60fac9e3
.xword 0x5b37203e87e5db0c
.xword 0xaca4242e21972ea5
.xword 0x257890107e5976f7
.xword 0xca6c4a282e0f6160
.xword 0xd833c27a4e49d8a7
.xword 0x3130e1612ac22185
.xword 0x7c8ff11bcf7cf9b3
.xword 0xf5e813c0291a0258
.xword 0x6746d79ef8e3a688
.xword 0x943797b1f414e434
.xword 0x5b2361b5afb7ff3e
.xword 0x7d8f5bdde0f5b735
.xword 0xa857c9df8b871c42
.xword 0x0ac4b8e8e6f7b437
.xword 0x895fe40a573d0f91
.xword 0x86ca8f398fb43360
.xword 0xa62e7207a54f8a27
.xword 0x6da8ee9b42b073b4
.xword 0x4a4e7a8c4c49a0b2
.xword 0x99536b79126d90a2
.xword 0xbe23ea41bac4a5ee
.xword 0xa8f85999ef7f7710
.xword 0xbffe57bc36285b16
.xword 0x9c29a31be73f6ea2
.xword 0x68466d4891a4b383
.xword 0xf4eb5ad7d242cb1a
.xword 0x9aab8e9d032fb7ae
.xword 0xba91eb8f7890aabd
.xword 0xd384f7ae2c095c38
.xword 0x16c1f3b1a9c4083d
.xword 0x65a0df38ce695162
.xword 0x872e979a2d97f1db
.xword 0x3e84792a18da8e33
.xword 0xf9fdc52912057204
.xword 0x894f8911ada4fd4c
.xword 0xff8b2dcdd66fef1f
.xword 0x864d1551a9dbc98a
.xword 0x49200f5d46b734e2
.xword 0x9b259eeb2da70953
.xword 0xc2641c73037b6055
.xword 0x267db2e13f51c89d
.xword 0x9071c2986afc52e9
.xword 0xfe3177b69f933b1c
.xword 0xcaa00c32a266d605
.xword 0xa0d39048e79524b2
.xword 0x2d3b60d24b281204
.xword 0x66111731db11dd25
.xword 0xd772882af728b88f
.xword 0xdb77923e20cee311
.xword 0x542f774b442b2a3f
.xword 0x3a619ddc31a4a345
.xword 0x70054ec93aec231c
.xword 0xaf74a03a5b647603
.xword 0xcc1b26de84232abf
.xword 0xe11b700dcc1d6857
.xword 0x5585badfac5bdfa7
.xword 0xb321f9837edfb424
.xword 0x50776719d1db4f3f
.xword 0xd9ac4220ffa70798
.xword 0x0fed15f198fcb193
.xword 0x6236b6200359851b
.xword 0x100e17779cbf189d
.xword 0x2bc0efeb7c9c0680
.xword 0xe121005cd5ae61cf
.xword 0x45a86097d0469e33
.xword 0xfc34eb4e96421cbe
.xword 0x670b553a1629f24a
.xword 0x5e598c5afeb10e93
.xword 0x2a7cd32fb93af1fe
.xword 0x7927b6c35e6100b9
.xword 0x65934e31a0eba3b8
.xword 0xaa4ade2cd74ea2d2
.xword 0xb24c8f2986e0096e
.xword 0x4d313936e5838b16
.xword 0xa3e1a3d681a18dd1
.xword 0xd65dbf674ff79def
.xword 0x7fe93dc81aa3c598
.xword 0x2a440439bc3b3984
.xword 0xfa8f990ea6f7e265
.xword 0x6d9651ea90c4ce7d
.xword 0x39b3106eb72c45d9
.xword 0x1f02e5e45154dacf
.xword 0x4eff838601d7b4fe
.xword 0x249f74df29287e41
.xword 0x10e469efacb0e168
.xword 0x913499124350e98e
.xword 0x4847ecbb44020714
.xword 0x2ee23ff0e357d983
.xword 0x40d89f86da5da8b9
.xword 0xa0bf6e47fd3a4c52
.xword 0xb738b8658b09ffce
.xword 0x684a292fd0583c38
.xword 0x44b1a66d85f4d0d3
.xword 0xfca513fe93d24ecf
.xword 0x4bb51314e46cddcf
.xword 0x1154055db0cfece4
.xword 0x5ca10098919ceb6f
.xword 0xa05bc226e1d76f9c
.xword 0x4b576ba40e35dba3
.xword 0xbc57cfc5340af049
.xword 0x6ad4191c97661c03
.xword 0xa3c3e81730e8203f
.xword 0xe544052a3ab5ff57
.xword 0xcdbf4bce4a384905
.xword 0x7265bde6ebdf6429
.xword 0x896d663fa27a6c54
.xword 0x7af94e4dab6efbeb
.xword 0xd983cac59d800cd2
.xword 0x84b194b3ea088af0
.xword 0x7e859d15230f13d6
.xword 0xc3d3322f996e0055
.xword 0x470ef8659222fa27
.xword 0xc6eb1ef12ac023a9
.xword 0x272772f3633585bf
.xword 0x4191bf465d94907b
.xword 0x7084d44b038d8d2b
.xword 0x29969e5c14216c32
.xword 0xdf50124e25384f31
.xword 0xfd95863f8a3ad162
.xword 0xbc7a658e35fca943
.xword 0xe474d24abd17dd5c
.xword 0xced590b1f00d6a0c
.xword 0x9531fd4d1809b7f3
.xword 0x1c335b369cc8ee3a
.xword 0x3f4c67a946bea93c
.xword 0x32e39fb7ec84053a
.xword 0x91a16daa70ed6dff
.xword 0xcfb3b6cd973313e6
.xword 0x9843eb5b4a1c0048
.xword 0x77704ab5a8d6cc91
.xword 0xe9cb98197e487694
.xword 0xc17417376610d7eb
.xword 0xfce5238294214300
.xword 0x550f2e33ae81b924
.xword 0x5c16b799a6258bca
.xword 0x3c8a3bdec72a7180
.xword 0x3337cf8053e303a4
.xword 0x55cde049f137f3e9
.xword 0xa96abd9c2efab890
.xword 0xaff314038f99d87c
.xword 0x4e958bc40469dbfd
.xword 0x52e1c782c6717c04
.xword 0x2ffbd5d40d7b5c83
.xword 0xde875c9d1ab925e5
.xword 0x23ed4767d1fcd616
.xword 0xd388c740b971509b
.xword 0xe62988a0c80fb2a0
.align 16
_t1_rc4_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_rc4_auth_key:
.xword 0x4866f44ae0a61820
.xword 0xe770ef5fe3363c05
.xword 0x5d7204757beb8476
.xword 0xf205bc97f922fa47
.xword 0xa64a2970d557331a
.xword 0x2839670aee998871
.xword 0x32e8e1c9e360505b
.xword 0xfc71b528e4b227a2
.xword 0xb82c53d8ec787044
.xword 0x5be54eb01ee0ab34
.xword 0xd30c4e2208fb5375
.xword 0x4c8e79be9d29a5cc
.xword 0xdb223db31c5978f5
.xword 0x3d37da6737424122
.xword 0x3fc06b1a46cca653
.xword 0xb4a330155fb4a338
.xword 0xdc3c0dae89b2170f
.xword 0x7b6ffd488da1a00c
.xword 0xd210f3818f79e979
.xword 0x5e50babf969bb0c7
.xword 0x1c12ee8181a3ab2e
.xword 0x98a6b4a2ba7a63a1
.xword 0x1eef53439812bdc4
.align 16
_t1_rc4_auth_iv:
.xword 0xeeff60ea7ca2db55
.xword 0x2506dce1be7ee2f9
.xword 0x1aa32c89d0cad57c
.xword 0x3a691b6d061aafef
.xword 0x10e11a549854ee9b
.xword 0x183f6f4546bf3c31
.xword 0x4e9673f5ef1d7327
.xword 0xa1d6319c9daa5b88
.xword 0x79bdcebfa43cdd77
.xword 0x07cdbce9b4f49223
.xword 0xabbb76c56cab75a9
.xword 0xe97f9b913cb222b1
.xword 0xc1065f4ae2513159
.xword 0x7f994ef358109633
.xword 0x7adcfc1fbbc056e8
.xword 0x21a795d6248ac2e5
.xword 0x1437914553e788f9
.xword 0xd8637c17cbcd03e6
.xword 0xdaee4b25bea9106b
.xword 0x68c0d037c7c57732
.xword 0x8bdb51bc634aa607
.xword 0x1431e4ea561622cf
.xword 0xf579292d3dcda354
.align 16
_t1_rc4_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_sslkey_key_array:
.xword 0x7e5629a7dbe696e1
.xword 0x5e1fbb9d5ee115a3
.xword 0xea73704e1d391297
.xword 0x53141a2abe7656cf
.xword 0x64bf4c2b4298a69d
.xword 0xe758d18f76dce9bd
.xword 0x52aa4e00f76c335d
.xword 0xfa1f4e979f0dcde0
.xword 0xc649889ec17c799a
.xword 0x3a9dd972d09b1330
.xword 0x88436eafb15e307e
.xword 0x9d11d2fc981c0407
.xword 0x49dd9158153402e2
.xword 0x1a8e847151a4749c
.xword 0xd767135160266daa
.xword 0xc5c4b7434c6ecdcf
.xword 0x556df1f6e58045ad
.xword 0x869c9a5de28014e9
.xword 0x2a40322250eb98ad
.xword 0xe97f70900e021522
.xword 0xa3564ae2d3f8c871
.xword 0x407fdf07266cf2f4
.xword 0x2544260d7b0f3c43
.xword 0x0511eeb230d79ae4
.xword 0x8a5d6fd7b7d97bb0
.xword 0x977c84abacb78752
.xword 0xdfe993356b71fad2
.xword 0xf24a9c23c9ae951f
.xword 0xd54f3898ade6b709
.xword 0x5641d8d51cd202f6
.xword 0xb55d04581add7964
.xword 0x78ab4311a046b6cf
.xword 0xa1afc76b281a41ed
.xword 0xb11e5998cb865cd1
.xword 0xc855d2066a31cbd6
.xword 0x761b4b778c880de7
.xword 0xcf2cecef0885bd65
.xword 0xc61cf40ecf2fbc93
.xword 0x5ff15b19a3addc6b
.xword 0x50fd5e8f08f65475
.xword 0x25879226679de9ce
.xword 0x0198f55b1c86506c
.xword 0xb91d0fa80fb93e8c
.xword 0xd4129eeb8b058c1f
.xword 0x3cb06da32172a7ea
.xword 0xaba4134080f0c181
.xword 0xd4bed09386b97e1d
.xword 0x28e03957ae470256
.xword 0x0d4273f54f43689f
.xword 0x2ff70987714d5f4e
.xword 0x8088b26f0597e25a
.align 16
_t1_sslkey_iv_array:
.xword 0xd91af787868276c9
.xword 0x210fa5add56f1108
.xword 0xeabd734fa5cd66a8
.xword 0x5aacbe0191f214cb
.xword 0xe6424b3aa1314d92
.xword 0x3f3b900c285c09d8
.xword 0x492b68b8dd7bec2c
.xword 0xb0948ebcd2b14713
.xword 0x3480beab86e9c8cb
.xword 0x19d09e38e0f33e03
.xword 0xd431b98d3b8d34b2
.xword 0xdfce6e467c052ab1
.xword 0xd036679f85f130b2
.xword 0x3730d08b1e9b59f3
.xword 0x6b3fd8dd7a7afeff
.xword 0xed05e465571a2c2f
.xword 0x00167cf0a5f4d395
.xword 0xedebedef3bcc0a7a
.xword 0xf5608db908010656
.xword 0x7be30cbce70322c1
.xword 0xa908848afe05396d
.xword 0xf53d6321a817cf33
.xword 0x1a07182cf5395c3e
.xword 0x3c791a822a540595
.xword 0x69f7f0b5dfc45bbd
.xword 0xed4f6812d463ad15
.xword 0x685b8857dfeac04e
.xword 0x4ed8d0743488dd32
.xword 0x0cb727ee4e42ae5b
.xword 0xb572ce70d98247cb
.xword 0xa75f8374c82d44d6
.xword 0x19fe28ef8acfadb8
.xword 0xa616c07e61d9ca6f
.xword 0xde549d80df8d0203
.xword 0x99a37176e4933a93
.xword 0x6f412c39fb51a785
.xword 0x6684cf03ef74a08b
.xword 0x2510ca50163aedf8
.xword 0x13ed2bdb4d66ad1f
.xword 0xd13382669ae8a226
.xword 0x758b6e2e561fbdc1
.xword 0x10f2067dcc163400
.xword 0x30e264d424658874
.xword 0xddbadfdff2afaddd
.xword 0x735defb55097daba
.align 16
_t1_sslkey_alignment_array:
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.align 16
_t1_sslkey_src:
.xword 0x96834fab160aad50
.xword 0x96d0d1172a6ae7f1
.xword 0x0c4cee1f6f0f9f28
.xword 0x012b37f043af0363
.xword 0x760f63e8eab2e002
.xword 0x4e33b1f9a1364031
.xword 0x07b0918040d83d82
.xword 0x77eb7c1900abad3d
.xword 0xaceecbc51e3e5b2d
.xword 0x17f27af1e4ede45e
.xword 0xe2ba4aeae5957f85
.xword 0x1afd893669a3832b
.xword 0x97a2a297fd6d23ac
.xword 0xe8bd137541063d52
.xword 0x911cd5ff6155c787
.xword 0xcdebef722d913352
.xword 0xbe41b629fdf4fa6f
.xword 0x27d9b6705af698a3
.xword 0xc942c8b5977fd408
.xword 0x9c2ccc67f5dd7237
.xword 0xda6524bb05366923
.xword 0x1d0f013a42c27561
.xword 0x7048993c0ac47af1
.xword 0x1b9ae79e4a9646ac
.xword 0xabaf97b8a5e15b2a
.xword 0x0e6413f5467b8829
.xword 0xf5071bab314bad6e
.xword 0x5f2f7ab56b83581b
.xword 0xfc53ac91acf8a41f
.xword 0x59a492ba5844034d
.xword 0xb2ca7c530ee477d9
.xword 0xd76bc7361189c81c
.xword 0x74047e2207c152c6
.xword 0xe962738c30679d85
.xword 0xeb26bc9abfdf6e02
.xword 0x2de043f299475e86
.xword 0xdf1affbfee642689
.xword 0xc5703f913882b27d
.xword 0x6cfde2d79c556156
.xword 0xfe59dee267c3edcf
.xword 0x762344395b182c2c
.xword 0x0385be08a432c4da
.xword 0x545b0422c562b558
.xword 0x02f79cb34ce4b00c
.xword 0xa1874df9721ee65b
.xword 0xf72d1448e3a62b6e
.xword 0x95438f2cb775083c
.xword 0xdc93d56b42cc7876
.xword 0xb02e72d03b025a4a
.xword 0x5c7e664ab302c65f
.xword 0x0e60466eec37bcdd
.xword 0x2905bfa94b2531b7
.xword 0x13d1dcc98d4d6708
.xword 0xddcc62ab1a26cb9a
.xword 0x16193a23d27513db
.xword 0x31276be24eff0b4e
.xword 0x0a7b14419a9d2e4a
.xword 0xc9e9009047350a2c
.xword 0xd90a7f1f8aeacedd
.xword 0xd3257db1e77257c2
.xword 0x760b7bacfbf9c3de
.xword 0x302f8078707fc166
.xword 0xde548cb291fd3e0b
.xword 0x4907892cc759cc54
.xword 0xd04eaf508613e0f7
.xword 0x115ccf717a6be63e
.xword 0xbd0d531fb1f49f6f
.xword 0xf8c8424926b165b2
.xword 0x55cbc40b6d405365
.xword 0x5a893e6a9d2857a8
.xword 0x68922c5fa3dafbd0
.xword 0x131e20aa162c2fe2
.xword 0x64dc2ab3abf8c3dc
.xword 0x84cf8e99fcacc012
.xword 0xa8cdca684b335b39
.xword 0x2d6367c83d3df05e
.xword 0xbfbf5f58848abad8
.xword 0x4838caef16de0336
.xword 0x9659464cc050f0d2
.xword 0x7f77c3e52b868392
.xword 0xaeccd2ed57774c32
.xword 0x1fe120ae93ad3eb1
.xword 0x9a46bc1d24af6c5d
.xword 0xec520eb10dec9e60
.xword 0x0cd1b305de779954
.xword 0xfb8f843f9840ff26
.xword 0x72301b06750d4c2e
.xword 0xecce3c66871f7856
.xword 0x4efe32a8b000ab05
.xword 0x586db13f1613cc83
.xword 0x5691f5459ff3a4d0
.xword 0x989aea7ef455a993
.xword 0x7a43b4f64eacc857
.xword 0x7d0001d0b9467d14
.xword 0xc9d730ed846d79cd
.xword 0x4e71c0acf6af0d21
.xword 0x4c097d9df0f897c1
.xword 0xc0e833d73e3ac493
.xword 0x2dd969396341469f
.xword 0xcda605a812200265
.xword 0xbb88da776406c112
.xword 0x1b784acf6a736254
.xword 0x27a11046e9527599
.xword 0xba75546a3a193734
.xword 0x6ff175b7a98a3831
.xword 0xa71df29bb557909c
.xword 0x14178caf5c0939f0
.xword 0xc160e6cf47f57916
.xword 0x83c4152f54acb845
.xword 0x4645ca277b1e3f0e
.xword 0x361c0757c0c099f4
.xword 0x654d4b1e512a2884
.xword 0x23a494bc39da1598
.xword 0xc4a628b9bf27d05f
.xword 0x69ed8294741fff0e
.xword 0x1c2e9b1d1a2eefcc
.xword 0xc9bfaa1177cc9668
.xword 0x69b35876dcea3c09
.xword 0x8230570626db13a9
.xword 0xdb00482470fd3c85
.xword 0xa893a55a11e2f6c1
.xword 0x6326dd45b9fd275d
.xword 0x42d7c64928707cd0
.xword 0x01c279b519da65c2
.xword 0x03f11e617324f426
.xword 0x1eedc01ebeabb037
.xword 0xb1dcd9b68e20d795
.xword 0x4902be1d04ee09fd
.xword 0x0321befce320147f
.xword 0x2f74e0aab16ef082
.xword 0x3fcfeb3ed246340d
.xword 0x2399e68f87e188d2
.xword 0x6b3a6da48de84618
.xword 0xc9f7f8a2a7d5387d
.xword 0x564a9dfc6c019794
.xword 0x4c02777f30bccf01
.xword 0xd2dba67c81fb4ec6
.xword 0xe7d30f721ac3a0eb
.xword 0x17a33b52aede7bbe
.xword 0x402be3326e15938b
.xword 0x8a4eb1d8005325bd
.xword 0x58fa9cfbce2beee1
.xword 0xcee46b82d7b9f4af
.xword 0x41d2d3cc234cf49b
.xword 0x6f20fb814d1fffa4
.xword 0xdae2a80b969dce4b
.xword 0x6bbe75e4ec416d47
.xword 0xbdd4d6771d5b033a
.xword 0x370ebf0a80b94c16
.xword 0x8c0a9ade90eee003
.xword 0xad7a6da2f0a511bd
.xword 0xcd41222c0ac1aa84
.xword 0xd3f3aa1b2fc40236
.xword 0x58839f0e2f1fd90c
.xword 0x5e51c42a84c83d9f
.xword 0xf95355c81b95452e
.xword 0x1486b3dc08ce069b
.xword 0xd05fc69ab2dcc98b
.xword 0xfc126c22fe46d7b9
.xword 0xfa2466b14dd00f5f
.xword 0xa58a9e8559e0c3a5
.xword 0x40e30dc0528d6ce1
.xword 0xb5bc35145b54d46c
.xword 0x8749fefe548f5739
.xword 0xcb6b1336ffbee259
.xword 0xfd83054a1a63b2b7
.xword 0x67705a22abb21b3b
.xword 0x3d3f89efec2d5b13
.xword 0x0e745d0fe08d9906
.xword 0x7e05fdfd38ed6d31
.xword 0xc2489c5bc5292270
.xword 0xa86a4721b02ab286
.xword 0x115c01778e1d7e97
.xword 0xe5ea5b0fddd7908b
.xword 0x58e06be664455edc
.xword 0xbfa1d0625ac03373
.xword 0x30cc4e4af7ca86e2
.xword 0x57ee2543a05681a9
.xword 0x4eeacd8a481608eb
.xword 0xc7769852effc21a1
.xword 0x4cd3d62ab999acce
.xword 0xfb1214a6fa7f8691
.xword 0x4c1ad0345ecb0bc8
.xword 0x1c5900c2616b6815
.xword 0xf9522c216e65d49b
.xword 0x3396c194d5952d50
.xword 0xe1e0d63c4a1cea62
.xword 0xfbdb251e50a00fc5
.xword 0xfbc71d10e8bcdbcd
.xword 0xec5fd25b143fea06
.xword 0x3867801846c82f99
.xword 0x9bcdc191e4581a3a
.xword 0xb6f5d633c92889d4
.xword 0xfec99aaf1e6fbef1
.xword 0x6ad172a7aeb0192a
.xword 0x073dec3959a54d9a
.xword 0xf78bf8e22caaefb8
.xword 0xfb59fbef74d51c2f
.xword 0x52790ff34f2e4f23
.xword 0x520f6f1c3bb61605
.xword 0x5559414b4848808c
.xword 0x4efbc280e921c5e6
.xword 0x464982c14a3c370f
.xword 0x539d0ccb3c390f7d
.xword 0x9a2dbdb7016fe801
.xword 0x5d6d7274a89849ad
.xword 0x42fc851868c360ff
.xword 0xd6e2ab54e72ec2d4
.xword 0x933b21452b2a0a47
.xword 0xa89de0939ffbb2dd
.xword 0xc74667f097802f09
.xword 0x9f4cd8700f3d5cdf
.xword 0x74693775d3259cf4
.xword 0xe1506278365b750e
.xword 0x5b12e85ecfef539e
.xword 0xc7bf0aaaee8a307b
.xword 0xc9ae1113f22bd3e6
.xword 0x68e185e80027a6f3
.xword 0xd4c4ba497a09d5ed
.xword 0xf8677e3028e51df8
.xword 0x7bc661a91d66b986
.xword 0x9cc0be95d38d993e
.xword 0xde322ffbd65859ba
.xword 0x3e434f2eed38650f
.xword 0xe3a0a790ecb6d21f
.xword 0xaa7aac29b4a63746
.xword 0xb6507862108eb39d
.xword 0x9e357819d69aefec
.xword 0x8413d03d1d084979
.xword 0x465d70bdbaa4099f
.xword 0x47b8216350b8b620
.xword 0x56c189768516d3e6
.xword 0xa4f555758d191da1
.xword 0x3426692e41f02f1d
.xword 0x23d1a80b32af9749
.xword 0x35e5acef817c3d6e
.xword 0x40313f4827fc7f18
.xword 0xf83dccaa91578cff
.xword 0xa7a91c2a945f3254
.xword 0xf8a352c4c98dc117
.xword 0x2094e4c1c17ef31e
.xword 0x66cd00522bd143eb
.xword 0xb177357930dffca5
.xword 0xf071f5af1e2ca78b
.xword 0xa7b9c2432e9937cd
.xword 0xa41321609aeabb2b
.xword 0x4243904b077f25b7
.xword 0x882e6b585a84df52
.xword 0x35eb759f6d18bbc2
.xword 0x2c01af9588d00e91
.xword 0xe8c3eab46ff53422
.xword 0x85873878cbbba398
.xword 0x4942e12ec992f94c
.xword 0x6264f926e3e45adb
.xword 0xce1b36914bd1d5dc
.xword 0x9ca11854b61fc27f
.xword 0x9d677a7daf1b8c69
.xword 0x93be39277b0e4557
.xword 0xa9db54ad4feb8163
.xword 0x20b4b45dc62f126d
.xword 0xe08761ce95abda56
.xword 0x6e6801e6454ecf58
.xword 0x28f0e5b38ae69be3
.xword 0x3b79850bcab74a52
.xword 0x424eb90e5451fc3c
.xword 0x3118e3b6bb142fbc
.xword 0xbcc98ba3d33d1ba8
.xword 0x9d3e36ece16f0d0f
.xword 0x9fe62af7eca1cfb8
.xword 0xb561d38715fab1df
.xword 0x3a49ac2e4955f3fb
.xword 0xbdd43f8ee1a2511a
.xword 0xedd096d6ba21864e
.xword 0x28fc0b3e1717fdc4
.xword 0x9427b1a1157c46b7
.align 16
_t1_sslkey_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_sslkey_auth_key:
.xword 0x482e6c25d4d33b0a
.xword 0x41705ed12d9dbb8e
.xword 0x5b423cc6581c63fe
.xword 0x07bfd13530a6a1d1
.xword 0xf235118a49c2da95
.xword 0xb9e9fa31959efbd4
.xword 0x2be07aaf291e693a
.xword 0x5e7a41a2f6becb59
.xword 0x439e70304c9c7578
.xword 0xd5b9049e26a14014
.xword 0xe9a37902abfdb626
.xword 0x6be141f2e586a954
.xword 0x72007be9b72b7273
.xword 0x056d5c51eb7d0836
.xword 0x0a5c36ebd5c10aef
.xword 0x2e6d84c524d20f65
.xword 0xe59dcdba5945ae1f
.xword 0x58923e6c202b3241
.xword 0xab70ad3da6369113
.xword 0x0019cfd651bbe71d
.xword 0xb74b07d2c7ffd2c1
.xword 0xdfc87ea64fb7434f
.xword 0x696732c7eb687a5d
.align 16
_t1_sslkey_auth_iv:
.xword 0x949f14d46f1b686c
.xword 0x904b919093be5ed6
.xword 0xe6e7c075ae41819e
.xword 0x878c636e42c1c2b3
.xword 0x7648e68e773143ed
.xword 0x4faaa42cdd7e2ee2
.xword 0xc33b76caf2ac8c1c
.xword 0x551e32bfc2228f70
.xword 0x55ccfcd3a15d95e1
.xword 0x1327ec5ccc40ac9e
.xword 0x6ffc6dd1b4fa0551
.xword 0x9cfc4173c1576ff2
.xword 0xfeb5d2e9860c0011
.xword 0x6506f429319e052b
.xword 0x03729e9b8d920fc7
.xword 0xac7e0d118eebf286
.xword 0x6d25a7f38b36ee2b
.xword 0x3d0d5082e266e479
.xword 0x70d4050a2ec6e3e4
.xword 0x60fe1184a9c54b12
.xword 0x0b3b02f7d5d021a1
.xword 0xa24aa775fb483528
.xword 0xe210a5d1bb8bbe7c
.align 16
_t1_sslkey_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_toc:
.xword _t1_aes_cwd_array
.xword _t1_aes_src
.xword _t1_aes_auth_key
.xword _t1_aes_auth_iv
.xword _t1_aes_fas_result
.xword _t1_aes_key_array
.xword _t1_aes_iv_array
.xword _t1_aes_dest
.xword _t1_aes_alignment_array
_t1_des_toc:
.xword _t1_des_cwd_array
.xword _t1_des_src
.xword _t1_des_auth_key
.xword _t1_des_auth_iv
.xword _t1_des_fas_result
.xword _t1_des_key_array
.xword _t1_des_iv_array
.xword _t1_des_dest
.xword _t1_des_alignment_array
_t1_copy_toc:
.xword _t1_copy_cwd_array
.xword _t1_copy_src
.xword _t1_copy_auth_key
.xword _t1_copy_auth_iv
.xword _t1_copy_fas_result
.xword _t1_copy_key_array
.xword _t1_copy_iv_array
.xword _t1_copy_dest
.xword _t1_copy_alignment_array
_t1_crc_toc:
.xword _t1_crc_cwd_array
.xword _t1_crc_src
.xword _t1_crc_auth_key
.xword _t1_crc_auth_iv
.xword _t1_crc_fas_result
.xword _t1_crc_key_array
.xword _t1_crc_iv_array
.xword _t1_crc_dest
.xword _t1_crc_alignment_array
_t1_hash_toc:
.xword _t1_hash_cwd_array
.xword _t1_hash_src
.xword _t1_hash_auth_key
.xword _t1_hash_auth_iv
.xword _t1_hash_fas_result
.xword _t1_hash_key_array
.xword _t1_hash_iv_array
.xword _t1_hash_dest
.xword _t1_hash_alignment_array
_t1_hmac_toc:
.xword _t1_hmac_cwd_array
.xword _t1_hmac_src
.xword _t1_hmac_auth_key
.xword _t1_hmac_auth_iv
.xword _t1_hmac_fas_result
.xword _t1_hmac_key_array
.xword _t1_hmac_iv_array
.xword _t1_hmac_dest
.xword _t1_hmac_alignment_array
_t1_rc4_toc:
.xword _t1_rc4_cwd_array
.xword _t1_rc4_src
.xword _t1_rc4_auth_key
.xword _t1_rc4_auth_iv
.xword _t1_rc4_fas_result
.xword _t1_rc4_key_array
.xword _t1_rc4_iv_array
.xword _t1_rc4_dest
.xword _t1_rc4_alignment_array
_t1_sslkey_toc:
.xword _t1_sslkey_cwd_array
.xword _t1_sslkey_src
.xword _t1_sslkey_auth_key
.xword _t1_sslkey_auth_iv
.xword _t1_sslkey_fas_result
.xword _t1_sslkey_key_array
.xword _t1_sslkey_iv_array
.xword _t1_sslkey_dest
.xword _t1_sslkey_alignment_array
.global _t1_table_of_context
_t1_table_of_context:
.xword _t1_aes_toc
.xword _t1_des_toc
.xword _t1_copy_toc
.xword _t1_crc_toc
.xword _t1_hash_toc
.xword _t1_hmac_toc
.xword _t1_rc4_toc
.xword _t1_sslkey_toc
!# CWQ data area, set aside 512 CW's worth
!# 512*8*8 = 32KB
.align 32*1024
.global _t1_cwq_base
_t1_cwq_base:
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.align 32*1024
.global _t1_cwq_last
_t1_cwq_last:
SECTION ._t1_T_CWQ_DATA1 DATA_VA=286261248
attr_data {
Name = ._t1_T_CWQ_DATA1
hypervisor
}
.data
_t1_user_data_start1:
_t1_scratch_area1:
.align 16
_t1_spu_op_array1:
.xword 6
.xword 3
.xword 3
.xword 5
.xword 3
.xword 3
.xword 7
.xword 2
.xword 7
.xword 7
.xword 0
.xword 7
.xword 6
.xword 2
.xword 5
_t1_aes_cwd_array1:
.xword 0x40e100801300000f
.xword 0x40e000201500003f
.xword 0x406100c01500001f
.xword 0x406000c01b00001f
.xword 0x406000001300002f
.xword 0x406000401100002f
.xword 0xc06100401800001f
.xword 0x40e000001700000f
.xword 0xc06100801900003f
.xword 0x40e000001000000f
.xword 0xc0e100801b00002f
.xword 0x40e000401900000f
.xword 0xc0e100401000000f
.xword 0xc06000401500002f
.xword 0xc0e000c01700000f
_t1_des_cwd_array1:
.xword 0x4060004008000007
.xword 0x4060006009000017
.xword 0xc06000c00e00001f
.xword 0xc06000c00d000007
.xword 0x406100800d000007
.xword 0x406100000d00001f
.xword 0x40e1002008000017
.xword 0x40e100800a000007
.xword 0x406100200c00000f
.xword 0xc0e100c00c00001f
.xword 0xc06100e00c00001f
.xword 0xc060000009000007
.xword 0x406000200a000007
.xword 0xc06000800a00000f
.xword 0xc0e000c00a000007
_t1_copy_cwd_array1:
.xword 0x206000a00000000d
.xword 0x2060008000000008
.xword 0x2060008000000009
.xword 0xa06000c00000000a
.xword 0x206000800000000d
.xword 0x206100e00000000e
.xword 0x206100c00000000c
.xword 0x2060006000000009
.xword 0x206000c000000000
.xword 0xa060000000000003
.xword 0x206100600000000d
.xword 0x2060006000000003
.xword 0xa06000c000000008
.xword 0x206000000000000e
.xword 0xa06000800000000d
_t1_crc_cwd_array1:
.xword 0xc16203c400000005
.xword 0x4163016800000006
.xword 0xc160030400000003
.xword 0xc16001e800000001
.xword 0x416203c400000008
.xword 0x4160012800000002
.xword 0x416203040000000f
.xword 0xc161018800000002
.xword 0xc162036400000002
.xword 0xc160012800000003
.xword 0x4162036400000008
.xword 0xc16201c800000007
.xword 0xc161038400000002
.xword 0x416301a80000000d
_t1_hash_cwd_array1:
.xword 0xc160022100000023
.xword 0x416007c300000029
.xword 0xc162002100000006
.xword 0xc1600c6200000037
.xword 0x416307c10000002a
.xword 0x41600e610000001a
.xword 0xc1600ea10000002b
.xword 0x416206a300000013
.xword 0x41620c010000002f
.xword 0x4161136200000004
.xword 0x41600e2100000031
.xword 0x41620be10000000a
.xword 0x416304e10000000e
.xword 0xc16305230000000d
.xword 0x41600ba100000009
_t1_hmac_cwd_array1:
.xword 0xc1610525000f0000
.xword 0xc1630fc5000f0032
.xword 0x416003c9000f000f
.xword 0x41630089000f000b
.xword 0xc1600647001f0031
.xword 0x41620b49000f0033
.xword 0x41620d45000f0031
.xword 0xc1630929000f0017
.xword 0xc1630325000f003b
.xword 0x416100a5000f002a
.xword 0x41600065000f0032
.xword 0x41620fa5000f0010
.xword 0x416106e9000f001e
.xword 0xc1630e89000f003a
.xword 0x41610b05000f0013
_t1_rc4_cwd_array1:
.xword 0xc0e0008004000000
.xword 0xc0e1000004000008
.xword 0x40e100a004000001
.xword 0x40e0002004000004
.xword 0x40e1006004000009
.xword 0xc0e1000000000007
.xword 0x40e000e00400000b
.xword 0x40e000a000000009
.xword 0xc0e0000000000004
.xword 0x40e0000004000009
.xword 0x40e100200400000a
.xword 0x40e000800400000d
.xword 0xc0e0004000000003
.xword 0xc0e100a00000000c
.xword 0xc0e0008004000000
_t1_sslkey_cwd_array1:
.xword 0x90600aa000000000, 0
.xword 0x1060104000000000, 0
.xword 0x10603de000000000, 0
.xword 0x9060300000000000, 0
.xword 0x90603da000000000, 0
.xword 0x906024a000000000, 0
.xword 0x906038a000000000, 0
.xword 0x1060184000000000, 0
.xword 0x10601d2000000000, 0
.xword 0x10600aa000000000, 0
.xword 0x9060036000000000, 0
.xword 0x1060020000000000, 0
.xword 0x1060158000000000, 0
.xword 0x1060202000000000, 0
.xword 0x9060398000000000, 0
_t1_aes_key_array:
.xword 0xedb63cb71e4ca10a
.xword 0xbfa610581dc3a617
.xword 0xf91893ba603bca4e
.xword 0x1b0ac705f491453b
.xword 0xeffd943194162277
.xword 0x340d03eea1197cf4
.xword 0x002122b975f58690
.xword 0x21c4d1317ecb615b
.xword 0xa0fc093d54d24a70
.xword 0xee269f1074da1c7b
.xword 0xf807344385f55abe
.xword 0x96f127522d707745
.xword 0x3278e241d21a8fb8
.xword 0xf64d48710cc820fb
.xword 0xb8c62958a0fc0cd4
.xword 0x14516034cc786bb7
.xword 0x71f20d1d7d250573
.xword 0xa885599dae7a359c
.xword 0xacc8604db0506f33
.xword 0x9b7bcc0fd29b2cf5
.xword 0x5e74308b2c71b9e8
.xword 0x72892456a69eb930
.xword 0xbad7e13602c5ccfc
.xword 0x7008064c9747eb82
.xword 0xff5e4de3caf04ccd
.xword 0x83374f4ac2139a47
.xword 0x55b73e7afb67a032
.xword 0xaf59340e1b826dab
.xword 0xee41e0fdada50217
.xword 0x8698c9c03415b821
.xword 0x4aa644a5afb3df9b
.xword 0xd23031cd0e619adc
.xword 0x979e041a35cc6b2e
.xword 0x84e9151ec7f66ae1
.xword 0x2a87ddf2e11d3813
.xword 0xeb043cd31b80684e
.xword 0x58bae3cc3666dfd7
.xword 0x8c23f0d94f87eb25
.xword 0x0a4ed59681653378
.xword 0x91be8dba2e9cc2d1
.xword 0x256e034e1441bc46
.xword 0x753e7e31b08fcf88
.xword 0xb4c4313ff39b0e5c
.xword 0x031fab51faef0b0b
.xword 0x129a258eb4253658
.xword 0x45be9e0ef53038d7
.xword 0xab6f753266d21e44
.xword 0x951dc1b64ffb9e81
.xword 0x3e95ff81f934841c
.xword 0x68bc17ef65a20565
.xword 0x48efbd4da058fab9
_t1_aes_iv_array:
.xword 0xb064af1052cf7290
.xword 0x7f5287c4527dc01c
.xword 0x5e058ebc8cebf960
.xword 0x62cbfa8da62465c1
.xword 0x4555f08e53ecfa19
.xword 0x8c8e87c9e90e773c
.xword 0xba5ec1027846b1e3
.xword 0xf2d2fe8f124b0064
.xword 0x96180aa19aa4a62b
.xword 0x0a6d74920e7cd960
.xword 0x26ddcc967b3c0f96
.xword 0x739a0d24f9b5be66
.xword 0xdc086a8e6a005a38
.xword 0xfa213f24de1b1d9c
.xword 0x6cd481f11bd7dfc6
.xword 0xcd7dadfe636cc68e
.xword 0x52c62d4e97da3940
.xword 0xc641ad9ccc743579
.xword 0x5fae3c0c0780cf4d
.xword 0xd1ae2f532f0a2914
.xword 0x2cf5af8147bce329
.xword 0xa6fe31821c94914e
.xword 0x43da7018540a353b
.xword 0xd62230cb7248ed11
.xword 0x23b170ad4fc0009b
.xword 0x043db42a860abdf0
.xword 0x43df0a09b5c49880
.xword 0x02dfe263683789c3
.xword 0x9a4caabfcdff51a0
.xword 0x3ec7073c1fc1d028
.xword 0x3070454a36ede67b
.xword 0x935718a1951d8b46
.xword 0xa0c03cdc2886e8fd
.xword 0xb6a788409c59dfec
.xword 0x91e2d72d4b4176ea
.xword 0xccfc44dec51173e8
.xword 0xb3311931d51922b9
.xword 0xbadd8ac49bb6b95e
.xword 0x387d89307e6d634e
.xword 0x325c71643600c95e
.xword 0xce21cc622802da47
.xword 0x1327a00fe8520796
.xword 0xabdb68557f7779cb
.xword 0x13473bb3ace499b5
.xword 0x14a0fa0be6a53a40
_t1_aes_alignment_array:
.xword 12
.xword 4
.xword 13
.xword 10
.xword 12
.xword 14
.xword 14
.xword 14
.xword 6
.xword 4
.xword 13
.xword 13
.xword 11
.xword 2
.xword 8
.xword 11
.xword 13
.xword 9
.xword 15
.xword 4
.xword 9
.xword 13
.xword 7
.xword 11
.xword 7
.xword 15
.xword 8
.xword 4
.xword 0
.xword 12
.xword 3
.xword 13
.xword 13
.xword 4
.xword 11
.xword 3
.xword 4
.xword 2
.xword 2
.xword 9
.xword 2
.xword 10
.xword 13
.xword 12
.xword 11
.xword 15
.xword 0
.xword 4
.xword 6
.xword 9
.xword 7
.xword 10
.xword 10
.xword 15
.xword 3
.xword 0
.xword 15
.xword 9
.xword 3
.xword 3
.xword 8
.xword 11
.xword 1
.xword 1
.xword 4
.xword 10
.xword 5
.xword 1
.xword 1
.xword 11
.xword 2
.xword 10
.xword 8
.xword 5
.xword 7
.xword 4
.xword 4
.xword 14
.xword 6
.xword 10
.xword 4
.xword 13
.xword 10
.xword 11
.xword 4
.xword 5
.xword 4
.xword 12
.xword 5
.xword 3
.xword 5
.xword 9
.xword 5
.xword 4
.xword 12
.xword 8
.xword 1
.xword 12
.xword 7
.xword 2
.xword 6
.xword 5
.xword 9
.xword 7
.xword 7
_t1_aes_src:
.xword 0x0016b1bae86abd6d
.xword 0x67b9afcedd81dfcc
.xword 0x94cb7d1d9bbcbdba
.xword 0x416cdcfa53e6c4cd
.xword 0xb7ca04bea79f52fd
.xword 0x1540f5a5fa4226d7
.xword 0x864ee3a0a705c613
.xword 0x828b4bd91629409e
.xword 0xe4df84ce24ffa94d
.xword 0xc3634b8dab7b4b4e
.xword 0x991b3769f456b458
.xword 0x9c0c44d8caa605a8
.xword 0x5db0845ef2338da8
.xword 0xb597e1599a459f7e
.xword 0x6c89b97a9ab6e582
.xword 0x790117e57176fd7a
.xword 0x893e0b5fb79ad78c
.xword 0x32026c49d059883a
.xword 0xeb6ffb4512909b37
.xword 0x3c1a60360f8b2dfd
.xword 0xed18410ef0baac1a
.xword 0xfb9ac8baa7e84d47
.xword 0xb077342dbf0c0a39
.xword 0x5491ffa99525f76d
.xword 0xd57f98f8d90a55a4
.xword 0x15c67c14d158d797
.xword 0x7c54b93971d37f77
.xword 0x9928b44a1434f745
.xword 0xdc9bc41ddbbbe0a5
.xword 0x94d30c4fc09b2279
.xword 0x5fde1f2915b27dd9
.xword 0x9b11b855524496ee
.xword 0xf567980f7e601a36
.xword 0x82a88362bdc7ec5f
.xword 0x2c3b5e6cc07178e7
.xword 0x4246dc0c913d9743
.xword 0x1ae0ba887842e942
.xword 0xd3c220e345d9bf81
.xword 0xdadae37cd98833a0
.xword 0x7b077038ab169e4a
.xword 0x3062a7def6b338a4
.xword 0x746bc9be8382c461
.xword 0x1264e2e547e7032d
.xword 0x5fda65afd2b56195
.xword 0x7c3b1e959cb872f5
.xword 0x552a03e0a6ca3694
.xword 0x6f569b3026b466c4
.xword 0xcbe795448c31508d
.xword 0xee3c27d3e961c53c
.xword 0x089ec8ee8aa79909
.xword 0x704f43f0e8f9fbae
.xword 0x290527a529d390ee
.xword 0xd664c37c80bf02ff
.xword 0x9fffbb6b5f6634de
.xword 0x7c175bd6ec5d7b4c
.xword 0x6ed5a28f3f4fe246
.xword 0x700fe086e4a81572
.xword 0x59e3355a42cf9dab
.xword 0xdea9c3737b8e59f8
.xword 0x2670cdc508aac438
.xword 0xdca3a2b7312e8017
.xword 0x9bbb4f85c05fb44b
.xword 0xf8530b8b95adbcee
.xword 0xdd1fcec7f39e85d3
.xword 0x2e6cc8e870bb8523
.xword 0xb8309dbedd9b8491
.xword 0xf361c7d392b19899
.xword 0x609a8d31e784ef3c
.xword 0x13ba021180902f90
.xword 0x56c7005daa849d10
.xword 0x7085c9d6c184d143
.xword 0x4a98e82bc8c883a0
.xword 0x96c4571c3af744e8
.xword 0xe129321d4d0bb063
.xword 0xdf321be65ffbbe5d
.xword 0xe9bb41d68b460e34
.xword 0x82cd55bf13e10263
.xword 0x5be868816bdd7331
.xword 0xe3a40acf4dfe33c4
.xword 0xfb4b17c639fa8dca
.xword 0x0598e3b338fd7d26
.xword 0x9d8d601d0c5e3563
.xword 0xcd7a8f1c02701207
.xword 0xc1d0e1d6b760809b
.xword 0x8d20240e31cb45be
.xword 0x6a8105920e92ef6b
.xword 0xf5aac5c1cf471c83
.xword 0xba26f4a9eb00e39c
.xword 0x961a5ba744c0e80b
.xword 0xe13044f54dd92103
.xword 0x4565469b32f5d9f0
.xword 0x5bf7282687ea958b
.xword 0xc794ef9bb26f139e
.xword 0x5312fc3cdb3550ea
.xword 0x9067b40bf19864e7
.xword 0xf1e25a346c51f822
.xword 0xd2211c55e89195d9
.xword 0x73c59c36f9078f13
.xword 0x3b8e66927cf42170
.xword 0xa3f3f8f76f90456c
.xword 0xe2d05df274e8e09e
.xword 0x49b1279c9f8232b2
.xword 0xff874c0a0b2af7f5
.xword 0xa4450cecf4867234
.xword 0xe2b8ab808dcccca6
.xword 0xcd913d4d2e6003fd
.xword 0x6291f3836b59005a
.xword 0xade7bbb4c07e4d9d
.xword 0x467b89928b31695f
.xword 0xa324ed89c6675d2e
.xword 0x021d20f2daf6b3f5
.xword 0x2cbe2d098bf43f1f
.xword 0xbbe9c77f84b6b5b6
.xword 0x6a8c19ba372957f9
.xword 0x3cc0c790790004c1
.xword 0x9abc73f091769afd
.xword 0x2515e03f461ab5c1
.xword 0x78cd73995c56eb04
.xword 0xb378871f9939eaf6
.xword 0x4c1b26aa40f07b7c
.xword 0x0c73a01f93e9e1c6
.xword 0x94cec3fdfb7242a4
.xword 0xeb4013b445406510
.xword 0x57e41980c683c472
.xword 0x0af493ef18d06b2b
.xword 0xb20bfe4a37d5a5f0
.xword 0x5cb3f9fa1f19144b
.xword 0x54313a48569c370f
.xword 0x6018a107f23f271c
.xword 0xdd972baa8d514750
.xword 0x20deb1c1a14ef0a9
.xword 0xcfd03d0c36b9a8c4
.xword 0x2783bf003d59fde5
.xword 0xc98fe40411963ef6
.xword 0x9de49e52e7a4b4bf
.xword 0x0f7a7a37498dfdd3
.xword 0x13bb3d3a79194e15
.xword 0xda36c26e36abd745
.xword 0xbcb01f0c365d066d
.xword 0x75ab91d2a4d16817
.xword 0x3e084f9a1ee5a050
.xword 0x00c7f70269a8a95e
.xword 0x0323e9ed84e7331f
.xword 0xa68a705ce458d499
.xword 0x701de5219b6ce2a4
.xword 0x2d287380276d312f
.xword 0xbec875cf19ed7fda
.xword 0xb1276c79caf0cc95
.xword 0xe2ffef4037bcd772
.xword 0x71a619f460d7be35
.xword 0x44f5518b84ad94ad
.xword 0xf4adfc86ad7dab72
.xword 0x895155ba6e70670d
.xword 0x1ba9e8dcbc2c987d
.xword 0x4a8fe1e9e0ef9379
.xword 0x89a7ccf3a78435a8
.xword 0xb0682ee57957c0d5
.xword 0x3abaa736b40f0cad
.xword 0x51935656855f7822
.xword 0x33dc56f475247361
.xword 0xdd9aef8d7c7892c4
.xword 0xee6fc2e006d23c89
.xword 0x1d2443cffc1633a7
.xword 0xf436b94eba4d4f1f
.xword 0x17212accf2f9d3ef
.xword 0x0853e631d9ae6150
.xword 0x9bf4589a92de2fb8
.xword 0xed300d9bb19d54c8
.xword 0x0610b22bbd897ea5
.xword 0x3a71c3b3a6e0c906
.xword 0x3b6935995d7a59d3
.xword 0xf432a67c959736a7
.xword 0x65e36f31d208ae3a
.xword 0x73c793d881377706
.xword 0xea584dc081cd6d69
.xword 0x7f10a0fa01b75478
.xword 0xe863e3bbab4b0a7c
.xword 0x37d7b2796d27ce17
.xword 0x96fd1101c3ce0baa
.xword 0xd8ae5062673957a8
.xword 0x738fc1d124161b5e
.xword 0x4e025f97f4953434
.xword 0xf638c43002d8eeaa
.xword 0x0f1231d188da25aa
.xword 0x72bc6443c39815d8
.xword 0x29d59da85d2b81e2
.xword 0x0d699c4fa5b5adc4
.xword 0x4268cd33e4cac7ce
.xword 0x0761be8073c51eee
.xword 0x05e8a62a4995580c
.xword 0x0024c804ebec18b4
.xword 0x35580a0430798979
.xword 0x6dd2152ede0290d8
.xword 0xfd89817e5f6c5b19
.xword 0xbfa09cdb245774ef
.xword 0x272df79948ab5529
.xword 0x2706a51aaf8786ac
.xword 0xd4a2ecf9096d3e1d
.xword 0x5ec519606c1babfa
.xword 0x5e8f05660e3ae40b
.xword 0x7bd424ab524961cb
.xword 0x05beae867e4b1f75
.xword 0xaaff90893eb82cb1
.xword 0xa56dbdb71aaa7c37
.xword 0x2c2b858233735665
.xword 0x00c884fe5e623752
.xword 0x5a021aa153ca88c2
.xword 0xe4d0dc4516680a49
.xword 0x5e02b84a8fcf38b7
.xword 0xb555ca9064062578
.xword 0xc04d85af73563b51
.xword 0x595c49b4eaa36362
.xword 0xeb9746f2d9cf3531
.xword 0x6ac628f44aee7e8e
.xword 0x03998875bd929e9e
.xword 0x5fd0b15dcfaaa8a9
.xword 0x61e9a6636b711a09
.xword 0x04b966d2c352399f
.xword 0x39e8fcf3098a32ae
.xword 0x900c67059ce9c345
.xword 0x4edc465a854aee8e
.xword 0x0f04be02f7b8e753
.xword 0x5cc0b38f575519c0
.xword 0x9da2c012fbebda25
.xword 0x80144a648bc0162d
.xword 0x56d064a2f9dc15d4
.xword 0x02346b4bbe4f9912
.xword 0xe66f0b7955e91b14
.xword 0x12883d2974338542
.xword 0x8e727ebdff323efc
.xword 0x738a0c94fdb2cc80
.xword 0x3827f252b66fac23
.xword 0xcfac7051e27cd2fb
.xword 0x102cd14c91af3554
.xword 0x557e9d6b6b1c55db
.xword 0x2d060c4de8a24386
.xword 0x72da9fc092f68be7
.xword 0xd3dd606cd82d78d2
.xword 0x47e7f908f0ff4bfc
.xword 0x8f1a3eb523582c81
.xword 0x2ab88ea8223022ab
.xword 0x5f2b3daf0356bd9c
.xword 0xee908816d78fe14d
.xword 0x5522f561e9d48996
.xword 0x5fc3bc57d5239455
.xword 0x874b0bd7162cf40b
.xword 0x3559e4f3ba68b723
.xword 0x9ad56ef0dc11b64e
.xword 0x48d14a08cc8bc170
.xword 0x486e3750f5033733
.xword 0x6f83ea907d715ee8
.xword 0x1af1b435dd8bd2bc
.xword 0x0a6b5c196c156985
.xword 0x0d89902f51028eb3
.xword 0xa79e5d4a6b5c6efe
.xword 0x0f11b422168fa305
.xword 0x7195a88c04c9fccf
.xword 0x8d5c9f9601534e86
.xword 0x02a86a7eaf172f67
.xword 0xe67a0286f9833897
.xword 0xa8e47f26e84771e9
.xword 0x8f49c539436aab3d
.xword 0xdbdf26b3d473e932
.xword 0xc7fa7c889819e2dc
.xword 0x7f08cab875501ce8
.xword 0x9954eeb046ddd47e
.xword 0x9c709757178a2a7a
.xword 0x24d8ebd839b12ba8
.xword 0xb5ad0c5494da2d95
.xword 0x597158f9cb3d056c
.xword 0x20152d3475b546ef
.xword 0x235c8b07f39cc866
.xword 0xb7692d510c956e09
.xword 0x536daca69feabdae
.xword 0xae74683b3993c128
_t1_aes_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_auth_key:
.xword 0xb5edb4d0988e2c86
.xword 0x633e074c6af4427c
.xword 0x100d137c6ec82d44
.xword 0x2102134ebac7bebb
.xword 0x109a42f2c44fd3c4
.xword 0x5e10081e3bb9c6f5
.xword 0x2ec047e35c1d081a
.xword 0x51fd145e285b60ae
.xword 0x516814bb080f6025
.xword 0x7bcc577308a5a31b
.xword 0xd91c1a14a406124b
.xword 0x25d2e724fec8ae24
.xword 0x94c35020bd4d66d6
.xword 0xebb5e46356fe914b
.xword 0x67dba6b0dcaa5f75
.xword 0x835949e8060452fc
.xword 0x1bf76e96b4c58e30
.xword 0xf7a03d92c73b5459
.xword 0x3a57b5308175637e
.xword 0x9ce7d4dcdd0576cb
.xword 0x2fc9036a1dcdeaa7
.xword 0x33db063e88f73729
.xword 0x05497f9573471bd4
_t1_aes_auth_iv:
.xword 0xe006aff2896677da
.xword 0x68aa988dc69df0bd
.xword 0x10f31b6a63b69908
.xword 0xf190585d3c77dfe9
.xword 0x3d2e4083890b3dbd
.xword 0x312b6b761cf4c847
.xword 0x8eebe4034c223956
.xword 0x6655948c75c9b74c
.xword 0x2e440352abd4a2e6
.xword 0x8385ae1d37d0c735
.xword 0x1d9c2f98b51bd5fe
.xword 0x32a243beb4337b52
.xword 0xedf3b676df21f067
.xword 0x246e327f9ea4b419
.xword 0xe007b5f17cdc8b53
.xword 0x2a91ac467f2a3bb1
.xword 0x3fff013312149445
.xword 0x81128f1b94629188
.xword 0x7e4101f7389e7414
.xword 0x7c9a19f46345715b
.xword 0xbcc33c7389a1ece6
.xword 0x7ac30e6b274c2cb1
.xword 0xc7bcde7f77c0f743
_t1_aes_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_key_array:
.xword 0x98fe5666513599da
.xword 0x6b5d5b275e83b931
.xword 0x26a72afa25c758c0
.xword 0x13e84002ccebf31b
.xword 0x6d119e36be259649
.xword 0xf7ebb612f4749169
.xword 0x9ce9a744a3bb99a8
.xword 0x384b06452b05e94e
.xword 0xee2d83442b6208d7
.xword 0x7573961c983e03d5
.xword 0x6b95e763976f56bc
.xword 0xd8714de79d5c0200
.xword 0xb81d40dea22ed245
.xword 0xd1baf84a802c7bc3
.xword 0xf062d6f2a893e663
.xword 0x5d2940f2b3d3ca64
.xword 0xb744f07d05bdee5c
.xword 0xa38d717909ec7134
.xword 0xa62d67648ed7a816
.xword 0xcd3f12236ff78e73
.xword 0x2c670210931f0046
.xword 0x74a0f4d2bcba0e9b
.xword 0xf77183c040a440d3
.xword 0x0c854222492a65bd
.xword 0xe02c2e56168e12b1
.xword 0x3b72796a2ac13f3f
.xword 0xc3bc0c3f68f26084
.xword 0x9b78150fad8d3c74
.xword 0x47139f0adcdbd0fb
.xword 0x94bebfb9ffe10ab5
.xword 0xd4f1089ef0489e5a
.xword 0xed2f3c75325fd034
.xword 0x87d66330ae57d4d7
.xword 0x8c03479a4f900d6b
.xword 0x138a642840e3349f
.xword 0x9de75225971a7ec1
.xword 0x8b493b8666f899ed
.xword 0xa9cb2ec03e48243e
.xword 0x8e88a8d2e0c9c9c8
.xword 0x62ab8390f7f1edb4
.xword 0x9b751bac7c78a0b0
.xword 0x785d0aa0e446c90e
.xword 0xe1954f844b515981
.xword 0x8248be6f6f58ffd9
.xword 0x00cb447354e64dff
.xword 0x92b37362b02e87bf
.xword 0xefda72aa2393a78f
.xword 0xebceb840ef5c6138
.xword 0x061ce4331a2f1fbe
.xword 0xf5fc52247e7b4eed
.xword 0x7eca23a0275c072c
_t1_des_iv_array:
.xword 0x2a3a493b500417b7
.xword 0xd1cba163c68ba0bf
.xword 0xcd4dd7dee20ac2a4
.xword 0xefbf9a2bbf0c7b58
.xword 0x95035d864bc6d032
.xword 0x324a81b99b53477e
.xword 0x710d25ba7af7a387
.xword 0x5358f7847d168c6f
.xword 0xf130774e81c0121e
.xword 0xf50d99519da7c9d4
.xword 0x0a64688723248509
.xword 0x5c1187c6a8aff213
.xword 0x2d474a3e3f1c5099
.xword 0x3e5de6e608fdf89d
.xword 0x77c766fb0f4ac7ac
.xword 0xcaf4caf7ee543f56
.xword 0x5c9c6be79dcfb4ad
.xword 0x2776cd6e4f4ec1a3
.xword 0x9b47c41fe89897f0
.xword 0x31bade30c635163f
.xword 0xf61f18ab090c3fe6
.xword 0xe4d766a22b6da508
.xword 0x497316c6524dc354
.xword 0x7f31ad9989e9197d
.xword 0x587f58635fb7fa6e
.xword 0x2ef51b5e697ea1ab
.xword 0x3d24813f42e196a2
.xword 0x418afaa0df4b1840
.xword 0x0046c79c116a2936
.xword 0x356677319340b178
.xword 0x2b2eb18b95593316
.xword 0x4bb9b5a02217f042
.xword 0x597552345e0f3883
.xword 0x7b97934929884332
.xword 0x013115a18c0c4138
.xword 0xbee36e5dafcdcc19
.xword 0x9774ce66ac661e5e
.xword 0xef7d8debfd95f353
.xword 0x0cb2f9e2816b4719
.xword 0xd5e614799af172ac
.xword 0xb8d469b9b43e3571
.xword 0x6b74d50bfcb0e313
.xword 0xf1a70d3e95ca4f1a
.xword 0xec85e3ab75c338a3
.xword 0x90936d083c013f24
_t1_des_alignment_array:
.xword 14
.xword 15
.xword 14
.xword 6
.xword 8
.xword 0
.xword 10
.xword 9
.xword 6
.xword 10
.xword 11
.xword 12
.xword 15
.xword 9
.xword 0
.xword 13
.xword 12
.xword 4
.xword 15
.xword 5
.xword 4
.xword 6
.xword 12
.xword 0
.xword 8
.xword 2
.xword 9
.xword 8
.xword 3
.xword 12
.xword 10
.xword 14
.xword 2
.xword 13
.xword 5
.xword 6
.xword 2
.xword 2
.xword 15
.xword 12
.xword 4
.xword 12
.xword 5
.xword 2
.xword 7
.xword 12
.xword 13
.xword 11
.xword 15
.xword 9
.xword 14
.xword 0
.xword 2
.xword 14
.xword 2
.xword 3
.xword 10
.xword 14
.xword 13
.xword 7
.xword 11
.xword 0
.xword 10
.xword 6
.xword 7
.xword 5
.xword 2
.xword 10
.xword 13
.xword 3
.xword 12
.xword 10
.xword 14
.xword 11
.xword 10
.xword 12
.xword 4
.xword 10
.xword 7
.xword 0
.xword 0
.xword 6
.xword 6
.xword 3
.xword 7
.xword 3
.xword 14
.xword 15
.xword 9
.xword 14
.xword 7
.xword 6
.xword 1
.xword 0
.xword 1
.xword 11
.xword 14
.xword 12
.xword 8
.xword 13
.xword 15
.xword 7
.xword 13
.xword 11
.xword 9
_t1_des_src:
.xword 0xe6823f5d7a9af66b
.xword 0xc7da9dd5d96fcde1
.xword 0x83c59d5723f96db8
.xword 0x7e761c92ddba958b
.xword 0x7579c2992f77b3ed
.xword 0x2af05df852f33c04
.xword 0xa9b96a748fbe72a5
.xword 0x9d38ad50f941d5e9
.xword 0xf8a12d7f0eda12ee
.xword 0x45256f1be1db4f81
.xword 0x30e4da1306edcaf4
.xword 0x465d3acebda7fc6a
.xword 0xebb40a8d478dde77
.xword 0xea96ae7537b594f9
.xword 0xd674e7d3930c00e5
.xword 0x6219a18f64f4f56e
.xword 0x3e1e3e9747247d93
.xword 0xad1380a4722b0994
.xword 0xf88f0e730063a8c4
.xword 0xb236142ee26372ed
.xword 0x8422a15539b8b952
.xword 0x8e97ffbc154a3ab4
.xword 0xb19ad9b014bc144a
.xword 0x49350b74f95d5ce8
.xword 0x9ee50644ad397546
.xword 0xc9b674d45b8509aa
.xword 0x8de1f87981f55e63
.xword 0x12c18677a06f87f9
.xword 0x9dc122aff067a597
.xword 0xfdda2e0ca4ce0775
.xword 0xd6e1346c5fe3c2b6
.xword 0x0db63ddcdd919b49
.xword 0x472618ae493178ee
.xword 0x0a84e86cca5e9ca7
.xword 0x3477779213661e1d
.xword 0x579c701509a652be
.xword 0x3d8cd7d0f4f6f56d
.xword 0xb5a11b9909fb9e43
.xword 0xa5d60f089799f6e7
.xword 0x6815874504a426e2
.xword 0x153fa9725c670d03
.xword 0x31cc7cd2980d4370
.xword 0x033e292baa33499c
.xword 0xf86eb1f5bc2601d6
.xword 0xb2edacd46282dc22
.xword 0x265cdfe5f66fe53d
.xword 0x05aa9af37b63c329
.xword 0x9ede7aa22c5cb917
.xword 0x8eac7f85f95b2fb0
.xword 0xc239f0bf1e786daf
.xword 0x3b24d9b8354989d5
.xword 0xf99dc4c2cae4ea2f
.xword 0x2ad578ab0f7812b8
.xword 0x69261d5af3db98d5
.xword 0x60292c720c103a11
.xword 0x7de9b64d70b09242
.xword 0x20cbc4c11ece7290
.xword 0xaf03cdfcafca86e9
.xword 0xd486a4d7ef9632e2
.xword 0x20efbff0e0a965aa
.xword 0x9e3ae0ac3c8cddee
.xword 0x622fea48c7f3bd77
.xword 0x57ffb4085d1940cc
.xword 0xe09c6d897173c114
.xword 0x87fc5f3102c14420
.xword 0x80a0c5d69f143898
.xword 0x7be96d4fe866b6b7
.xword 0x9073f1fd7f12edf5
.xword 0x3403b60dc3d6d7eb
.xword 0xc12c36805f178277
.xword 0x7b5e04060e785532
.xword 0x3e5d1fef9b9d9718
.xword 0xe2af71eaa7b558e5
.xword 0xcb1f7160eb4dce87
.xword 0x4d3a2729680d6707
.xword 0x5da26f030ba4c06e
.xword 0x00014fcdb63aacee
.xword 0x792db3b3415e542b
.xword 0x2da9686d4d6a69d2
.xword 0x867ab2aa1e16af3d
.xword 0x8da25308b4d49ce0
.xword 0xbffbaba65e1cc200
.xword 0xe5a32318746d1b56
.xword 0x48757087e01bd189
.xword 0x3709ac9d1fffd63d
.xword 0x21b35f5cb1749d0e
.xword 0xc499494df9f989a7
.xword 0x15d116047a750ba4
.xword 0x387ea6e032e4e75c
.xword 0xe361ed2b03589bad
.xword 0xba38574c33108faf
.xword 0xed5600e5c83a3942
.xword 0xc4418a0208e3c158
.xword 0xce9bbde1f39ccee4
.xword 0xe4dcd98c842d9c4d
.xword 0x83cae7965c0c6e23
.xword 0x289a165d47ca3b05
.xword 0xc76d9c3d2316333d
.xword 0x304440ee2e3bab5f
.xword 0x6df4b876b12232f9
.xword 0x69592265472403f0
.xword 0xb70e7d814c917125
.xword 0x816b500a5b2f51ab
.xword 0xf42f56fcf7706ab3
.xword 0x3b63ac547873f915
.xword 0xc7ad6842a5fe06fb
.xword 0x930a1a6aa46ce8c8
.xword 0x080a3a42b64e37cc
.xword 0x86ccad808ca98a2c
.xword 0x7b871ff07f2dea5e
.xword 0x185ac71c66583fd3
.xword 0x1da11965b6178632
.xword 0x0eb887bd5eaa9a3b
.xword 0xa2f3c068ed7d4d6c
.xword 0x5a116c1a93e29870
.xword 0x418cb3d5001e95d0
.xword 0x123833f920e66dad
.xword 0x32f58ad0caccdc16
.xword 0x94f51be23088381b
.xword 0x0c1e287bb6299227
.xword 0x9a4fb1d6b2b95cc9
.xword 0x38681e1660d89b48
.xword 0x19e3e35311c28fed
.xword 0xdb6f2baeca4d9d50
.xword 0xd1861130321ef8b5
.xword 0xb7f8a6159efca518
.xword 0x22b8585077ff4b9c
.xword 0x9224e7a262885283
.xword 0x2890a25049a6b41c
.xword 0xcdbcefcb8b7e9a47
.xword 0x5c798fd1824cb947
.xword 0x294aaab1e2351426
.xword 0x9c6af6d193c1d13d
.xword 0x9983532e814291a1
.xword 0x2d03905acdfe220a
.xword 0x7eca4327980f6217
.xword 0x13ba03dd02b5cb95
.xword 0xbe208c54819c00f6
.xword 0x70917d4e11a40082
.xword 0x0e05eb9c04187f73
.xword 0x5c87fcd738ff92e8
.xword 0x7fbf002a9c60b544
.xword 0x1aa920f21c28bf60
.xword 0xffcf0a2a998e1009
.xword 0xa7e472886980e15c
.xword 0x8f9b16255f1b85b2
.xword 0x0b6b771d0782bcb1
.xword 0x3f64943636a738be
.xword 0x638feb30b24a3a11
.xword 0xdeb540d080f866ce
.xword 0x06ed13d5fe805c40
.xword 0x0c94e8a81821889a
.xword 0x8ba4c0c1e4f6b167
.xword 0xebe18b37f3087247
.xword 0x85e1493457721aaa
.xword 0x66da970e15cb1a23
.xword 0x48eaec592b0efead
.xword 0x36a4fafab69899b4
.xword 0x1c5e4f33faaec68c
.xword 0xc954e330cd5112f1
.xword 0x20eddb308c9773c7
.xword 0x66390d3cacfd29c8
.xword 0x5b2c69860dc06cb8
.xword 0x19db983ab01a44b8
.xword 0xd70eb545f4e8d9d4
.xword 0xd37a9177f3ec8238
.xword 0x116d44515fbb0adc
.xword 0x3fc97fffede0a8ca
.xword 0xa1ac2ffd13679a43
.xword 0x9fab9636d0db5207
.xword 0x4e5a83e40eb9d538
.xword 0xfe9e55e79b5a4c79
.xword 0x95c39e952471b630
.xword 0x62579302bacda043
.xword 0x15d92e30295f44b6
.xword 0xdfc085776db6f2fc
.xword 0x548c9dd0ab0960ca
.xword 0x6ef0827a3944faf9
.xword 0x446e87a5bcd68ad5
.xword 0x1132e540376f6b0f
.xword 0x183d089d16ca54d3
.xword 0x489de11327743a26
.xword 0x8ce13e9579d5b005
.xword 0x5350d908091490d4
.xword 0xa7d77494009d5f5e
.xword 0x8cbff012277503b9
.xword 0x2480a6fdc119af6a
.xword 0x71b47dc7a1157f07
.xword 0xcd51f16cd0059318
.xword 0xbb6e90cb7b2ea247
.xword 0x1c4750d90f61c769
.xword 0x96a79ecca25fc445
.xword 0x486acfec6dde665a
.xword 0x100a3fc08eda4c4f
.xword 0xbcaf2ce903837338
.xword 0x2fe7859317c799dd
.xword 0x2c0f5de447daa811
.xword 0x71f713a2f5671384
.xword 0xeb18b50afba9b675
.xword 0x6594f81ef2460dcf
.xword 0x56342284249805f5
.xword 0x457d45ceefac1486
.xword 0xff86ce7ecd344c94
.xword 0xd5e24441e23bab91
.xword 0x5f1bb9ff605480f6
.xword 0x1c39434a4154d025
.xword 0x7603804d7d819599
.xword 0xc83089ee4724b7f3
.xword 0xe71f4220bd80cc50
.xword 0xeeacce4e7b8b355d
.xword 0xc4c4162e79d4a16b
.xword 0xe25b34b0cd73b438
.xword 0x4fa808cae8dd4086
.xword 0x1acc4b37fd5160ff
.xword 0x6eab6764bbef197a
.xword 0x44a6d6c0e515d00b
.xword 0xfe892a9076ea8753
.xword 0xe2ef9995b208d675
.xword 0xcaaacbee069b7613
.xword 0x8e2249eabc482d4c
.xword 0xaa4153660afffe2d
.xword 0xde79f92958344120
.xword 0xc34d96111c2bdab4
.xword 0x3c2cadbc457f8e79
.xword 0x3c11ee63c30e0453
.xword 0x9a28af75fe9f407c
.xword 0x02b95769a37101ea
.xword 0x1e08c4239e605e0b
.xword 0x9507bb85d3b8be46
.xword 0xe4c9c48d94326b39
.xword 0x08a2a9e57300e306
.xword 0x0d8775e65a78a23c
.xword 0x571f9be4d14bb6ca
.xword 0xf4007677a1de36c6
.xword 0xb7036f68f90beb65
.xword 0x03235b555b856c58
.xword 0x29e02294f7fe885d
.xword 0x68591e42c3e50d32
.xword 0xf35f3d71b2a18ddb
.xword 0x94e1b2d07f29ea11
.xword 0xdf1a4ef94dd94fd7
.xword 0x4c65f6c20735e528
.xword 0x222cfc92d7898703
.xword 0xa0c6862896e60f04
.xword 0xe7a2d75a0750d10a
.xword 0xfe066fa70e8da330
.xword 0x4fca99ed76654001
.xword 0x696033d2292c4bfd
.xword 0xdf8c014228ba7bb5
.xword 0xfb18ab0608e0621a
.xword 0x02d2bbdd806fc6b0
.xword 0x1edd39c003d91b2f
.xword 0xa41ff48730ff33ac
.xword 0x6e2fffb9e4e2056e
.xword 0x3ef118e4cdd7195c
.xword 0x86fecb222d957fbe
.xword 0x409eb035d5b6d744
.xword 0x5dd9e89cbf572209
.xword 0xdbaf8e5137a1ee6a
.xword 0x86be2fbc7d258a2c
.xword 0x83fffbfc9ea2f928
.xword 0x8668d12fc92d149d
.xword 0x2e0eed65b506c68c
.xword 0x2db1d7d0c589f0a4
.xword 0x74a2e860b66690ce
.xword 0x2eaa5022b73550e8
.xword 0xdc7196eed847cf68
.xword 0x37212fdaa1b03731
.xword 0xbf812aeb610164af
.xword 0x29e9a30641e6f9ff
.xword 0x36f06068a107e382
.xword 0x41c432f9e713fd7a
.xword 0x10963b8af404a62c
.xword 0x53fa40cde2c4ea91
.xword 0xb2d61eb4d4caf3d7
_t1_des_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_auth_key:
.xword 0x6df4aa3280d2e419
.xword 0xd511dad196811d17
.xword 0x89647e24fe14b72c
.xword 0x19217bc751caffae
.xword 0xdfb021cc7a8eeb8a
.xword 0xc9965202f2b06c11
.xword 0x4958e8457f0703fe
.xword 0x8b0382f287e8dceb
.xword 0x47afd75212b2ac83
.xword 0xce35c80de07dd267
.xword 0x2f2eca03c0c8f954
.xword 0x3d547846f3c27075
.xword 0xdbeec9c3a6d53cb8
.xword 0xe925981b3cff1af6
.xword 0x7706696998567e61
.xword 0x9bc8a315e531e8aa
.xword 0x415499553a557fb2
.xword 0x40d512bdc3bacf95
.xword 0x9bcef06d33235d09
.xword 0x27fc24af9b7dac67
.xword 0xb766c95b42625637
.xword 0x2596d945115e66af
.xword 0x5f71a4c5027649fe
_t1_des_auth_iv:
.xword 0x104c359168de35cf
.xword 0xb57633942cb574f4
.xword 0x97587dbf967b3e6c
.xword 0xe0aecb7863925fec
.xword 0x7f9b6cbab6915b1f
.xword 0x34e3d5720e6ce8e8
.xword 0x33ba8efd9dd3cf3d
.xword 0xb60f024a0579506c
.xword 0x709927c83e79b8e8
.xword 0xabd84805aa2c1e6c
.xword 0x8a5a501bea81d1a0
.xword 0x650143f825b45ea4
.xword 0x290c24d446aecdfa
.xword 0x89740a934a7bc0ec
.xword 0xe26f1efd93da87be
.xword 0xc536af0d8b81ac41
.xword 0x635c58c40f194806
.xword 0x4546092c835737d5
.xword 0x1e711b7765743681
.xword 0x93be7f80da713654
.xword 0x32b49c2e788eb8b1
.xword 0x8bbd45006ab79988
.xword 0x631c1fd880301585
_t1_des_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_key_array:
.xword 0xf57f0a158fc3a5e7
.xword 0x4b99e473adfebb07
.xword 0xd6ee6b2679449a9e
.xword 0x836406883a6a5295
.xword 0xf19d2257a95f1cf8
.xword 0xeba03f562db9a431
.xword 0x5c594f1041c43c1d
.xword 0xc17d5b7648318fb3
.xword 0xd174c17d112989aa
.xword 0xa3b90249e56e8900
.xword 0x2af9f94446756767
.xword 0x114590e17d1658b1
.xword 0x0bff92f93bd7d14a
.xword 0x879651960b38975f
.xword 0xdd6baf6fd2657b35
.xword 0x855344e75b12f7d4
.xword 0x799b95b5185a5e65
.xword 0x60a01f46eb3b3cb8
.xword 0x556e9f41c422ed01
.xword 0xb208ef3adaf1b022
.xword 0xaf8f87377c759f6f
.xword 0xc32dbc4812238a94
.xword 0x7c22a75f1d7fc7c4
.xword 0x2ff20bc602f48532
.xword 0x1c0cfa8d2d634454
.xword 0x77b5cc9b79a9bb9d
.xword 0xf010fac08546b384
.xword 0x26b4492b2a193288
.xword 0xcb466996ba2f3e85
.xword 0x22235f91073c0aef
.xword 0x8adde52f5f043ff8
.xword 0xa2e9f5daa0808010
.xword 0x19345ade577948bb
.xword 0xf3b5fdd9a49abac1
.xword 0xb697f28ef41b9b74
.xword 0x91eaaa0fc2152104
.xword 0xe15f3b101d338dee
.xword 0xa41f48af617bd7ed
.xword 0xcdf4789b0437ff3c
.xword 0x0de8b9af8c59dd46
.xword 0x84e81b1725b8c041
.xword 0xe5bc2fd11e68f10c
.xword 0x293131e0aeeb9abd
.xword 0x3b89789c9f5db5c9
.xword 0xc97634a0fb7fe36c
.xword 0x42859494de1acdf5
.xword 0x484b6feef8ecae7a
.xword 0xf28e8660dc03610c
.xword 0x50a70c1aaaa882fc
.xword 0x97283ddf606bf8c5
.xword 0x14805a5012b3d1dd
_t1_copy_iv_array:
.xword 0x3e63269741bc7ef8
.xword 0x7bca1830f46c7123
.xword 0x585a2ebe3e4d6ac9
.xword 0x7c11458414dd74b3
.xword 0xa0890d545cf2609b
.xword 0x417bc80e40a80635
.xword 0xcd1f5e7ed30c5509
.xword 0xdf3ae02a72420bfb
.xword 0xf7c8432d00f0ff1c
.xword 0xac965426d6039254
.xword 0x766415df7115d021
.xword 0xc8fee319f08cadc7
.xword 0xd380afade8129df7
.xword 0xe51e3cda03d8fffd
.xword 0x3b55f874c27d3679
.xword 0x4061440013c77bc0
.xword 0xb237df35c2757018
.xword 0x84c83fe792d30dae
.xword 0xa7dda90fe77be232
.xword 0x96d97fbec01c9ab0
.xword 0x983cda2f238abd9c
.xword 0xd3c0a80aa4095371
.xword 0xc4bec462a5ce1793
.xword 0xc565495deaa6bbee
.xword 0xb89179286321aaf7
.xword 0xafc7d2a003540c3e
.xword 0x44c5ba18b3b88573
.xword 0x063292e3aabcea27
.xword 0x5991c8f4f5fd19df
.xword 0x1c845a323b4b6637
.xword 0xb3439b2a5be29fe0
.xword 0x1f84ec9b7c87f96a
.xword 0xc81faa8b97b05647
.xword 0xac3d24c1e3e9ffd7
.xword 0x766ab90787a87955
.xword 0x710cf2dffa8f876c
.xword 0x4ca3933cef710306
.xword 0xa91a9b0fde4d5862
.xword 0x760336f4246000e2
.xword 0xbe1a192c5c8a193c
.xword 0x677aac7fa24315c0
.xword 0xcf5bc2d4b89230ec
.xword 0x376a07d94687ad05
.xword 0xebdcb96398a9745e
.xword 0xfc05840730f8667a
_t1_copy_alignment_array:
.xword 8
.xword 10
.xword 4
.xword 7
.xword 12
.xword 4
.xword 13
.xword 12
.xword 13
.xword 0
.xword 5
.xword 12
.xword 3
.xword 6
.xword 1
.xword 6
.xword 3
.xword 5
.xword 0
.xword 1
.xword 1
.xword 10
.xword 6
.xword 2
.xword 4
.xword 11
.xword 14
.xword 0
.xword 3
.xword 12
.xword 14
.xword 11
.xword 13
.xword 13
.xword 7
.xword 0
.xword 5
.xword 8
.xword 15
.xword 1
.xword 2
.xword 15
.xword 8
.xword 12
.xword 11
.xword 7
.xword 3
.xword 4
.xword 7
.xword 9
.xword 3
.xword 14
.xword 6
.xword 9
.xword 9
.xword 3
.xword 4
.xword 4
.xword 14
.xword 4
.xword 13
.xword 13
.xword 0
.xword 15
.xword 7
.xword 3
.xword 0
.xword 11
.xword 10
.xword 10
.xword 4
.xword 7
.xword 4
.xword 3
.xword 7
.xword 7
.xword 5
.xword 15
.xword 10
.xword 6
.xword 9
.xword 6
.xword 13
.xword 2
.xword 11
.xword 9
.xword 5
.xword 14
.xword 0
.xword 10
.xword 8
.xword 15
.xword 7
.xword 8
.xword 1
.xword 8
.xword 0
.xword 10
.xword 14
.xword 1
.xword 8
.xword 12
.xword 8
.xword 15
.xword 14
_t1_copy_src:
.xword 0x45c09c548d3ab731
.xword 0xdea2f53e0d677aba
.xword 0x638766ae1391f453
.xword 0x7b2e91b27fc66084
.xword 0xff51bcf92b83a3c5
.xword 0x22bc42a3ee654a0e
.xword 0xd36dd663109adeca
.xword 0x5b465e99549913d9
.xword 0xda834a5a727bab5e
.xword 0xa46eddbbb0757da8
.xword 0xc1935e9f0009e74d
.xword 0xc571e0c0ea7c855f
.xword 0xcc99ffbe63776e55
.xword 0x585819ab0d50ae5f
.xword 0xee7ca47bd4b3493c
.xword 0x2d28c7462ac65339
.xword 0xef59c1e867e1cede
.xword 0x2b7d030e0f19dd5b
.xword 0x2041c71f32fe8c90
.xword 0x98bce342b0c40f7b
.xword 0xf67a7183d185aced
.xword 0xe4c5e208866d2b97
.xword 0x82aadc82f17a81bc
.xword 0xd67b8ff8b81b2cd6
.xword 0x3f9159ba5168b6fa
.xword 0x9de48f96d63b55c0
.xword 0x1d24ee392b601c51
.xword 0xf054c0c8b3bb4390
.xword 0x4881455dd7d17506
.xword 0xa92c593f0369bb1d
.xword 0x8bc3d5348d56bf13
.xword 0x794feccafccbc461
.xword 0x05c97d7a29ba0fb3
.xword 0xc8d2c498c08b518c
.xword 0x8695fdf88223b00e
.xword 0x61f2d1ff99b191af
.xword 0x9c2e3f456bd514a6
.xword 0xc0c68d26b40dfdb0
.xword 0x90ca571716c61091
.xword 0x1f0b2861cf37b842
.xword 0x07f88286f858fabc
.xword 0x002da94729e8c4fc
.xword 0xfe473b62b77929a0
.xword 0x7b6cd28e064948f9
.xword 0x8098898239f28b00
.xword 0x098d0d8b7e5dd099
.xword 0x30ed51ea7f2117e3
.xword 0xe4e15e9cb5cd892f
.xword 0x29dd0f00806cec90
.xword 0xde33c60aa5a1214b
.xword 0x96393bc67fd7911c
.xword 0x6b34d3d79b6692a6
.xword 0x7deea6e33f8e6b81
.xword 0xbc6921931d9f0d6a
.xword 0x8cf2e4cb5f5a9ef5
.xword 0x9882f6e6736c6b23
.xword 0xd01f40dcd0dc54c1
.xword 0xe41134a6db5af694
.xword 0x14ac60e334c3edeb
.xword 0x9a092da8300032eb
.xword 0x1bb7222e10830f90
.xword 0xcd0145db4c75d5bc
.xword 0x3578313336768a9a
.xword 0xacdd9b6d0b17a273
.xword 0xcc57f5faa4f7bbd0
.xword 0xbdb27d2baa50ea51
.xword 0xa71d5593cdba8cab
.xword 0x30cf36f868e3c958
.xword 0x6a6e055276ac1d7f
.xword 0x3b4bbbae9b36f072
.xword 0xa6114f8ed72a7e4e
.xword 0x3657544c933eb608
.xword 0x7ff53a34df15bcce
.xword 0x9be7f89c0bd9c4b1
.xword 0x0fd5eb6d8b9bbec5
.xword 0x222556dadb597f29
.xword 0x75536e568f135945
.xword 0x1c7b9836d0678487
.xword 0x63556917695128f0
.xword 0xb5b2d4f73b54b05a
.xword 0x8cea50910ec09c08
.xword 0xcab404e4fa3a2f16
.xword 0x2231700f27219e9c
.xword 0x637011155d11e9f8
.xword 0xdeb3d63ae22462f3
.xword 0x45f3fe3941ea1eb1
.xword 0xe042b3b2ea56f114
.xword 0x9f2a1ff7384c3972
.xword 0x0ecefe889b805bef
.xword 0x636417cd8fd83b9c
.xword 0xa32b5a52d31085c2
.xword 0x4e4851852e255019
.xword 0x70d4ff9bf46d8381
.xword 0x746d0636c55a6308
.xword 0x49a60cfda411b6b5
.xword 0xc47f5c113e9b771c
.xword 0x3fa871a98d20c64a
.xword 0x5ba415150bae96e0
.xword 0x0053aab1a891ec4e
.xword 0x9cef142f45634389
.xword 0x0acc765dfb1052c4
.xword 0x60199ece89e0ccd8
.xword 0x21f991cce9e76824
.xword 0x060ae79f622ff8fa
.xword 0x3009043e7822ccf3
.xword 0x9ab714eddff84cbc
.xword 0x9055208a6acda09d
.xword 0x1e57c49a530d8f86
.xword 0xce4bbb1e00a27c31
.xword 0xbaa34dbdc5209d89
.xword 0xaeafab1e5137853c
.xword 0xe550412e82c92bc7
.xword 0xfdf7f9ae00416040
.xword 0x6d46263351409465
.xword 0x15a5bb50297d7da5
.xword 0x978a7d357a6fdc17
.xword 0x7d4a30d050977bff
.xword 0xcb5ff106d4a9ef63
.xword 0x220052353aee2ed8
.xword 0xe9c5ca59afa3af6a
.xword 0x9ea6bb1360448051
.xword 0xf33c51d8e0e61447
.xword 0x41ca59a0fa8afd29
.xword 0xd08be81e2f52530c
.xword 0x3dfc69f2ebbc42db
.xword 0x4f0d8f022ae569f0
.xword 0xa0a896258e2aacc6
.xword 0xd604c24fed966610
.xword 0xa9b8a9ce5ca83a37
.xword 0xe0bf183e4355cb8b
.xword 0x9eb79dea575aa894
.xword 0x802b8e30224feb12
.xword 0xfccbf89ea0852974
.xword 0x42c42b75c0b31a7c
.xword 0x41ba6599fa78f8d2
.xword 0xf0f971a084fedce2
.xword 0xb9c9125201a0a3d8
.xword 0xab7e9fdd5107f32c
.xword 0xee5896ea7bc6eb42
.xword 0x36df49baebb9f744
.xword 0xa03ab5dafd814817
.xword 0x7d41159974f242b3
.xword 0x65fd1c49f8fd6621
.xword 0x3511d8b59f839750
.xword 0xfc384b91a95db2e9
.xword 0xa8912c9644340ea9
.xword 0xa08b22191cb216e3
.xword 0x93e83befcca2637a
.xword 0xab3da6fb1b3b9986
.xword 0x489b559888276119
.xword 0x2559674c3faf30b6
.xword 0xbd64828c2f0988e0
.xword 0x0f6958cdd3abceb1
.xword 0xdaa798827049f6af
.xword 0xb21ddae40e8ae222
.xword 0x129d2f253700b43d
.xword 0xb9060ba9e05669a0
.xword 0x3224b023f5f1c5d0
.xword 0x7c7e88c7e6ce0628
.xword 0xc925da598723dde2
.xword 0x408c3b4c6512787a
.xword 0x9137a0500b3e1b8e
.xword 0x176a3a8df4c5987e
.xword 0x4e5f7c98d0c3fbe7
.xword 0xd2d1341fabd4e8a8
.xword 0x96c0d662cc28d3a9
.xword 0x13c118c255b3460e
.xword 0x3e3083da15e082a1
.xword 0x10ca70000a1f9a47
.xword 0xc7a95cd08a238479
.xword 0x9bc94e11e08993b7
.xword 0xeedaf7364edc4ede
.xword 0x1647c4b1031e26a7
.xword 0x7e512929c4e392be
.xword 0x72bf177dc4ca885d
.xword 0xb380a938132e5bf0
.xword 0x2e4004f4805e1434
.xword 0x9d026209c4af1321
.xword 0xc3c763d04719c888
.xword 0xdea79163ecbefe57
.xword 0x8e0a27b59260310a
.xword 0x742dfe1308a51158
.xword 0x27c042f945f876d2
.xword 0x20053926457ebe87
.xword 0xf649a2f94835152c
.xword 0xa6270ad05ce1379c
.xword 0xa928025313ad67e5
.xword 0xbb8c2f0e148b3ff1
.xword 0x430f156faa53ec38
.xword 0x0a37bc2b9e3e817b
.xword 0x4d83edb9d4b76a46
.xword 0xc2e3087000153185
.xword 0x44d39d997656dddf
.xword 0x3e7973bb3ba76e64
.xword 0xb7765ff13aa84a37
.xword 0xad7eae69a33422c1
.xword 0x17fcc72cdf777d81
.xword 0xe32c588414395391
.xword 0xb5662585a6c1547e
.xword 0xdacccfb6480793e0
.xword 0x5642947f743b2030
.xword 0x09d95fedc66ffaf3
.xword 0x0732fabc7e9df247
.xword 0xcae85d0edd8ad395
.xword 0x96ad0d48719f2715
.xword 0x2cb3927b14176630
.xword 0x7d200306789abca2
.xword 0xa257aaf07a3546b3
.xword 0xf4d01c6b05038da2
.xword 0x36f2c3ddd5a43eab
.xword 0xfded0cac2b954500
.xword 0x270d63ad0adfbeb5
.xword 0xbfd23196c6852de7
.xword 0xe5d7f65af458a12c
.xword 0x7900bf850f753197
.xword 0x9a9875b3af071a4e
.xword 0x6424c59e97518c9f
.xword 0x61627704e2c3d8d6
.xword 0x35070271c871e419
.xword 0x743057155907a38c
.xword 0x424e13697b4a0fb0
.xword 0x0079fcb65d089c66
.xword 0xd1e24af6a0b3b051
.xword 0x10fccde0fe35e309
.xword 0x7625f59bd05b5bd3
.xword 0xb32b509d633c8643
.xword 0xf6296b009c2c183e
.xword 0xf9b42923420f0a9a
.xword 0x6c720c01c5bcacec
.xword 0x647477a6adcee584
.xword 0xeb72286487c707a7
.xword 0x4926cb95626cb1b6
.xword 0xc4fa19dbe39d72b8
.xword 0x493d4b44d78edbb8
.xword 0x4ac1f3669907b363
.xword 0x16b6c6f135c578c8
.xword 0xd29ec960ce434bf9
.xword 0x6bc18f92f6b97aac
.xword 0x688de0cfbeb8fae0
.xword 0xf3dfaf0051368a57
.xword 0x02a4955a378b9964
.xword 0x7f13f3797c5a6929
.xword 0x3cec60d3513bc698
.xword 0xeb70362495500427
.xword 0xdb34c8ad224220b4
.xword 0x91796636fa0eca1b
.xword 0xa4f515711c61d898
.xword 0x661315a3c63a712d
.xword 0xae7a472bd97d1e35
.xword 0x39cb3e7e4eb533a5
.xword 0xbaacdd3257f2da26
.xword 0x06d757b27b3495fe
.xword 0xdb9dc833ca7567d2
.xword 0x58f29231680d2d45
.xword 0xfad34b2f26e518ad
.xword 0x58e2c127a816f6d2
.xword 0x41046356274ed87d
.xword 0x592fa9eb7285116a
.xword 0xf19984feb9498134
.xword 0x1a38cea91ff1ee31
.xword 0xc22a1d1a46f25d4f
.xword 0x51bd908d84157899
.xword 0xf765a7cf544c7eb2
.xword 0x5d196a694dd18d30
.xword 0x5158df01fb71b2e7
.xword 0x43fc13e3d3550072
.xword 0xe39d56688cc759bd
.xword 0x32e8efc6ad96a9ee
.xword 0xcba11b7a5bebc51d
.xword 0x341a53d2ca69729d
.xword 0x146a63c471c82c22
.xword 0x85f503e3fb40250d
.xword 0x3f1a40e5c3ca484b
.xword 0xa39fda1383317a7f
.xword 0xcb0894e731ed8190
_t1_copy_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_auth_key:
.xword 0x2e51ee8cf23d5c36
.xword 0xacc7f62c4de4f2cc
.xword 0xc9299fbd6dea6218
.xword 0xb6ab848851a3e934
.xword 0x49dcae2352070472
.xword 0xbf67b1ee9867c4ba
.xword 0xdb9a8730469b5aa8
.xword 0xbaa56afc881f54e6
.xword 0x29eeab7a6c9311c2
.xword 0xb3afc84040bb3ddc
.xword 0xf142ca5d7820947a
.xword 0xd304cb7674d2442d
.xword 0xdc3ed58a501a6392
.xword 0x5964fc8a21d5221f
.xword 0x046624a6a2350833
.xword 0xb0c880d2ebaae8e6
.xword 0x8b88c133b197e5f6
.xword 0xc7827c5f06dbacd3
.xword 0x88d4d5f6968f70f8
.xword 0x8dc8d0f7f6af02ed
.xword 0xf631ebb10d5710e6
.xword 0x8cc16d1585a3993f
.xword 0x80aebfbaad50f0fe
_t1_copy_auth_iv:
.xword 0xe352b9aaa2e55c2c
.xword 0x0901170c1cdacaaa
.xword 0xdbac77aa4c4d6e9d
.xword 0xf4d34a5e199ae8a7
.xword 0x83bd490b6b6683c3
.xword 0x11ac8eaf952a421e
.xword 0x7b54b157a2e07aef
.xword 0x927655e67b041146
.xword 0x48f7cfde18944192
.xword 0x22f6c9f1a8dc1635
.xword 0x7d90b67227429e50
.xword 0xb6eb49f8a84adede
.xword 0xe5713010b0013a0c
.xword 0xe9e156f0680daee0
.xword 0x7d8612b57a261681
.xword 0x7c173526155fe34b
.xword 0x94b524f4ff7b6237
.xword 0x108326d8626e6f68
.xword 0x2b5c841dc0e09943
.xword 0x755ff801e6f7428b
.xword 0x6c8ba8453fc2e005
.xword 0x15b409ad66cd0391
.xword 0xdee96effc5fa1cb5
_t1_copy_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_key_array:
.xword 0x469b88643761f3fc
.xword 0xbcb337d164a808fe
.xword 0x298c8a86f06851af
.xword 0xd2d8dfb142483360
.xword 0x7cc3e25c4b7bd00e
.xword 0x5b55f1fedd87a81b
.xword 0x06aabd11314ddda8
.xword 0xe0753172ed29e678
.xword 0x8db11f958d729cfd
.xword 0x3c783f0f7ccc3f62
.xword 0x598e0791bf195f85
.xword 0xb01819fb9163036c
.xword 0x87ffedd01ddc5d12
.xword 0x2e6067119b760d8b
.xword 0xd3b58edafa21e52d
.xword 0x00b93f130c89e1cb
.xword 0x88c0c383e69ad138
.xword 0x8a034700502cd60f
.xword 0xddf1cdf16a659468
.xword 0xbeb18334db93a91f
.xword 0xce155343a9040cf6
.xword 0x845dfcf43efa986b
.xword 0x5c76be38966ee0e8
.xword 0xddb80c1897378608
.xword 0xaad4350d58317378
.xword 0x0d60d058008a6bb9
.xword 0xeadeaf3fc9658230
.xword 0x35d066ff7b47e990
.xword 0x4bbb265a32f77644
.xword 0x8ec407d502963542
.xword 0x5df756d5f4fb99db
.xword 0x628acd819db6ff63
.xword 0x3fcb10428d70bb12
.xword 0x641bbd7d91f05c4a
.xword 0xec82177afddb6730
.xword 0x83cf88bdc2a9c26e
.xword 0xb11c82c17f43e509
.xword 0xb457b11ac5f6f850
.xword 0xdf77b42a22a8287f
.xword 0x3f88ebfd21a1a099
.xword 0x9a1354e831bd8eb6
.xword 0xe788a5721b5406ed
.xword 0x830283a3668b3264
.xword 0x98dcbc8e63d7c2be
.xword 0xba510e35f40f3238
.xword 0x772b94cbd3a5518f
.xword 0xd463df5792060a7c
.xword 0x0d87d31b10bda980
.xword 0xff8a1659827a0a04
.xword 0xb8bcb20ed1adc6d5
.xword 0xdae997224adbe600
_t1_crc_iv_array:
.xword 0x9a6ccaa297a43b9e
.xword 0x831483fea21b5178
.xword 0x74ec989f54408a81
.xword 0x07b10e95e868e5a4
.xword 0x55d89498cb502827
.xword 0x8c0f02fa36590358
.xword 0x18b2daf5903591a6
.xword 0x63d1fc1d76d37e8c
.xword 0x22ef51dcd3f06c47
.xword 0x71aca3afde3c18f7
.xword 0xb29d9b2e036a6f97
.xword 0x10857b7e7c922d8d
.xword 0x38b5ab7c5cecf25f
.xword 0x04dd647eb142f100
.xword 0x55696b38052bb998
.xword 0x60e6926638816ba9
.xword 0x1362629997f94faa
.xword 0x808ee68e73e5409f
.xword 0xe4a63e16674a263b
.xword 0x9bd0a7a2cb50d62f
.xword 0xb975b9bf553552aa
.xword 0x06bec3cbebe3523e
.xword 0x4c4d0ce170960dac
.xword 0x01f146be11a3c4a8
.xword 0x835ac74b5fbeb71b
.xword 0xd174714a2beee8f9
.xword 0x3331ca6d64c7ad7c
.xword 0x35cd4d4f0b80dfdd
.xword 0xcf04b5df38144359
.xword 0x9e115452c75064b4
.xword 0x01e6b80d284fb405
.xword 0xdf4337643bc73515
.xword 0x09056f5d490efa20
.xword 0x841b302807b351e0
.xword 0x675823a9d4e7dd23
.xword 0x649af1d508b8e4a3
.xword 0xbd2e0e29be598427
.xword 0x5359f3079000ae8a
.xword 0x803285aa432c853b
.xword 0x1631b19264bc93f7
.xword 0x2e1ffd1e14acb3ef
.xword 0x2b83ebed79bd392a
.xword 0x8c674416d509110b
.xword 0xbab7d48a5d471839
.xword 0x82c841b8863e5f9b
_t1_crc_alignment_array:
.xword 9
.xword 9
.xword 2
.xword 6
.xword 8
.xword 6
.xword 1
.xword 5
.xword 4
.xword 4
.xword 5
.xword 14
.xword 8
.xword 14
.xword 5
.xword 11
.xword 13
.xword 14
.xword 9
.xword 13
.xword 9
.xword 13
.xword 13
.xword 3
.xword 0
.xword 6
.xword 9
.xword 12
.xword 13
.xword 1
.xword 8
.xword 7
.xword 10
.xword 7
.xword 5
.xword 7
.xword 0
.xword 13
.xword 3
.xword 15
.xword 4
.xword 1
.xword 1
.xword 9
.xword 10
.xword 14
.xword 12
.xword 10
.xword 12
.xword 8
.xword 13
.xword 11
.xword 5
.xword 3
.xword 8
.xword 1
.xword 15
.xword 4
.xword 1
.xword 10
.xword 13
.xword 1
.xword 0
.xword 10
.xword 13
.xword 0
.xword 9
.xword 3
.xword 8
.xword 7
.xword 15
.xword 7
.xword 9
.xword 6
.xword 8
.xword 2
.xword 9
.xword 9
.xword 1
.xword 2
.xword 13
.xword 6
.xword 4
.xword 1
.xword 9
.xword 11
.xword 3
.xword 13
.xword 12
.xword 2
.xword 14
.xword 4
.xword 4
.xword 9
.xword 13
.xword 14
.xword 2
.xword 0
.xword 2
.xword 4
.xword 0
.xword 9
.xword 14
.xword 13
.xword 14
_t1_crc_src:
.xword 0xbfac7c3dd545d024
.xword 0x7f459a1a6fa36ee5
.xword 0xd798dac40bde991f
.xword 0x15364ee4c4e46781
.xword 0xea04ee8fb75e6d1b
.xword 0x3566b2258962730a
.xword 0x68cab35b7a1a6152
.xword 0xe57e22af995eb061
.xword 0xd3970ae60685b250
.xword 0xe0052f72d2c4bde0
.xword 0xddc397612e146bad
.xword 0x1acacdd9f8b9b087
.xword 0x729f77a4c062bcbe
.xword 0xc95a6d5c16769832
.xword 0x4e85a27b729440b6
.xword 0xf4b37c712030b144
.xword 0xefb246b2bef9c86a
.xword 0x0cc6a23907ffcee8
.xword 0x268a65bb3e69ed8f
.xword 0x286014fae117bf16
.xword 0x88e7bd599b1f2924
.xword 0x75b2b9b48a596db4
.xword 0x8798dca45e6b0e51
.xword 0x8d8bbfda6e4d3984
.xword 0x55a73d4f863ac264
.xword 0xe025ecaa87bf4752
.xword 0xbc30487b07d748bc
.xword 0x6a4879d8baaf18f2
.xword 0x3455d56a1530b7e0
.xword 0x3fca2b2ed735022d
.xword 0x21537e7bdfdc7cde
.xword 0xbb927475da71c5b3
.xword 0xdb2175aa214170c4
.xword 0x012feef09bda87b4
.xword 0x21dde360c9fc5d1e
.xword 0x125b1af7e2a90068
.xword 0x39d09468c7fb3d10
.xword 0x56b403a68bafa4a9
.xword 0xd2b6b3d4d459da2f
.xword 0x34fdbea43c40a5ac
.xword 0xd19da00a99503511
.xword 0x5aa47f2c740d49d9
.xword 0x2db3956b919a7fa8
.xword 0x0281435adb904abc
.xword 0x2836d00b558609c6
.xword 0x1867e6b6f0a7fe84
.xword 0x3f80d66a9e21641b
.xword 0x94cec36e3881c52a
.xword 0xf29d09c0fbb63e05
.xword 0x0a6fade0f34c60bb
.xword 0x7ddb5663bbb7226a
.xword 0xb1144467fd3ccce6
.xword 0x4bedd62820e64305
.xword 0x12df409460c3b909
.xword 0x9ff64c4468c17186
.xword 0xa1b5481b490903e7
.xword 0x9d1f09823520fec1
.xword 0x90cd6f41db23af62
.xword 0xdc98f4b5d0ecad8f
.xword 0x84c3bcbb7af2705a
.xword 0x53b8844ba4ec424c
.xword 0x21f3406fcb34119d
.xword 0x0029374448de6575
.xword 0xd3dac37a4ebe552d
.xword 0x686f2a36e39e7759
.xword 0x8c74b36a2da57ce0
.xword 0xc5df71fe1b1a9983
.xword 0x885f344b0182cbf1
.xword 0xab6c47c18f4586fa
.xword 0xfd3447375ab1926e
.xword 0x9d7f22b433f5dc86
.xword 0x8f418187263a8939
.xword 0x040d8a44f3c68a82
.xword 0x1cb3c27e127fa336
.xword 0xb7e17507abf8edd0
.xword 0x8675edf336a7707e
.xword 0x86a4322eaf33856d
.xword 0xb928ad5502e62587
.xword 0x79202d033ec525a9
.xword 0xc3ef1dd932b0d186
.xword 0x700631b485c2b684
.xword 0xb053a82ecc3e5fa6
.xword 0x85a818cae7b2a15d
.xword 0x4733e68ef6ca3ccd
.xword 0x7bf0da5d6f71a7f0
.xword 0xbdffb1be01837492
.xword 0x016e441b94850195
.xword 0xcde2e0798b2f46ed
.xword 0xcac9b5c72e9530fc
.xword 0x280c5697f6dd4162
.xword 0xc9e82e420341a791
.xword 0xc2acf83868ce77dd
.xword 0xb9ad208b8814b0a1
.xword 0xfc7da1c73350a8de
.xword 0xc146b246cb6b933b
.xword 0xdc2b6b6172685ea5
.xword 0xb28f653400595114
.xword 0xc7be605636f64108
.xword 0x910cf66befce714c
.xword 0xca9e0ec9e7c825ad
.xword 0x7c287c429b4f62a1
.xword 0xdfbabee975904d4f
.xword 0x51fbf97352cad16c
.xword 0xce2de5a60a3a0ae7
.xword 0xcb3b0b82bbfb3c42
.xword 0x9df8b1a22a17fc1a
.xword 0xeb7e32c53c5f45f1
.xword 0x9e1acad2edf1c419
.xword 0x86f6e1e747a66f70
.xword 0x0309fc278767d098
.xword 0x4a534c6e9a498d8d
.xword 0x919fa1061652c8e4
.xword 0x1c3916cb8d40df1f
.xword 0xe490564a90088ec2
.xword 0x2f963c436a02dcbb
.xword 0x3931a1dab9b00167
.xword 0x221906b2937008e9
.xword 0x74d79cc384d83a44
.xword 0xf2abbe31bfceeba8
.xword 0x1b2e9ef00d672da1
.xword 0xd118204d58f5cab7
.xword 0xad7602adb705fc40
.xword 0x04a2a988b81970c1
.xword 0x9eb233d16ac31726
.xword 0x343c47b9776a3653
.xword 0x4418a9ea3d9367d0
.xword 0xe1bb357f7c9e252a
.xword 0x80940457a7a0af70
.xword 0xe16b419dcccc0c52
.xword 0xd129540cb6c1e1f4
.xword 0xa3e748c75deefb8e
.xword 0xf7edfb489f3be309
.xword 0x9be6c4c9d0dcb74b
.xword 0xb4ebd73e4ba9264c
.xword 0x60b6138cdc359750
.xword 0xd6987395fb05f29d
.xword 0x5f6a5c309e9e5ee7
.xword 0x79bba3cb3c860946
.xword 0xd17fd0b25e32b90e
.xword 0xa9577dc37ca5d654
.xword 0x5646a4d4d8607058
.xword 0x5cb25a0d62776b02
.xword 0x8a5155384c63f92f
.xword 0xb4fa142b147ff50d
.xword 0x85a624686d6d3c4f
.xword 0xab1819b769a4cfbf
.xword 0xa2f2bf733e04a637
.xword 0xac511057ec4d72b9
.xword 0xb62d61cb4c566d26
.xword 0x48f2e53f8743bec5
.xword 0x251b86ec0a13a551
.xword 0x48616ae652de5c90
.xword 0xa9e63ceb59622973
.xword 0xa27ea96936781187
.xword 0x9e1fe6f92a04c1b7
.xword 0xc55fefa35986e064
.xword 0x584027034bed7d03
.xword 0x3d11c414b0ead775
.xword 0x6d72596640eef262
.xword 0xb1051c856a0a19b8
.xword 0x9b14d618ddec63df
.xword 0xd1cbe9866e78b515
.xword 0x3508d97b75f5b293
.xword 0xc0622638065b912a
.xword 0x9eb7856c48b81962
.xword 0xaa8733b10dc1d492
.xword 0xa1546ca5069343f0
.xword 0x478e0d6137cda051
.xword 0x29c427fe50e79144
.xword 0x9200b7218278c539
.xword 0x9c60f1d4a0488300
.xword 0x6741845b40ab9174
.xword 0xa34696900d391b83
.xword 0x7979dd11a6cb5588
.xword 0x29dfa1893bd2067a
.xword 0xc139746d8012cfba
.xword 0x4b7431b6b8aac7cf
.xword 0x25e9b10d749b4749
.xword 0x1521f950ce6d5cd0
.xword 0xd229102dcb795009
.xword 0x8529b45164a7f06b
.xword 0xf9532f24862b99c4
.xword 0x9b7e78c685182a71
.xword 0x7ac1c16c00d855e3
.xword 0x7b45bad46cfdc403
.xword 0x7d5c5c80c0d05f64
.xword 0x8eb921853b0134bf
.xword 0x3c29500bd0994cda
.xword 0xa9d581d65f5f1ce9
.xword 0xdbe40474bcf7f34b
.xword 0x49b48150e8730d54
.xword 0x57e87a6871208ec2
.xword 0xc7ce1f2800213103
.xword 0x10b086b290b07d85
.xword 0x97cab843fd7409d0
.xword 0x37a0e39faf1bbbd9
.xword 0x5ff59fc182354209
.xword 0x4e581e96e2fd8e09
.xword 0x24a5336922c0dd3a
.xword 0xf25ac23603710547
.xword 0x4eb78686c97c6298
.xword 0x8b4c8ab9759b7e81
.xword 0xb7c31b923b1a9add
.xword 0x84ecd33968ed2cfd
.xword 0x5651f8451740e318
.xword 0x0a41f179f59896c1
.xword 0xfc3f8ed1591aecea
.xword 0xb2e0114002a31e58
.xword 0xa9f2be18dfd7f7f9
.xword 0xf9660470ab436750
.xword 0x48df52d7fb68a078
.xword 0x0b5bc9d665a8058b
.xword 0x1f906fc2c85a38ab
.xword 0x6a7cb59889a8bfab
.xword 0x0090e44cb6de5ad3
.xword 0x1f705d73d5f1f8e4
.xword 0x6826d9f1ee5a1e8b
.xword 0x4fb485d3e7c2e892
.xword 0xc571e37f87c2014b
.xword 0x06beebe04ba27bf3
.xword 0x7418808879bd1cb4
.xword 0x475cc3164aa57a64
.xword 0x5300b7bfdb88669c
.xword 0x20e8987d736fcbb1
.xword 0xa327d0102701374e
.xword 0x5accdea43751b1ae
.xword 0x1d0a74dd47f743f8
.xword 0xb73607ca22e9e465
.xword 0x529021f7194c4926
.xword 0x520ee78b80478674
.xword 0x6dd30c67975f29ce
.xword 0x33f54e33916e94c3
.xword 0x97a7962d8cdf67f1
.xword 0xfd887a33fbb6c8b3
.xword 0x9410c6f0d5b10989
.xword 0x0f5e7ac858c6d431
.xword 0xb0f2dd871fc17d68
.xword 0x8a45baa3e2014f8d
.xword 0x418e2710281639a6
.xword 0x460a11695d933e92
.xword 0x7ba859a596f04a91
.xword 0xc85b309c2f75b200
.xword 0x7cf8c7d35b923362
.xword 0x93eb25b96eb4ea04
.xword 0x817ecf9ceadb85b6
.xword 0x0c4f3515bb66a51f
.xword 0xdaddd330aa30de87
.xword 0x2c0327490c0467d5
.xword 0xbb804ecf39fab62d
.xword 0xe83e0d9157ce35bb
.xword 0x5bfc421663811c0f
.xword 0x4353000f01f5cee8
.xword 0xb81d15380af55b11
.xword 0x4a59a0ef02a68931
.xword 0x6309928051f9b887
.xword 0x59dc04ec081324aa
.xword 0xf95b3a29f2839801
.xword 0xf497b35f9995085a
.xword 0x32bf5552ef016f96
.xword 0x14566154e2d164ea
.xword 0x5d11a0e61bb08529
.xword 0x0d0dd0cffcc99873
.xword 0x08913549a71428f7
.xword 0x0634b8e3518a5a7a
.xword 0x6ed7290f768674f1
.xword 0xa1bc504c7bb1667a
.xword 0x9a85e57a8b98fa88
.xword 0xe5257079c1811a19
.xword 0x4e87bf982fa91562
.xword 0x56260988a85c8a9d
.xword 0x425c98721646a354
.xword 0xb13b6ad9d8fc5095
.xword 0xd41d1ddc87d49eb8
.xword 0x1a1f4bb599add8d1
.xword 0x06feead7b591f9df
_t1_crc_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_auth_key:
.xword 0x1eb1f6f646621a5b
.xword 0xb4aec7b9d9720418
.xword 0xfa0c7acc4f055d86
.xword 0xec847bc7a23dc8ee
.xword 0xa93d5112fcc3bea1
.xword 0xfd57be645c0803bc
.xword 0xeab0dfd6292a9c69
.xword 0x170000321602154a
.xword 0xc798117485f8cfdb
.xword 0xa953e0011b161ab1
.xword 0x686addccd1c3a02a
.xword 0x3f04006f34adab01
.xword 0xb615c23810566ffa
.xword 0x6026244e1e03847e
.xword 0x189270b8d3b63da3
.xword 0x681ef8327a5baaa3
.xword 0x5549a5b5757eb585
.xword 0x45c9e379a18bb3b9
.xword 0x1f78850cb0d480f4
.xword 0x2a2240202a0c2f0e
.xword 0x17e4d7714ccc0d24
.xword 0x8fe9c8cdc1a2abd6
.xword 0xe3f4fb1ac9f2bfb1
_t1_crc_auth_iv:
.xword 0xae18d8185fdbc018
.xword 0x487c5f4bf1c1bcdf
.xword 0x4c8598b4848ea3c1
.xword 0x890619f7fe24f3a7
.xword 0xbc5520e9fde7b804
.xword 0x5890f624576fbe26
.xword 0x83af52d67a609dbb
.xword 0xcb83bf9f1d19b160
.xword 0x774f39552719f2f6
.xword 0x864a7facada20c42
.xword 0xf433123a2b66caca
.xword 0x2ea1286a59786f09
.xword 0xc5111ace63da5919
.xword 0x5541eb246e4765c7
.xword 0x8381fe26d9fc7e42
.xword 0xbfd7a677d58b67ef
.xword 0xd0454e086b5f08d4
.xword 0xb0d75678d39eb9aa
.xword 0xc602b0e8bf5afc87
.xword 0x0b6633e4d0c3d553
.xword 0x945665951618debf
.xword 0xcc23f819c19b36fa
.xword 0x148f208d1e81eae9
_t1_crc_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_key_array:
.xword 0xe470d7ba94a79a6b
.xword 0xf442538f5663702a
.xword 0xbc8e3cb256131e4f
.xword 0x13ca52b2bd54b181
.xword 0x2cec7a4add20b992
.xword 0xf08a6e20a0701e23
.xword 0xbc6f9fbb744e8ebf
.xword 0x0f5241f44cac79b0
.xword 0xba340f95f235f7bc
.xword 0xbe19e8957e7ada6e
.xword 0xe01228a823a36aed
.xword 0xe794c8c0b435fa9e
.xword 0x8efb94db0dcbaf0a
.xword 0xdcdd5f2779e8aac0
.xword 0x5c82f97bc5b537a2
.xword 0x31533d287fd3ad29
.xword 0x2a925ac7596f2050
.xword 0x5f7c7dd740d29a82
.xword 0x10ceb988ee50714c
.xword 0x1a52a6330536f89e
.xword 0x3e8b11ae7f30774a
.xword 0x9d20cf0156db4d93
.xword 0xd9a33a762693abe2
.xword 0xaae38dd94a33eaaa
.xword 0xc3517fe43f616be2
.xword 0xe48de827f6450f11
.xword 0x1b89f9b69da68b8c
.xword 0xdd636e7c25e65c0b
.xword 0xeaf4ed41c34dc042
.xword 0x20d8d11fdc91a79e
.xword 0x3d3e2d4c62275412
.xword 0x2c563a92e7f4a11c
.xword 0xe80dbe66f437462d
.xword 0x8568f860389d8494
.xword 0x051899cbfa1cf6ae
.xword 0x8a7af0b06de0d808
.xword 0xa5abc0ca0547fade
.xword 0x61868e01c4a41d61
.xword 0xd6c3038f6f742f9a
.xword 0xf1e282105255fdd9
.xword 0x627673c200b2a40d
.xword 0xeb4f61ab84b1368e
.xword 0x59abf28e47090e2a
.xword 0xb644b0da9747cec7
.xword 0x51b7c40d177158e0
.xword 0x9e88d6fbb30fa108
.xword 0x67bffc28fe46feca
.xword 0xd85cae9e983fb57a
.xword 0xcda2c82cb68ee8db
.xword 0xcd326dff7522d5ff
.xword 0xca74c9d4f1a6fcf6
_t1_hash_iv_array:
.xword 0xb16a360b71776fac
.xword 0x735202681cdd57c5
.xword 0x86074245f28102e0
.xword 0x78eaf58d89c6b167
.xword 0x5440280c9fd1992d
.xword 0xbdd60d01a29203d8
.xword 0x90a5b25d7bb24a42
.xword 0x1ad73867db68802f
.xword 0x5e40dcdc2be120a8
.xword 0xc6aa35114f8ca556
.xword 0x04940a9b01bf5cb9
.xword 0x456c2c2e8643293f
.xword 0xe83702e5a8b7a80b
.xword 0x79fef3df4c786a5e
.xword 0xdd39648d633ce06f
.xword 0xa51126f08a1e6963
.xword 0xa1ca11273ebbc63c
.xword 0xe8e2705bfbcc39e4
.xword 0xce8449f9b256bd6a
.xword 0x5750327abadebca5
.xword 0xac135acb56de5f9c
.xword 0x17bd87249936c716
.xword 0x09cf79b5da1e88e1
.xword 0x29f08d9e6b822573
.xword 0xc2e115ee6bced016
.xword 0x442b5df3baa272bb
.xword 0xab4d518c9372f337
.xword 0x834497961017bbe4
.xword 0x2c83b8f8cd083569
.xword 0x0bd53df2d71676da
.xword 0x2e7cf2efd03b8dc7
.xword 0x1ea1ac82e93e893d
.xword 0xbe0000108e541590
.xword 0x0e163e6f1494b49a
.xword 0x5c5ad8a35603c551
.xword 0xfbe04fb97d95b377
.xword 0xf36507a0e0b60711
.xword 0x57f751b67c933832
.xword 0x5d0e187d0a4931e2
.xword 0xb4b2810006be685b
.xword 0x2173f9e5c3d9e276
.xword 0x207ff74e74a0c244
.xword 0x62d4c6554de106c7
.xword 0x70b7e58d293663cb
.xword 0x10f3c9a021885fbe
_t1_hash_alignment_array:
.xword 9
.xword 5
.xword 14
.xword 3
.xword 1
.xword 10
.xword 0
.xword 6
.xword 7
.xword 10
.xword 11
.xword 2
.xword 15
.xword 2
.xword 0
.xword 3
.xword 3
.xword 2
.xword 9
.xword 11
.xword 10
.xword 3
.xword 11
.xword 8
.xword 8
.xword 14
.xword 10
.xword 4
.xword 10
.xword 12
.xword 2
.xword 15
.xword 13
.xword 7
.xword 13
.xword 15
.xword 12
.xword 15
.xword 13
.xword 11
.xword 4
.xword 3
.xword 1
.xword 1
.xword 0
.xword 13
.xword 0
.xword 2
.xword 0
.xword 15
.xword 3
.xword 12
.xword 13
.xword 6
.xword 0
.xword 5
.xword 10
.xword 9
.xword 11
.xword 13
.xword 15
.xword 0
.xword 13
.xword 15
.xword 14
.xword 11
.xword 4
.xword 7
.xword 3
.xword 11
.xword 4
.xword 10
.xword 14
.xword 8
.xword 10
.xword 3
.xword 0
.xword 7
.xword 6
.xword 11
.xword 14
.xword 6
.xword 3
.xword 9
.xword 12
.xword 4
.xword 10
.xword 10
.xword 2
.xword 10
.xword 7
.xword 10
.xword 8
.xword 10
.xword 4
.xword 13
.xword 8
.xword 3
.xword 14
.xword 7
.xword 3
.xword 15
.xword 4
.xword 12
.xword 2
_t1_hash_src:
.xword 0x65a46266623cef31
.xword 0xed6df10d9afa5158
.xword 0xcb55e9f7dd4ab739
.xword 0x24d86de0b4ca61d0
.xword 0x1e7d906f0e2f1b18
.xword 0x887441c58097b64b
.xword 0xaf1eeca6f4033f6d
.xword 0x90a6ce8cb7417bca
.xword 0x26799b27e7776cb9
.xword 0x7ab54721164f197b
.xword 0xc9c600edc76e2051
.xword 0xaf790fa41881cf7b
.xword 0x997235de5567aec1
.xword 0xbe51925c9f480203
.xword 0x198b2836a5e10690
.xword 0x03e129458eb79e7c
.xword 0x230752486f2c2e97
.xword 0x516256e34ec45fb6
.xword 0x28d8f84909b58628
.xword 0xed46f287e6851833
.xword 0xdc8448250d4efd29
.xword 0xca1df75f62c9d1a0
.xword 0x365a7a836d6cee88
.xword 0x30c11aad57ef72fb
.xword 0x8ee68ad6048fefdb
.xword 0x89601182bedc642f
.xword 0x640637a3c0bd6925
.xword 0x5928beedfde07073
.xword 0xc012fdae58f40451
.xword 0xd50c572158749ae8
.xword 0x8f9715a90843cecf
.xword 0x334ef5e050edfd58
.xword 0x6011fa53f7d30d6b
.xword 0x835291218cba1180
.xword 0x30e822737ca3ce8f
.xword 0x64cc2106d015e788
.xword 0x0237209b006f7665
.xword 0xd8525fe0677ee6c8
.xword 0xe387c0f22fbd044f
.xword 0x36d11a2097850187
.xword 0xd81ba7a90a5ef0d1
.xword 0xa9a6d633322433d6
.xword 0x73d012364117d713
.xword 0xbf191bcb94a384a9
.xword 0x600194a18bd0c067
.xword 0x1be03fedc9d2ed18
.xword 0xdf6cd80e9290b369
.xword 0x0dfec4e97ef9383f
.xword 0x9cf06e3be3f44891
.xword 0xda1fa4a213bf037b
.xword 0x10ba1aa5ccbf3085
.xword 0xda796c27dd3b307d
.xword 0xfe215813d5c8b17b
.xword 0x35b27af7140f0d7e
.xword 0xfcc68ac167d8fed2
.xword 0x7f5d91c2582ec79d
.xword 0x2b14a5e8e8e36dca
.xword 0x6301ea831646641d
.xword 0xce3070bcbbb21ba0
.xword 0xbb1fcbf471b928a7
.xword 0x3072d2495c66eb08
.xword 0xd75498151b852813
.xword 0xf2338687a6f0ccee
.xword 0x5d9ee0d88774300d
.xword 0x1467970deee35cf0
.xword 0xe4fd4631ab06ae56
.xword 0x1a890c441ba15829
.xword 0x052176336364c0ae
.xword 0x6ee4da02890d7fb0
.xword 0x5d387c02a6b42351
.xword 0xb95ace362b321234
.xword 0xb1c435316464fff3
.xword 0xf03a1522b095c22d
.xword 0x96423c7eb686403f
.xword 0x02dd842a4f2883e1
.xword 0x06da5ca3a918930b
.xword 0x70a17f3c7d64e85b
.xword 0x48dc3fb95493145d
.xword 0x0a975c971fc0d0c7
.xword 0x59b65ae3d440a535
.xword 0xa20d9f332c832de6
.xword 0x3059e99571c9b44a
.xword 0xbb661a6b08fa4f2c
.xword 0xebf74c3161826b07
.xword 0x76c8ac12deaa1ddb
.xword 0x9b73b3ecf44ac4f9
.xword 0x06d70ec770d9cf59
.xword 0x6f4e9783839c891f
.xword 0xee292f1b0730a494
.xword 0x8f03cc2d35a9b656
.xword 0x10415d25faeec740
.xword 0xf00413773ac1b467
.xword 0x6bd736ce1900c9d3
.xword 0x7ea3e86a1978fc73
.xword 0xd6940c72b0d402bf
.xword 0xf114d9f2d224a4fe
.xword 0x519c177dc5360335
.xword 0x543ac9df7cf83c4d
.xword 0x7b1dbb0fa00d560c
.xword 0xc04797b2aa4cd055
.xword 0x06f2cf9e94da7ad5
.xword 0x12a724e5897b79d1
.xword 0x70a7fc63f97717db
.xword 0x9b2ff3bb43bf530e
.xword 0xdf4c86cfa5ce52b8
.xword 0x1c59a2a25688d3b5
.xword 0x8fc8deb9981c81c7
.xword 0x189798828d1cd6f3
.xword 0x482b76a56ffc8bac
.xword 0xf0a42e5e5871725c
.xword 0xc83cff7860462029
.xword 0x7c3180d593a9c9ee
.xword 0xe43ca780cc2531b8
.xword 0x1a0e4593bbdf87b4
.xword 0x3272d05146baefd5
.xword 0x776e125e954dfa22
.xword 0x424f47737494d11e
.xword 0x8252b0d2ec3737a6
.xword 0x09a8f32488e27917
.xword 0xcd1d65321d1a2c53
.xword 0x2e84593975881881
.xword 0x647d25edbfc536a9
.xword 0xca4ae6333e13e231
.xword 0x6b3e29236cd513d7
.xword 0x3cc91eedf8da510f
.xword 0xf24405b9efba1b03
.xword 0x0966bd215e188175
.xword 0xe73d5b7330087c97
.xword 0xe4588deec4ce64dc
.xword 0x430d8c479dde5e0e
.xword 0xa305958c44efed07
.xword 0xd3bf3c3b70017ebd
.xword 0xe30da41ddeaabf19
.xword 0x191ffa3c298acf92
.xword 0x7915fd978d864782
.xword 0x629fbf1d5b12056f
.xword 0x9e905a9de3b7874d
.xword 0xa54651b9e6807f55
.xword 0x6cc8658b883a5f2f
.xword 0x42b68cea3824ea4f
.xword 0xfd59908d213ad84d
.xword 0xac20c33f076c3889
.xword 0xa03d229ed5fac965
.xword 0x8ec0a735104a27e0
.xword 0xf796e05f26e19ff7
.xword 0x6e0f7734703dcbeb
.xword 0x6643c11e041746f2
.xword 0xd4784b34ec2a6a45
.xword 0x60af2ebfd93d326b
.xword 0xb6669123cdc03074
.xword 0xde533445e9818e02
.xword 0xdfa800e70b78094f
.xword 0x9018af36543f1d77
.xword 0xd8043db1b5af1e0b
.xword 0x11363506f5f29aaa
.xword 0x525ed64b1e5458f3
.xword 0x31c0d42a3cf780ef
.xword 0x12183db8fb9f5cca
.xword 0x2af21e43069887f7
.xword 0xf0f14a621e68342c
.xword 0x46910197ad91a4e1
.xword 0x004dece74bb8614f
.xword 0x57443a961ec293cf
.xword 0x89af8c53fdf15270
.xword 0x66733b138004b6d3
.xword 0xa525447e9367c8b8
.xword 0xf551d45eab37e514
.xword 0xdb39c5e30b1bf452
.xword 0xace42dea3684e824
.xword 0xa58430b379a15984
.xword 0x4fd62f291f348dc8
.xword 0xea5cffa3591396bd
.xword 0x4040a9cfc3f87521
.xword 0x5b34f6a8f61f9aaa
.xword 0x391b6f2226c578a5
.xword 0xaa7270c75aaeff29
.xword 0xa2b9950fe2eeef2d
.xword 0xd0a11a9326a91f12
.xword 0xc76a15dbc2b7dac8
.xword 0x1be37080489263dd
.xword 0x3aa40f13412ad4a7
.xword 0x321a01d3be70f831
.xword 0x32d96cb03461cf98
.xword 0xfb8f750ac972e321
.xword 0x68d18dcc41704fdd
.xword 0x8b985bf8802a3f58
.xword 0x024dbfe1fa2737c4
.xword 0x3fc7ee1916ed425f
.xword 0xfa0a78b9fcdee94b
.xword 0x969f2dea43ef2266
.xword 0x49d53620a786e19d
.xword 0x39f6f3c374efcc4d
.xword 0x13b0f255d9c237e8
.xword 0xf2adfb6947e898dc
.xword 0x34230dcac115d5fb
.xword 0x348bd8e2381974b2
.xword 0xdd814cad1ad06378
.xword 0x0830a3c2ee60211d
.xword 0xab156575506e140e
.xword 0x912a630986dc4d1a
.xword 0xf7a43506bf3a0cae
.xword 0xe07bfc9f84586a85
.xword 0x882b8d208328fb69
.xword 0x94ab6b6c25c564fd
.xword 0x8a79095a9bc20580
.xword 0x4ce93205dfbba04c
.xword 0x23a04634097c9973
.xword 0x0042246d4d3e47b2
.xword 0x0bcb04b95344e4be
.xword 0x561692064f347358
.xword 0x3adb12a0b0f7033c
.xword 0xe60ae191efbaa674
.xword 0x48d1f05477012403
.xword 0x2e229fca97ba111b
.xword 0x073511d311cb7b76
.xword 0x9c47b99389ae7d90
.xword 0x858fd79be9c86ac2
.xword 0x3d6e55341785b773
.xword 0x96bdb1f185288b53
.xword 0x266cba04bf1bec42
.xword 0x1b5bfc1ca61678b3
.xword 0xa1226c4d2117b2d7
.xword 0x4a426fdafa91bed4
.xword 0xa56827f412164fec
.xword 0x4ca658a362a2bb08
.xword 0xd476e493f94c3998
.xword 0x5ef585f49acfdb50
.xword 0x3dd125e5d8efda81
.xword 0x17666e1c12ba3708
.xword 0x61b58b04cb328a61
.xword 0xce8f6f158a8271cf
.xword 0x64a4608690447b8f
.xword 0x393e4ae13b04b92b
.xword 0xef48cd7ac339332c
.xword 0xa903955dd610c584
.xword 0x7a45bf2bad9e4935
.xword 0xe37d7225eb5ae44e
.xword 0x2b85227a63113925
.xword 0xc43c56df9b6bd5dc
.xword 0x4b7226e84a59fea3
.xword 0xcc97ed3190a68113
.xword 0x745414ea76dd0e5f
.xword 0xb1b742a78d8bd1e3
.xword 0x85087f50bfc2e1a7
.xword 0x48f1844f73aad176
.xword 0xda6ebe754f8fb3ac
.xword 0x78d07be1a49c8919
.xword 0xd1903f2e1b973ae7
.xword 0xbdb1d759ef12d4e0
.xword 0x0a5acfd4f2bdcec5
.xword 0xf9b3f9539d663798
.xword 0xac7f521d1af887ad
.xword 0xc3cd47a51462745e
.xword 0xaafb0ab03c474f12
.xword 0x74f6edfe7fe6fc74
.xword 0xe3514fe41c0e5ef4
.xword 0x8e561307f6062fc8
.xword 0x788dfa0d770de66b
.xword 0x1859f88a59f1fd79
.xword 0x3face486edece894
.xword 0xbcac12ee855bcc55
.xword 0x85ba87426dacf31f
.xword 0x9f6dc14dafd6b030
.xword 0xe7a8a60cf5360caa
.xword 0xf2433d95c1707fa1
.xword 0xb46d4e4035cf5eec
.xword 0x99d6a5affdd5ddb9
.xword 0x9d1b7c1452e88611
.xword 0x41c89eeb81329075
.xword 0x1a5c24486ed83690
.xword 0x0a8e147ddf92a057
.xword 0x929722f9c56eb0ea
.xword 0x32ae5f8a2222a66e
.xword 0xe8266707c59aee25
.xword 0xa81b407a830deeb2
_t1_hash_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_auth_key:
.xword 0x0396e8fa0acd3194
.xword 0xad552f454e03522c
.xword 0xca35416071302476
.xword 0xcc0fd8dc6ade6815
.xword 0x85b70714353f18ed
.xword 0xe4253834e6e83f18
.xword 0xaf17ef28e440147d
.xword 0x53073a71df675aa0
.xword 0xfd81467b55cdce6b
.xword 0x7c11012349241a36
.xword 0x327645bddb0c18df
.xword 0x59723f06fa5f8aa0
.xword 0x15a3f9a09958c152
.xword 0x0b264ca067577f41
.xword 0xb578c1b79a3759fd
.xword 0x7460001599dd5618
.xword 0x7331d60d3120e9ff
.xword 0xc50b488a2f953ddd
.xword 0x9b7ab079f7c54b29
.xword 0x12253ee7672d97c8
.xword 0x09cbe90a87674fa7
.xword 0x82aa773017bd04a1
.xword 0x297bf51b54c840f1
_t1_hash_auth_iv:
.xword 0xf2389cc3c292b638
.xword 0x0e39804c129fa788
.xword 0x77eb481ad1f65352
.xword 0xc54cb787a3007093
.xword 0xf548223db4f26336
.xword 0x44175d0d5be2ba6c
.xword 0xb6ad1b31d8703482
.xword 0x8312f51002fa0ac7
.xword 0xc3cffd5baeeca6cd
.xword 0x6fb6ddd939a9aa81
.xword 0x0a93c27fddc11f82
.xword 0xf37fd33a9d0052b7
.xword 0x78cfce3906bd10c0
.xword 0xe1f1a39e7357cfe6
.xword 0x0c4fb0ae4903484b
.xword 0x26a7bbca3eaa582a
.xword 0xdaea3315a143d42c
.xword 0x9b4508775ebbe384
.xword 0x7c2cc6d8caab6440
.xword 0x246a6d9b5da9cb2e
.xword 0x262eeb16614e9699
.xword 0xb3ba80721110adf8
.xword 0x409c50a38e2e1578
_t1_hash_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_key_array:
.xword 0xde0de6e469641654
.xword 0x132fe708a647a20a
.xword 0x03807a534e6f9197
.xword 0x0436a4f40773b7e3
.xword 0x2dd3a2c2609139d7
.xword 0x4343910faf5a0719
.xword 0x79e2efa1226509ae
.xword 0xd2c61fc69c3328e6
.xword 0x9aa3be07148a84b1
.xword 0xf7efb0a5268793c1
.xword 0x9e8917eda4bedade
.xword 0x1e7a9b4290be8d4f
.xword 0x9171d6c26f2d34a5
.xword 0x2ab9b6121ccc7c85
.xword 0x3fd5e110ec2df2a3
.xword 0xa75a62ab4fa221f4
.xword 0x785a63d7de3b4ae9
.xword 0x441679de50c4cd14
.xword 0x400b9dfb32fe86c3
.xword 0x0774d3f05d965a18
.xword 0xb511b56a520d0202
.xword 0xbceee746edd9d198
.xword 0x0a81d11ed65ba5b5
.xword 0x0c7304f564418995
.xword 0x91ad37771afe8792
.xword 0xa00de9607d5c9d0e
.xword 0xcc0dd111897c96a8
.xword 0x5cc8245c21db8834
.xword 0x706a8b70f8dab01f
.xword 0x1d894697e33fbe61
.xword 0xa414775b1310daba
.xword 0xc6028acba7efa526
.xword 0x98b859afd572884d
.xword 0xc128bcd3ed1728a1
.xword 0xc074a991e17ddb81
.xword 0x8f77ae6d07bb0143
.xword 0x8c369bab9ab0792c
.xword 0x2bc7a539b8086b87
.xword 0xf157a6c5f3de2b0d
.xword 0x522a5180ef0bf6bb
.xword 0x225be73ae827610c
.xword 0x66738bc0542ab5a1
.xword 0x7e298ebd3bcfd981
.xword 0xad6d2bef19e81274
.xword 0xc1ee02145d1aee4d
.xword 0x683ac8405415efe0
.xword 0x12699576ada3413d
.xword 0xf6c958418413f511
.xword 0x7c211840029aa535
.xword 0x33b1f5104a25682f
.xword 0x958cbc9b9feb4a2a
_t1_hmac_iv_array:
.xword 0x707cb7f16e3e8fb8
.xword 0x654657ab694afd33
.xword 0x8208c0fb11b22f64
.xword 0xe30890ee62ce3693
.xword 0x67a54d44685439c2
.xword 0x0e66547505b67e78
.xword 0xfb5b149e9ba5f210
.xword 0xaee75b11dbb422e2
.xword 0xfe6e9f631c3a0b84
.xword 0xafd34e1279c334e4
.xword 0x2a04af34f77b1f1e
.xword 0x7e34af27da24d860
.xword 0xdf731165060bd153
.xword 0x61ff1f9d44481695
.xword 0xb14df14e38f3115e
.xword 0xf4aa8aa5d5a4a175
.xword 0xe00f193e4c92b048
.xword 0x6e1cbbd861c82754
.xword 0xeb7307e0ca63c399
.xword 0x11afe5bf9a736f92
.xword 0x59212f2202c9505a
.xword 0xc8ed601ff822b1ac
.xword 0xb5ce565f0c5d6e54
.xword 0xa7475a9f059cc5f4
.xword 0x866bb41e59a4482f
.xword 0x78a15b62655c9b2d
.xword 0x92ac0347134b6e2b
.xword 0xa77acba041e6fd7e
.xword 0x8c2ef1f8a50e60fc
.xword 0x320e20635f82c8d6
.xword 0x1d0a619bdb7f1899
.xword 0xf74eacbebe9311a6
.xword 0x6072541077d729db
.xword 0x609995b769dec5bc
.xword 0x1f25b8e372e02185
.xword 0x3aebc25d94fd3538
.xword 0xefa3db38bc283414
.xword 0x187f48786bd5d8e4
.xword 0x40959bcb70e6e864
.xword 0x3973c57b7bd45386
.xword 0x885888fb77c64e8a
.xword 0xfda9341546961f8c
.xword 0xa359f565fad65357
.xword 0x9cdc9a75508604b6
.xword 0x705d109da8c66cb6
_t1_hmac_alignment_array:
.xword 7
.xword 14
.xword 11
.xword 13
.xword 8
.xword 8
.xword 15
.xword 2
.xword 9
.xword 10
.xword 6
.xword 12
.xword 10
.xword 3
.xword 15
.xword 2
.xword 1
.xword 15
.xword 14
.xword 14
.xword 5
.xword 6
.xword 15
.xword 4
.xword 6
.xword 7
.xword 10
.xword 4
.xword 7
.xword 0
.xword 9
.xword 8
.xword 0
.xword 5
.xword 1
.xword 4
.xword 10
.xword 0
.xword 13
.xword 11
.xword 7
.xword 5
.xword 6
.xword 13
.xword 6
.xword 2
.xword 4
.xword 3
.xword 15
.xword 11
.xword 5
.xword 12
.xword 2
.xword 13
.xword 2
.xword 15
.xword 0
.xword 8
.xword 0
.xword 15
.xword 3
.xword 10
.xword 5
.xword 14
.xword 3
.xword 15
.xword 9
.xword 9
.xword 7
.xword 11
.xword 9
.xword 11
.xword 1
.xword 14
.xword 2
.xword 4
.xword 2
.xword 1
.xword 4
.xword 11
.xword 0
.xword 10
.xword 13
.xword 7
.xword 10
.xword 3
.xword 10
.xword 2
.xword 14
.xword 2
.xword 8
.xword 8
.xword 3
.xword 7
.xword 2
.xword 0
.xword 0
.xword 3
.xword 3
.xword 13
.xword 5
.xword 11
.xword 14
.xword 10
.xword 1
_t1_hmac_src:
.xword 0x7eaa3c212102bd52
.xword 0x5a175d3e32533a96
.xword 0x438e9985b06c72bf
.xword 0xa222774faa3e395e
.xword 0xee97dcf4ad5cd871
.xword 0xd6e5fe98d25ef522
.xword 0x88f7cd94a37cf82c
.xword 0x2324c2694b472be6
.xword 0x947c49a4d9018f24
.xword 0x9baba1ac30f8b0a8
.xword 0x0506fbec8f2b5e84
.xword 0x09c3321e64f9e206
.xword 0x879e6ea63d74963a
.xword 0xb14c86a1c5f168b5
.xword 0xb52f9dc18e6918b2
.xword 0xa84b86621a3bc59b
.xword 0x951936a6c6f78bfa
.xword 0xe2540b4208614ff9
.xword 0xf1354dac687a188a
.xword 0x0aee871bacbbc141
.xword 0x452b35b20997a01f
.xword 0xb3d997ca3387bdee
.xword 0xf9a094f76fec00c0
.xword 0x51456d8a16c40f19
.xword 0xd0b4dc87dfd42fd7
.xword 0xd1adb44e4d213d35
.xword 0x067a28c1afa531b6
.xword 0x8537c69e8f18f4d0
.xword 0x7515479829524c38
.xword 0x36f9cd1413a59e47
.xword 0x6948fc1795364451
.xword 0xaec2cbe46f0afbfd
.xword 0xc21f3706d534c6e4
.xword 0xa79c2e22ff42a190
.xword 0x1e69e216a11c15bb
.xword 0xa82c5060568a5a39
.xword 0x04ca799816027097
.xword 0xf8328a9a02b95096
.xword 0xce7c41c80b7b40a6
.xword 0x5956af4e5e1a1f6e
.xword 0x450b6e3ac43df9a6
.xword 0x3f147d90165417c9
.xword 0xe7a14e5442749100
.xword 0x87d8ba11ac0999e3
.xword 0xd9630c7204df24c6
.xword 0x794d80299515be43
.xword 0x0b72f053244fb7b2
.xword 0xc73235d531461357
.xword 0xdb08befa981bccc4
.xword 0x2039e2b99375e4f4
.xword 0x297d00326684e6eb
.xword 0xa5889664ee23128e
.xword 0x39d48d604c9659d2
.xword 0xb622ba4e7d989d76
.xword 0xd243fde868f79088
.xword 0x9ad847f3cf89ec98
.xword 0x67d01b6df0b876d5
.xword 0x9e34ae6bee6317a8
.xword 0x823841c87cf1aba8
.xword 0xd64d88921b79d55b
.xword 0xe1c4bd0c0de7c325
.xword 0x0abcd82537c66d3b
.xword 0x9a0f485aeb8998f9
.xword 0xa6dd89bd18052074
.xword 0xed0730a70f75ede8
.xword 0xe9cf8bed54fe3e63
.xword 0xb5c4662aef7f8826
.xword 0x1f259c7b7787d8f8
.xword 0x5c7c54e59c638b3d
.xword 0x4601f9f4779d95c4
.xword 0xe111c3c362e4a965
.xword 0xdc8af27caac99957
.xword 0xdb5c7b97f355bb3f
.xword 0xae1d71f7e9f9fe33
.xword 0xca4045370ce8c06d
.xword 0xde4884e09b089b41
.xword 0xedeeadc6a71479a4
.xword 0xad6def567fca96e0
.xword 0xc089ef8bfd9e9947
.xword 0xa13f2ed10432e145
.xword 0xb11829bf2a3544d7
.xword 0x92722910dc896fc4
.xword 0xb63afada91610ce2
.xword 0x2f20dfa4963b1b3c
.xword 0xa9ea6c6b1e34d7b7
.xword 0x8e719b036cfb3c86
.xword 0x6e5d66a0f53f1ddf
.xword 0x933afa4e9198cda1
.xword 0x6f165b5bd4b64f71
.xword 0xadb369db1e57033e
.xword 0xc2f78e87627eb800
.xword 0x492f5d8ad7a022bc
.xword 0x2ca3ad61bac60efd
.xword 0x14bf8fbd59cdc32c
.xword 0x5aacc4a41200a2b2
.xword 0x2e6bb9cbe75c0864
.xword 0xdadbcf32779771d8
.xword 0x4ba128e778874c94
.xword 0x33dc0e72bb7029e1
.xword 0x2b19e0bfac28e597
.xword 0x956a2133c0ffcc48
.xword 0xb0e72b924d39fc50
.xword 0x893f4d7c1b7325c9
.xword 0x307c49fbbcba4bf4
.xword 0x19c045f43ed47a09
.xword 0xc1e510ca4765e1da
.xword 0x4ae74c3b7ce4a83b
.xword 0x46625651b32fad3c
.xword 0xcf44135675b505aa
.xword 0x16da7477f6c7ced1
.xword 0x14b11d6b38724ef8
.xword 0x9271ef683237a668
.xword 0xc07ecc1e800d1db6
.xword 0x68cb05ca8678e41d
.xword 0x354e2ca94a3ab9cb
.xword 0x8f095c57680a39e9
.xword 0x4916401015966602
.xword 0x54cccbad60e6c7c8
.xword 0xf1a1e560b454a4cc
.xword 0xa28df832ed5752e7
.xword 0xe7fdc2c00d920791
.xword 0x477a969d3e717af5
.xword 0x74c992e898fc70cf
.xword 0x7418826a9ce9aaa1
.xword 0xb420ddc98052a564
.xword 0x2efcdd9fff550c6b
.xword 0x4ffd78662c7867c8
.xword 0xeeef051cd9f70cdc
.xword 0x80ebd85f7bb01610
.xword 0x2d9582850fabb774
.xword 0x763317f4661cc0a2
.xword 0x266cc9f1b7b2cde1
.xword 0xdd60ecc132b1d134
.xword 0x103f72f538a0ee06
.xword 0x7f375d65d0739092
.xword 0x0a652f924d4b432f
.xword 0x1500e3d92ecab235
.xword 0xfe0f3a4a2a7d3753
.xword 0xd28c37d8a639fbe1
.xword 0xcc9aaf2e476ed30c
.xword 0x02ec12a6b61e6ade
.xword 0xaa2c969d9cb32ce9
.xword 0x8acc467b74405696
.xword 0x59789c4945c317a3
.xword 0x998b82f1e004c11e
.xword 0x3832d03c9c5ce757
.xword 0x6d7d32431cad6dd6
.xword 0x4723472ae326941d
.xword 0x29191dd866669de6
.xword 0x627bf201f673c248
.xword 0x561bc5f929e28fc9
.xword 0x1c9adc2cb5399054
.xword 0x6b0aa1499383b921
.xword 0xe99f3b811402d21a
.xword 0xe68d36bf1e02becc
.xword 0x32bd2eecff77734b
.xword 0xa5dadb7a33bd6cda
.xword 0x7e20b03cac6c9e66
.xword 0xaa1d849e17b7a0d9
.xword 0xbc2f5b718e290a06
.xword 0x8781b7040c2a2292
.xword 0x21c610ec70daf777
.xword 0xccc7b536c29d9e94
.xword 0x0ab742a923461e6f
.xword 0x927ac384205a1c49
.xword 0xec91fac7b204ac25
.xword 0x9ea8c85b823490c1
.xword 0x789b8642e4397afb
.xword 0xefe14ec99cab9f92
.xword 0xb91cd44cba901abb
.xword 0x231e35cdd9e82cac
.xword 0x9af21a843f3cab85
.xword 0x489a89d1a82070f4
.xword 0x40ea5c8676a86779
.xword 0x6230e642fd8af29d
.xword 0xed5ac2dd17da9a69
.xword 0x6e6729deaa670fac
.xword 0xb8dc15ac005aae4e
.xword 0x83163325092d0d95
.xword 0xd9838fbb9c6e599c
.xword 0x4d3c2061b4cd60c6
.xword 0x4af9d1d93599987d
.xword 0x1ca32ffc834bf5a5
.xword 0x5a6e04b94947e5cf
.xword 0x03d368e3b0a03561
.xword 0xe7e87f4dea849436
.xword 0x233948d59adc91da
.xword 0x540ad84365886763
.xword 0x3080f93b110b10fe
.xword 0x7af8f27a976a723f
.xword 0x0e871b451f826336
.xword 0x7381a743ce7c837f
.xword 0x52191ef12a8812a0
.xword 0x24fc1518293d68de
.xword 0xf4a423c8f7eee3b3
.xword 0xc280e18d86c3041c
.xword 0xe24c12cdf60edf53
.xword 0xda7d21e460be8647
.xword 0x9546e81aa2a59297
.xword 0xb6b6b783df13e1ef
.xword 0x82aa6f056c12f718
.xword 0x35ca4dbb22abc3d7
.xword 0xb8c617b2a726966f
.xword 0xdf0cc647d2ac8d96
.xword 0x0927a70206548245
.xword 0xb25904c580de73fd
.xword 0x48b2140541e36b14
.xword 0xfdd1e6667eca3a97
.xword 0x4a12469ee3459da1
.xword 0x45d6bf93e40eccbd
.xword 0x60f16fa9a16e4c6c
.xword 0x67f8142bf758d1cf
.xword 0x698a46bfa643404a
.xword 0x0a50c78bec9e68bc
.xword 0xd444468e691eb144
.xword 0x6285fe644bcc896d
.xword 0xc52a26e886a8d69a
.xword 0x750fb804cb445f7e
.xword 0xf9914f8cd91028de
.xword 0x1b81b1affcb1f2f2
.xword 0xbc5a3633865aa4a0
.xword 0x84961dc1b518b082
.xword 0xe19b870f9d1c8cab
.xword 0xa401d45779e3068d
.xword 0x6d79a68bcdf84185
.xword 0x4d711238c67e68d4
.xword 0x5d6ab6a12548c890
.xword 0xb78f5c0e73e55f6c
.xword 0x17ac5597d9f9e985
.xword 0x5da7ac7d6b9cf3f1
.xword 0x623515d5f0d7349b
.xword 0x89b896e55e6ba7ac
.xword 0xcc1d57a3d6d92949
.xword 0xcee32cbb28e7413e
.xword 0x4d3a0e95e6b57a1f
.xword 0x7fd81871be59f2c2
.xword 0x6985d48681315474
.xword 0x685315824614afc9
.xword 0xb693f619945982b1
.xword 0x35b9934670115033
.xword 0x22d02d7f7b7054f9
.xword 0x1607da05a71ea187
.xword 0xa38dfb5100d78ae7
.xword 0x911dd1f67b1b744d
.xword 0x15f837c49f524814
.xword 0x2ed89bb5fd8bb188
.xword 0x295e78f4b48fc214
.xword 0x088b9a1cd929dd2e
.xword 0x31bb6fcf3d5ba711
.xword 0xdf188472be6ea448
.xword 0x40220d30c28324eb
.xword 0x53de4a58edfa38e4
.xword 0x753b238a11b4ec95
.xword 0x5624e29b37b9eb13
.xword 0x5188ccac4df92ba7
.xword 0x72062c05105898e0
.xword 0x7b0a96d6f0c4a3ef
.xword 0xba0373cfcb6d3926
.xword 0x79ec3160234f238d
.xword 0x690aed96196fbf97
.xword 0x248bfd992df74ae0
.xword 0xd46cde84418eaeba
.xword 0xae2854fdc097948c
.xword 0xc0ad285e9dd00e0d
.xword 0xd9b6ce25ecc2877d
.xword 0x79ddd38aab80c24c
.xword 0x69e781e52794ebdf
.xword 0x4d46de7a5ce7d12e
.xword 0xdc510f718444c757
.xword 0x663e7bfb7063ad9e
.xword 0xf5c1c3bc7e6cfc87
.xword 0x354353cf2eae0382
.xword 0xc30cdd0099badaf4
.xword 0x3b4ed015c3fad0c2
.xword 0x4f01f90bdb9e1597
_t1_hmac_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_auth_key:
.xword 0xa8e3af967347193b
.xword 0x0707c7c6184d3326
.xword 0xdd1710e9e6845dde
.xword 0xf649afdab33d966c
.xword 0x1b5eea11333e5a1a
.xword 0x51ae2cce1ba359a5
.xword 0xbb0bae6e06273076
.xword 0xabfa45f9fb8343e4
.xword 0xb493c34912fc95e3
.xword 0xd750559dd88cbb0d
.xword 0xea0dcf3417acff93
.xword 0xd6010aa728e63413
.xword 0x9e765ea91ff04b3b
.xword 0x16980a989916444c
.xword 0x4db9d50638b361ad
.xword 0xd74ff34402fcb905
.xword 0x9d2f0f0c24085c4f
.xword 0x1fc6945c7f48cb62
.xword 0xd285a6d270268ddf
.xword 0xc4a44eb2afd6b635
.xword 0xadb916da086a585a
.xword 0x070179910f972272
.xword 0x8244e289f6b26dd6
_t1_hmac_auth_iv:
.xword 0xda791db4aea586d2
.xword 0xfa3678d2401359c8
.xword 0xfe8599354e12664b
.xword 0xc0fdf61b692bc9c7
.xword 0x242c97509e305375
.xword 0x57b8d72bb0162225
.xword 0xbfbd6b4a13d038fd
.xword 0x106a49161b4e375d
.xword 0x1c72616c082d4d43
.xword 0xf6ff3ace4b612fa3
.xword 0x88d62878c51d8c7d
.xword 0x88930e65e3ff7c7a
.xword 0x5191a31ca095b392
.xword 0x1b77082dd9b9b7b5
.xword 0x60763bfb0e41b07a
.xword 0xeac65c3cfc62fe0b
.xword 0xafd4421a7f0284fe
.xword 0x924bf59eb98bf3c3
.xword 0x255e0b9861671c9e
.xword 0x435aec6fa804aa5b
.xword 0x024f9333950415eb
.xword 0x4a1c6177301dd7f7
.xword 0x19e46f6a7a935fcf
_t1_hmac_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_key_array:
.xword 0x7270653e624bc0c7
.xword 0x3621c65d14310774
.xword 0x1ccca56248fd198a
.xword 0xfece0acef19e459d
.xword 0xb08b944921ea0bc7
.xword 0xb3af280cace63b72
.xword 0xd92838c0bed34a97
.xword 0xcbd8c534502e222b
.xword 0x0d89276b0b9f2494
.xword 0xdca318892753c03d
.xword 0xfe6a2161aee60c66
.xword 0x76d6499affef2907
.xword 0xdabac90ccf99a64d
.xword 0x9a8bdb5e22b73ff1
.xword 0xb93e0293dcd56e3d
.xword 0x0c187be58019f9a2
.xword 0xe311ac9b33d5ed34
.xword 0x85ba80151de1bbd6
.xword 0xe137953b4b07a698
.xword 0xd59c03e6174728a7
.xword 0x68a98b117eb58cdb
.xword 0xc6742060525ea757
.xword 0x73cf4f9a46c065b0
.xword 0x3aeaf5ff4d12161a
.xword 0x3ef98497d759c07a
.xword 0xcc86d583e6735f7f
.xword 0x6a5bb35d978a1fd6
.xword 0xc0ae4e1420509e97
.xword 0x4e9ff270aba91c6b
.xword 0xea4288ead3278224
.xword 0xa1dd6f7d90582f7b
.xword 0x91200832b8691f00
.xword 0x1905c757c30bd047
.xword 0xc4c3f6e4bd0dab1f
.xword 0x103231b3d0939198
.xword 0x34652dab19e56047
.xword 0xb60e43df696103ba
.xword 0x7169ba8f4d6c0d7e
.xword 0x1891d83ccb9a439d
.xword 0x9050e2d325e82287
.xword 0x6851cf61ad464edb
.xword 0x7bdbf8332adb7351
.xword 0x8833384909487d5a
.xword 0x6152324e501f8f69
.xword 0x1ab84ed15ad9bfbf
.xword 0x20822e00cd6865ad
.xword 0x1927a6c213ba414f
.xword 0x7e766aa8c94eb9fd
.xword 0x517e552065ac09e4
.xword 0x80e64620eb9f6bf8
.xword 0x5b346a52ef2b423f
_t1_rc4_iv_array:
.xword 0xc0672e6153d23404
.xword 0x0636edec2b685648
.xword 0xad96cf38a5b13c36
.xword 0xd832837a0326c3ce
.xword 0xdcd9b2a513dfc46c
.xword 0x47a128e3d6abe11d
.xword 0xc724a892ae9b751b
.xword 0xa9e866ff48e89a80
.xword 0xc9e71e0e1467a286
.xword 0x59fbdb103168e672
.xword 0x66a8b894d831f855
.xword 0x26433ee48d14eb17
.xword 0xadb3698c40f06337
.xword 0xf37c3b76ec9af546
.xword 0xdd745e851dd35e97
.xword 0x4f75ac8591bdddc7
.xword 0x2a1042d4e1eed0ca
.xword 0xbf4595c687095d63
.xword 0x524218d58d654748
.xword 0x61ed5b95294795eb
.xword 0x572d6de8e7c97bed
.xword 0xfd9638fdd7e00c59
.xword 0x2a5b18ca0a6099a3
.xword 0xdfdbf346c524bafe
.xword 0x59342531a9c842a7
.xword 0xe9d845d8c20a2228
.xword 0xa78af9385df34859
.xword 0xa8db41f3db3c4f56
.xword 0xdf0797f32b620317
.xword 0x3c48b9a7a1646b6d
.xword 0x1ea368c7ba577ac3
.xword 0xd20baa77682fcb0a
.xword 0xd432930dd1b8b410
.xword 0x8efd87b16f22f6d6
.xword 0xc3f1fcc398c35302
.xword 0xae101ac75ce1954c
.xword 0xadce9bc8e7b12a82
.xword 0x206b054fef261029
.xword 0x09f7037277ff3d56
.xword 0x9cb44ffbc6687c4f
.xword 0x6827f645dfac8eb8
.xword 0x8f529b703a4c8bcb
.xword 0x5d834f788b9f9f5f
.xword 0x0cf3ccb79e421b53
.xword 0xed5e4dd03163ca8f
_t1_rc4_alignment_array:
.xword 7
.xword 0
.xword 9
.xword 6
.xword 0
.xword 15
.xword 1
.xword 8
.xword 0
.xword 9
.xword 9
.xword 3
.xword 5
.xword 3
.xword 12
.xword 11
.xword 9
.xword 12
.xword 0
.xword 5
.xword 1
.xword 0
.xword 8
.xword 7
.xword 8
.xword 6
.xword 8
.xword 8
.xword 1
.xword 5
.xword 1
.xword 5
.xword 5
.xword 9
.xword 2
.xword 7
.xword 15
.xword 14
.xword 12
.xword 1
.xword 5
.xword 13
.xword 13
.xword 6
.xword 12
.xword 15
.xword 14
.xword 8
.xword 2
.xword 4
.xword 15
.xword 7
.xword 8
.xword 2
.xword 13
.xword 0
.xword 6
.xword 8
.xword 2
.xword 14
.xword 4
.xword 14
.xword 0
.xword 9
.xword 9
.xword 3
.xword 9
.xword 4
.xword 12
.xword 6
.xword 12
.xword 13
.xword 15
.xword 5
.xword 13
.xword 14
.xword 3
.xword 9
.xword 3
.xword 2
.xword 5
.xword 2
.xword 6
.xword 5
.xword 5
.xword 12
.xword 14
.xword 7
.xword 5
.xword 9
.xword 10
.xword 6
.xword 13
.xword 14
.xword 13
.xword 10
.xword 13
.xword 14
.xword 6
.xword 6
.xword 8
.xword 4
.xword 8
.xword 0
.xword 10
_t1_rc4_src:
.xword 0x1ae057d8b25b9afe
.xword 0xb0b4076f1cff07d1
.xword 0x0a33c66a6feeeebc
.xword 0x2fd0fab59ada6429
.xword 0xa7a6bedc190c4f1e
.xword 0x0291fba55739e2fc
.xword 0x8c3782f87bef6a1a
.xword 0x1a1dab988cf973b0
.xword 0x0d24383ef1f5e1eb
.xword 0x74533d4a5aaad3fc
.xword 0x7901cf8f560cd6f8
.xword 0x6e59448c06d799b9
.xword 0x4e4c1404c6c5e01c
.xword 0xc990967b6a822a10
.xword 0x6905be7f703a2d6e
.xword 0xbafcc9aab4458d3b
.xword 0x7d106d9c8703626c
.xword 0x5a3eb14b5f1b7538
.xword 0x34a34c07e6c69230
.xword 0x454d2751d26484e8
.xword 0xb385fe1b05114fd6
.xword 0x99c1698ce0cf2bb7
.xword 0x964d072b5e249515
.xword 0x36aab2f8e1c93a39
.xword 0x96ae9b8e46210b08
.xword 0xb38cd69467aaca36
.xword 0x17c3b061a5420175
.xword 0x3aeaf3efb61d4df5
.xword 0xd3a3ee5b226f03f5
.xword 0x3ff54ab4b997cad6
.xword 0xd6880d28d0b40e3a
.xword 0x8fba5bef68e7c8a1
.xword 0xd282d0141bb0dbb7
.xword 0xb6bedcf9c973ab85
.xword 0x0ab422dce12cb389
.xword 0x904a57ed9a759867
.xword 0xe8fe5d8a10c9cb5c
.xword 0x8fb2638b98265516
.xword 0x73528ba7c4f7bd3a
.xword 0x138ef0c76a9b904c
.xword 0xc6d12d3d3b132fdc
.xword 0x962c279695be4df5
.xword 0x15a752e231d4875f
.xword 0x394df5cce911c35f
.xword 0x60f71648493241e2
.xword 0x50c5a7b72624d8fe
.xword 0xa9bd360531525184
.xword 0xd4154e6789095a90
.xword 0x38972223feff736f
.xword 0x32f17e7311e264c2
.xword 0x6ea4371845db7495
.xword 0x2d2e239cc095d59c
.xword 0x8707f477b3c66a23
.xword 0x1c0c03799b9c4dbd
.xword 0xa49a53c8bbcf1278
.xword 0xa7b15ab39a4b3476
.xword 0x75f5e2c71c5ac9ee
.xword 0xc2347e1de6e2b02a
.xword 0xd0e9884a74f4b8d8
.xword 0x8e1ac94c351e6f05
.xword 0x5054e760f0c8f3ad
.xword 0xbb998eacc49fcd87
.xword 0x84bff2e9cbc2c442
.xword 0xbbc1f89996545afc
.xword 0x93bf4a57e922bd50
.xword 0x8ada94b06f137141
.xword 0xcbaaf42b83465123
.xword 0x9d0c6fb05c7ef2cb
.xword 0xe167af3cf1d8ade1
.xword 0xda6bf4f967c772ac
.xword 0xc9153a56fbbe0846
.xword 0x16855aa0320d401e
.xword 0x461614a62415e3d0
.xword 0xb9c73cdc6042af35
.xword 0x064f28a364831306
.xword 0x601fffecd362948f
.xword 0xc28fdb330331f294
.xword 0x1ce20bf5a519ee2a
.xword 0x6cfa73450c03dded
.xword 0x18838c255d49b774
.xword 0xc42d382b4cfbf013
.xword 0xdaf65858cfad7f73
.xword 0xb34460d5e3dc7987
.xword 0x3d5885896e653e72
.xword 0x9262ea1ea8c2cc69
.xword 0x662ea66dd43fe6c5
.xword 0x3f3f700071709f3b
.xword 0x89d6291fe599986f
.xword 0xb5874ab2ff1d6abc
.xword 0x7a0eac260227150a
.xword 0x01ecffb58a6b2efd
.xword 0xf93d72e11ad5da4b
.xword 0x6404df7f92779434
.xword 0x497e054835d40453
.xword 0x1afa8fa151b7ae6a
.xword 0x89cca927efd87d4c
.xword 0x3e758a34a7cb5206
.xword 0xf0f0bb833353d740
.xword 0x5693bed8a9b64467
.xword 0x3626e08b83dcfa43
.xword 0x8b6d6f74858d82cd
.xword 0xb30f6cc950d9770a
.xword 0xfa1c685ad897417f
.xword 0xcac89793ad4c0af5
.xword 0x4a9887006e431d36
.xword 0xb06353abab442b95
.xword 0xe9a6c24882ee2bb9
.xword 0x264772b602d25b0b
.xword 0x825ff4880658b6e2
.xword 0x626f704093f979ff
.xword 0xf387600dc0e357b2
.xword 0xb136f8203c5fbf58
.xword 0xeaf99e061ae512d3
.xword 0x3f735dfb7e49ea51
.xword 0x0ecd7caf224f7f77
.xword 0x80053bdfbe812c01
.xword 0xcfc85a54f1e922ce
.xword 0xbffd15208a3101fd
.xword 0x93424ac98e2e9793
.xword 0x01a786f6eec359e4
.xword 0xb4413fc0711f2d0b
.xword 0x4fe5a303a523524c
.xword 0xf5048363ffdff392
.xword 0x606c0a59374bd394
.xword 0x0514b48bc8953eb4
.xword 0xf75d54ea34c8f1b3
.xword 0x3c4672f4ea5967dc
.xword 0xe820eb42233756ee
.xword 0x9e934d0ee5aa9655
.xword 0x23d9bc2c39e845e8
.xword 0x445bf3b0de25bff2
.xword 0x90a6e3c70208c924
.xword 0xbffed0c3c1c2df1c
.xword 0x89624c51ba4a3470
.xword 0xdd91e5d63c2f16f4
.xword 0xada3f4bed9b81097
.xword 0xaaa9a673c0baae64
.xword 0xd011b5b089829daa
.xword 0x7dfc3aa1c730241d
.xword 0x743fc78604a34548
.xword 0xa212f6d9d979c39b
.xword 0xfa7bfe629e93375f
.xword 0x745598d6f3616028
.xword 0x7baa6fea3364bca0
.xword 0x5bb9ff6153e66fe0
.xword 0x95ee2d7f17e1c6c1
.xword 0x3208ac631d5cab15
.xword 0xbfef1572d75d9bb4
.xword 0x52bc7ab5c197e4f7
.xword 0xe698da135f19effe
.xword 0x2d5af5642136cdbf
.xword 0xbb2053cd31b22f95
.xword 0xc6eae2c889b868f9
.xword 0x697329accf30245a
.xword 0x79eed30d8aca67df
.xword 0x617615be9449729a
.xword 0xf5a18b62ee9f4822
.xword 0x849dd46c48ec6eb2
.xword 0x49b539ffe5282e93
.xword 0x114d7c3106e66610
.xword 0x4f7ba838d94b10f2
.xword 0x67c62c7d8bc00ee0
.xword 0xe9529a63de0400bd
.xword 0xa95b822cf92464b8
.xword 0xe184bd2df5d4929c
.xword 0x470669b203472aaf
.xword 0x07a340d2ba317a55
.xword 0x80d68f6140e0c53f
.xword 0x5bd78e357c646a0d
.xword 0xee41f1536b3cd4e7
.xword 0xedee33a041385208
.xword 0x271b41dda6cc6d4f
.xword 0xdb386ed88b4cdc72
.xword 0x0341cd3988c645a8
.xword 0xb76c665e082f7c0c
.xword 0xf5848ccb6e20be17
.xword 0xc80268925aff1eaf
.xword 0xc8e73d2b96c09502
.xword 0xaf7aa8f230acdda6
.xword 0x6f1691c585e9718c
.xword 0x0a9471e599c605e4
.xword 0xcf04b3fa1d052d1c
.xword 0x4f64ea1ec2e9ebe5
.xword 0x2ef38738265631ac
.xword 0x2eed31d1571d7ee1
.xword 0x19d8d2d4001e8e8c
.xword 0xca683999229c1364
.xword 0xd0525b4750fddedf
.xword 0x3262d7fcd9dcb9e4
.xword 0xaba0a9a0c01e96ce
.xword 0xb302870212f8edc1
.xword 0x30ef131308621cc7
.xword 0x1fe45c3891abd056
.xword 0x9c6a5ce4df4bbaeb
.xword 0x4c4762154b23101c
.xword 0x6a25a87adc613aa0
.xword 0xbad3a74750466fda
.xword 0xad1c546c64e81be2
.xword 0x0607c0ed68707a5b
.xword 0x1a428339cf6a76a1
.xword 0x669a8a3f408e6ca4
.xword 0xc76fa6cf47e2072b
.xword 0x899bb58763038c79
.xword 0xd73c1cc9e8e17d38
.xword 0x866caa49b19e5ffc
.xword 0x7a2cf619748ccbc5
.xword 0xcbbbf5c35273cdc6
.xword 0xcf5cb0f1831090d0
.xword 0xeb18dab5f91f81be
.xword 0xfccc9c263901f45c
.xword 0x6a31081092eaa4d2
.xword 0xffbfe8d0a8f794c9
.xword 0x3133c3e846c285f3
.xword 0xda6a3e49e0baf542
.xword 0xd7e0575059aed689
.xword 0xd7b6f84a9a5dd2d7
.xword 0x2b5ba11180ec3cb0
.xword 0xcf3b141ee989ded9
.xword 0xd894a2e14c7c8d29
.xword 0xe7a4449671d6a4b8
.xword 0xfe0a30447ef61ee4
.xword 0x828b028abb2bf4db
.xword 0xc3c9dc001e8328f9
.xword 0x2988a9dde6cfc29e
.xword 0xdb20ffc58d228822
.xword 0x09eea3f51caab3e7
.xword 0x7adfcec5ffc4896e
.xword 0xcfbc746e49580979
.xword 0x4c5eda498fd64a2e
.xword 0xdee6c8ed26f09ec4
.xword 0x0d52f73e82d7823e
.xword 0xfffdd9924624d93d
.xword 0x1ebdd96a1aeea78a
.xword 0x2e2bbea455b6e877
.xword 0x528deb61b1b8f236
.xword 0xcab4f9bdd5ec8f00
.xword 0x71b7e6fae79efe1d
.xword 0xdad7e43cb5a4f3d0
.xword 0x3e010077d7711edd
.xword 0x5dd380c945819df6
.xword 0x806a959e08a0b7cb
.xword 0x8b70900ee53e79f7
.xword 0xb4fd4c87281ca77c
.xword 0xf01bdfb5ad9d39f4
.xword 0x1c7bcc3d3ee8a362
.xword 0xa1756f737fc217ea
.xword 0x092f72876646e87e
.xword 0x8f539add252495d2
.xword 0xf941147de32d0039
.xword 0x4bf765a9b7524941
.xword 0x27a77afbd00f5005
.xword 0x26ce34619657a758
.xword 0xa510b44aa2c25820
.xword 0x04207188fb128178
.xword 0xab3c74e397315f95
.xword 0x8203f89fe2a8c76a
.xword 0xc08854965e9c0105
.xword 0xcc100584ea4fd1d9
.xword 0x6e63ffbf627aeed4
.xword 0xae08ad271c255a6b
.xword 0x9112588b000554f1
.xword 0x46112b6ba50cab2c
.xword 0xf691b8e26ddb4748
.xword 0xd3694e4859cdc38a
.xword 0x73e81d5c9e52cb97
.xword 0x2e2e5842d24e2f39
.xword 0xf5140ac145246f4f
.xword 0xc1350f33ba55d63a
.xword 0x9d90f1575dd4aaa0
.xword 0xf38e678a7ef530dc
.xword 0xb406780cedc3eb5a
.xword 0x0cd69c304d1398c3
.xword 0x037b7c99e53397cf
.xword 0x60457b8276e78d45
.xword 0x5a3732b3802b2910
_t1_rc4_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_auth_key:
.xword 0x50d856a7b1a04416
.xword 0x20b8aceb5c96fc7f
.xword 0x6d111830154da3ed
.xword 0x847ca6b21f4dac77
.xword 0xce4702d630bafdf3
.xword 0xdf1c713f44d919e2
.xword 0xfc1cdb33fa0fad84
.xword 0xd966b6d938fc0dc9
.xword 0x7c54caead54348ec
.xword 0x2db359b9d8b709e1
.xword 0x52b45407d8a98ea9
.xword 0xe2e79baef9055e6a
.xword 0xa0a59a07b8b9ec88
.xword 0x0d160fd0dcdb59b8
.xword 0x82426fb053bae560
.xword 0x7dcb7661b476607f
.xword 0x7590f65886d68218
.xword 0x93297f2dac5b392c
.xword 0x91c98ce5cec9a75d
.xword 0x8ab584e81f796709
.xword 0xd2bde9efdc8d8a87
.xword 0x83ab2287a3a60a4c
.xword 0x0dd013646d3087d2
_t1_rc4_auth_iv:
.xword 0xfcfa7add5a3e6431
.xword 0xca75cf06be4cc575
.xword 0x320ea2b4f6c5379e
.xword 0xb003d5de44a50bc8
.xword 0x99ec6c272183c6dc
.xword 0x6dd80f848993bc96
.xword 0xd30348a88339eeb4
.xword 0x7049d6e91e6f9756
.xword 0x71415c179544bd48
.xword 0xe95267f342d702aa
.xword 0x1eeecb94344a4157
.xword 0x6858cedb77215516
.xword 0xa9271b3c29079971
.xword 0x2e33c8a48eda84ff
.xword 0xd24659b835e0d7e8
.xword 0x639842b4efe2eeb5
.xword 0xa4c44731ca4a10ce
.xword 0xf617d10cbb34ec32
.xword 0x4136765da005e237
.xword 0x775f46e68781132f
.xword 0x358d735d7f61fec3
.xword 0xe743c2a4b7f2ff10
.xword 0x9545ce75f934a3b4
_t1_rc4_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_key_array:
.xword 0x8e2954f87fbeb30c
.xword 0x44c0222d59e32548
.xword 0xc84c67186c9f6844
.xword 0x207947ffe88c95fe
.xword 0xe8c16614aa458261
.xword 0x5db42d67ef30199e
.xword 0xdd3cc4e1e1077e1c
.xword 0xbecc9685e31a33a2
.xword 0x6c8f8d5417104f60
.xword 0x5aea66d88f359586
.xword 0xf0fe3d5cb0b0d548
.xword 0x5667547a7a611895
.xword 0x69318f068801d428
.xword 0x3f0407aeac96340b
.xword 0x9d74fdee131eba33
.xword 0x982748a6748da7f0
.xword 0x76090beda769c20a
.xword 0x8fbf872c85742153
.xword 0x7982c6ca93ad062f
.xword 0x5808d4b75ac6da9b
.xword 0x3a06f5935dfc4c82
.xword 0xdc4b4d62505b0d76
.xword 0x05adf6f8f15ff44f
.xword 0x8ff414c4749e5482
.xword 0x0ce6033d6400834b
.xword 0xf67fb31d5b5c711d
.xword 0x55da24c190b172ca
.xword 0x4701c1a89c00574c
.xword 0x22420cd1470f533d
.xword 0x582a5821261ba0f9
.xword 0x3a26028b706dd03b
.xword 0xe27c5f404ea327b4
.xword 0xff911486ee72a0e1
.xword 0x8781cce5cb9cca1a
.xword 0xf5f1f619e9153af6
.xword 0x9f49348691ca41ab
.xword 0xb3229a9aab6f3085
.xword 0xbf3ce7091bda76fc
.xword 0x38363e0d5425afec
.xword 0xe783f3ee05a5a673
.xword 0xb1d32ff5cbe10db6
.xword 0x91e11fa553989908
.xword 0x47b5188ff396194d
.xword 0x06259785fb56d626
.xword 0xeef6f43774ca2561
.xword 0x7dc60dbf017be7c9
.xword 0xc58a3c12936f2911
.xword 0x8c468a7bf919fe38
.xword 0x942fa3ec626ba695
.xword 0x9a7e10d67fe9f411
.xword 0x14b65cf8ebd6d590
_t1_sslkey_iv_array:
.xword 0x7e463280d2758d24
.xword 0xbd543b7435a235b0
.xword 0x4a1ade1435fe76f5
.xword 0xb855cfb0caf98e0d
.xword 0x5f7db733e753b9de
.xword 0xde969719a32f6212
.xword 0xdb4ec8cc04cf2139
.xword 0x40d891ba4e6b88e8
.xword 0xd8858f4bab068e60
.xword 0xda2f048300040904
.xword 0x499814bc7dddd0b2
.xword 0xca8237b0920be7bd
.xword 0x0dbbcf23d419f369
.xword 0xf6150182df845366
.xword 0xd1408ee6b47395c0
.xword 0x144337a2aaa2b4f4
.xword 0xac39705ddf867aa0
.xword 0x227f7dbc0ff26b43
.xword 0x7fce708995a354d5
.xword 0x091625af4fa8c758
.xword 0x0947e13dcbafe289
.xword 0x2ed3abb7745882cd
.xword 0x2cd262470701ba1b
.xword 0x7911c4912503f398
.xword 0x359c9397b0f2018c
.xword 0x0d39ec89e4e55080
.xword 0x8c6fcc1d947e0f38
.xword 0xa04941de55a10391
.xword 0x39ec56b8934fa7bc
.xword 0x8dc1f4150d6aa67f
.xword 0xae8368d1e1864c0c
.xword 0xd5964cad8cb2f19b
.xword 0x3ccb69735bb352a8
.xword 0x6c5064dc1dae5f97
.xword 0x7b44a979f1da0f82
.xword 0x78c1b92960a86b16
.xword 0x2c4ae7d5ecadef53
.xword 0xd98b0aaa0e32bafe
.xword 0x0a93db9646b9b064
.xword 0x79e996471d8811be
.xword 0xc6bef671d9426da8
.xword 0x6cf9775439c9deae
.xword 0xc4bb890d11c40dd5
.xword 0x9e00584e2bb70723
.xword 0xe958a08901cd838e
_t1_sslkey_alignment_array:
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
_t1_sslkey_src:
.xword 0x23dc7e4d72da55a6
.xword 0xfe11ab996e7ccdd8
.xword 0xd924c9629a2b98f9
.xword 0x8d0f1007bbbe9e2f
.xword 0x12717428381b7156
.xword 0x40d4fa47899f1505
.xword 0x223061037551edee
.xword 0x5d14698c9315d6a5
.xword 0xfc978346f23778a9
.xword 0x1442eef4ae59a888
.xword 0x0b0d83c5fd2957e7
.xword 0x4f44532187c6870f
.xword 0xa4497b272fd42657
.xword 0x66430281fb96b447
.xword 0x8b4e63ffae2ea821
.xword 0x8b2e9f6e61484f73
.xword 0x70bb4d562fb1d5aa
.xword 0x52e2ef741a599144
.xword 0x784d15e853993e20
.xword 0x35b8ca59f4f7378c
.xword 0x1d22faf3d85e4be7
.xword 0xe4acb009671e0990
.xword 0x948349d21627f3fc
.xword 0x899df64ccbe2c165
.xword 0xdd70e04ba8843692
.xword 0x790e57b1e3e03455
.xword 0x5678ace0f1c69cb7
.xword 0xde8d3de934b5f5c8
.xword 0x05300916191e7a69
.xword 0x17d4ce97631c5ba7
.xword 0xda413a3e416326b5
.xword 0x2665b211375f6392
.xword 0x3f0eee0ef6273dfb
.xword 0x868e7054c4a49cc0
.xword 0x8f5312ee2aea25a4
.xword 0x42a6278624063a02
.xword 0xca0078d4aa528734
.xword 0x7da2cb1c93ac9859
.xword 0x3720097e14093f68
.xword 0x0eee07e34f79152f
.xword 0xbb8fc5a53cfbdbbb
.xword 0x8e035fc6184e733a
.xword 0xef36fd9d2286ca9e
.xword 0x99dcfc50083b3a22
.xword 0xd89a607f4a902a66
.xword 0x6fe52a49564f87ce
.xword 0xbafcbf03753634c8
.xword 0x1d27bc90f8302329
.xword 0x592fdbd1790436e0
.xword 0x9ff9e8afb1a81212
.xword 0x037ff71556950b75
.xword 0xfe16acd6b5d1745b
.xword 0x50302669e6335809
.xword 0xb1333408161e6ea9
.xword 0x0b669bf4de4d7abd
.xword 0xc8a69c1135b72bbb
.xword 0x10afdd8e67429298
.xword 0x5628d4678f855811
.xword 0x55d0f61a61953c30
.xword 0xd9a2bead848e1415
.xword 0xba9db13b79fd1f29
.xword 0xa8086bb3c1f22221
.xword 0x656f9aa681db01ee
.xword 0xca7f7413a1dc0965
.xword 0xec4096927ec34b0b
.xword 0xaf9e0d57e1d866a4
.xword 0x52b09b6638a9ff79
.xword 0xcb8712fd27cd2c01
.xword 0x4ac9551f34f9903c
.xword 0x9f9befb7e641218a
.xword 0x264832e09a2353ab
.xword 0x88861dfa892eeb4b
.xword 0x1fa0aa3ef36d5054
.xword 0xe6c74c6e95cd904a
.xword 0x412e1b1271f0662d
.xword 0x9e2d4a90d33d5887
.xword 0xf9537e8c1d5a7efc
.xword 0xb221b753b9376e36
.xword 0xbca18cc1f58007bc
.xword 0xf1afcf250c48188f
.xword 0x0698b2f856e3483b
.xword 0xf97e770c33c54998
.xword 0x622c25eeb26f55b6
.xword 0xea63982cf3f41745
.xword 0xe08d7af928948039
.xword 0x0b07f13d96bdea77
.xword 0xa97cf52018d02f76
.xword 0x0db4bd81dd0230ab
.xword 0x4d135529cc782f45
.xword 0xa0fb630a814c791d
.xword 0x5fdc0de7b3818d2b
.xword 0xb7e60346c4ca55cc
.xword 0xaf56b5ea43c323b5
.xword 0xca176f09272e991e
.xword 0x4886cb1eb1f1c995
.xword 0x558a31278562cfbb
.xword 0xe57748c2f226f46f
.xword 0xc6159cd77521e0c7
.xword 0xe799635c8abbf3a0
.xword 0xa4d31882dc47fcd0
.xword 0x9973ab00920b7592
.xword 0x54cf9c067181ed31
.xword 0x05138266c17fe6b8
.xword 0xc1d45491896c3970
.xword 0x054e669bbac57399
.xword 0x2923984f1e524f05
.xword 0x14a44f7b6570026a
.xword 0x49b541fa9eaa3fd4
.xword 0xa286a074f4d432d5
.xword 0x10783dbafde1e54b
.xword 0xa89a554ea67ae35c
.xword 0x1d05f3b7a5f90822
.xword 0xbbd818ae8fa2d479
.xword 0xbf4693b2039691d7
.xword 0x6b193494307020b2
.xword 0xb0affd3dfcb315fa
.xword 0x5758fc62bffebe2e
.xword 0xa9925ae4a7544e42
.xword 0x36178c9dff082072
.xword 0x6eaaaa7d24535a2d
.xword 0x4233241c5deb58a0
.xword 0xa93286bdbb2e1744
.xword 0x26c2d0ce226c255f
.xword 0x1019bb65ff189c27
.xword 0xa434f2b8ba79cbf4
.xword 0xfcb7920bed2efcba
.xword 0x0f8d7f494a8abf2d
.xword 0x67465c1e3b0f15bf
.xword 0xbe161aa1abf23b26
.xword 0x7e7aa07ebef78a3e
.xword 0x7e3d278a61cdba4c
.xword 0x9b2e6e88dcd14dfc
.xword 0xf083c7d66b418cd7
.xword 0x582ab94af63c21b6
.xword 0xdc84f378fb139cf5
.xword 0x20ed85d64de529dc
.xword 0x45876dd24c904f6b
.xword 0xde3466b5f388684c
.xword 0x7f7d79fd9d50a0eb
.xword 0x3cc507053fe89644
.xword 0x2d3616405b358f98
.xword 0x1022f3a598d2c824
.xword 0x84ad3e68c19bfd06
.xword 0x0911b8b8fe6a941a
.xword 0x43e0684da09c0c44
.xword 0x3c0fa36ea2e02f07
.xword 0xbbcdd7497ae3ce4d
.xword 0x0ee0795d74b81ec0
.xword 0x3d1dfb676e398b68
.xword 0x2b67522c44afb184
.xword 0x317e6775046bf7fd
.xword 0x3f8bf4a7b041011f
.xword 0x8ae195351d43e210
.xword 0x68a065246d99b25c
.xword 0x886cb75aae5fe118
.xword 0x653d6a6bfea97cc1
.xword 0x41cfb05003393711
.xword 0x313a2a65b0ddcee9
.xword 0xeab560ac77af4276
.xword 0x100dd2047b4a3b9b
.xword 0xfbc59f63f51ebc8e
.xword 0x1d1365a9debf5fb7
.xword 0xf10fceba63c8b851
.xword 0x5f3e80b80e5c18d7
.xword 0x461725b2a66ee1c4
.xword 0x9ccef671145c6dee
.xword 0x9fbb54bca4d43d0d
.xword 0x1c1c76356185aec0
.xword 0xa5757e7d384b74c8
.xword 0x451ec45e28f205a8
.xword 0xab225e187f47434e
.xword 0x0b61b62b3d6480b8
.xword 0x563fb8abc791ff4e
.xword 0x31dc475af4b4e651
.xword 0x8ec4aba952cc143c
.xword 0x55bdd110bc95acbf
.xword 0x74b07fbf030f2757
.xword 0xd5b0f81a20881c71
.xword 0x66164005049d82f4
.xword 0x5e2d5a405c0c73da
.xword 0x25cb6dc53ee9efc0
.xword 0x3b3eb3c0d62380e3
.xword 0xf877b6bb117ab04c
.xword 0xa085c0eeff60eb3d
.xword 0x60b60ce0774d4169
.xword 0xb0b8aa79db5091b7
.xword 0x74751eb3fc80eb9f
.xword 0x6ea9956734452697
.xword 0xc0ee3f361d1739c1
.xword 0xafed5353f3dbbe8a
.xword 0x85849af313bb832f
.xword 0x4ded81da725fa583
.xword 0x45f2bb7f3e642033
.xword 0xfd898ecaf2160100
.xword 0xbb8c55c820313277
.xword 0x1513e55fc57d39ec
.xword 0x1e9b59024ee31353
.xword 0xa37675a8e3ef46a0
.xword 0xaf257293e5ebb02c
.xword 0x157a50831a88e350
.xword 0x6011281e67cb737b
.xword 0xc7b58330dfa545cf
.xword 0xe1ec7ed325c2b57a
.xword 0x579f8a2ee933e544
.xword 0x2c13c7b0f0bdce64
.xword 0xaab937b6f7389ece
.xword 0x49ed4723fa14e52c
.xword 0xcca50016b6f25efc
.xword 0x77b2eb63c6b6ad03
.xword 0x0c6b45c0fc533071
.xword 0x77f05c345ff9ecd2
.xword 0xbb3da943b3c7e630
.xword 0xd99c54c909671a42
.xword 0xd08fa3bc91cd9b6a
.xword 0xbcbc7c4d66b835f3
.xword 0xe35a4058413bacdc
.xword 0x71b72e2acc99bf0a
.xword 0x4404ae57142083f4
.xword 0x89ab8755cc3410e0
.xword 0xb954eb2afa84f086
.xword 0x6393979f6bbf3166
.xword 0xcdf15912d1dba4cb
.xword 0xa3bb0407c43528fc
.xword 0xe21c78b957fd0403
.xword 0x5c05ac0de86af674
.xword 0x67df0631bcfd75a6
.xword 0x7edc4db3a69835ea
.xword 0x0a94a6b2ad5c8313
.xword 0xfb554c244a5bafb3
.xword 0x6b545b4d29b5513f
.xword 0x487ecba3e70b72b0
.xword 0xdc529db8cd9fac72
.xword 0x7b82feef71ca6ad1
.xword 0x0306ed09dcd23538
.xword 0x0a9d3cd918dc42e2
.xword 0x1f9412d72bbbb21b
.xword 0xd8dd5520f83d96ca
.xword 0x52210520b2efdaa6
.xword 0x3847f9cd6d94c8e8
.xword 0xade76312f8df16ee
.xword 0x90b970c8b0cae921
.xword 0x3f93bce096115011
.xword 0x8d66656eff503967
.xword 0x62c102199c2bf31a
.xword 0x38679f5a250cd318
.xword 0x79726311feec464b
.xword 0xcd9e3f0482d355be
.xword 0xea6bff0a7d65abf7
.xword 0xf3e2cc190ab83138
.xword 0xd213bd2c942fbd68
.xword 0x2df59264ca9a7fb7
.xword 0x0c9cc0e2558e1efb
.xword 0xf1353738a3b078ff
.xword 0xd11adc7b1433b309
.xword 0xfc323c14d7dac592
.xword 0x4507124a9db397e0
.xword 0xa2d601964a91b98a
.xword 0xb0664f077deb21e8
.xword 0x620617fb381f4c2e
.xword 0xbb54ea2e3c11d2ae
.xword 0xaced8603650754fd
.xword 0xd3133af986010de1
.xword 0x76f5261561892cfd
.xword 0x43f448d968a4f9a3
.xword 0x56143ea507097fed
.xword 0x563f560b57eab8da
.xword 0xdefbc25c6fb5f2fa
.xword 0xd9ddd878ef57059b
.xword 0x01402f98ab40419e
.xword 0x8b7a23352aee36a6
.xword 0x8d68eded7f23fe83
.xword 0x56409c63f0405de9
.xword 0x1ce974055c16b1fb
.xword 0xb2fa9c454001011e
.xword 0x3544dd274cf89005
_t1_sslkey_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_auth_key:
.xword 0x2a1a010d883c3b67
.xword 0xccd5d7bd64235b48
.xword 0x3cc3f59b5e89b230
.xword 0x6a8ca1b17eff922a
.xword 0xe18116a108c21950
.xword 0x12704150fc7f20df
.xword 0x05ea14a6bdafae9c
.xword 0x797d19d7ef7e2509
.xword 0x36e90d97db5646ed
.xword 0x88663fab470e012f
.xword 0x86936775b04c05c1
.xword 0xb10eff0173c39fb5
.xword 0x17c487bebd748026
.xword 0xd1e4c2634de200c0
.xword 0xa2274d64741e580e
.xword 0x2a9b2664ff2aae8a
.xword 0x6b680add883f9707
.xword 0xe16b2131510490b9
.xword 0x3678188ec3e6c20e
.xword 0xf1165b89c2208462
.xword 0xafedbee964e42825
.xword 0x6942de7dde334514
.xword 0x382ee391d05764a0
_t1_sslkey_auth_iv:
.xword 0x28b593f9b7627e90
.xword 0xa275369ea612359a
.xword 0x7b123a486e4507ba
.xword 0x30e70b8a191e6a51
.xword 0xb3e74f4894d34fc6
.xword 0xfc3ff8fa634b9ec1
.xword 0xbd8bcfb4a60f13f7
.xword 0x83e111e4e405fb09
.xword 0x13a7e69c2be79112
.xword 0x1b2e2c7e0ce1cdec
.xword 0x4a5b88bd2b9eb855
.xword 0x2ea1500523fae335
.xword 0x19256566a3022b00
.xword 0xa6c8215b55c97a90
.xword 0xc4457f40f6b4d189
.xword 0xee70ed892d0e4c15
.xword 0xa86bb98c88356efe
.xword 0x81d2cc5b25683365
.xword 0x9e0d48299f3365ac
.xword 0x55187443b1f3f6ba
.xword 0x493894559106afba
.xword 0xc545f5a693fd6c03
.xword 0xce49d641675c9660
_t1_sslkey_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_toc:
.xword _t1_aes_cwd_array
.xword _t1_aes_src
.xword _t1_aes_auth_key
.xword _t1_aes_auth_iv
.xword _t1_aes_fas_result
.xword _t1_aes_key_array
.xword _t1_aes_iv_array
.xword _t1_aes_dest
.xword _t1_aes_alignment_array
_t1_des_toc:
.xword _t1_des_cwd_array
.xword _t1_des_src
.xword _t1_des_auth_key
.xword _t1_des_auth_iv
.xword _t1_des_fas_result
.xword _t1_des_key_array
.xword _t1_des_iv_array
.xword _t1_des_dest
.xword _t1_des_alignment_array
_t1_copy_toc:
.xword _t1_copy_cwd_array
.xword _t1_copy_src
.xword _t1_copy_auth_key
.xword _t1_copy_auth_iv
.xword _t1_copy_fas_result
.xword _t1_copy_key_array
.xword _t1_copy_iv_array
.xword _t1_copy_dest
.xword _t1_copy_alignment_array
_t1_crc_toc:
.xword _t1_crc_cwd_array
.xword _t1_crc_src
.xword _t1_crc_auth_key
.xword _t1_crc_auth_iv
.xword _t1_crc_fas_result
.xword _t1_crc_key_array
.xword _t1_crc_iv_array
.xword _t1_crc_dest
.xword _t1_crc_alignment_array
_t1_hash_toc:
.xword _t1_hash_cwd_array
.xword _t1_hash_src
.xword _t1_hash_auth_key
.xword _t1_hash_auth_iv
.xword _t1_hash_fas_result
.xword _t1_hash_key_array
.xword _t1_hash_iv_array
.xword _t1_hash_dest
.xword _t1_hash_alignment_array
_t1_hmac_toc:
.xword _t1_hmac_cwd_array
.xword _t1_hmac_src
.xword _t1_hmac_auth_key
.xword _t1_hmac_auth_iv
.xword _t1_hmac_fas_result
.xword _t1_hmac_key_array
.xword _t1_hmac_iv_array
.xword _t1_hmac_dest
.xword _t1_hmac_alignment_array
_t1_rc4_toc:
.xword _t1_rc4_cwd_array
.xword _t1_rc4_src
.xword _t1_rc4_auth_key
.xword _t1_rc4_auth_iv
.xword _t1_rc4_fas_result
.xword _t1_rc4_key_array
.xword _t1_rc4_iv_array
.xword _t1_rc4_dest
.xword _t1_rc4_alignment_array
_t1_sslkey_toc:
.xword _t1_sslkey_cwd_array
.xword _t1_sslkey_src
.xword _t1_sslkey_auth_key
.xword _t1_sslkey_auth_iv
.xword _t1_sslkey_fas_result
.xword _t1_sslkey_key_array
.xword _t1_sslkey_iv_array
.xword _t1_sslkey_dest
.xword _t1_sslkey_alignment_array
_t1_table_of_context1:
.xword _t1_aes_toc
.xword _t1_des_toc
.xword _t1_copy_toc
.xword _t1_crc_toc
.xword _t1_hash_toc
.xword _t1_hmac_toc
.xword _t1_rc4_toc
.xword _t1_sslkey_toc
!# CWQ data area, set aside 512 CW's worth
!# 512*8*8 = 32KB
.align 32*1024
_t1_cwq_base1:
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.align 32*1024
_t1_cwq_last1:
SECTION ._t1_T_CWQ_DATA2 DATA_VA=287309824
attr_data {
Name = ._t1_T_CWQ_DATA2
hypervisor
}
.data
_t1_user_data_start2:
_t1_scratch_area2:
.align 16
_t1_spu_op_array2:
.xword 5
.xword 3
.xword 3
.xword 0
.xword 0
.xword 6
.xword 1
.xword 7
.xword 1
.xword 2
.xword 2
.xword 0
.xword 5
.xword 4
.xword 1
_t1_aes_cwd_array2:
.xword 0xc0e000601400002f
.xword 0x406000801900000f
.xword 0xc06100601100002f
.xword 0xc0e100e01900001f
.xword 0x40e000e01700002f
.xword 0xc0e000001500000f
.xword 0x406000c01400001f
.xword 0x40e000001900002f
.xword 0x40e100e01b00003f
.xword 0xc06000c01900001f
.xword 0x406000601b00003f
.xword 0xc0e100401100001f
.xword 0xc0e100c01b00001f
.xword 0x406100c01b00002f
.xword 0xc06000001500003f
_t1_des_cwd_array2:
.xword 0xc06000000800000f
.xword 0x40e100000c000017
.xword 0x406000c00d000017
.xword 0x406000000800000f
.xword 0x40e100200900000f
.xword 0xc0e100e00900001f
.xword 0xc0e100600d000007
.xword 0x40e100800a000017
.xword 0x406000c00900001f
.xword 0x406100600d00000f
.xword 0xc0e100800e000017
.xword 0xc0e100c00a00001f
.xword 0xc06000400800001f
.xword 0x406000e00a000017
.xword 0xc060000009000007
_t1_copy_cwd_array2:
.xword 0x2060006000000009
.xword 0xa06100200000000b
.xword 0xa060008000000009
.xword 0x206000400000000f
.xword 0xa060004000000005
.xword 0xa06100c000000005
.xword 0xa06100400000000e
.xword 0xa06100400000000b
.xword 0xa06000e00000000c
.xword 0x2061004000000002
.xword 0x2061002000000007
.xword 0xa061008000000009
.xword 0x206000e000000008
.xword 0xa060008000000005
.xword 0xa06000c000000004
_t1_crc_cwd_array2:
.xword 0xc16203840000000c
.xword 0x4160018800000003
.xword 0xc16303c400000009
.xword 0x4163018800000002
.xword 0x416303c400000001
.xword 0xc161010800000004
.xword 0x416203e40000000c
.xword 0xc16101a800000008
.xword 0x416003a400000009
.xword 0xc16301c800000008
.xword 0x4160032400000008
.xword 0x4160014800000003
.xword 0xc16303a400000009
.xword 0xc16301e800000006
_t1_hash_cwd_array2:
.xword 0xc1620cc200000036
.xword 0xc1620cc300000016
.xword 0x4162002100000026
.xword 0x416109020000000d
.xword 0xc1630e610000001c
.xword 0xc1610ba100000020
.xword 0x41610da10000003f
.xword 0xc16208a10000002f
.xword 0x416304a100000017
.xword 0x416102e10000002d
.xword 0x416001010000002b
.xword 0x4160080100000013
.xword 0xc16008c100000032
.xword 0xc162150300000016
.xword 0x41620f8100000005
_t1_hmac_cwd_array2:
.xword 0x41600545000f000e
.xword 0xc1600d0b001f003b
.xword 0x416315ab001f000e
.xword 0x41620fe9000f0038
.xword 0x41630c69000f0032
.xword 0x416109a9000f0033
.xword 0x4162046600130017
.xword 0x41610245000f000e
.xword 0x416200a9000f0010
.xword 0x416201cb001f0018
.xword 0xc16007eb001f0015
.xword 0xc16305e5000f0020
.xword 0x41621bab001f0016
.xword 0xc1600ae5000f0034
.xword 0xc16106a5000f003c
_t1_rc4_cwd_array2:
.xword 0x40e000600000000e
.xword 0xc0e0008004000001
.xword 0x40e000000400000e
.xword 0x40e1008004000005
.xword 0xc0e100e004000004
.xword 0x40e0000000000003
.xword 0xc0e000c00400000a
.xword 0x40e100e000000007
.xword 0x40e100e000000001
.xword 0xc0e1004000000000
.xword 0x40e100e000000005
.xword 0xc0e000a004000004
.xword 0x40e100c00000000c
.xword 0xc0e100200400000c
.xword 0x40e0002004000000
_t1_sslkey_cwd_array2:
.xword 0x90601d2000000000, 0
.xword 0x106028c000000000, 0
.xword 0x90602f8000000000, 0
.xword 0x10600e2000000000, 0
.xword 0x10603e2000000000, 0
.xword 0x1060374000000000, 0
.xword 0x1060044000000000, 0
.xword 0x90601c6000000000, 0
.xword 0x9060258000000000, 0
.xword 0x906028a000000000, 0
.xword 0x9060118000000000, 0
.xword 0x9060184000000000, 0
.xword 0x906018a000000000, 0
.xword 0x90600c2000000000, 0
.xword 0x10600d4000000000, 0
_t1_aes_key_array:
.xword 0x9ccb5adb5f01a23b
.xword 0x0014814611981026
.xword 0x63b2a20945a5a139
.xword 0xf1e64659ff457bb3
.xword 0x29e3c87f3076bdb3
.xword 0xe25aa7f21f906f1f
.xword 0x4fc3502a5d14e64d
.xword 0x4c9846a2dbb28f94
.xword 0x6e923353c6df2e9c
.xword 0xa8773fb45b27f065
.xword 0xa7c9f06cb64a56c4
.xword 0x0254c12f6cd53bac
.xword 0x871472f219e1961e
.xword 0xf489b0643e0f3ec3
.xword 0x29e2354e866b1d09
.xword 0xbf0cf7955f0369c2
.xword 0x550093a9fb6d0ffe
.xword 0x66036778fbfa78f1
.xword 0x2389c46a15a359b6
.xword 0x3879cf1e431a1a5c
.xword 0x500aa90f6367f5fc
.xword 0xd80257b36e5f01ef
.xword 0xc0fa6f55db6d6690
.xword 0x181d9ec06b4f5006
.xword 0x4a6ce7dfca1c537e
.xword 0x7030f50e97ddcdba
.xword 0xb20278d54d726ae4
.xword 0x953283f7a96af946
.xword 0x98397e17ed832bf2
.xword 0x8a59fe16e822ecb8
.xword 0x9d791a93324729b8
.xword 0xfabef8883be1ec15
.xword 0xc08915dfd2f0b74c
.xword 0xc611c3754136d68a
.xword 0x07a794fbfc9c0fc0
.xword 0x66aebeb8a887d97b
.xword 0x276557dd0806f4fd
.xword 0x91c0f1386f7ea948
.xword 0x74d4fce56e9ac7d1
.xword 0xf27070d4a20d0533
.xword 0x5aaa69389d838874
.xword 0x17ab35027d2a1c01
.xword 0x73359a01fb602211
.xword 0xa262c13534be56fa
.xword 0xa56305f2effa512d
.xword 0x3c892bbd86d84c5e
.xword 0xd3b2c45bdfb14c96
.xword 0x3b58668cd7677a07
.xword 0x4f3afd0b74fb2885
.xword 0x642662155065f210
.xword 0x354249aebacac556
_t1_aes_iv_array:
.xword 0x486945864681ffe8
.xword 0x45553a07eb1ecd09
.xword 0x034f30bc91f15598
.xword 0xd7377926ffd2a2fa
.xword 0x76d80d7c6b6d0121
.xword 0x3ec00697ace25ebe
.xword 0xf6871d2d2ff2bde7
.xword 0x51c25ce917ae2715
.xword 0x054a5b70b0614cd3
.xword 0xb3180fe17c83b3a3
.xword 0x1f37eb9a2a7738d6
.xword 0xd030580a3c5001c1
.xword 0x3af168ca3d2cc570
.xword 0x3bb5ed90feb52f8c
.xword 0x7f7256b08fab7e44
.xword 0xd44523881980f223
.xword 0xaa6f6bde969410f1
.xword 0x47694cd0825b3e81
.xword 0xf3a44d92e84abd19
.xword 0x5a37a82b4a1272fe
.xword 0x89a19ee4540a5d1f
.xword 0xa9c4d79cf25337e2
.xword 0xd333ed7dbd2f6afc
.xword 0x33945f09043aabe7
.xword 0xe1bb1894a6b41e61
.xword 0x9a53faed561932fa
.xword 0xf32fccba4a69f72e
.xword 0x4e8d66d1c5f0751c
.xword 0x30c24002ecedac19
.xword 0x172848a773af7d57
.xword 0xcedd62a8201020b8
.xword 0xe281bafcd0e6cfcd
.xword 0xb46514452252e850
.xword 0xf345c5a2a9cf4363
.xword 0xf46090361d9f632a
.xword 0x50058bbf8d70d566
.xword 0xc7fdd9148c01f40f
.xword 0x6d962f5c7563c640
.xword 0x9e4400af7a7767ae
.xword 0xd7372d1223687ffa
.xword 0x9757faf4dd82a0ea
.xword 0x9a2d3633c6987fd6
.xword 0x2f1141d20ec1e8ca
.xword 0xfce61814a6cbd615
.xword 0x39c1bceac4445023
_t1_aes_alignment_array:
.xword 5
.xword 6
.xword 13
.xword 9
.xword 6
.xword 11
.xword 4
.xword 10
.xword 6
.xword 5
.xword 0
.xword 0
.xword 10
.xword 8
.xword 3
.xword 9
.xword 3
.xword 2
.xword 2
.xword 1
.xword 14
.xword 4
.xword 2
.xword 9
.xword 7
.xword 5
.xword 7
.xword 15
.xword 11
.xword 14
.xword 0
.xword 13
.xword 11
.xword 1
.xword 1
.xword 6
.xword 11
.xword 10
.xword 6
.xword 7
.xword 5
.xword 8
.xword 2
.xword 8
.xword 14
.xword 5
.xword 5
.xword 1
.xword 13
.xword 5
.xword 3
.xword 7
.xword 0
.xword 7
.xword 13
.xword 12
.xword 12
.xword 15
.xword 6
.xword 6
.xword 2
.xword 0
.xword 9
.xword 2
.xword 9
.xword 1
.xword 5
.xword 5
.xword 2
.xword 4
.xword 2
.xword 13
.xword 13
.xword 11
.xword 8
.xword 3
.xword 0
.xword 9
.xword 15
.xword 4
.xword 12
.xword 8
.xword 13
.xword 6
.xword 9
.xword 7
.xword 3
.xword 15
.xword 1
.xword 2
.xword 9
.xword 14
.xword 7
.xword 1
.xword 3
.xword 5
.xword 8
.xword 10
.xword 1
.xword 2
.xword 2
.xword 6
.xword 1
.xword 9
.xword 2
_t1_aes_src:
.xword 0x2681c0045cdc3b10
.xword 0x6ccc7960be888380
.xword 0xab2be328234226e5
.xword 0x6cd8d9193a274752
.xword 0x80602eb897f96966
.xword 0x6ebaf2f9cda35080
.xword 0x5cf28848a87abc64
.xword 0x4ff1efd43285eee9
.xword 0x70858fa25dac6667
.xword 0x6914ed1b480548cb
.xword 0xf7a421c421a19199
.xword 0xa389484a6a5036f1
.xword 0xcdf1c22c96a422db
.xword 0xbcc6235c3e40056d
.xword 0x1058c761652a55d2
.xword 0xb8364b9c0bf976f1
.xword 0x644796dd32878681
.xword 0xd82dd4e35f5603b7
.xword 0xa1021694d6a4ca02
.xword 0x2658887b966695fe
.xword 0x0535d71ef9afa3f1
.xword 0xb2206c3001e8bd58
.xword 0xe0423ee42ce7609e
.xword 0x21fa45ed530ddb9c
.xword 0xbb93aa00964f40c0
.xword 0x470e985535dff298
.xword 0x001a72541ca39986
.xword 0x0afca5df558bfe89
.xword 0x0f5a007ff9767ea1
.xword 0x568c965f4ee8e839
.xword 0x69302358d56fa074
.xword 0xbd162bb78fc4ef96
.xword 0x7656f563844238b9
.xword 0x13f1ad4b0615cd6a
.xword 0x192c464270eeb241
.xword 0x152527593882c718
.xword 0xa42ca89fca323dbe
.xword 0xf419469aa8b38803
.xword 0x4dd576349e8de128
.xword 0x6c073c8dae3f6661
.xword 0x221dcfb41a44c419
.xword 0x140abf9a4b5fef5a
.xword 0xe9a70c5b6ccd2684
.xword 0x81ec6b3354b4321b
.xword 0xdeb3321f97f102e5
.xword 0xd857605e58d11ea9
.xword 0xf5d69bda14011627
.xword 0x90370fbb726274ac
.xword 0xdaaed8203d40b13d
.xword 0x73de43b8bb3f0dc8
.xword 0xf1633d0d1b1e5a7a
.xword 0xc7ae12601ef9b56c
.xword 0x05f2238ae8c784ab
.xword 0x6d967e650e8303de
.xword 0x4e312e9c8cfb8c12
.xword 0x75b290d14f4152b7
.xword 0xd40a5ad0b0f653a3
.xword 0x03d4a6ea38223d1a
.xword 0x9931e9a9e3a0958b
.xword 0xe774959ac2d94162
.xword 0x45b410fef21fbe94
.xword 0x480ad0c94bd98285
.xword 0x266c007c88d2a404
.xword 0xa1f2adc471696cf9
.xword 0x66a469aa63077285
.xword 0xf547ee5a8f6c2a78
.xword 0x38a6f9fd0074263c
.xword 0x34442ff2ea0cdd47
.xword 0x3b522543e00ecd1f
.xword 0xbacf73f224ae0ca2
.xword 0x5d95d8ac29886d8e
.xword 0x4d2f5a08b9e79e82
.xword 0xaba3d9f6a0a1cb39
.xword 0x62c6a0ae0f321bf3
.xword 0x30d5e3108fca93e0
.xword 0xf2bce83199a46de6
.xword 0xcf2782b064cac00e
.xword 0x4e4843f397c5d385
.xword 0xa7861df4ad4cc334
.xword 0x59d99385297b8a82
.xword 0x1d942405d013dcaa
.xword 0xbd497ded200936c8
.xword 0xd9535dda64f3c4c7
.xword 0x223fd243506c4bb3
.xword 0xe84c9eeae028b8c4
.xword 0x622f705dff638394
.xword 0xfc2ca9e58a3829f7
.xword 0xd2aa70e468de0ba1
.xword 0x107d4228dde4fca5
.xword 0x144e0b1411a2c2d0
.xword 0x5fad93d3d0269d8a
.xword 0xc72adf4cdd856410
.xword 0x7c43fff8961292c3
.xword 0x1df9e2ce27ccef11
.xword 0xa898d74aabc5fa3b
.xword 0x54503e9c51fbcb7e
.xword 0x88d49e6282faa8cb
.xword 0xa067dfa00fd0288b
.xword 0x4246ea0a81661528
.xword 0xf6fb6a042f15ae11
.xword 0x7f57caac8e9a12fc
.xword 0xf6edaee8f5e2d4dc
.xword 0xaaf1a43dc75c901a
.xword 0x770373be77d46dd3
.xword 0x34e9b401796f0fb8
.xword 0x564a97919a1bcccb
.xword 0x1d65006739cfdbf5
.xword 0x687f2cacfb777ae2
.xword 0x303c28d9744d58e8
.xword 0xc771c0cb7ce1d5fe
.xword 0x9dacfbb22221e91e
.xword 0xd5adfde0d30fb0c2
.xword 0x2e8da9bc61453e83
.xword 0xd0a2053015a36c88
.xword 0xdc68785379c81a86
.xword 0x84953f3036ed109f
.xword 0x3174340d251f4f68
.xword 0x50a6ae677e61412f
.xword 0x471f885c2b4bf18b
.xword 0x632621dbe07546f5
.xword 0x198e8c994aa227e4
.xword 0x7ed23680ddca1f22
.xword 0xd9d8beece6646e61
.xword 0x5573491c1676226b
.xword 0xd78b8ca999c714a7
.xword 0x123924dd10c0a1ab
.xword 0xbf0ca03a45f678a4
.xword 0x0c88684b47e83b0b
.xword 0x212284bfbf11301b
.xword 0xa552f604602bed72
.xword 0x702a72d4146dc077
.xword 0x424b5ef268cc28d3
.xword 0x92a97f0090bd7798
.xword 0xd4ba5d7fbd6c5452
.xword 0x69adc8c58410696a
.xword 0xa164a03bd3609680
.xword 0x01c8f78da55942eb
.xword 0xd2c5a70a6ba3f5d7
.xword 0xcb391d1b5b2f5b0e
.xword 0x64667b901f09817a
.xword 0x2eff28268d52cda7
.xword 0x8bb15e562fb91301
.xword 0x2142e926f1f0b1ca
.xword 0xca334ae0d279b9f3
.xword 0x4a49a82423216949
.xword 0x8ccb5939c2ec3ca9
.xword 0x2a554e3c33471fda
.xword 0x8d3abf086d7e1f01
.xword 0x9a23c4d7953d2072
.xword 0x8bdce2f3bcddb4a4
.xword 0x72a043a1b8aa9e90
.xword 0xb5acfe99d6287d8a
.xword 0x3f36695e93f0d646
.xword 0x1bc43e3b176672a4
.xword 0x76756a20db28402b
.xword 0x3f0c6066f14ab348
.xword 0xaf929512308bbc4b
.xword 0x09e434240668eb56
.xword 0xa8c4ce94b58f1f22
.xword 0xb007a61af2ecd6f3
.xword 0x2580c8cc759a38a2
.xword 0x47125d00ead32364
.xword 0xa8e9776c923b5486
.xword 0x5f8da4a1d997861d
.xword 0x121a9054943894b4
.xword 0xcba55dddbdd174e7
.xword 0x394ca2c0a08d71bd
.xword 0x58b6e385ea74837f
.xword 0x319c452ca58ce60d
.xword 0xe50131d38a26b0c4
.xword 0x48db6bc18dcfaaa0
.xword 0x394d67406e3581af
.xword 0x33132de153cdcedb
.xword 0xc77af03b97e85b84
.xword 0x81e642eb69fe9142
.xword 0x723e92afbd24f123
.xword 0xee72a491c008b18b
.xword 0x23e563322d8f9960
.xword 0x875772a6f24059b4
.xword 0xf2d1c2c0c6879c37
.xword 0x83620827ee8d8ecd
.xword 0x8f569be4dd1c3f96
.xword 0x85c3eeb12da2fa21
.xword 0x7f5dc5c604010301
.xword 0x5e4e27b252a0dae1
.xword 0xd766bfa44f202321
.xword 0xb4278114a57379a7
.xword 0xdeb5153d3634717c
.xword 0x58a75cea90891a97
.xword 0xdace2f56ba872810
.xword 0xdaea31418c62584f
.xword 0x460ef37e96156feb
.xword 0x7ea6053d7f916949
.xword 0xb2977d74e76f0742
.xword 0xedf446fe81f9778c
.xword 0x28037f541d8ea5df
.xword 0x92ce25feccf1aa95
.xword 0x9c2084a210640c94
.xword 0xd85d3706ec2804bd
.xword 0x8a84af088e361a38
.xword 0x1c314ccdb081d7b8
.xword 0x55dfcf7bb842ac18
.xword 0xe914dbc3d5a63d28
.xword 0x2a28cd0ceca5a70c
.xword 0x31687fd4d1bf04d2
.xword 0xa8086bbcd0fd839e
.xword 0x92669c863f6cd2bd
.xword 0x2003268b433802a1
.xword 0x4e9efde931ed3220
.xword 0x11d5f4a4299b6776
.xword 0x76b9bad30775b921
.xword 0x5b1291f80a5ac4d5
.xword 0x041c3dbf125c88d0
.xword 0x5045e793f01bd853
.xword 0xec61f429f95c21c7
.xword 0xd6735200057f8e64
.xword 0x1b9d9192f1a3402e
.xword 0x04d1843ee437ba9a
.xword 0x24b9c16960bc848d
.xword 0xcedd44e18a2f2dc1
.xword 0x413f2ef74ec6a771
.xword 0x95e33154694e591b
.xword 0x0174111a08114450
.xword 0xa5c3b6e904c8595f
.xword 0x30431174b4a60cc0
.xword 0xb4297161324b2169
.xword 0x043d53e96d9ec0ce
.xword 0x08e22bcb8bf8fc75
.xword 0x98f97ec9bd386da0
.xword 0xe8f85b523bbd130a
.xword 0xb3a0a61a9e35149c
.xword 0x21ab151f7deb5b15
.xword 0x9a6050987fce3d4a
.xword 0xab5c662c0e4ed486
.xword 0x6f0dcf555b1b2a13
.xword 0x866cb3af4fdb122d
.xword 0x118c3cd9a1c57be4
.xword 0x70f538ece289f7ec
.xword 0x4a75c1c2297d17b3
.xword 0xee810162a1004ea4
.xword 0x32bbf9809e6b3875
.xword 0xcb1743a848bb1ff4
.xword 0xcb1c20e499a3e90f
.xword 0xfc147b321c1a4eca
.xword 0xdfcc66cd993f2172
.xword 0x2b19b51554ab5841
.xword 0xc4d15b62db4f1da4
.xword 0x14c94067229d842a
.xword 0xcae7a9d5b7073dad
.xword 0xcbdcf30f3847b0c1
.xword 0x77ff45d9ac35d20c
.xword 0xfe3bbfffebc07aa3
.xword 0xf4e44b7c1bee46a1
.xword 0xc3c023fdb938ed6a
.xword 0xa286789f6098c2ce
.xword 0x36219904f3dde8ec
.xword 0x5a8110f1cb20ea98
.xword 0xd5cd18a8b3fa41f8
.xword 0x9b0d21dd1b440ec8
.xword 0xc921dda083835c9f
.xword 0xd18ad4afbacaaead
.xword 0x81ac8d3d5f089ed6
.xword 0x1fa5c984813394b7
.xword 0x3fd5aa7793815fd7
.xword 0x8b829353e5d672cb
.xword 0x4a52492cf9909aa0
.xword 0xdec062963de6b6df
.xword 0x8554a6d1297623fd
.xword 0xbdf56950526a4e47
.xword 0x1ef7cddd511ad3d9
.xword 0x2fa3c64bfd07e050
.xword 0xc1ddf713df6b12db
.xword 0xd776dd1ff1ccaa8e
.xword 0xf7b87972b98b3253
.xword 0x330a0825d2247b17
_t1_aes_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_auth_key:
.xword 0xa6aec7b500d01972
.xword 0x3e33cb9aeb22b549
.xword 0xd8b5f6a1c5fbcd41
.xword 0x5adc814923bde954
.xword 0x8c05aed03a915ba9
.xword 0x415c606b23f8634b
.xword 0x55bb8310034c73f3
.xword 0x9cf2739a33660bfe
.xword 0x100ea722f61f1990
.xword 0x273f160a535bb4ae
.xword 0x1fdb5995d4fc884c
.xword 0x6f273b8f12763882
.xword 0x577226f15b6feae5
.xword 0x749e4cb7b491ce3e
.xword 0x746d56abc311ed81
.xword 0xb5f380d1e8d61e03
.xword 0x60f4059b5244788d
.xword 0xe079e0f60aebacf9
.xword 0xc92d7efa986d99c3
.xword 0x8fbec6b1e96db8fd
.xword 0xd9bd546e53f2486d
.xword 0x61ac690c8510820f
.xword 0xda8ceffec2dd4dab
_t1_aes_auth_iv:
.xword 0x665f9e8b74f659ff
.xword 0xef9163389fd97e11
.xword 0x63c1c633f8984bf1
.xword 0x34e56b3342dad301
.xword 0xa6342ac16b696e43
.xword 0x86bf50a41ec78b86
.xword 0xd96dd886cf6c6572
.xword 0xf2959c76079a395e
.xword 0xad127eade03d1bb4
.xword 0x63e32e34089a556c
.xword 0x1f476945e86dbeba
.xword 0xb1ab33e48d99b22e
.xword 0xe42bd130986861d0
.xword 0xc3f7d5fcdf1d1ce5
.xword 0xcc0565ec3c2fc3a0
.xword 0x3927056179abaef8
.xword 0xc45ba7b94a0d2f92
.xword 0x987ecf240b583d49
.xword 0xe4ae0db0c8c10212
.xword 0x55171935f3f6aef2
.xword 0x1c69887fec1329e8
.xword 0x4cb26de958ba2e65
.xword 0x2ae1aa6cbe2425d2
_t1_aes_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_key_array:
.xword 0xbdd8aeedd1e3e339
.xword 0xe99e2e6a134a57b5
.xword 0xcfe878e5a50b1a75
.xword 0xcfde7c05f8ad222a
.xword 0x9000384bb91a1b0a
.xword 0x61a1bdcc2b762be3
.xword 0xf44f2f7d8654c5d3
.xword 0x593e1db39537cb01
.xword 0x025bc39a318ed517
.xword 0x6d8e9677c92fb232
.xword 0x9d1b9d267ca1fb88
.xword 0x863e55349dfdeb72
.xword 0xaa4984d0b61eb02c
.xword 0xce095b37b790a5ca
.xword 0x63516d93bd81c9a6
.xword 0xe3b2b795d930b4e5
.xword 0x1aa1290082ca54dd
.xword 0x5dabcd6edaf2899b
.xword 0xe0df6270ed1da1ff
.xword 0x4cf5bc98c661a5b9
.xword 0x34b321659a1db2bb
.xword 0x5f2a46925d3fb1fd
.xword 0x6975a398ad2a321f
.xword 0xfef99dfd6dd858aa
.xword 0x58244bc9238d991c
.xword 0x00bcfdd5ffa50b58
.xword 0x7d64004de6fd798b
.xword 0xa6b3d4e8c0e3f289
.xword 0x4ea34f675d274503
.xword 0x711cadcb1bcb1739
.xword 0x2f407829d039baf7
.xword 0x56826aa1c6186881
.xword 0xf0c3c28655b17170
.xword 0x0cc60bcf5c076a67
.xword 0x6e748c767ff6c250
.xword 0xf5ec6c59f6898247
.xword 0x3f64f5f04812c4b3
.xword 0x0870a4af5963e285
.xword 0xf08ee97d68e2a5ac
.xword 0x85efd4def3d377b6
.xword 0xbdcad4ff446807f6
.xword 0x79da0159132009e1
.xword 0x1724fafa0ffe7b02
.xword 0xd1f3e25b256928a3
.xword 0x68b15646501f4c51
.xword 0xc9166a5a95af7429
.xword 0xe808333a2f4811f0
.xword 0x780006e8ee7f8d44
.xword 0x7a258cf04fd8e4b3
.xword 0xf9e804d8f706ae78
.xword 0xfd9da7ca6ced8bd0
_t1_des_iv_array:
.xword 0x0cc7416edcae37cd
.xword 0xe94bae47feef3ed7
.xword 0xc7d7bc78e0c2ed13
.xword 0xb02e84d49e3017e4
.xword 0x6db285c560694cf2
.xword 0x77e7736149750ada
.xword 0xc140d4e081e3d429
.xword 0x132898256dafb973
.xword 0x25b0bad1479817aa
.xword 0x8831380bb2e6cc6a
.xword 0x1de2d954e6db1d44
.xword 0x71e5e9cf8c6faf31
.xword 0xff61bbfd9f148f23
.xword 0xe03195cc5e7a1c9d
.xword 0xb5cec4625b6d2c8f
.xword 0x8681b9c9e5e314d2
.xword 0xe90875de1ea8333b
.xword 0xf59c48940375fa34
.xword 0x55ebf5c6f8069627
.xword 0xf3d777636649f00d
.xword 0xb9b3492a368ec47d
.xword 0x014e9268c4f63af3
.xword 0xdfc944ea6276d139
.xword 0xff01f0fab3252245
.xword 0x51d0597cd5865e6c
.xword 0x5d0c4f1a48ed8878
.xword 0x8e2bedcf70e4c36f
.xword 0x4bcd483a06004557
.xword 0x4e54bd82c253bc57
.xword 0x0103ae00ba495e8f
.xword 0xd7eec3bcdd07f391
.xword 0x2c914675a6dc44aa
.xword 0x93454e0909b436b6
.xword 0xbc97f35780da0dd1
.xword 0x38cb09197b126eb1
.xword 0x7b827e93004cc6c4
.xword 0xe9bb6faf0584e186
.xword 0x850828a46315750a
.xword 0x55d1a93a98f6ffe6
.xword 0xcbe8336e00d0aa06
.xword 0xed422ce7afa56d0a
.xword 0xbf231d7ea65580bb
.xword 0x2f3f83dbd1fa7f86
.xword 0x7d4760571ae0e3c9
.xword 0xb35ec652886f2317
_t1_des_alignment_array:
.xword 2
.xword 6
.xword 10
.xword 13
.xword 13
.xword 4
.xword 13
.xword 0
.xword 7
.xword 0
.xword 2
.xword 2
.xword 4
.xword 10
.xword 0
.xword 4
.xword 10
.xword 1
.xword 2
.xword 6
.xword 3
.xword 12
.xword 15
.xword 9
.xword 5
.xword 11
.xword 11
.xword 0
.xword 11
.xword 12
.xword 5
.xword 13
.xword 7
.xword 0
.xword 5
.xword 6
.xword 4
.xword 8
.xword 6
.xword 1
.xword 3
.xword 7
.xword 1
.xword 15
.xword 9
.xword 0
.xword 12
.xword 6
.xword 15
.xword 10
.xword 1
.xword 1
.xword 2
.xword 5
.xword 5
.xword 0
.xword 3
.xword 12
.xword 5
.xword 15
.xword 1
.xword 4
.xword 8
.xword 2
.xword 8
.xword 11
.xword 13
.xword 3
.xword 3
.xword 15
.xword 9
.xword 7
.xword 15
.xword 5
.xword 9
.xword 8
.xword 3
.xword 5
.xword 11
.xword 7
.xword 15
.xword 3
.xword 7
.xword 13
.xword 2
.xword 1
.xword 6
.xword 7
.xword 6
.xword 2
.xword 2
.xword 15
.xword 10
.xword 13
.xword 2
.xword 8
.xword 0
.xword 14
.xword 7
.xword 2
.xword 9
.xword 7
.xword 8
.xword 0
.xword 2
_t1_des_src:
.xword 0x0dd1b08926c67ba6
.xword 0x5bead008df4d6def
.xword 0xa40ce060a4c08a3c
.xword 0x5175a40a930d6316
.xword 0xd09aa35c30dc940b
.xword 0x14c4dbc30611dbe1
.xword 0x8aeb9a888f3446d3
.xword 0x77c3853363bbb536
.xword 0x20a841284d59986a
.xword 0xf6d71e247a2244dd
.xword 0x2523666842706839
.xword 0x9a61c5ff290ee981
.xword 0x5c998ed6540e4775
.xword 0x755b90bc3f924e77
.xword 0xd8256b2a3bf3fb4c
.xword 0x354f67330c55e87a
.xword 0xbe1683946c1a8e36
.xword 0x70cac33f27948469
.xword 0x352302514f81978e
.xword 0xc1a2eebf06987886
.xword 0x6c1ded1c96e20e84
.xword 0x9ea30bc05ef9bfc7
.xword 0xb85311582d9f31ad
.xword 0x4296fdeb2b12923b
.xword 0x31d1b89904443981
.xword 0x77194bd4c6da8302
.xword 0xd3227bd03559681f
.xword 0x37f9922315728864
.xword 0x87c8965e895e81f0
.xword 0x9d81a8df9f700fd9
.xword 0xb1671e634f60ae8d
.xword 0xbf6fb42fbfd95388
.xword 0x3b083cece952d982
.xword 0x339067bd7c8c0ae9
.xword 0x67747c1bc4579622
.xword 0x100eb0beb8d3de8a
.xword 0x856e1f226e77c3f6
.xword 0xb274216aaa329185
.xword 0xbb900f4f124a3216
.xword 0x96a5a25a1f10ddfb
.xword 0x48f90a474b292abb
.xword 0x425995b1b8b77ffd
.xword 0xc4413cf0536781ed
.xword 0xd85d5ae9a1291798
.xword 0xcdd9e12777660d72
.xword 0x1d1b70dafb04d775
.xword 0x512fb2bef5c6809f
.xword 0x7570e25048d25f8a
.xword 0x9c973a8fa58cb8d0
.xword 0x510f7d63f725b21a
.xword 0xf8642e530b6f2131
.xword 0x4be7185799f98ad2
.xword 0x29193370bc3648fe
.xword 0x614813969a8c4c53
.xword 0x847af023d9304f4f
.xword 0x2dad7673c09c4d76
.xword 0xc4dd6b3c5199406a
.xword 0xaa175f8d6bc60db4
.xword 0xb00c4a9132cd08eb
.xword 0x4f254a876d749929
.xword 0x103825fa55efcadc
.xword 0x17c7c31fd897d48c
.xword 0x2892a01917deb963
.xword 0xb6a9698bdb72c3cc
.xword 0x873015402806609f
.xword 0xfd5dd4ca5b04b817
.xword 0xeed2864b2b1014ce
.xword 0x937b7a096d53f436
.xword 0x05c64f07e9609dc8
.xword 0x25794668229b6a2f
.xword 0x0dc05728051b8c83
.xword 0x1b9f1a1fca752113
.xword 0xeb960e2d2d48f46b
.xword 0x70e7ad6d1254f2a3
.xword 0x1ad131d39281b0d0
.xword 0xcad0b813588a2c81
.xword 0x867bab9e9cb513ba
.xword 0x9914e7998f1d0f61
.xword 0x03a4f396a5647013
.xword 0x13c262379c734dfc
.xword 0xcc98055ad979992e
.xword 0xff1dae042612a80a
.xword 0x98d5cb7db9a2cd7e
.xword 0x5b770def4e41a342
.xword 0x68eea2684a3f224b
.xword 0xa4cd9795fb52b94e
.xword 0x12cc5523272993fa
.xword 0x67c1f52ba8288ae4
.xword 0xe74479a8b7d788d6
.xword 0x29c098bf88e4edf6
.xword 0x98cf4b5d2ac4cf94
.xword 0xec4bc7d7379e4411
.xword 0x538ac8c6637b7f31
.xword 0x2530873710c2bad6
.xword 0xe59c5e1359679fb2
.xword 0x2108903ec771be77
.xword 0xa5eae016a5fa3c5a
.xword 0x93da4b9107c975b1
.xword 0xa24b38c87b976634
.xword 0x5218f593be3ea5a3
.xword 0xcb8d5e9de5c62a1b
.xword 0xaf510c5f9f9c8291
.xword 0x51afe73aee29e0f4
.xword 0xdf8ec28884518fa7
.xword 0x832cbb3d01cd802f
.xword 0x2f3b9a6e3850e986
.xword 0xd1fa844794d215f1
.xword 0xb35c5edc8bb50165
.xword 0xfb1d90523b3b026e
.xword 0x4d49b196781c68a7
.xword 0xe410ac4921dd215f
.xword 0xf2bbeb869f8ad106
.xword 0x5caf9cbb527b4ccd
.xword 0x0ce6deaba9ae21d4
.xword 0x55f9199d28f99920
.xword 0x3c693aa6495af61e
.xword 0x5b12db943a5d28bc
.xword 0xd1ba57c8aca0fd86
.xword 0x78ede5db4e278d59
.xword 0x37611334c4e1fdf3
.xword 0xfc6936448e0e2ee8
.xword 0x374b8884cab50e39
.xword 0x06c1447fce57795a
.xword 0x8c3c6b20850ef297
.xword 0xaee8a0eda320adb8
.xword 0x74e8980bdebcd5e0
.xword 0x426036eee39e98a2
.xword 0x1b50af846241c36e
.xword 0x750f960a8756a20d
.xword 0xe3e55ef6c3c107f1
.xword 0x89b9593c7ea2f1fd
.xword 0x0a8c7fc64e2a22e0
.xword 0xfe8df9dba241eefa
.xword 0x8917b8837913d350
.xword 0x7290d6941168c382
.xword 0x930f73bb7f03def8
.xword 0xbd22e2c9d1961f86
.xword 0x2caf5862fa96c1a9
.xword 0xe6472bfcb7edb79d
.xword 0xc8a709ac04ff81dd
.xword 0x35df0a1ac663a99f
.xword 0xb97c30816f89823f
.xword 0x10a1920852962737
.xword 0xcfcff78db473eb1b
.xword 0xfc18869e399a79cc
.xword 0xf233bb31a7312b1a
.xword 0xcd6c364461c6f9e1
.xword 0x810e82c206ab5b53
.xword 0x9fc8c9a46ffe53a5
.xword 0xa3437fc8cf5750a2
.xword 0xebae760017eef035
.xword 0x9423b9ed85dc9335
.xword 0xcffd53ae6d689bf1
.xword 0x4986166f283b4e1e
.xword 0xf1695f3b23f4567c
.xword 0x5506480a56268656
.xword 0x359a74b7ada6f686
.xword 0x743321cc17a1a29a
.xword 0x663af9b9e8c3bda6
.xword 0x4ebf25fac8b63a5c
.xword 0xda8108c9d887e6be
.xword 0x1ed4cf1cb2a9d4a7
.xword 0x32ba46ad85997aaf
.xword 0x4fcc10ff206319dc
.xword 0x324cc003ea8ec0ca
.xword 0xe682c4017768f735
.xword 0xdb61cbd0e8c5ae1d
.xword 0x6a980ac9b82ccab0
.xword 0x7fd1c3aa26d29f32
.xword 0x788b3dd3d7421843
.xword 0x31ab0934e4b5f79c
.xword 0xaa25abda22428bb5
.xword 0x69d31b9f82963d74
.xword 0x996f4ed313db4ba1
.xword 0x987776ff13ffcb7e
.xword 0x4a0e2f5f7b4ca610
.xword 0x4d3a33c3b98d91d8
.xword 0x52a40d299a4317c9
.xword 0xd1edf0d6eb612aab
.xword 0xc98d7a51a91135b8
.xword 0xcbdbb0e203df75ee
.xword 0x0a29af9ada2cb680
.xword 0xe61e2407dcec3cb7
.xword 0x5487da33a0bd96af
.xword 0x20f62690cfaec65d
.xword 0xb8f4b038c3d84408
.xword 0x092cbf8d363dc8bd
.xword 0x37e197c92be0e691
.xword 0x9a9ef92da545a7d5
.xword 0x3acf82e8f50052b6
.xword 0x5d84821d51e81bd5
.xword 0xc47b46f1d9bcba0b
.xword 0x52d99109336fca43
.xword 0x99217276bf2b97df
.xword 0x8bd01afd713475ce
.xword 0xf1eb7b7ae2ac2d9e
.xword 0xe3f22074345c6a95
.xword 0x82721c9d68e44444
.xword 0x895e3b55b2d93a9a
.xword 0x536bed89256619dd
.xword 0xa1952a093be3b628
.xword 0x19ae7affbba31c1c
.xword 0x557b131af6ebeced
.xword 0x9d81176ccda5e35d
.xword 0x8fd65ca5c0e7bc62
.xword 0x3869911231560324
.xword 0xad5e2d678a94c33d
.xword 0x327e2b1d7b2e096b
.xword 0xa242bf050a2fc0b3
.xword 0x53a279e27e5ba191
.xword 0x04b6033a6759d3ed
.xword 0xdfb0007e5e0d51ac
.xword 0x1cdf6dcae8121add
.xword 0xe4bd1251af4897e6
.xword 0x4a2affe3dfac0b12
.xword 0x85bc03610243a9ad
.xword 0x16e815237bdda5c0
.xword 0xcf17b272d7f0df0c
.xword 0x6a9d1c27c3eb50ea
.xword 0xf9a32c47a7abfecc
.xword 0x4e485083eb0fe8ea
.xword 0xde04515e9ec8b12d
.xword 0x86bb56e625412f52
.xword 0x1d75049a49fa8aec
.xword 0x795f5095a000eae5
.xword 0x29864ed019d2c3a8
.xword 0xfb4710a849ae24e5
.xword 0x8315335e4376e1d8
.xword 0xda96ee909991a8b2
.xword 0x6fee62de3d93b3b6
.xword 0xa0c9db56ae7039f3
.xword 0x27f71ed4dd0ad195
.xword 0x1d2537a756cf36e8
.xword 0xfc59ec3e901275a9
.xword 0x1167412b14ad7524
.xword 0xf6a93f47ea81a227
.xword 0x0b7e1f1a8317d6a0
.xword 0x1a9d1ea11618150f
.xword 0xa9898f0854988ed7
.xword 0xfbd08c8fc1719669
.xword 0xacead538b4fb9436
.xword 0x0fc94631a318361e
.xword 0x331b26b143b21799
.xword 0xfb880f86b36e605d
.xword 0xa29cbf9df7f1d518
.xword 0xece92b6d14b83773
.xword 0xe121ccbbba1511bc
.xword 0x7baddd11ed4efa43
.xword 0x2614b42cb5359a3f
.xword 0x1cbb41d84331ddce
.xword 0xb37eb18dc3fe0948
.xword 0xdcae6481183566f3
.xword 0x78497475bc2f57c1
.xword 0xcbce1a7034d20167
.xword 0xcec6d1fc802d88ed
.xword 0x8300a12af2fe9fde
.xword 0x6055691575a64721
.xword 0x2167ce323b2111ef
.xword 0xf9abdc9336fcfdf0
.xword 0x5ac5822e46f5f9b5
.xword 0xb449b79d9095a6df
.xword 0x0cb87ea817e76897
.xword 0x65e7800a56a357b7
.xword 0xbda889eb5ef37ef5
.xword 0x9c9802a4e606b33c
.xword 0xbf7a3aca70064478
.xword 0xea15764fe4719e6f
.xword 0xccb4363ab8bb1be9
.xword 0xaf4b4b590625083b
.xword 0x19f0477df7cb8701
.xword 0xced3f4345f224597
.xword 0x9d36d9b9e1ff2729
.xword 0x6bb06da193b3e759
.xword 0x97391958755fee3b
.xword 0x1f8ccb036285a9ee
_t1_des_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_auth_key:
.xword 0x7435a2b8551c4a8b
.xword 0xa147a749b5176413
.xword 0xe55ebaa72024d010
.xword 0xb57abee2934cae09
.xword 0xcee60bca4f66c3df
.xword 0xc0f27af2f42600fe
.xword 0x0d9eafc45994c163
.xword 0x89f815de18c32b69
.xword 0x721ecbff4fd4aaf1
.xword 0x59104bf7f4351e69
.xword 0xe1a639bd4504d0ff
.xword 0x1ab1b0b288f47e46
.xword 0x7909005496d87666
.xword 0x7788b683082d5770
.xword 0xbf0777fbc39e1107
.xword 0xfd88379078fefac5
.xword 0xe1377d995c281198
.xword 0x31eccb671680f059
.xword 0x498ddfdec19988ae
.xword 0xefc64fb0a1980d2d
.xword 0xd8715d8408dbf0e9
.xword 0x0f1c6bbf9a175fe1
.xword 0xe3e998429670226a
_t1_des_auth_iv:
.xword 0x930a0912fd07d5f8
.xword 0xf8daffdf6e5bc077
.xword 0x5ad3eb2f33e28443
.xword 0x6ef76ddb279f7c20
.xword 0x8ed7890eb3d87c46
.xword 0x068c7fb3b00c72d2
.xword 0xc37a28d3ccd92843
.xword 0x3a3738be9746d1e6
.xword 0x6d92746a0908f158
.xword 0xfd2940c224045860
.xword 0x267baeb97a093532
.xword 0x3cb951b8433819ce
.xword 0xa1593d2f888391b0
.xword 0x65e75a6704c99aee
.xword 0xa14bde5030254857
.xword 0xf07c3bdf7f7ef712
.xword 0xe2cf7f299048e224
.xword 0x860ca33bbd1ce97e
.xword 0x3cd4186da4f9e69f
.xword 0xeaa1e269082324db
.xword 0x2e56d074ccc40829
.xword 0x40bdcb924f61412a
.xword 0x2f95aea1f4a62edf
_t1_des_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_key_array:
.xword 0x891f8d4cdfdb1689
.xword 0xa7934f9be3afcfef
.xword 0x34cc7b4ee3cc4bfc
.xword 0xf44331b71834840f
.xword 0xeeb761316d42d7bd
.xword 0x3873ccc30ee8438a
.xword 0xe217aede176e50ff
.xword 0x52f21203ffc1ee8e
.xword 0xcdbf847624209882
.xword 0x7afd02fe5d5f1186
.xword 0x2163629a39438b7e
.xword 0x0c1a47f65e7fd29c
.xword 0xfc89b5ab73050044
.xword 0x7a1d5ae384ffd3c2
.xword 0xb70580ffba605382
.xword 0xb9aa997c6511752d
.xword 0x081794ab8a4dbd16
.xword 0x7e551fe023976127
.xword 0x1e2e2ef74ecdb057
.xword 0x06c1a5f1572f9bd2
.xword 0x41af3ed1425f6b8e
.xword 0x11c9b4ed79861571
.xword 0xb28eb5f8404a3a62
.xword 0x229b996c1d4295cf
.xword 0x4ba443d55abfcd64
.xword 0x5ab79041c6531cb0
.xword 0x6a58fd315adb7a5e
.xword 0xd9eecd440ef48185
.xword 0xc2038f0b0236ee46
.xword 0x8ca53a66c8f0791c
.xword 0xcfc4aefe1cb240ee
.xword 0x38a5c1635568a3db
.xword 0xd75e8c6c21bc3504
.xword 0x5c2b89188788dbef
.xword 0xfa1a8af55929e582
.xword 0xca0f3fd97b66b336
.xword 0xdffa2c8312c687a3
.xword 0x63fd3ec129d187dc
.xword 0xa6cd0c5f589e93f0
.xword 0x7956a0ed7ffab28b
.xword 0x458576d7171d7461
.xword 0x8b3c51b4ddf5177d
.xword 0x01064fbed5e66cc5
.xword 0x4dbaa46bebe0f5bb
.xword 0xa82a84d3c94837c3
.xword 0xaa4268214993611e
.xword 0xf4e503d328fb4cbc
.xword 0x3e1e17f1f829050c
.xword 0x84ee44b58c68a570
.xword 0x35f100dab7e83f0d
.xword 0x325b07abe0e77b31
_t1_copy_iv_array:
.xword 0xbcf2132cf9a64f86
.xword 0xbd974424a3ba24fc
.xword 0x23d3f412aaf1eb1e
.xword 0xbc118a78884124b2
.xword 0x625afaee6a9b8a5e
.xword 0xe7d01e3a5cdf56e6
.xword 0xbf0b41239ad8bfa5
.xword 0x139472e68458c3a2
.xword 0xcfaba77e4031c95b
.xword 0x0df3f0690fefc157
.xword 0xb66d7901010b086b
.xword 0x9de03ab86590869e
.xword 0x211e65a311f8bb0c
.xword 0x3b8deb0fbcb0c57f
.xword 0x676f1eb263fada36
.xword 0x2e7662bfdf93c8ba
.xword 0xdf4ead41c3891d54
.xword 0x6e8f46e290455010
.xword 0xe7924e5d58f98540
.xword 0x3558342f67c77e43
.xword 0x147c08ac9326c65f
.xword 0xfca21e04f1d8bf36
.xword 0xa4a6bc28ef2b5a83
.xword 0xe22cf1444a667a57
.xword 0x188026dd124ac803
.xword 0xc0345b0976307d36
.xword 0xeb3083dfb3690c4d
.xword 0x7da6e61d003510b6
.xword 0xd107710f95896b1c
.xword 0xbd601aff4ee25c64
.xword 0xe497d85dfe9e0f2b
.xword 0x39b2e9db63a31cad
.xword 0x1e87859e7766ac50
.xword 0xe1c26ecd4ced329e
.xword 0x29ad5be51ed2808f
.xword 0x6841b67c6e3f50ad
.xword 0xbc87d703aee51cb1
.xword 0x02f66d0bcddde886
.xword 0x11676bcfb6d46773
.xword 0x4b878ae19de85085
.xword 0xe40b72cf390d0f25
.xword 0x54ef240f5b3adf69
.xword 0x764eb5d4dc5d5311
.xword 0x3e751ae0a43bdbde
.xword 0x00846324524b2773
_t1_copy_alignment_array:
.xword 4
.xword 2
.xword 2
.xword 4
.xword 8
.xword 11
.xword 4
.xword 10
.xword 11
.xword 2
.xword 14
.xword 15
.xword 10
.xword 12
.xword 4
.xword 1
.xword 9
.xword 15
.xword 0
.xword 6
.xword 6
.xword 3
.xword 15
.xword 10
.xword 7
.xword 2
.xword 10
.xword 7
.xword 4
.xword 9
.xword 3
.xword 10
.xword 2
.xword 14
.xword 15
.xword 9
.xword 15
.xword 10
.xword 0
.xword 12
.xword 13
.xword 4
.xword 7
.xword 2
.xword 14
.xword 6
.xword 4
.xword 4
.xword 14
.xword 14
.xword 9
.xword 11
.xword 4
.xword 10
.xword 14
.xword 7
.xword 4
.xword 4
.xword 13
.xword 4
.xword 13
.xword 2
.xword 8
.xword 2
.xword 10
.xword 6
.xword 10
.xword 8
.xword 5
.xword 14
.xword 11
.xword 2
.xword 13
.xword 0
.xword 9
.xword 8
.xword 1
.xword 15
.xword 4
.xword 8
.xword 15
.xword 11
.xword 15
.xword 12
.xword 4
.xword 11
.xword 7
.xword 1
.xword 10
.xword 11
.xword 12
.xword 7
.xword 4
.xword 12
.xword 12
.xword 10
.xword 13
.xword 9
.xword 4
.xword 9
.xword 10
.xword 7
.xword 9
.xword 12
.xword 2
_t1_copy_src:
.xword 0xda1e66141da2ac93
.xword 0x6f01a336ca889153
.xword 0xa8cc40fcde3d6a43
.xword 0xaddc38026f0ddc14
.xword 0xbdc7711fbe746d8e
.xword 0xa192998017ed6620
.xword 0xc8136b3693be8cf5
.xword 0x1778c2929e29b619
.xword 0x8e0510161b1e491b
.xword 0x03980d15f6b19601
.xword 0xb3bf03d4d255c948
.xword 0xd75ce379b229c9ab
.xword 0xdc5ff2cc0f0891e7
.xword 0x688688736b2117ed
.xword 0x03eeda62c5a06a9f
.xword 0x898fb1d5fea5eb11
.xword 0x3da7c54ba76de90f
.xword 0xd9f85a093e74765a
.xword 0xad201b872fb116f3
.xword 0xbf0a56a121ef2079
.xword 0x0e51525275596e1f
.xword 0xd5bd45a92369afe5
.xword 0x712593a7f2284740
.xword 0xec81e0b8f445b466
.xword 0x66e5bf9e516eeb35
.xword 0x70c9925735d3e604
.xword 0xb65dd4bd04e389e6
.xword 0xed93e50a38065e79
.xword 0xde222a9b2fa1907f
.xword 0xea646ec3ec609e4f
.xword 0xf776c6c8268836f5
.xword 0x2d921ea00ef58399
.xword 0x702e0f619409ab0f
.xword 0xcce9a087df9c2a38
.xword 0x88b3cc4ed08b886b
.xword 0xc335fbf862ec6c32
.xword 0x4f6e84cfe8c9182d
.xword 0x4089f3868c3e3bca
.xword 0x183d55ac305e52ab
.xword 0xb9fac12c5d3e118e
.xword 0xcb50c987edfe7c56
.xword 0x65f26a91c12ca5cf
.xword 0xf62a511c9d1ee820
.xword 0xa75527215ce5dd25
.xword 0x4463693ce4eed91e
.xword 0xcfe6a5cc6206e43f
.xword 0x309d8f77a7c24a9b
.xword 0x22af05c28b7fef30
.xword 0xdef2e8ff74390281
.xword 0x2929feabd7b5ef8f
.xword 0x49773774978ec0fa
.xword 0x4059c294b26a25a7
.xword 0x5c3edc9a7c1e99cb
.xword 0xf43b87a1769e99ee
.xword 0x78c345c7cfa06dc3
.xword 0x908f7279fb07de76
.xword 0x55de5d4e45366a8e
.xword 0x1ddb8e5aa7614172
.xword 0xa7485583a435a653
.xword 0xa665eb40b61d352d
.xword 0x0870a3cc502427eb
.xword 0x6a61b58e753be68e
.xword 0xc51ff2eb770932bc
.xword 0xe977227aaf569e2b
.xword 0xa7d79f93aa1bc330
.xword 0xa140fb49aa8e4c02
.xword 0x27cc1538760005f4
.xword 0xd60733d157a6a962
.xword 0xca0ee7f3609b0324
.xword 0xe7b33de190df53f6
.xword 0x639436b674034b9f
.xword 0x8db189c3863ebbb7
.xword 0xefc56d2fbebdac5f
.xword 0xca5a419ec74466e9
.xword 0x5e26e1e39676342b
.xword 0xb0eac74bc82a7096
.xword 0x14b9c66e6ee4c6be
.xword 0x52b85a2082d9d806
.xword 0x9653c2da17ce28d6
.xword 0x76a282385befa9df
.xword 0xb7062e536cd7bf64
.xword 0xffdf231ae73f1c00
.xword 0xec496a59abb4be3b
.xword 0x4890356ce7c2d543
.xword 0xf11413ef4421981c
.xword 0x6d6e4dfac7e93c24
.xword 0x0fc1bc4c8bb798e4
.xword 0xa7fe92806c74bb9e
.xword 0x87df31931406ae65
.xword 0x3e1758eb053eb2c6
.xword 0x69dd70f78630e5e8
.xword 0xcfc39d45d3644fd1
.xword 0x07e74f59b8bed7a0
.xword 0xdb51c191bebde9d7
.xword 0x5a98cfd8e0e62e8f
.xword 0x67989916c28a22c1
.xword 0xdb70a6d9f84233a5
.xword 0x93578f6f6be19cca
.xword 0xd2fadbb51239be6d
.xword 0x43ee90b4b3d5401f
.xword 0xa09d4e8f976b1f6b
.xword 0x8bc1a1ec31aba8a9
.xword 0x5841415cc66b0208
.xword 0x3f56049067e00e75
.xword 0x02680358f735a06a
.xword 0x92363336973e8480
.xword 0x61ea4abd77f81969
.xword 0x92d322b8e839ef4a
.xword 0xc822324c71e31301
.xword 0x281871ac1fd7aa04
.xword 0x8f6cc3d437907d64
.xword 0xd5ef1d5f8ebad1f6
.xword 0xb2b101841a8aeefd
.xword 0x0c26cb8cf5949ddc
.xword 0x3c332a2d9c3c5ac6
.xword 0x8cbc32c552cef8cb
.xword 0x5b2baf50d8fe26af
.xword 0x5f54864b568f1adf
.xword 0x547b9f30faa6087c
.xword 0xbf267142b917be46
.xword 0x02e322b51dd895de
.xword 0x5c19787993c6eb20
.xword 0x30df8a61462511ee
.xword 0x8de26d56cd610f81
.xword 0x5ff101392770482c
.xword 0xf67e6901a1e1d0b9
.xword 0x3a802a4b3df024c3
.xword 0x9c1e1af7ee24e79c
.xword 0x6434fef6c9ab6422
.xword 0x5812458dc9adf0ec
.xword 0x2c98dd5c5786d13f
.xword 0x79575533cbf8867d
.xword 0xd3786e282c47c078
.xword 0x8e2d6ac0b8129b3c
.xword 0xa4b85b70427ba152
.xword 0x2e344f7d2fb6da84
.xword 0xcd5399ddc5d13eb4
.xword 0xe64d0a05e96a2bdc
.xword 0xb716aeef50816740
.xword 0x23c88e714d84704c
.xword 0x5381fe6f66f9ae90
.xword 0xe3b01fa46aefdae9
.xword 0x58755f0dd6c6a111
.xword 0xb20fef6e0aafd6f7
.xword 0xbe20cfd1142278c6
.xword 0x53f5f97c19c95714
.xword 0x5f85000879e3880a
.xword 0x0c39466fe5dede97
.xword 0xc67c33ed930efbb1
.xword 0x7b1f8d1669854a48
.xword 0x2cfc0f2438ba644e
.xword 0x8265b2aba4aa570b
.xword 0xb657929af32c2ba6
.xword 0x8e3212a80a428e00
.xword 0xb88043c7712b1c49
.xword 0x4d9128dd65d4aaf9
.xword 0x86d3ea1398aad488
.xword 0x447eb3778f193a7b
.xword 0xb2d5546c983e3f2e
.xword 0x6015929d9f57dccd
.xword 0xab8d6e3a2ca4131e
.xword 0xb6292c8fd1104876
.xword 0x7522881c6f24abf7
.xword 0xd526ad9262d11cf4
.xword 0xc7eb945397f4ea8a
.xword 0x5fc615c003e0d45d
.xword 0x3bae0b251e001935
.xword 0x5272dce20fa4c156
.xword 0x097922e27f64556c
.xword 0x88641c3b48d87b91
.xword 0x7f0e6fbfc5e181fb
.xword 0xec8c3161fc8d068d
.xword 0x4d500a18805a5076
.xword 0x2b61509e7c7eddf9
.xword 0x2204fa3acb2de91a
.xword 0x8acea2d7f666c221
.xword 0x70358a7db1522443
.xword 0x5e9fc7a24035535d
.xword 0x5474dc509a3d7162
.xword 0x00d6300cf57d68e7
.xword 0xb1264598ac228856
.xword 0x9f6a0b97520e74d2
.xword 0x82ff4c6a9c2f38c9
.xword 0xdc31cefa8409b5c5
.xword 0xb09f5220e82ce668
.xword 0x09e1f8e31149a3ab
.xword 0x935c8706cd447718
.xword 0x7b7930bad2abaaea
.xword 0xbec76ebc57b46545
.xword 0x882b12742aef4b42
.xword 0x577e7452b83513d0
.xword 0x25820a0dc4696b2d
.xword 0xfdd7805da950487d
.xword 0x3e9038b73d2cd0a9
.xword 0x5f47d61444699b04
.xword 0xfb2650cc2a306577
.xword 0xe2d6b50b9b921fff
.xword 0xc5466be8e86bf0f2
.xword 0x2232224e47f2dd0e
.xword 0xbce7fbdb4e1e8b95
.xword 0x68b22a3a5802fe04
.xword 0x0079f5c3d7967896
.xword 0x284afe64b5229074
.xword 0xb10d11c245d0f028
.xword 0x679a37c052326597
.xword 0xca62020f73240f83
.xword 0x686725fd0e059982
.xword 0xc2c59b765441eeae
.xword 0xeddbdda6451ecb17
.xword 0x6f52a3547ca1c92c
.xword 0xc4465f3bda638812
.xword 0xf1655b1b0aae17d5
.xword 0x59af1c62179863a9
.xword 0xe87f1bfdb83a9dbf
.xword 0xee27cd2d33425bf9
.xword 0xf4dcd213cad211c6
.xword 0x42d1f57483ee79e2
.xword 0x9523acc5466fe1aa
.xword 0x4ef32cb27b9fa329
.xword 0x9104bf84d36df246
.xword 0xab00e215370db974
.xword 0xcf428d64d407a0cc
.xword 0x4edb20b6df1aa18a
.xword 0x621fec72d62d84d6
.xword 0xd9bd3c77630fb4aa
.xword 0x04c43c3bbbf7a60f
.xword 0x8f35a2e2290f5dfb
.xword 0x2e97e105dc4c67d7
.xword 0xac80f5a99d3a6128
.xword 0xe48b0bed78f57e08
.xword 0xe85577738306e6d0
.xword 0x1d16d8fbb9c66e05
.xword 0x53eec06eb78f216e
.xword 0x3446bd1469a5fac2
.xword 0x2a07370650ca0ec7
.xword 0x19c8c95628f02381
.xword 0xb4a4685ca7d5f271
.xword 0x91557f0c643d958b
.xword 0x9b37fc98704bd044
.xword 0x88153a1e5cd372df
.xword 0xdfc31ec12038bd9c
.xword 0x6dd641b619a79e4f
.xword 0x72f7ec6cfa4553b5
.xword 0x9c750aefde332532
.xword 0x925ddaed7782db49
.xword 0xe8f39bf0fed2ab22
.xword 0xb2f64b292267abfe
.xword 0xcdba19e0ad7be1ba
.xword 0xd62d0e3f7974b83f
.xword 0x976619b40928d68f
.xword 0x3941cbf203b90a89
.xword 0x5b23097eb3c3f5e9
.xword 0xce8c62e6a405e5f7
.xword 0x3af11e3300a58210
.xword 0xae14351d7dee9daf
.xword 0x8919c8c98c8cdd8b
.xword 0x58caae225c6e2a0b
.xword 0x5b315665a659e661
.xword 0x91d3f83396b6a0a3
.xword 0xd1341c1de98eb9dd
.xword 0xf22547c5955735d1
.xword 0x1e21075ca84a9620
.xword 0xfade7d2bd8cd35a9
.xword 0x26fd6a64b7ddfc99
.xword 0x2d4ac39216cfdf02
.xword 0x1daa0f0fa6e5705f
.xword 0x3fdf754ce3663b1a
.xword 0x4c1dede54498757b
.xword 0x4ea5c5b8575c9101
.xword 0xa2337a8ea85c201e
.xword 0x67358ca59cfe6756
.xword 0x5f4e5f571fe09955
.xword 0x6150b81456d57a26
.xword 0x3094fdddb9953b04
.xword 0xcd623bd9dcfbb033
_t1_copy_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_auth_key:
.xword 0x009013fa14b1e1c4
.xword 0x7312ec8842cad095
.xword 0x14de76ad797b7d1a
.xword 0x4ed60006c5eff5c1
.xword 0xfdfe4a76c54f0a9a
.xword 0x0cef1a9191f54e49
.xword 0xc805939effe7ca12
.xword 0xa6c3d6e88ddad272
.xword 0xcb6e18628eddd010
.xword 0x2a900afe0ea2e001
.xword 0x5e54c480bf129dc1
.xword 0x538800f3a0572713
.xword 0x5dfa9492b478849e
.xword 0x724f9cb4e6505fa5
.xword 0xb94c5ec58f52498d
.xword 0x4552ecdfd7d85a6e
.xword 0x24ed50d0f1434eb4
.xword 0xf84633cba2f53bf7
.xword 0x3773dbcbe39d796a
.xword 0x31f64cf77bc9dd4b
.xword 0x568056f5f39dd566
.xword 0xa532440041884485
.xword 0x6a1896cf39fb5dc7
_t1_copy_auth_iv:
.xword 0x063becf085db56bc
.xword 0x508dd8fa993e0bf8
.xword 0xac146f22a248450c
.xword 0xba9d5969069a52c9
.xword 0xda8147c32f3e9f0f
.xword 0x4d4ea72f815c2321
.xword 0x44bc1cd37936728e
.xword 0xa7f65318dcec6f3f
.xword 0xe7cfef1a10d29afb
.xword 0x49d109bc2fde6a8f
.xword 0xb194b1f0377078fb
.xword 0x63b83ae431bb5ba1
.xword 0x5d0bf0fefd1296f1
.xword 0x42bea6ddc1b7d3bf
.xword 0xd293bd7a4f9026c7
.xword 0x77c4655b52c10697
.xword 0x354513c5c54d0046
.xword 0x50feca7638a503b6
.xword 0xdec0ac970de7ac06
.xword 0xb2c23e2ee2b1d74b
.xword 0xd53295611e5dfa31
.xword 0x8ad25d2691408b17
.xword 0xea28bdcc0b4876a2
_t1_copy_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_key_array:
.xword 0xd814fe8fd9f3166b
.xword 0x77dc057dbb7379ac
.xword 0x43a2b0303ba3b4a7
.xword 0x92b7ae87ac2ce50d
.xword 0xb37ff7ed881cc0ef
.xword 0x2f470f9cd2d83ee9
.xword 0x922fc01a149a2453
.xword 0xadf986dc163372b2
.xword 0xf7d88c671a5b87b3
.xword 0xef3ad5a0b62f5ca5
.xword 0x2a127e00fc418838
.xword 0xf7fa5c16207ba979
.xword 0xa5b30abbd2a094b9
.xword 0x2029d51b5457e2a1
.xword 0x4ebbdb5ae0e6cb3a
.xword 0xa81281a404cd6158
.xword 0x4bc54e4082e9f3ff
.xword 0x475c90eb93bca0d4
.xword 0x20f6569bdc7b1769
.xword 0x15d1977829bd67ab
.xword 0x95406a2a112064b9
.xword 0x63c2b2d5d682f189
.xword 0x917485bd7879c9be
.xword 0x2619aff9fc7ba3a1
.xword 0xbc184c4cf36dab38
.xword 0xc0a691f03d8efcf2
.xword 0x7db40c5f54a6c3ee
.xword 0x605f30da329d7481
.xword 0x7f892b63a72683f1
.xword 0x3600e8ddd382af03
.xword 0x0b531912754c864c
.xword 0xae38a4cea50adea6
.xword 0x61515f6a5e5d3d2f
.xword 0x1d65c11386128b9f
.xword 0x862011b1eb2bc0e9
.xword 0xda13bac0af7e874a
.xword 0x61b2b89a34c70d51
.xword 0xfa8a37df49c20549
.xword 0xc302d437b62a0045
.xword 0x23ba4cf82cd5b390
.xword 0x873224c8e330afcb
.xword 0xa08d52ad805374a6
.xword 0x6c8b8a6439671403
.xword 0xda5ad43f482bb10c
.xword 0x026e7e155e54cf26
.xword 0x7d55141d4584c588
.xword 0x935eefbfa53635c6
.xword 0x72f02e2d992b23ac
.xword 0xeed49de8133fe10f
.xword 0x063e97a94d6df7cc
.xword 0x2462a7f09b3c5fbf
_t1_crc_iv_array:
.xword 0x18829df5b3b68499
.xword 0x1685e043a4e90c72
.xword 0xa76389416be547a1
.xword 0x9eb16684ddc4f45e
.xword 0xc9cd77f7e785450b
.xword 0x9b85089dcf44422b
.xword 0xf911a74c4052a982
.xword 0x4469e73b13b90d2b
.xword 0x011499ff1958a29d
.xword 0xfb1a3e313866d3d7
.xword 0x65cdeb5d172a1ceb
.xword 0x15f4a290e75d0563
.xword 0xc299b103e126e7f5
.xword 0x2ebda9c8361499ff
.xword 0x5a354d8e8fa07aba
.xword 0x4f2d36c5f94fcc41
.xword 0x3e643e9528a01b86
.xword 0x90d5e7331624c02d
.xword 0xb3a8e29e4906b10e
.xword 0x22e0de8464b14ef3
.xword 0xe793c06a6f1cbcc6
.xword 0xb38e99f1593fc98f
.xword 0xf4f7d2ff6f17be41
.xword 0x8f2086e6f2ebbb3a
.xword 0x5625a4e4ece3662f
.xword 0x4ca71acbae109b34
.xword 0x68d153a35d56d8fe
.xword 0x2247e74cdc0742b6
.xword 0xf78ceab1cf51fd03
.xword 0x7cc0eaa9d6f63602
.xword 0x2483c3f087e333ab
.xword 0x9b6bb9db18301cc2
.xword 0x732413ba8981ebce
.xword 0x0c7b8a0623d88eda
.xword 0xf687c33f5679bcca
.xword 0x673f33276ea36b97
.xword 0xeb563bf90666e3ab
.xword 0x41a5ea01003429cb
.xword 0x1efe36dc31d65c38
.xword 0xdbac350f4f908836
.xword 0xee87d2cec0c4be3a
.xword 0x55339f2c5cdd8c0f
.xword 0x56cc7c49b6c92f2a
.xword 0xf28b59c351003e90
.xword 0x2f13ca6161826971
_t1_crc_alignment_array:
.xword 9
.xword 8
.xword 13
.xword 10
.xword 5
.xword 4
.xword 14
.xword 9
.xword 2
.xword 12
.xword 4
.xword 10
.xword 8
.xword 14
.xword 5
.xword 8
.xword 2
.xword 10
.xword 0
.xword 13
.xword 7
.xword 15
.xword 13
.xword 15
.xword 4
.xword 1
.xword 13
.xword 14
.xword 4
.xword 15
.xword 15
.xword 15
.xword 13
.xword 1
.xword 11
.xword 11
.xword 6
.xword 2
.xword 8
.xword 13
.xword 1
.xword 6
.xword 4
.xword 3
.xword 0
.xword 7
.xword 15
.xword 14
.xword 14
.xword 1
.xword 3
.xword 7
.xword 4
.xword 2
.xword 6
.xword 2
.xword 11
.xword 6
.xword 14
.xword 1
.xword 2
.xword 9
.xword 7
.xword 10
.xword 8
.xword 6
.xword 1
.xword 15
.xword 11
.xword 3
.xword 1
.xword 1
.xword 10
.xword 2
.xword 11
.xword 8
.xword 13
.xword 10
.xword 12
.xword 10
.xword 9
.xword 3
.xword 14
.xword 14
.xword 12
.xword 1
.xword 13
.xword 10
.xword 2
.xword 0
.xword 14
.xword 12
.xword 9
.xword 6
.xword 3
.xword 6
.xword 12
.xword 15
.xword 4
.xword 0
.xword 4
.xword 9
.xword 12
.xword 11
.xword 3
_t1_crc_src:
.xword 0x794994a1c138d166
.xword 0xf4f0230d8292450f
.xword 0xa698384306c89953
.xword 0xe218387818aeedc7
.xword 0x4c1d2934e3431043
.xword 0x34e3abd46dc941f7
.xword 0x5ba5b33b62965834
.xword 0x248568102ca988b6
.xword 0xbb6b2a9dc3dce019
.xword 0x8ce58f562622cce0
.xword 0xb8c20308d4449450
.xword 0x340ba844a038198d
.xword 0x7c195420c1be35dd
.xword 0xfa5f4108f9dbe888
.xword 0x22bca8e772def65e
.xword 0x9c3c89c6831b11c0
.xword 0x220e06c0d09bd3d7
.xword 0x50f49964708e3d9a
.xword 0x270d490a458b2d2f
.xword 0x79e3fd867fe3463d
.xword 0xb71a91c91b773855
.xword 0x7f96af6f12ec9be1
.xword 0xbb92652780f4fcde
.xword 0x15d9a2e62d027e57
.xword 0x6daaa256c4a403de
.xword 0x2f5df865abe310f3
.xword 0x051c0b60c3b048b0
.xword 0xd3c36828d154bbc3
.xword 0x9c9d1d809225e2fe
.xword 0x289dabb2ecc40604
.xword 0xcbb5397f5ef5e0ad
.xword 0x596c79591e80045d
.xword 0xe7ea921b2b11b3d4
.xword 0xa48f350eebc7ae54
.xword 0x45e58fe942c6a92a
.xword 0xcc9d6551588dbe7d
.xword 0x0029361bdcccf9ed
.xword 0x2d69e71e3e8fa74c
.xword 0x660af7d1f44601af
.xword 0xd7a07a6222fbaa62
.xword 0x494b5ad7fb3ae317
.xword 0xae97678677a380b3
.xword 0x0ce0bd244f328281
.xword 0x76c17cb36dde3041
.xword 0xd03f5a810bc0b2e8
.xword 0xc2b6ea0159a83788
.xword 0xdcc7d307c93169ac
.xword 0x0d1a38f81c3fb061
.xword 0x719eaf02fffe915b
.xword 0xd5b654843b5e48c8
.xword 0x896a28b3fced93e6
.xword 0x31e92004b0043dbc
.xword 0xbf9d5c13fc552cf0
.xword 0x3827d8e9b4cc6b3d
.xword 0x70655e7cd4ed6c8f
.xword 0x0aedbd2f70e14c79
.xword 0x17056181dd2c6a4c
.xword 0x6347afa43a21684e
.xword 0xe05123fc2a8883c4
.xword 0x80b5e83d75bf6811
.xword 0x525032bc85d0177a
.xword 0xf7e533582b341045
.xword 0xa77b143af4f1cd49
.xword 0xf2eb3988b7b69843
.xword 0x659c96b6a221fa6c
.xword 0xb365543f48fa8208
.xword 0x9bc08afe23455bac
.xword 0x1dbc0ab8e25a4af7
.xword 0x0e182b32d5a255fb
.xword 0xc543a241abb932bd
.xword 0x4f8e72e95b90626b
.xword 0xf87a80ce0a8ad966
.xword 0xbe127499663fa387
.xword 0x0b07b5b906ea8d49
.xword 0x2cf3751297ed6eda
.xword 0x0adfddd2020495ab
.xword 0xec2dddb99fe9342a
.xword 0xb4b6fd4d9f2f3806
.xword 0x4b72a8ccc81c5bb8
.xword 0xc38c37e80499a0b3
.xword 0x1db99c3751ad26b2
.xword 0xd750f36c0e7d923a
.xword 0xa9328f7b09933ca6
.xword 0xbae6159680133d4b
.xword 0xf3101a35f4e092e9
.xword 0xdbb65a5762506faf
.xword 0x75824d364e4cf15f
.xword 0x99af51352096b315
.xword 0xe57a959f6ad78f94
.xword 0xa0285ff51b0415e6
.xword 0xe37044ef600f960a
.xword 0x58803e674bb044f5
.xword 0xd6b55aa9bb754e0d
.xword 0x19dbbf2d385e974b
.xword 0xb4b6aaf455e137c6
.xword 0x430be4fc2443b624
.xword 0x698ae3083ba45479
.xword 0x5e40a4f2aca52860
.xword 0xc282735e27356fc8
.xword 0xe73ecf08d6244959
.xword 0x7a56effd8a9ccc92
.xword 0x03827c93f13ec21c
.xword 0x72e3596c48f5a311
.xword 0x74dee802f04c874e
.xword 0x6fafbd46b236ed47
.xword 0x0c6eb27b850e5ad0
.xword 0xf0690b7207275afa
.xword 0x29c6f48aaa255f3e
.xword 0x658277cbc349b1fa
.xword 0xf540c5e0818e15da
.xword 0x0b31ca9a11dc1946
.xword 0x72f261338f64c787
.xword 0xc3fcad2ba0a0049c
.xword 0x41f3f5ea66747241
.xword 0xa73372aeaad3f3bd
.xword 0xf19a0cd4cde706db
.xword 0x6553119f1272176f
.xword 0x1add64e676d567e4
.xword 0x1df710e91661c764
.xword 0xeca389b3e9ce03ab
.xword 0x0134205679cbef77
.xword 0xa4dc684c3ea6e2fa
.xword 0x27e3202cd8275918
.xword 0xe7d95554e3b85a12
.xword 0xb10dacdd55907181
.xword 0x6fde0a4183fe732e
.xword 0x3d91817b259d4b84
.xword 0xf7139988870b7313
.xword 0xdbbeb979406a63a8
.xword 0xef2f6a2887830b4a
.xword 0x8809811a5783e67b
.xword 0xf862660e007b1c99
.xword 0xaf8cf0118ffa94ef
.xword 0xefe71942b93a74fd
.xword 0x99e1431cf17705cd
.xword 0xfddf4ae2172f408e
.xword 0xb4a38ccad0f8e8f2
.xword 0x7253cc32436e7caf
.xword 0xd8f6a2984343cd4d
.xword 0xf2b8ef1331f3daa9
.xword 0x7535cd770e2b5820
.xword 0x199cc0ec3e58a2d2
.xword 0x6354e6c8fc042073
.xword 0xab967044568c4b7e
.xword 0xca14e608831427d9
.xword 0xfd35f98551a8cf0a
.xword 0xa17da9fe806dda6a
.xword 0x55af3080c7fef863
.xword 0xc0166c84cb15ef98
.xword 0x06ff12ac7ed64d4f
.xword 0x27682470519db80e
.xword 0x9de91190bce029a5
.xword 0xb6c8206069c2de17
.xword 0xeca485f56411cb46
.xword 0xd56d73f1ce30a86d
.xword 0xc56767d78dacb792
.xword 0xed52fb26671bbf63
.xword 0x7f74172e8d872c06
.xword 0x12b68786fa454401
.xword 0x527035342f1a2043
.xword 0x1663271e5c666ef8
.xword 0x1c02ef64208c3ae2
.xword 0x505777733f4e5fad
.xword 0x3295f7036baeca81
.xword 0x9a8f1b5222e486dc
.xword 0xeb654c9d544f50a2
.xword 0x32659bf65710bbe0
.xword 0xd52e5a3b6c08842c
.xword 0xf4f2ef60d808baf3
.xword 0x6316000568e28fec
.xword 0x8bb9a51c079cdd81
.xword 0x5bf588a15181c692
.xword 0x4d7ec04eb7fea9b1
.xword 0xf509069fe641a8d9
.xword 0xef764417eef8ca53
.xword 0xcbc82ee775b2f2bb
.xword 0xc16fc64c598ff8e0
.xword 0x268425bf79d92655
.xword 0x9cc4ab840a0830da
.xword 0xd25aa32dba396d59
.xword 0xaa9154aaa0aa3018
.xword 0xff57bdb1568b50f3
.xword 0xc1b0df414e2695f7
.xword 0xfd14f4a0ab093e9b
.xword 0xef0b7e4059346860
.xword 0x585ffdf479f696c9
.xword 0xc14e29e28f244aa2
.xword 0xc20b68f6200ba571
.xword 0xb82a375400fae238
.xword 0x228e4a9ae6e3ec64
.xword 0x9c28e16a767ee8bd
.xword 0x1fc01f2af5894cc8
.xword 0xef32e7967591c99d
.xword 0x4479325eb221d484
.xword 0x40c913afda366361
.xword 0xbd190c743ea8598c
.xword 0x9a24cc04ad324888
.xword 0x2b11e3fe0bb6737e
.xword 0x22a917dcc9906f5a
.xword 0x6142dcde383cf4f3
.xword 0x1d88cd51751726f7
.xword 0x5d3f2dff0619aa93
.xword 0x309db0a4d4445c49
.xword 0x8027d65a40c7314d
.xword 0x8a613eb66b9acfdf
.xword 0xf92f272970d0efe8
.xword 0x7393a92c7b0272cc
.xword 0x866d9afb36ab803d
.xword 0x5893fb14cfeaadfc
.xword 0x178b25444bde12fa
.xword 0x7d1172e40d4bc0e1
.xword 0x3afb470d641b268a
.xword 0xaab766e805c40e46
.xword 0xa4b9e95893a6bd2c
.xword 0x75b4e4f899386691
.xword 0x6825bd5bd7bef4ab
.xword 0x7184163c0ecd64b2
.xword 0xd2f9a34e214e35b2
.xword 0xe3160757c4e55d89
.xword 0x3ef80962556b91ea
.xword 0x2aa8b6a643a707bb
.xword 0xf48830bd003eccd0
.xword 0xac98bc637440e479
.xword 0x2ab21a336929d129
.xword 0x85c45394fa894442
.xword 0xfc35316b91f349b5
.xword 0xb3c32fff811c7e10
.xword 0x3caaf415ec56203a
.xword 0x5154ba3f47d783ac
.xword 0x5209dded577f3241
.xword 0x5610f8d49029c9f0
.xword 0x9d7739a6d448d642
.xword 0x9224869779b24c54
.xword 0x7c3b78e5cce9271e
.xword 0x75159bb232542a36
.xword 0xdb70ea5bca3073c0
.xword 0x76866ff2f75421bc
.xword 0xd666a1cbe287eaf7
.xword 0x879f1b58cd0a8c46
.xword 0x59cb9aa675a219f3
.xword 0x20d5cb9126e6a1a0
.xword 0xdeb264461658258d
.xword 0x1fbdb1c633836d8c
.xword 0x7fb6942574b92fa4
.xword 0x15b60e3a4609039e
.xword 0x1cf98a149e55c9d3
.xword 0x48df28c7ea93d25d
.xword 0xc56907c6d0a9ce56
.xword 0xda6a5d31c6bd3428
.xword 0x7566367dbc182471
.xword 0x984f3b511c60056d
.xword 0x399ab9aa9aebf7fb
.xword 0x7bd479338c8d8175
.xword 0x9beaa3e17bd2302b
.xword 0x43b2f74483e657df
.xword 0x52cfd5537b3477bb
.xword 0x6951a97d3a96c860
.xword 0x0fe94064cac994f8
.xword 0x35574805a67c0a3c
.xword 0x4126418edf8199fc
.xword 0x30a077fe994afcd0
.xword 0xdf5648af88d048d9
.xword 0xefe246002e809cdd
.xword 0x127b318d35cf0e23
.xword 0x1a27abcc1ef77175
.xword 0x850da335307c91e1
.xword 0xf0ce016ba432380c
.xword 0x3b8b9877611b05bb
.xword 0xd6af2e9cfba29769
.xword 0x3489d86a851618af
.xword 0x6663890e3da220e5
.xword 0xa33598f5b7c7724b
.xword 0x0eb46552eebb3357
.xword 0xc5b9d02f22401406
.xword 0xf23fb54f9034a733
_t1_crc_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_auth_key:
.xword 0x0b91f96884a45589
.xword 0x953a2a759dc76640
.xword 0x989335d83056dd4b
.xword 0x72f54e62969e38f4
.xword 0xac01169e918497fa
.xword 0xebb0e7982c9d882a
.xword 0xf86b977fea256a5e
.xword 0x6a616e0d66dbd3e9
.xword 0x13c98b707562677b
.xword 0x6e22786679eddaab
.xword 0xc37d3b3db36fd479
.xword 0x3ca560cce1037c07
.xword 0xda529c92f703a54c
.xword 0x3f3e8dd435cf6919
.xword 0x4906df5f5b868277
.xword 0xce0bdcbccf491b6b
.xword 0x3d64317af728c935
.xword 0xd3bb504752bd6684
.xword 0x7f2a757635648672
.xword 0xb5c201a6eee3a6dc
.xword 0x151945272b82925e
.xword 0xc774845fa5d87022
.xword 0x967a5c5ba876ded8
_t1_crc_auth_iv:
.xword 0x03fd1ed2cd30269a
.xword 0xe76a28bc2465bf70
.xword 0x3017f28d1fa694bf
.xword 0xf27348fbe22eb9c3
.xword 0x2299ea2e38b160c2
.xword 0x01d889c30227a775
.xword 0xda23ad998ec718fa
.xword 0x97aa26d93ae22d16
.xword 0xa42d83fae70c4735
.xword 0xbf74c6e5e84d5f73
.xword 0xa2d320d682df7196
.xword 0xe698666b1b602ea5
.xword 0x5098765a90ac9606
.xword 0xbbb6920688c40ba4
.xword 0x7c10c641fbde9b93
.xword 0xf061420e9ddb2483
.xword 0xfc57414807bd265c
.xword 0xcfcdc07336cbc977
.xword 0x4cf5e6f949172c2a
.xword 0x2e3b7d0928723705
.xword 0x31ecda86aa5d0564
.xword 0x0be98296ef278529
.xword 0x0f6e2a9d3589e57b
_t1_crc_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_key_array:
.xword 0x60d763d4ca2c214f
.xword 0x23cfb987d621d0c9
.xword 0xdfaa3fc7d692b5b0
.xword 0x5bff9308fc7a90cb
.xword 0xb9eb792c65346c6d
.xword 0x0a55dc9e24e0317c
.xword 0xf72d512fc0c9a54b
.xword 0x9cc91ca61df97a6e
.xword 0x966f092de78263ce
.xword 0xd57b91b09c6f437a
.xword 0xf75a8d973e4970b5
.xword 0x1667e86ed5b6c8f7
.xword 0x4a1d1c2caca834c7
.xword 0xfdcaa560e13983f5
.xword 0xd6b02698d5f1cecf
.xword 0x419b9fbdde9cea91
.xword 0xd1e3e340c61d8c9d
.xword 0x28952055fdbbe319
.xword 0xb411a1d7ea09b2bd
.xword 0xe7be70efeefffeb4
.xword 0x13886dccdb96d86a
.xword 0x8aa01e2912fa21cc
.xword 0xab9231b2c4c1c804
.xword 0x71423ffe51af7536
.xword 0xe23e2a5f2a2bbb31
.xword 0x289c8e242f701ad1
.xword 0xc93c46964a4b8d1f
.xword 0x8b44ef7de337a3aa
.xword 0x8a4f39a79cb8fbf1
.xword 0xbaef2bf56240545d
.xword 0xda42ad57718254bd
.xword 0x3e48082d62a9483f
.xword 0xd92707ee42465222
.xword 0x6c111266c4b3eb14
.xword 0xb96349442d132809
.xword 0xf03024ef64a4af0f
.xword 0x8fa266c29d14b399
.xword 0xcf2717e2bfd1a996
.xword 0x92a88dce683cc0a0
.xword 0x83edbfd888f9c0e0
.xword 0x9f1f26c49ee90f16
.xword 0x858ea5dd8d67c774
.xword 0x6dd1d5c4c2780b0f
.xword 0x1acbb8caabd74e21
.xword 0xf79e57026b7086b4
.xword 0xd0da508e39c8416d
.xword 0xa5995c52a2ffb657
.xword 0xcc3c259480ba83d1
.xword 0x30028e0b0d949519
.xword 0x870e5c51535a4cf9
.xword 0x44adf920d1a28682
_t1_hash_iv_array:
.xword 0x9006d66e45aab98b
.xword 0x4f7adcca9bd4e881
.xword 0xd704ab4c71c416f3
.xword 0xca9557928ae76b27
.xword 0x563b09a91ef131a6
.xword 0x7ecaa11078b84bf2
.xword 0x5b9bdaf2a5a991c9
.xword 0x9e3c80c350868a02
.xword 0x3546b0f45d6afea9
.xword 0xb6fab6f416f2d365
.xword 0x19fe8c76d3c646a0
.xword 0xcbd4a0f7ed5c1349
.xword 0x1a55757ce9deeb6b
.xword 0xc2497977ba24cbe2
.xword 0x12acbbaa1b2bd124
.xword 0xb9a5f3d77aa90f55
.xword 0x90da548222cd199d
.xword 0xb08d2c7765142176
.xword 0x2843731c5bd1b336
.xword 0x92c48b51ab53b706
.xword 0x7c8e371a4cf2203c
.xword 0xae89aaa8cb49b479
.xword 0x5e8d7425f74c4c5e
.xword 0x98dc32ae67fd18d4
.xword 0x819a6db588da7c8c
.xword 0x80d8ac1c6bb56076
.xword 0xb8792c0718d5bca2
.xword 0x3da98a62f3a4b5c7
.xword 0x4dae4a82322b29b4
.xword 0xdc806a4f56ea2190
.xword 0x9bcd98082919dac4
.xword 0x49cca5999456aae8
.xword 0x955ecdec4d3844b8
.xword 0xcba514ce5b16d89d
.xword 0x3067de2e264e8e5f
.xword 0xe350078dd85e5ca8
.xword 0x11c308b3fc9a26fc
.xword 0xc2939f837cf51ca2
.xword 0xa805f11688a5636d
.xword 0x7b80fda42b44ec77
.xword 0xe46a37b9c124151e
.xword 0x4f78a22a66460ddb
.xword 0x663627b514f24ae8
.xword 0x3e0cd1fee5bec79c
.xword 0xdce1bcb086d97c88
_t1_hash_alignment_array:
.xword 1
.xword 5
.xword 12
.xword 9
.xword 15
.xword 6
.xword 8
.xword 14
.xword 0
.xword 14
.xword 9
.xword 9
.xword 3
.xword 6
.xword 15
.xword 0
.xword 6
.xword 0
.xword 4
.xword 3
.xword 13
.xword 5
.xword 0
.xword 11
.xword 3
.xword 14
.xword 9
.xword 11
.xword 15
.xword 2
.xword 8
.xword 1
.xword 2
.xword 5
.xword 3
.xword 5
.xword 7
.xword 12
.xword 11
.xword 4
.xword 7
.xword 6
.xword 7
.xword 6
.xword 12
.xword 11
.xword 13
.xword 1
.xword 11
.xword 15
.xword 4
.xword 13
.xword 7
.xword 4
.xword 15
.xword 11
.xword 15
.xword 10
.xword 11
.xword 5
.xword 6
.xword 15
.xword 13
.xword 12
.xword 15
.xword 10
.xword 8
.xword 14
.xword 1
.xword 14
.xword 7
.xword 7
.xword 6
.xword 2
.xword 12
.xword 11
.xword 1
.xword 8
.xword 0
.xword 7
.xword 12
.xword 11
.xword 13
.xword 0
.xword 10
.xword 14
.xword 11
.xword 8
.xword 2
.xword 9
.xword 6
.xword 8
.xword 14
.xword 13
.xword 2
.xword 5
.xword 15
.xword 1
.xword 1
.xword 6
.xword 5
.xword 15
.xword 3
.xword 10
.xword 6
_t1_hash_src:
.xword 0x68e0d5f1f710c4c5
.xword 0xf3e18f87b0cbe247
.xword 0x6ae99f6ce217369b
.xword 0x1672aec848409b00
.xword 0xcd5519a5b0ff296d
.xword 0xa3a1864a2ed90dc0
.xword 0x05eb4f51f0535805
.xword 0x8c8193de82f7a735
.xword 0x6afd0f080236bda2
.xword 0xc12ad78e2b6ec94e
.xword 0x899652d12742b8d4
.xword 0x8dfb8ef34923a859
.xword 0x76177e891164365c
.xword 0xe98714bea444419f
.xword 0x5f183e5c84c88fe4
.xword 0xf02ba69f93304058
.xword 0x2f02a048833eac5d
.xword 0x5d12bdd9ff08eccf
.xword 0xf5a6af5359ece116
.xword 0x7a091f480e8f73f5
.xword 0x1509d09c2b97cbb0
.xword 0xe04ba4bf48ac3e41
.xword 0xa84cd804f94fdc9e
.xword 0x8511ae9de4076e98
.xword 0x88e7c6ce342559d7
.xword 0xa19b7839b071678a
.xword 0x35fc5e32f9abde6f
.xword 0xc6c4a85801e91597
.xword 0xde5c2d14db2c1ea0
.xword 0x75d62daf3f4b413f
.xword 0xca31b95ffaa1d558
.xword 0xadbb86c80423f19b
.xword 0x17fdd0fd1209accd
.xword 0xf6b4d654545bc30f
.xword 0x6d3420603a146ae1
.xword 0x5bcaed5999b7bc51
.xword 0x8bcc722b0c7aafb1
.xword 0x1e29ae6b3d0d7bb8
.xword 0x11ef4e09f7df8970
.xword 0xec52057ffef102bd
.xword 0x2723829ea27620e2
.xword 0x4efaeb27b9ae2b27
.xword 0xa17b74f3be3beede
.xword 0x350a22d6bb3bfddf
.xword 0x19a6c466baec9b8c
.xword 0x8efef83b001b758c
.xword 0x0375e8716ecafa64
.xword 0xcc1ce63f820b73fb
.xword 0x060c9481f9e96829
.xword 0xd8271ad20c108516
.xword 0x4841577ff9acfb7b
.xword 0x5a8e306a5143b8ff
.xword 0xf2f0df64e8d6954d
.xword 0x5f710733ba2da021
.xword 0x6e98a9259f0a8aef
.xword 0xffe71700bcaca3a4
.xword 0x6eb6c1384960f5a9
.xword 0x14ac30085df1d181
.xword 0x661f630d2d564977
.xword 0xfe7f49e56880a0bd
.xword 0xd98232681bdf2481
.xword 0x2f53545283ebf816
.xword 0x7fdf9cee4a08e1a3
.xword 0xc527ae498b58bb10
.xword 0xa7201aea8239d757
.xword 0x4a33832ced704c91
.xword 0x1be0065f078c0bba
.xword 0x9bd092f33f6871ca
.xword 0xfc8e21213e9ebb10
.xword 0x6c70eb946676f231
.xword 0x67d9dd4e0b0e1656
.xword 0x6f01b7b894579714
.xword 0xf03e305fd8498a87
.xword 0x7afdc51e0344b4ad
.xword 0x346b00d894931e50
.xword 0x77d7914d545676a3
.xword 0xe7a226d287377143
.xword 0x127b6740fb6a2bbb
.xword 0x47b84859427c7652
.xword 0xe5a4f573b07187e2
.xword 0x2f4d0c2b35f059cb
.xword 0xa5535db207081967
.xword 0x7520747bc39ad82b
.xword 0x5fa7269ddc78d535
.xword 0xe7d47e5224d353af
.xword 0x76e32ff3a137d094
.xword 0x2cfd304bd7872993
.xword 0x07dbb8fda48d6054
.xword 0x52713cd492d3b7c4
.xword 0x76acf6da00cd9998
.xword 0x368de72199a1a19b
.xword 0x829ef52acbc9ee67
.xword 0xe69043644d164617
.xword 0x0df79f34e393a5ed
.xword 0xbede0b210fc5436d
.xword 0x79f487f4cddddf11
.xword 0xbe086c924b3f8f8f
.xword 0x9623aa2cb3a02d4c
.xword 0xf86947a7a02528a0
.xword 0x6958fc5567a8e7d6
.xword 0x92e860c32cb3b723
.xword 0x9ca0f8a3ee037a96
.xword 0xdd02be834a991283
.xword 0x444f8a78c5237bb4
.xword 0x424c8c8b035f5672
.xword 0x81dfc07c529de0d3
.xword 0x57bac938960b56b7
.xword 0xccca27c6430a3d4b
.xword 0x61681d1f781bce3e
.xword 0xda13184d5930e2be
.xword 0x74644d28c6341a93
.xword 0x1438aa9d7fdf1cb0
.xword 0x7749e3ee05833670
.xword 0x9505fb9d9abd8819
.xword 0x48c0a84fadc7f9b6
.xword 0xb32ba015558f057b
.xword 0x7747d1413e196cf8
.xword 0x007e6d4cedfc76f7
.xword 0x7229068c1f9954b7
.xword 0x752197d1c2e11346
.xword 0xbcaa1d77bf285686
.xword 0x698139de67af930e
.xword 0xa8f65cedf80e9946
.xword 0xa27159d8f066e956
.xword 0xce7969f278516486
.xword 0x00f7d011ed73fabd
.xword 0xe8552f9b51c49954
.xword 0x56d50da6fe3e32c2
.xword 0xa11e1e46824183b0
.xword 0x3bf0fa6c047eee61
.xword 0x590d4be63fb327a1
.xword 0xf0a7a4a0770356ac
.xword 0xa5cb84470d5e2256
.xword 0xb017605fc79ec13f
.xword 0x9a57656f0c428c36
.xword 0x0039dd42cf95dab3
.xword 0xc6bc3be0e249640b
.xword 0xab99586f8bfd08b9
.xword 0x266ff7d262345975
.xword 0x64b99167a9ed1416
.xword 0x6af415a3228d453a
.xword 0x789db6d677797d14
.xword 0xdb4f7bb492eb6297
.xword 0x7f59b4f9e5343de8
.xword 0xe3269820c132a0e6
.xword 0x1aa2dddd9ef2dbfa
.xword 0x02b6b65932d545a6
.xword 0x96bff88e8f12b0ed
.xword 0xfe270fd5437f85f7
.xword 0x9d378ded6423ab39
.xword 0x299b9ddddd8949c0
.xword 0xd7f0be1f40bd7588
.xword 0x292b8c5095f70a01
.xword 0xb95957f3477e30d8
.xword 0xc6598fd025f93df8
.xword 0x545f66b71a2dd7c0
.xword 0x47209a6009543ec3
.xword 0x492acd334b7ad09f
.xword 0x69c1ef35ca545dee
.xword 0x7bd4e6602fd4ea6c
.xword 0x90bdfd862d8d0f0d
.xword 0xa99d74dbc5901ee9
.xword 0xea39141afbad360c
.xword 0xd355453d0ee3c551
.xword 0x5260ff8531bbae1d
.xword 0x6245907c3cefd345
.xword 0x60ea6e6bba364401
.xword 0x0122860e5fe5ef33
.xword 0xf04edb6348603170
.xword 0xb0ef7ede7676f931
.xword 0x4484d61e6d0ce118
.xword 0x054b5bc45183a216
.xword 0xec04455efc70317c
.xword 0x770a00a6cd139a3b
.xword 0x9ba00a82e8fa65ab
.xword 0xfb7b4042cef81131
.xword 0x9a6d4de4b5461a5a
.xword 0x3d726a00520f216f
.xword 0x064295ed59cba44f
.xword 0x4d9b545029644424
.xword 0x9c4329b3d27e23b9
.xword 0x33e3566673128fba
.xword 0x5ed798aecb49c88a
.xword 0x1b9f4d65e6e54d15
.xword 0xeeebef72c38781bb
.xword 0x589641dc5593115c
.xword 0x80eb0f34d0685dff
.xword 0xd43292cd1f0e5166
.xword 0xfe1d9301983f03da
.xword 0xa3d155412f05d519
.xword 0x5d6757a98849f4df
.xword 0x869f6619e9f8dbb2
.xword 0x6753b8f1642987a1
.xword 0x3d2e5022d23fcb92
.xword 0x1ec9b9db0f327d8f
.xword 0xa522468ab67b915f
.xword 0x0a03feb511b4927a
.xword 0x424ebc34a14c2362
.xword 0x0c054fd14c9b311f
.xword 0xa4bd4fe64b06c393
.xword 0xb9717dbb6f82df82
.xword 0xe1ca79ee49605515
.xword 0x1bd34e412c289612
.xword 0xbf6296155604d3f9
.xword 0xc231cf3fc3cb6f03
.xword 0xa59aa043ea393e43
.xword 0xf3f2eb0c5ef6dcb6
.xword 0x5051cfd5f26333b1
.xword 0x10891f1829105d2d
.xword 0xaecbd459e2bc547d
.xword 0x8411f9e4304b6186
.xword 0x9c9bcef8e57d33d4
.xword 0x796d41a28e2d76c4
.xword 0xb84b4fa63b149fc5
.xword 0x1499d296b4110413
.xword 0x0c0e9eaac53a4ed4
.xword 0x0e6d7955cbbdd460
.xword 0x69c48b78941c47ae
.xword 0xc17cbab9e5aea85d
.xword 0x4c13eee9b6cccb12
.xword 0x5691bd4c70ceea15
.xword 0xeb2c06039421a800
.xword 0x8f79dd6fb9e9031a
.xword 0xb2307da6116794fc
.xword 0x9236d36cd6c232cf
.xword 0x94114aba311dd256
.xword 0xd35e48839696b330
.xword 0xd427fd05ffb1deee
.xword 0xc1065f9e625899d5
.xword 0x18f5fcc6c8fc3297
.xword 0xf1583dbf867a8a01
.xword 0x489a2ce1217a9e02
.xword 0xa5b45f5d2269dd67
.xword 0x1abad1ed39844177
.xword 0x12bf6fdf04c15f4a
.xword 0xfe96d8c3453f193e
.xword 0x854109cb0af5072b
.xword 0x828eb118c0bc3c62
.xword 0x63f399e3857eb2fe
.xword 0x80261a699e441a7e
.xword 0x7ac45925e46fda38
.xword 0x516e07693822a9f9
.xword 0x70255db7ced5bf16
.xword 0xae06c61c906fe42b
.xword 0xc2964c5a209af1bb
.xword 0xe8847830c4dd1040
.xword 0xe5b5cdfc68f83ab3
.xword 0xaf7eb620d8d0f63b
.xword 0xce0bd154d50822fa
.xword 0x3f4df50a9efc1f3f
.xword 0xcf53d8e9bf5a361d
.xword 0x5b5e6cd96b0b27f9
.xword 0x154d0503f43fdd6e
.xword 0xc57d0bbf4eeb7852
.xword 0x9a6b91cc86f06f9b
.xword 0xef7a7516cc5d7705
.xword 0x24ce81fc091e2eaa
.xword 0xb77ef92894a1ff66
.xword 0x46abcd7aaa49f4ba
.xword 0x008fab186c18e66a
.xword 0xc64a8b742db67bf5
.xword 0x5dd7fc980b940e9b
.xword 0xb75e7be40ab2a6cb
.xword 0xec8cff487b2d8b1c
.xword 0xb20cf3a7592a00e6
.xword 0xa27fdd308f303af5
.xword 0xa7a503fc5ab3af77
.xword 0xbb204243808a4856
.xword 0x009cc77ebf88cff0
.xword 0xb3ded3d587f08982
.xword 0x6e81a17a5558a681
.xword 0x24135defb0ea0936
.xword 0x33b43556db361944
.xword 0x5a5aa2486b11f78b
.xword 0xc64b22c7fd38afbd
_t1_hash_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_auth_key:
.xword 0x1ba4ecb3f71291d9
.xword 0x290899bb958628c8
.xword 0x3f4f81017d0fcc9e
.xword 0x3e029d92ebafcb51
.xword 0x87971edd9ae27881
.xword 0xa0e8acc19e488e83
.xword 0x8321b672114e758d
.xword 0xc9afec9325ce7f4c
.xword 0xa5a57a1b3a35e9e9
.xword 0x12f50f5a0a7bd01c
.xword 0x65910746b0d20e3e
.xword 0x7ddb858d635d86b0
.xword 0xe78d4e5e182f60b4
.xword 0x383fa992f79f5716
.xword 0x952c6410e520be0e
.xword 0xadd8b20ecb96f4dc
.xword 0xe66e1fd449704b43
.xword 0x0d2a4c486747f7a0
.xword 0xff86602dd8a65375
.xword 0xba34f84b625ecac1
.xword 0x1acd39699d3d6bb2
.xword 0xbd9c99b079ef8829
.xword 0xb30525dc6e1e9cbe
_t1_hash_auth_iv:
.xword 0x497da006607543f1
.xword 0xa39c534830780670
.xword 0xc99d4b316ff8483d
.xword 0xa66e594e2befda71
.xword 0x8351330fa024581a
.xword 0xd92c38faa9d97181
.xword 0x55fadfd4662df4c8
.xword 0x598aaa514792ef0f
.xword 0x8440029be2ea0062
.xword 0x740e2c08f55c6a5d
.xword 0xbecb05881f1e84ee
.xword 0xfc5095095e928c6c
.xword 0xdf0315a1b89d1ded
.xword 0x6f018bcf09e26617
.xword 0xa6745b500896cd1d
.xword 0x3ccfe444ade09f5b
.xword 0xcc61a12a9b65a4a9
.xword 0xbff491df89ecd14d
.xword 0x0dd6044ae818bea2
.xword 0x68692e5b880e8cee
.xword 0x3c1f06e2531a52a3
.xword 0x1451354763b86362
.xword 0x4ad734a037eccf54
_t1_hash_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_key_array:
.xword 0x53d4cf5968d65a8d
.xword 0xdb5d3bb0d06d1e30
.xword 0x709350277111df17
.xword 0xfd59c4d1f9f1acd5
.xword 0xa1bf836296b9f10f
.xword 0xf4052c6bdc4a378f
.xword 0x6b0ecb872fae1943
.xword 0x212f50c565fa2916
.xword 0x5b20745bc5a53f3a
.xword 0xa3d1917847b7c996
.xword 0x1e8f58d660d55010
.xword 0x92cf44de2ec52a69
.xword 0xe6178e3298fee109
.xword 0x0e0727322e143b25
.xword 0x389484af5b846576
.xword 0x28213649befc9cca
.xword 0xee541dd44813016d
.xword 0x91f8257f9ea354a9
.xword 0x00a8bc02532d6a2d
.xword 0xa0154e69a34ef563
.xword 0x10e484252945e140
.xword 0xef798c163966347d
.xword 0x2ba816fcb0a0370d
.xword 0x98926c3a6a72a227
.xword 0xd44d19ce7799dac9
.xword 0x72f926dc383e9fa9
.xword 0x4d904557fbe045f3
.xword 0x51d90c3a028f3e5d
.xword 0xc7cd5c59421ae6ff
.xword 0xf1cc26d60d95048a
.xword 0xd6a192a7f4c6e5b2
.xword 0x9e589fa3621c0e47
.xword 0x318db01d2d455ca7
.xword 0xae14cf3f2a0f4252
.xword 0x0244aa356cda6dab
.xword 0xb3c8d23ea4261427
.xword 0x53744512e9e6af8c
.xword 0xc460d0f67a878ccb
.xword 0x331a10b11a7b1614
.xword 0xf50f257f9ee02f10
.xword 0x2cbc586681a8fb2c
.xword 0x3dd02ad003748941
.xword 0x53ce3f603d82886e
.xword 0x05dd8504551477c3
.xword 0x8a3df4a9b7dcd6d9
.xword 0x716d44561053200e
.xword 0x1e19bf5cfceafcfa
.xword 0x39c87bb4aaa4e8ed
.xword 0x78daf8a58ad40bb7
.xword 0xfd7ca5f3301ff2b1
.xword 0xfb4b5c5246987a5e
_t1_hmac_iv_array:
.xword 0x5959b8b409875540
.xword 0xb9965ae33aaad1b7
.xword 0xf4c5729bbcb8c6d5
.xword 0x32571f576b7f2eb6
.xword 0xc257ffab1e2a5300
.xword 0x4a5599497669e2ed
.xword 0x85cc188d8a625e2b
.xword 0x07f59cb1bdd62ce5
.xword 0x831fd2b4d675bdb4
.xword 0x45e446ed3f0256cc
.xword 0x65204b1a02cc68c1
.xword 0x23d69a3178ac4be1
.xword 0x3f65f7b5c1f12e22
.xword 0xbfbb829982c6980d
.xword 0xcdcdf4e71cfd0af2
.xword 0xaec080e875e6a7eb
.xword 0xe8ff625ddbc140f0
.xword 0x7f84bffd6db69628
.xword 0x38f7656190e3a290
.xword 0x62bf78cfa44e9b21
.xword 0xed3f33c6343eeaa5
.xword 0xe34b182375f2c4e7
.xword 0x8a1d0950a54cfd08
.xword 0x2f86527f327e3118
.xword 0x8e562690454a8370
.xword 0x325a974237824597
.xword 0x37c708b5ec7d3e2c
.xword 0xb6e4ef0c810ad231
.xword 0xe330b8b95e201564
.xword 0x84096f6d8bc79ff5
.xword 0x4c111c7842330f17
.xword 0xf111e0cc14e6dbb6
.xword 0xd2c2bf5a71cf0376
.xword 0x198ab4a0c57e2658
.xword 0x10f1b45291f6852f
.xword 0x38aa7a2697afb2b9
.xword 0xc3fc6b96c3c06676
.xword 0x9147b1813b0db6ea
.xword 0x64b1f649a7fee050
.xword 0x68ba1685f926dd32
.xword 0x22af486682c92c35
.xword 0xa226a8c66c4022a7
.xword 0x6eadb8a6bc01af82
.xword 0x62195cfd87fb525f
.xword 0xf4516619f9dff16e
_t1_hmac_alignment_array:
.xword 0
.xword 11
.xword 6
.xword 3
.xword 15
.xword 4
.xword 4
.xword 9
.xword 6
.xword 6
.xword 1
.xword 10
.xword 10
.xword 5
.xword 7
.xword 12
.xword 0
.xword 13
.xword 6
.xword 11
.xword 8
.xword 11
.xword 4
.xword 11
.xword 2
.xword 13
.xword 9
.xword 11
.xword 3
.xword 7
.xword 3
.xword 15
.xword 0
.xword 2
.xword 3
.xword 9
.xword 13
.xword 15
.xword 11
.xword 0
.xword 11
.xword 2
.xword 12
.xword 7
.xword 1
.xword 4
.xword 12
.xword 6
.xword 0
.xword 2
.xword 13
.xword 3
.xword 15
.xword 5
.xword 3
.xword 4
.xword 5
.xword 2
.xword 9
.xword 15
.xword 11
.xword 2
.xword 1
.xword 4
.xword 8
.xword 1
.xword 13
.xword 11
.xword 9
.xword 11
.xword 9
.xword 7
.xword 2
.xword 12
.xword 14
.xword 3
.xword 2
.xword 10
.xword 8
.xword 7
.xword 15
.xword 10
.xword 2
.xword 11
.xword 13
.xword 2
.xword 15
.xword 8
.xword 9
.xword 12
.xword 13
.xword 6
.xword 11
.xword 10
.xword 10
.xword 10
.xword 5
.xword 14
.xword 12
.xword 0
.xword 2
.xword 13
.xword 5
.xword 4
.xword 2
_t1_hmac_src:
.xword 0x1c7deee7f6d6619c
.xword 0xa7523bd8c4d4673b
.xword 0x8ba09a144d1b49a9
.xword 0x10df46608649c440
.xword 0xf75207680de4024c
.xword 0x06d1b1b1eaa20f28
.xword 0x318b3835fee0d21f
.xword 0xa5ff8ee8875775e1
.xword 0xd4d9365168371c15
.xword 0x2b86aae0acc7289f
.xword 0x2651dfc3a1365b45
.xword 0x6c0bd25059429494
.xword 0xb07a8061c2df8932
.xword 0x4302b46b5825c109
.xword 0xc7fd5043ab15893c
.xword 0x1aee4676e2d5447c
.xword 0x54de3b9898ab0d94
.xword 0x47e006953334bce1
.xword 0x587b7cff3a50f7d9
.xword 0xb4ad8f87e51b647b
.xword 0x44f17401333840ee
.xword 0x960c0d28682488cc
.xword 0x0b755a8a85006939
.xword 0x6c4f1513c56b9f96
.xword 0x9d905101599f706f
.xword 0x9858d2adf4398f82
.xword 0x304dcaeaa5701075
.xword 0x4d854b21bcc73b77
.xword 0x5f618a10c21f2c98
.xword 0x04959145949cc646
.xword 0xf726c8226ca27c35
.xword 0xa699cdba58442b18
.xword 0x043ecc54f107639d
.xword 0x6b171e421440538d
.xword 0x007288a757176db5
.xword 0xcc46067f681de8ff
.xword 0x8a44cb97a6f63934
.xword 0x52026058f3c3b12c
.xword 0x66238fd541d903dd
.xword 0x69d0c36719f83e38
.xword 0xec223dd003e9db11
.xword 0xc9e0aa9d300ff562
.xword 0xba4e9c3eac3348b1
.xword 0xb7f5c66322d44055
.xword 0x6e78662cc1b6d4c0
.xword 0xcc0b93b5a407de46
.xword 0x5e4039fc7f7f47b1
.xword 0xd1d68c61d122f260
.xword 0x2e5d026df8010b51
.xword 0x6411a93651bcc217
.xword 0xce0f5a78f2ed3b7b
.xword 0xa7ad58ef606ceb3c
.xword 0xda64b591c790202f
.xword 0xd95a57b74b8eccf9
.xword 0x10c5c4d7a2cf231d
.xword 0x9d3481aa947b8562
.xword 0xc59c6d9f259ff137
.xword 0x3036fdbe18c9acf9
.xword 0x6f038fed054eef2f
.xword 0x161ed2c8353892ff
.xword 0x4c4fad5b652b29ea
.xword 0x9308b260cc835e82
.xword 0xe1e408d3290f8d39
.xword 0xdabc8e8798e6b074
.xword 0x5aa426bc4edbfcff
.xword 0xc504d567d52480b9
.xword 0xb5ce104a1cb257a1
.xword 0x9f9a2593aa40ae19
.xword 0xc0d3cb52d2402b21
.xword 0x525bdff8f9180496
.xword 0xc5b92dac43f0e008
.xword 0xca0d5feb23f8b224
.xword 0xca7966a21a9ab71f
.xword 0x71546fd1e0da61b0
.xword 0xd492ab33271e3c6c
.xword 0xc700036602633329
.xword 0xa3b3c012ac7b813c
.xword 0x241b53540146dbbd
.xword 0xc2e802c997620267
.xword 0x3e3aaa7c94c569cb
.xword 0xce8326c861e49934
.xword 0xa7eefe6b26b9d2d0
.xword 0x2bff371f7c50e3fb
.xword 0x38a7ae001abebed9
.xword 0x33d5df90d77a1ceb
.xword 0x4443a157d4ac2d0b
.xword 0xd90395b5676e617f
.xword 0x51bcceb011858b98
.xword 0x3389518bf0f333cf
.xword 0x49303e1e4aaa28dc
.xword 0xdfb0710c0dccbdd3
.xword 0xa66a2958e2d9677b
.xword 0xf2e833fe4aa30d7d
.xword 0x0032949d05ec2701
.xword 0xb11ed9838021d139
.xword 0x0ae6d977fbc6887d
.xword 0x6c868090bc5efe31
.xword 0xa83ffc4b1c1044f7
.xword 0x85387d254b5c299c
.xword 0x7c20d516c45732d3
.xword 0x66602f0d74544ef8
.xword 0x800bcd90788f3509
.xword 0x7029d139f00456f2
.xword 0xd1e2a8327d0ac126
.xword 0xd2af8a4bd3e4a18a
.xword 0x9aa257fc5fc22e03
.xword 0x1409b828ec513e17
.xword 0xf0f56db5d9711166
.xword 0x23a6b91cc2c4919c
.xword 0x398f8e852ae95086
.xword 0xb039311ffb58c515
.xword 0x3f52166b821cba14
.xword 0xe3b1aa3bc183cfce
.xword 0x96226aa7a587f9eb
.xword 0x3472cf0245d637d6
.xword 0x113d94cc3563219a
.xword 0x343c2ff97ee5bd41
.xword 0x33371cc6898af154
.xword 0x70098b38b8a0f929
.xword 0xd9fc7c98d6c4e0ce
.xword 0x98ca07152408e71a
.xword 0x9092e774d5c03da5
.xword 0x96053e2b8a33fecf
.xword 0x15d7d2bd68cfeead
.xword 0xf06a79690f26cd42
.xword 0xe894b85c8b52e985
.xword 0x262ddc9094f0dbd5
.xword 0xa50e9398538c86fa
.xword 0x0c4c04412f1479ac
.xword 0x6074ccc9e68f6076
.xword 0x245affcc55ac8375
.xword 0x375c0fdf3899f906
.xword 0x15fd22a3faaaae6a
.xword 0x7805e3f85bf137de
.xword 0xdb89ea0766c4a232
.xword 0xcc6fc9626b79bea0
.xword 0x23bc015269ea34d5
.xword 0xdf06e2ac3f0706ac
.xword 0xae37844e3084c60b
.xword 0xf24725f0dd1e742d
.xword 0x7664687f92caa86d
.xword 0xe54f28b4e472c884
.xword 0x3de78852c0811855
.xword 0xe2f210b54fc4b576
.xword 0x0f2772315d36c66a
.xword 0x637815ec222f18e6
.xword 0x0c1118a47ef474db
.xword 0x56ac2fa1e91d0005
.xword 0xd2da4b130be90481
.xword 0x6af56b362fb9b30e
.xword 0xbfdc44b13f843e40
.xword 0x77bf715dc50af767
.xword 0xa6a91750acfda47e
.xword 0xc740f3c7e712864d
.xword 0x5608dc64e1916771
.xword 0xba33f2c6c08022f5
.xword 0xeb5a56a487ed924d
.xword 0x5df953827b7a9ed7
.xword 0x1338e66570c66056
.xword 0xc31ee636e37a0694
.xword 0xa1993ad88f06e8c9
.xword 0x07c273932c638c7b
.xword 0x26e6a07da5062dc8
.xword 0xf5be2dbf970e12c8
.xword 0x077a04ee3ecbf87e
.xword 0x9816e82772386d3f
.xword 0xefe8a84db18003fe
.xword 0xd039b3f7103d58c1
.xword 0xc8a4aa7f0236a0c0
.xword 0xdca3404917f598e0
.xword 0x74eed98222aa9cd6
.xword 0x38149c50e4bac339
.xword 0x1b88814197fd66c7
.xword 0x186696f7f44c137c
.xword 0xc13cf3060a68e0a4
.xword 0x11cb183decce8cc1
.xword 0xfd773c91c752df02
.xword 0xd96f613b5501abf8
.xword 0x877bf818839d4409
.xword 0xa119e0aba7782408
.xword 0xf474a6023cdc6f7c
.xword 0x3420ba354e520b6b
.xword 0xcad473fa3ee24f1b
.xword 0xfaeeeaf8db2090a9
.xword 0xb7b9657ec7d5ee09
.xword 0xcb7d78597093a913
.xword 0xa75146fb526b34be
.xword 0x5d1744feda22f71f
.xword 0xacdbdd9912497dec
.xword 0xa2d7ec4d236616d8
.xword 0xbf046ef49705807c
.xword 0xcba4d091fea16e0a
.xword 0xd4e62395c86f9760
.xword 0x2c85dfb80bdbfeac
.xword 0x763038c37a236063
.xword 0x8c30b9088e80d4d7
.xword 0x2088a07e87b33b06
.xword 0x9bfe670238452245
.xword 0x48112b8d585197d9
.xword 0xb76cbd04b020b9bd
.xword 0x1a92063627decbc2
.xword 0x8dfc7931d7ebaa2f
.xword 0xd144cc215c91e715
.xword 0x07f18c3125a31985
.xword 0x94115ef7ab13c244
.xword 0xda7a87f650f2dd51
.xword 0x4d3d64c7beec6bff
.xword 0xf178644eb1d7633f
.xword 0x277f7b8f5af62f4e
.xword 0xc55defbbd95edd7e
.xword 0xb02df6767a7fad8f
.xword 0x18112413e71467dc
.xword 0x9e0564f493f05a81
.xword 0x4e79b57a26cc0f5b
.xword 0xb0afd912c2ed9710
.xword 0x63bf29d6e9a507c5
.xword 0xe05d33c42916af76
.xword 0x90e83df57fb3d7e4
.xword 0x2e8a5a533dec9011
.xword 0x6bf4cc18ad78b32b
.xword 0xd0da789369793cc9
.xword 0xfd0d84ae1d66eeaf
.xword 0x9f72c106dbe2f27e
.xword 0x8b4db6b52e1debe6
.xword 0x3943f0748e24fe9f
.xword 0x7e02f676a898b6d4
.xword 0xbe10c68abd47b729
.xword 0x070804396abadf7d
.xword 0x5aec62a3b56504ed
.xword 0x0c09e4a960f137a3
.xword 0xbb1f69ae398d2b77
.xword 0x9a310776067f29ac
.xword 0xf1ba3cdc2beff7ea
.xword 0x22e0a5be0774c4c2
.xword 0xa0f3f5c6c1cd9109
.xword 0xd84f46b3d1697095
.xword 0xedde5bb0c544ef21
.xword 0xa99a71bc7195f965
.xword 0x964da29d29abe68e
.xword 0xbaa9b22caae3c546
.xword 0xabe707db127b139c
.xword 0x97baaf18a1708838
.xword 0x7202466f7f39dc6f
.xword 0xdaa07a53baa09916
.xword 0xed7a465e58ae3ef0
.xword 0xfa14c53ff01ca834
.xword 0x9fb9a7ce98123e5f
.xword 0x3d05f8f56e6442f1
.xword 0x285bfc1b1c0e9962
.xword 0x5ee9bd2579bce79a
.xword 0x75c403d16c180fd7
.xword 0xd919488d196b8f41
.xword 0x69108f84ef9f8aae
.xword 0x4e74f20fe0f9d053
.xword 0x73f1cda55e675629
.xword 0x985940d49ef40530
.xword 0x5a5f4d7d176eb735
.xword 0xbeb0406f3bae49dd
.xword 0x2ca4b86acca621fa
.xword 0x447fa72dbd06e468
.xword 0x5f7d9006de13e34c
.xword 0x783515fe4350f73a
.xword 0x5c600e225caa0bba
.xword 0x7925c7a929e34b57
.xword 0x28a74e361f468c0f
.xword 0x2940d1f4e6d46699
.xword 0x121dcb046f84500a
.xword 0xeba4bd9aa2776325
.xword 0x9ad5e6d7e0168522
.xword 0x027022977ad88fe3
.xword 0x0040e1c6f1fb611f
.xword 0x5c4a9a03140b78e2
.xword 0xfce3777fcf341697
.xword 0x1f54d830044008e6
.xword 0x11b1aca785881c24
_t1_hmac_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_auth_key:
.xword 0x1a97045d1acb44b6
.xword 0x6dd09ad9e5d28c5d
.xword 0xfc5189a929fc630c
.xword 0x2f6cbe90a4f2fc6f
.xword 0x4896be6c40941598
.xword 0xdbd2cae8a8db669b
.xword 0xefeb6a9d4a32a9a2
.xword 0x138b12b31d684b66
.xword 0x24d546ae22f5b18d
.xword 0xd529d128017405e2
.xword 0x5ee13d2e92f2e1c4
.xword 0xa6bc11e9822e09d2
.xword 0x8a8f330e242e2b3e
.xword 0x5f3b585525e5b7f7
.xword 0xb3784f4023b7e274
.xword 0xa89eea3b2cae661b
.xword 0x9e828efe56997763
.xword 0x43a3194800029e2a
.xword 0xed70220dc62a8326
.xword 0x6a6f45ea645264e0
.xword 0xe62bef0a17376d0e
.xword 0x3ce6f29731e76e86
.xword 0x036b3cdea6e8f916
_t1_hmac_auth_iv:
.xword 0xe9815cff721d452f
.xword 0xe7422717e66adc46
.xword 0x684dc8e67f6027e3
.xword 0x86bd67a911866f47
.xword 0x3decd692b2f6f4e1
.xword 0x1dc0b8e5f2d00f9c
.xword 0x7624c0670f51b820
.xword 0x028d52052f4deec7
.xword 0x53ee89c3207f8bb4
.xword 0x49adca3b25843b39
.xword 0x53634c360d18cfad
.xword 0x686ed758b1a3c05b
.xword 0x472e4c411800c8f0
.xword 0xcac0d5044f0d866e
.xword 0xd3c2dcf9e9df5dd3
.xword 0x3d92aa5d9457df11
.xword 0xabdb2453313c6e98
.xword 0x8580c4ca04d3a784
.xword 0x49283c9e2aef272b
.xword 0xf41b3d7ce488df16
.xword 0xf7c7d3712114bff1
.xword 0x93770fa31ff06eb4
.xword 0xd0ac8aa7fb6e060d
_t1_hmac_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_key_array:
.xword 0xde88be1d1b9eee6c
.xword 0x0b86d46269461870
.xword 0xcfb0192c5c11da05
.xword 0xe7604ac550094bfa
.xword 0x098cdf8d56adcac2
.xword 0x3cffc567fad03f76
.xword 0x9c6cb97f29b3bfd5
.xword 0xdb3221c057136264
.xword 0x013ef908562dc18a
.xword 0xc09caf76992f8390
.xword 0x7456e0579e7061f2
.xword 0x6bb01ace9638269a
.xword 0xeb36b95adc279e40
.xword 0x16cc7054204c44f0
.xword 0xe8634934a8a806af
.xword 0xe70e39367ee592fa
.xword 0x203fc302a5821b08
.xword 0x70114e1bfd433d52
.xword 0xcb97c05ac95d617e
.xword 0xc41417f2567faa74
.xword 0x8ffb718a3a661837
.xword 0xf2a46a436e088ae0
.xword 0xba397fc026a83b5e
.xword 0x5808b5b2221b9eb3
.xword 0x8ac84e112a747946
.xword 0x0454e4a8c2df8e02
.xword 0xc25c3442d3b123ab
.xword 0x8e965550471d7947
.xword 0xcbfd27c5ce38f9e2
.xword 0x64df8d0be576c054
.xword 0x4effd6711ec0d13a
.xword 0x403f713ced415f50
.xword 0x48ed1f77b4f78c9a
.xword 0xbdd396148d307699
.xword 0x8ecf7ee6dadac1ea
.xword 0xf673cecc463ff01f
.xword 0xcefc6ce12f9dfb17
.xword 0xbb8a324eb72120bf
.xword 0x2a462cbaa451cf6c
.xword 0x53af73fd918691d5
.xword 0xe56636ec8aa8b62b
.xword 0x83e1c36b8f80fcd7
.xword 0xdc4b090d946e1eb7
.xword 0x0b4e08066b956eba
.xword 0x49b298b14f1b698d
.xword 0x6e91a42e9bdeb4a5
.xword 0xf7ee509bbb6b895e
.xword 0x8cac4dcc3b3b6155
.xword 0xeeeb32679f2b948d
.xword 0x6279676e9551afb2
.xword 0x0ef7c57231fd588d
_t1_rc4_iv_array:
.xword 0x5f0bffdbb808729b
.xword 0x77c0b9c40e8ecbc9
.xword 0x50a23fcfaa56a61d
.xword 0xe31f42fc13c23e8e
.xword 0x00558c01c3e522d8
.xword 0x11d411d4ee0e74c3
.xword 0xea0eb5e1c75329bc
.xword 0xdb625d3ba24ac4c4
.xword 0x1c2c842ab3f2fd84
.xword 0xfaaf20014b218fe1
.xword 0x90a90e57870b283d
.xword 0x323719ac74423227
.xword 0xc7e16ab1fadf7b9e
.xword 0x053d1e20d2b7eaa8
.xword 0x67388980a7855150
.xword 0x0f5930594d8796d1
.xword 0xb1f14b3d4971fb7d
.xword 0x3d99e4ddf2a8b6b4
.xword 0xc222af873e294217
.xword 0xd5e42df0ac38bc23
.xword 0x489578025ed04a25
.xword 0x8caba70c8dd65822
.xword 0x6a3fe160c0d6f136
.xword 0x943c694caccecb6a
.xword 0xd21ded6e7253f3a1
.xword 0x26281b087b82e9d8
.xword 0xdac1ab0fb6f87ca5
.xword 0x3dbed7769dd091c6
.xword 0x06caf037718e0795
.xword 0xd1be01ab7decea32
.xword 0xbdac5c55042de8b3
.xword 0x26096f0943c248a1
.xword 0x4838d05b880f918a
.xword 0x1800512b6ec2608d
.xword 0xe699d89247c5a570
.xword 0xf46d7cef591ddf2a
.xword 0x467a5ed0855413eb
.xword 0x9c5514f67e78322f
.xword 0xaab7675f5cb12b0d
.xword 0xa3d095476b7539d1
.xword 0x66ee40b8d78e0a19
.xword 0x5dcfeda4a9ab7b8a
.xword 0xd3bbd087867b3830
.xword 0xbc6c8b17b673dc54
.xword 0x59e2e9aa2ff84f3d
_t1_rc4_alignment_array:
.xword 12
.xword 2
.xword 11
.xword 0
.xword 1
.xword 10
.xword 7
.xword 12
.xword 1
.xword 8
.xword 7
.xword 13
.xword 6
.xword 4
.xword 0
.xword 0
.xword 5
.xword 8
.xword 11
.xword 13
.xword 7
.xword 7
.xword 2
.xword 14
.xword 13
.xword 6
.xword 10
.xword 5
.xword 14
.xword 1
.xword 11
.xword 1
.xword 6
.xword 14
.xword 2
.xword 8
.xword 4
.xword 6
.xword 9
.xword 8
.xword 13
.xword 4
.xword 10
.xword 3
.xword 0
.xword 11
.xword 10
.xword 13
.xword 9
.xword 2
.xword 3
.xword 13
.xword 7
.xword 4
.xword 5
.xword 0
.xword 1
.xword 12
.xword 3
.xword 2
.xword 2
.xword 15
.xword 1
.xword 7
.xword 10
.xword 9
.xword 11
.xword 8
.xword 0
.xword 6
.xword 7
.xword 1
.xword 0
.xword 6
.xword 13
.xword 8
.xword 6
.xword 10
.xword 6
.xword 10
.xword 11
.xword 2
.xword 1
.xword 13
.xword 4
.xword 7
.xword 3
.xword 0
.xword 1
.xword 10
.xword 4
.xword 11
.xword 15
.xword 13
.xword 3
.xword 3
.xword 10
.xword 14
.xword 1
.xword 6
.xword 14
.xword 10
.xword 10
.xword 7
.xword 5
_t1_rc4_src:
.xword 0x01fcde4c67b8ee1b
.xword 0xa9ebd6aa742238b2
.xword 0x0784216483e1e4a4
.xword 0x386a96f87f874f14
.xword 0xb3478ad98e33427a
.xword 0x697f7385d69ccab9
.xword 0x2b231d1f166dbec8
.xword 0x729e469424b20303
.xword 0x17373d49c658d6d8
.xword 0xdc7ff12526e30be0
.xword 0xfd128b2d95bcaede
.xword 0xf4437defce2a0019
.xword 0x8017a9d3292f1437
.xword 0xd8aad22fa46b6405
.xword 0xc19e605336baa10c
.xword 0x41118abdf2fbe94c
.xword 0x04ce538fef43ec5f
.xword 0x1f3f76ef091f89b7
.xword 0xf93dd5046a6c987c
.xword 0xdffe1ef124ca76c9
.xword 0x6cb8113d3df7388e
.xword 0xadfbbad03f97b2e0
.xword 0xd0dfcd50ed7fbf88
.xword 0x43d2bad3920e2c45
.xword 0xe97616f830b52e8f
.xword 0x3ab5c60e1013c376
.xword 0xe9cdd072cda418e3
.xword 0x6a65843887afb76f
.xword 0x8dd9d6d2a0312842
.xword 0x7f02c8cfdb716a4e
.xword 0x9ed5095edfc487a3
.xword 0x2c76b73bff1e9475
.xword 0x8e0d23e9abb10fe9
.xword 0xb6579d144302f75e
.xword 0x22242ac1d112529f
.xword 0x3f1a63548107f916
.xword 0x175095380e145469
.xword 0x9eceb70ddcab95d9
.xword 0x8ed81106d7e4d10b
.xword 0x5d2f761b3ddbc5f7
.xword 0xb1b8f2fb7aec97f6
.xword 0x79ea027a5f1c0f91
.xword 0xd43c8025bb204e1e
.xword 0x1cfe6c4c0b6d6531
.xword 0x8e9086000cd44d3b
.xword 0x183b564c13826188
.xword 0xb59efe8653eab6a8
.xword 0x6eee332778279c05
.xword 0xea664564c6cf08b8
.xword 0x215af237df8fc902
.xword 0x04b72a9f94afcf96
.xword 0x70c4cbe60855355c
.xword 0x26d76ef49c41b9ac
.xword 0x03a0bad3af598ca3
.xword 0xa1738b367d9a174a
.xword 0x8050042446a06129
.xword 0xa98b1a6acb371fde
.xword 0x75faeda4068b2fd2
.xword 0x2ab59a1ee33166b9
.xword 0xcbbc1d764a85ded6
.xword 0x32a81728e7d09699
.xword 0x907ca5029ab0064c
.xword 0x1391ca95536201a4
.xword 0x5d3252a6b665322d
.xword 0x5753795cc0ef4382
.xword 0x55e8a7c5e90521ee
.xword 0xe3b6d37675c3034b
.xword 0x1e12a46d6ee90fac
.xword 0xd9a044baf7fcbf3e
.xword 0xbbc331d998877836
.xword 0x2f1e96a24ece5557
.xword 0x14f6b7bdaedcadf3
.xword 0x0a5bcd5b547a0bf7
.xword 0xf63084072ba5646a
.xword 0xe682c7029b7119a8
.xword 0x2d5347446956a59d
.xword 0x5fd0a9823e65dd9a
.xword 0x8ca0a75e3d76295c
.xword 0x60d2f538451b1ea5
.xword 0xe0adee1e61075e70
.xword 0x4a11a08e2bf19ed9
.xword 0x82e848508d2c2ff8
.xword 0xc375fbef288c58d2
.xword 0x0fe79f4aedf5285d
.xword 0xbbe6cf2e3677e026
.xword 0xe24225c1da2da12f
.xword 0xe9e737e5a6687bae
.xword 0xf0d4e0586e143af1
.xword 0x42364a7bff45f879
.xword 0x2bc2e30babcf597e
.xword 0xfee6adaa1e07bd33
.xword 0x471b067fedd05d2a
.xword 0x52dca0105d7db105
.xword 0x9e56ad5170f01965
.xword 0x3a9ad889c8167069
.xword 0x6664a7b6f4bd2e31
.xword 0xb1029c86d45cc12f
.xword 0x225d9745a1b9982c
.xword 0x741b89e06c373107
.xword 0x2ff8f20ba4328322
.xword 0xdf922655873dfa94
.xword 0x0bc27de6c700a683
.xword 0xe044c181673623da
.xword 0xbeb9960045d90e5a
.xword 0x4995866b8e333f53
.xword 0x5ec9e25fd52b7494
.xword 0xf84247cf97fa75cc
.xword 0xa33ec8b859831cb4
.xword 0xaf9669a92535eeab
.xword 0xc82799cded35a51e
.xword 0xfbb7279c87124911
.xword 0x71403ee0f4697bd0
.xword 0xba1038e9de95df9d
.xword 0xb4f69eff88b289dd
.xword 0x212317c16469b173
.xword 0x1050f4e0a9f2d910
.xword 0x772d761537f9b421
.xword 0x0a4850c4b56ed3c8
.xword 0x0b87606c073a81c9
.xword 0x947ee36a06e8d9a4
.xword 0x13cc105b31add6fb
.xword 0x64c5f1bc8b2baba9
.xword 0xbb76046fb5c13a7d
.xword 0x4b03220eec183094
.xword 0x89333d03a6780e07
.xword 0xce74b056661ed267
.xword 0xabcbe9f5369fb687
.xword 0xcdcf92c3aacdc190
.xword 0xbce44ec01f22c678
.xword 0x4f731d551b6b2ce5
.xword 0x53bcafce5df8dfc5
.xword 0x9d86575f9d424673
.xword 0x3fd583b64e9343f8
.xword 0x358981fbb5be0d87
.xword 0xd812fc45e57ed55f
.xword 0x5e57b849874cd93c
.xword 0x6f0a555a58a4e0d5
.xword 0xdb12b0f08d4ac0f9
.xword 0x549f0c2d4a2fd860
.xword 0xcb0482673d08a026
.xword 0x1d08be2f25347b75
.xword 0x96f5f05031e96bc9
.xword 0x52a06fc24166f8cc
.xword 0xc7215e4f40b5338d
.xword 0x42f9dbe6f7d50839
.xword 0x1f23cc19c2cc711d
.xword 0x4f7a63fda2b64310
.xword 0x656e68801728c276
.xword 0x0e2c77ea87c13da4
.xword 0xf71ece66072b64dd
.xword 0x1afa398ba7c66757
.xword 0xbb95d5411634f16e
.xword 0x9474b88d42af1e45
.xword 0xff0b2e5fa25d95d2
.xword 0xbd236ce6eb0ad9be
.xword 0xe5bb0886e8784df4
.xword 0x2fc1efae4beb0d26
.xword 0x17fa03a91b3696c4
.xword 0x419cda4aa63e4fd9
.xword 0x3b05d0c0ff3c74b8
.xword 0xcaf8468118d209b5
.xword 0xfd542d66aaf89e38
.xword 0x741d407a5335fc88
.xword 0xffb9836cc29574fa
.xword 0x379afe919b8c803c
.xword 0xffd516e068c8761a
.xword 0xbd4523b356312d26
.xword 0x5ef4742c81ad21a6
.xword 0x874ce4f4a6a76f37
.xword 0x301a0ce64b95aae1
.xword 0xd34f46a1723ef4cc
.xword 0x9804bdfbcbe43c9a
.xword 0x67470a01b52005f0
.xword 0x32709a642d320794
.xword 0x83bb44cea75fd3b1
.xword 0x3ff8a9a900c3b1c5
.xword 0x289f4ba065c2ed23
.xword 0xd13d38cf4b594914
.xword 0x7dc0177fee3125ee
.xword 0xf4cbcbc1a6dddcc7
.xword 0xd994346adfc227ac
.xword 0x0adcb156dc058fb6
.xword 0x669f7755153c9614
.xword 0x0c7ca25da8287969
.xword 0xabf1cf812427ccb7
.xword 0x316bc3b6e8b0379b
.xword 0xa6509f1ee0466b7e
.xword 0xb4600fbd612df72e
.xword 0xb469caec36e43033
.xword 0x1eb5b5d59cc4a16f
.xword 0x254210b7ffc389b7
.xword 0xa6f05e72e1feb741
.xword 0xdabf9428ece0cabb
.xword 0x6e1be17af34456ac
.xword 0xdcf3576d800c9aea
.xword 0x81ea38ce084dbf7f
.xword 0x1e91e38e92754ad7
.xword 0xe1971cb5af97969e
.xword 0x54dd428b7885ea95
.xword 0x151076607871765d
.xword 0x12ad7732a4c006a7
.xword 0x30535ea11299807a
.xword 0xf229ff84e88a9f9d
.xword 0x296633960465ad10
.xword 0x1000f5df53cc5b3c
.xword 0x9cc47fcfb0880237
.xword 0xc1af9a080a83ac6a
.xword 0x8121f83128bea567
.xword 0x12aa15d520c18504
.xword 0x48cc99cfcb71c267
.xword 0xd12cfa2c48485882
.xword 0x333241191c82b548
.xword 0x01cfeebd263c6115
.xword 0xa3ec2416ff162cf8
.xword 0x09f8b4b59eba337b
.xword 0x2d8a88d19c592143
.xword 0x5ffe92a9254f8188
.xword 0x838307361a584f32
.xword 0xc329048e24ab663a
.xword 0x594468f8669bb323
.xword 0xd45a47addbb9e8f3
.xword 0x8aa175008f659de1
.xword 0x20391d625f649031
.xword 0x92917561dff04003
.xword 0x792e7d5132cb2f01
.xword 0xb93af2a1c838c9e2
.xword 0x6126db156598f647
.xword 0x30af9bf55b2ef537
.xword 0x81273cd72766a1a2
.xword 0x9325264e5130852d
.xword 0xeeefda25f410c760
.xword 0x7f845de8e369602c
.xword 0xf25e4fd3d262c099
.xword 0x2fcb24c2c21b01c1
.xword 0xbd9e3c74d479922d
.xword 0x53ed6087038bbca9
.xword 0xc583af461d79789f
.xword 0x2cb9e0287c4b3631
.xword 0x5724646c12f414c3
.xword 0xc3c44df74a5233cd
.xword 0x98daa662385946cf
.xword 0xd81b9928c3015be8
.xword 0x394c1154a961429a
.xword 0xfdc1a7d66bacd24b
.xword 0xf31bfde737334638
.xword 0xf704487c7068022c
.xword 0x4c80b59a32507734
.xword 0x4293fbc7106ecdc5
.xword 0x49fada69ffe22722
.xword 0xf66cfda1ca10f297
.xword 0x06352b49e1c6c4cf
.xword 0x751567c568f2d4e6
.xword 0x62fbca6a34e7e9c8
.xword 0xf5000c36b7737202
.xword 0x2db785ef4d58594b
.xword 0xda6f03ed6fbd98c0
.xword 0x7fe026a861c0a644
.xword 0x8bcfa83d5a7d6058
.xword 0xee638594e72df6f8
.xword 0x5e1aaf01f5f89bbd
.xword 0xd68fc70a7e456e1d
.xword 0x0d29a0077828ddfc
.xword 0x649f921768873f00
.xword 0x8c4df476ce019cdd
.xword 0xf9a1f1ab0331628f
.xword 0xa290f08b1eec2f68
.xword 0x515c306af8ceb64b
.xword 0x5cd343f1a250b531
.xword 0xd3ac3ed709e7cb2b
.xword 0x92bbb3eae69eff64
.xword 0x28af32357c4ce2df
.xword 0x0bdf8808024b99e5
.xword 0xf421739729cb1e22
.xword 0xd0e1981dacdc42ae
.xword 0xea25b8a9165c5bfd
_t1_rc4_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_auth_key:
.xword 0x63d72a7690e01ab1
.xword 0xaaa98154931a9787
.xword 0x8e1d2d90b30eac4d
.xword 0x924c9b5e0b64d4ae
.xword 0x72b537cf63fdf0b3
.xword 0x7753452f3194c1f2
.xword 0x53b75830afd3b191
.xword 0xb034407dbc40c3b8
.xword 0x811875a303202228
.xword 0xb89b6ad320a32a53
.xword 0xc85af1ed4c32f984
.xword 0xe9b07a8449352268
.xword 0x8712c9bdcf3be2b1
.xword 0xe3fdb3379b1a83c0
.xword 0xd579f9b3aad95763
.xword 0x83c0011d145e1228
.xword 0x653c0bc37d6fa2f5
.xword 0xc3aa022cce0e4d56
.xword 0x3ec8318d0f31c81b
.xword 0x8d1dbd048ecc262d
.xword 0x7094fb1a14841b38
.xword 0xd613604daedcc2a3
.xword 0x9cacb4dc2b563d4b
_t1_rc4_auth_iv:
.xword 0x4eb9659f0210b670
.xword 0x14d2812dc06cd32e
.xword 0x728e2df4a3067dca
.xword 0x4b549fd55a6fb1a4
.xword 0x1b0ad1d5e2cfbc98
.xword 0x83350f3e2e291d87
.xword 0x7beb15d44238cd6a
.xword 0x058c58a3c2676820
.xword 0xb55697fdc765402b
.xword 0x010cd16b4f8fd2fc
.xword 0x6e9276c8ffc8f7e4
.xword 0x3174d3325c0068cf
.xword 0x2b35953533bc5e56
.xword 0x136c7e066cd0a5df
.xword 0xf8180f21c1c3fee9
.xword 0xc5a4186ce362d94e
.xword 0xa34ac97c440c48bb
.xword 0x392c9f78753aaeb1
.xword 0xf7152a26252ea20d
.xword 0x79e58eb8287ce8a5
.xword 0xd7c0a95195a5c969
.xword 0x8ef078f9eae00ee6
.xword 0x7fd87957ac49bf25
_t1_rc4_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_key_array:
.xword 0x7f28dd0d1baee7fb
.xword 0xaec1692d3a5e5dc7
.xword 0x9fb91bcf883dbf53
.xword 0x8259b1639a1cb1b2
.xword 0xca79890457b6d1ed
.xword 0xde3d7fbb5e32b2d1
.xword 0x6df9bc2b6f575ac7
.xword 0x2e372634892095bb
.xword 0xdf14d46ad8f610d0
.xword 0x20a1a8f33fccb711
.xword 0x68c7f857030303b2
.xword 0xa54715a0d4fc8789
.xword 0x45f07a4b28f1e3d6
.xword 0x685795ffb200b2fc
.xword 0xf66bd34169d5062e
.xword 0xefcf93ee1aa36de4
.xword 0x51ddba17013bf3b0
.xword 0x542558b5b5d24736
.xword 0x6a60ed942b174c36
.xword 0xf9e5e114c388c87f
.xword 0x6a14cf6b5a497649
.xword 0x80cbde4abacc56ec
.xword 0xb2a5d4bbe2e6b57b
.xword 0x99277c2c88405e46
.xword 0xe77c13400d3daaa1
.xword 0xee16eeb5dacc718b
.xword 0x87736350460878be
.xword 0x254d281fd614d7ae
.xword 0xf4c37ef91fd4b55a
.xword 0x6c70347ca1f20c7f
.xword 0x751d0f5754dd7f5e
.xword 0x4ac94a157bd93a20
.xword 0xa609e05b589c2683
.xword 0x491769e52c8d35ee
.xword 0x35f33ea7eed9c589
.xword 0xeb736c61e15f94e9
.xword 0x2a1c92e00ecc99a6
.xword 0x07d736c7f8bc202f
.xword 0x496a520b2952f695
.xword 0x180f9a8f922ca47a
.xword 0x13b094cfacd19455
.xword 0xcf052f5adf99cf15
.xword 0x4c6f811131a00403
.xword 0x6acf9012d856ed6d
.xword 0x5b65d601f9efc236
.xword 0x52942531562a5bf2
.xword 0x38beebfaa1c6503f
.xword 0xe3c501a40a563e04
.xword 0xd61c859397507e94
.xword 0xf375fc215107f895
.xword 0x511c48f81b6866b2
_t1_sslkey_iv_array:
.xword 0x3357a36ec0d824eb
.xword 0x93282d4025c9cd62
.xword 0xb5722f7d17cdac33
.xword 0x7e932d37738aa635
.xword 0x4c27158455eb6049
.xword 0x8b198db2ac5f5fdc
.xword 0x893ae284380d3e73
.xword 0xef16c7705d5ab991
.xword 0x4496f49da72d114d
.xword 0x8a40484509239a33
.xword 0x123191cd0da89944
.xword 0x97f4b41123b0e445
.xword 0x89cda48cc16903cc
.xword 0x3e597871d866d7bd
.xword 0xb3793c9fcc1b32d3
.xword 0xe415f41fc7a88d28
.xword 0x893024b25d13cd07
.xword 0xadb8ce2abe96668f
.xword 0xde798602bf4397bc
.xword 0xa1f91fb9b5316b45
.xword 0x2967fb09341d30c1
.xword 0x93dc581fb000b482
.xword 0x89479e26fc9147df
.xword 0xea5580399b83ad98
.xword 0x62d6278e9aa96851
.xword 0xf5365fbbcb30774d
.xword 0x134ebd6013e9d9ad
.xword 0x4f25848a8763daef
.xword 0x28a37055028cdf97
.xword 0x958c3c545780eb62
.xword 0xf3a109c8ff18d3c9
.xword 0x1c5ac1b23e1e0a40
.xword 0x81403006ed43002e
.xword 0x28c38ea0a940d61b
.xword 0xf61c87763af39fd9
.xword 0xc0a66272bc089ef7
.xword 0xe9fbdac31fca9789
.xword 0x063fca4287911669
.xword 0x3d808e0338ae7bf0
.xword 0x0c4a3c442677660d
.xword 0xc8362f66aeffd07b
.xword 0x1468c4ae5c7259c7
.xword 0x204985b5fbac0b9d
.xword 0xa67dbd8166f3e803
.xword 0xa6cf5ab496e74f0f
_t1_sslkey_alignment_array:
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
_t1_sslkey_src:
.xword 0x5a325783b67214e9
.xword 0x7551b10a637f70c6
.xword 0x2d07c7b79cecca28
.xword 0x563879154042118f
.xword 0x2ab412d445e0bbfa
.xword 0xcb3c6add443b4f5d
.xword 0x5439ca58a148bda5
.xword 0x4d7521db236f59dd
.xword 0xdd441d65d8d85781
.xword 0x30a60eebc0065e30
.xword 0x428c7b8145b39ae7
.xword 0xc422df90b9dcc3a5
.xword 0x4408f2ba2bb63664
.xword 0x2d2f0e72e63378dd
.xword 0xccc37e799964bc44
.xword 0x2841aefe7caf5af7
.xword 0x88d3bcd76cf74cbf
.xword 0x775a1c0622d8c359
.xword 0x5cdf3241b0b6287c
.xword 0x2efe36faeb2a0913
.xword 0x16ee5f63666dfdee
.xword 0x8a356b05bc0a7736
.xword 0xa3e46420452804c2
.xword 0x7bcf9fcb30042800
.xword 0xc6acf1cc547594b4
.xword 0x8662d0b9cf9406e1
.xword 0x20f99e95b688a20d
.xword 0xf1d8ef47404c802b
.xword 0xee3049d426814830
.xword 0xbca7030bff1762ba
.xword 0x4ddd17f37537099e
.xword 0xc4c295c1a6a8ccb9
.xword 0x40ccfcfe06bd3e0c
.xword 0xec80c348eedcf3c3
.xword 0xc656c7126183cc0c
.xword 0xa7dd843e0978e871
.xword 0xc5244719f13d70bd
.xword 0x2298b84f9fab0704
.xword 0xd6355f6c67ace8ed
.xword 0x2ebdde8d63b1c62c
.xword 0x00d809cde2f4ae5f
.xword 0x181b1abe562653f0
.xword 0xb79047e9d2a72a48
.xword 0x701114e33114073a
.xword 0x01a7eeebdc265c29
.xword 0x902ff0d9b8aa8734
.xword 0x6a90db786da8e3db
.xword 0x32dc84ee2cc79321
.xword 0xb84e18d36d32720e
.xword 0xbebb1c9b2f15628e
.xword 0xf71aed27d4ecbb28
.xword 0x7116f845fc8bc24d
.xword 0xdcc6668170bcb3b0
.xword 0x1dd55017a40fe3ae
.xword 0x5ebc3e39815d8bf4
.xword 0xb1e6362a9f1c8f7f
.xword 0x442854220f5e2746
.xword 0xb028a961799c252d
.xword 0x7740e17586c0f6a5
.xword 0xe881553ddb12bb70
.xword 0xaf1fa6e459f86ff6
.xword 0x4ea2250825a9a4a1
.xword 0x7c5b7ee3066bb9ac
.xword 0x59bd667054c116bd
.xword 0xc610bd43e255fb6c
.xword 0x7e8fb973e5bc32d3
.xword 0x3e52a165abd0d255
.xword 0xc8685ec8d8b8945e
.xword 0xf250bf975b2a2b10
.xword 0xf2791fbe1500073b
.xword 0x50ba9e98755612d2
.xword 0x2efd063947080a04
.xword 0x68bf75cfc5dc8363
.xword 0xc5118f2fee5f1d9f
.xword 0x4bc41f77455a63a6
.xword 0xb9f53c7fd6ebd600
.xword 0x5ce97b0b62539caf
.xword 0x7063101a2a0f9fd8
.xword 0xf8039325f90849a5
.xword 0x67260b5592b78fec
.xword 0xa7bb68c66f552b60
.xword 0xbac116bce5f99efe
.xword 0xcabbc5475f61d625
.xword 0xbc4881770a468b81
.xword 0x4a80167568ef7a91
.xword 0x671510bbc20f4e4c
.xword 0xcaef927c6bc883a1
.xword 0xc285ecf99d0557c6
.xword 0x52e8567c61795013
.xword 0x8fb1d18e457d7103
.xword 0x567f9358c2e8c4a3
.xword 0x2573d38c64e0ec43
.xword 0x7b35f71050885816
.xword 0xc34711eb4dd0676a
.xword 0x9c2c5c55b72992da
.xword 0x25352d6659d53946
.xword 0x50e8505329d4a933
.xword 0x6cdc877a30e2626f
.xword 0x28954fabd2553878
.xword 0x0a9f472df4b4e030
.xword 0xe291026350c4566c
.xword 0x16761585735f8320
.xword 0x44aad93089d86a69
.xword 0x36337eb84e075063
.xword 0xe9f56ea626424172
.xword 0xf83723ae95af4c44
.xword 0x3e6f1f7774a47648
.xword 0x68432e69b7ab9bd8
.xword 0x08c7604f68dcdc6a
.xword 0xc6abda971940ab26
.xword 0x7f211142db1afb5d
.xword 0x4f211e3c50525790
.xword 0x815e582da2dc2112
.xword 0x57879deebc502d9c
.xword 0xb6029261248b356d
.xword 0x751d3014fee68c54
.xword 0x1c97f3c7d2f62d36
.xword 0xe01d8cd9577c62c7
.xword 0x7c4730b0a7307e50
.xword 0x87c02db63d0f16ee
.xword 0x88639647e581ae20
.xword 0x9f813f46c516bec1
.xword 0x00ed25b3676d8c9f
.xword 0x8feccf3047ecdac0
.xword 0xb1971c1b921c30b3
.xword 0x06a5ef9519490eb0
.xword 0xc7c7d046c20c4069
.xword 0xbbdee277a6fcd7d5
.xword 0xab7bd477ab89f87d
.xword 0x3f3ce0c378b3fb8f
.xword 0x5922025278760dbb
.xword 0x0a50066bf409a516
.xword 0x4d914611770f56c0
.xword 0x9922c1a41ec162e0
.xword 0xe1f60c409ebf8d74
.xword 0xde6d9184eedfd444
.xword 0xae35ff721b34bb02
.xword 0xd04c230d5720a5a1
.xword 0xe1ef2c9ad87d1c7b
.xword 0x5b3c99dea4d75653
.xword 0x1b6940fdc3fb4f31
.xword 0xad9d121a324fcaa4
.xword 0x7e2bbf1686cbf0d9
.xword 0x21210dd30947e3ac
.xword 0x12c2dd71fa85f6a3
.xword 0xa8f2732801073aa5
.xword 0x70c2142134c142ce
.xword 0x42e725e9394ce666
.xword 0x6a121593d2acfb4c
.xword 0xac94d76432c16647
.xword 0x42690c4a1f931e2a
.xword 0x00f9bfbc38694852
.xword 0x3f96f96747657688
.xword 0x7e0abf29a1c46650
.xword 0xaf8a60f00f5b9af7
.xword 0x512a8eb7704007dc
.xword 0x689630bfdd91dcdd
.xword 0xeae5734cd04409ae
.xword 0x4c59ff4c000cecaa
.xword 0x83cbb3ea3780b431
.xword 0xcc5d2e1da0f46bc9
.xword 0x2803b7a549cec338
.xword 0x19fb62503f014541
.xword 0x48dba8d64fc23780
.xword 0x4d3e68439d3d2ee3
.xword 0x52160570873079ec
.xword 0xd27d5d64bf67af17
.xword 0x26d12a960f6487e6
.xword 0xa8c819eaef0c3e6b
.xword 0x54dae510536c5453
.xword 0x1a4fff20c67b8a2f
.xword 0x5630877c89e51e1f
.xword 0xa3b37b57fcb9afae
.xword 0x0ec2fc39a750ceb8
.xword 0x7300bb70c1637468
.xword 0x7e7d3ec99cdaa471
.xword 0x037e5b50dea64a06
.xword 0x69ff502203c5666b
.xword 0xbb56082baa0c37bc
.xword 0xbb6cb0d8c5c3605d
.xword 0xb444dcaf24e669ee
.xword 0x1ea5abb09b9e459e
.xword 0x05a33c042b60c11f
.xword 0x99d67f249778f445
.xword 0x6786b37d5383802a
.xword 0xdbe9ee786effff55
.xword 0x40e29156b100af3d
.xword 0xe9ef170bbbbda268
.xword 0xe37c53fa9bf9d04e
.xword 0xa45c65bd397ef84d
.xword 0x724a65cf522aa929
.xword 0xb500697e84e8557e
.xword 0xb6746bd76ade0972
.xword 0xb29b0c79c71e8503
.xword 0xc7d6354a2f85ae45
.xword 0x3969d7c8635fa785
.xword 0x8ddc2f9ba2c32a8d
.xword 0x20d6364347279995
.xword 0x896cb2a5a1796a88
.xword 0x11f5bbbbcc141ce5
.xword 0x6ef5d50fe2f4fa4b
.xword 0x67e27f37d36a7e21
.xword 0x950e5328e670f782
.xword 0xbb9789247159d774
.xword 0xdb4ed7e153423c43
.xword 0xe4209b90ab5e767f
.xword 0x0a457161750cda8b
.xword 0x9d02f2c6e60847b1
.xword 0x2136f7fd102832d8
.xword 0xd867164ce5540e41
.xword 0xc2385d55373e57cc
.xword 0x138c7b56933e6bf7
.xword 0xce092ec52ba8ca3c
.xword 0x2af3213cea45b9a8
.xword 0x5a0bfe94708f958d
.xword 0xaa2669a52a055757
.xword 0xaf7dfc42d4b4cba5
.xword 0x0acadfdd0c93f35f
.xword 0xee4fbab4fb4a176a
.xword 0x1f7831032311d080
.xword 0xce6b30d5122d76b9
.xword 0xf60d06c640dbdb98
.xword 0x13dbf60fc555e715
.xword 0x1521efdad98eb57c
.xword 0x933f20694660496d
.xword 0x1ffe513f25062d9d
.xword 0xb6c3d75f54040324
.xword 0x3e5004702acf734a
.xword 0xb37e84f4e4d4db19
.xword 0x50c9ae40f28c5ac0
.xword 0x66603f34fae77f59
.xword 0x5bc5ea34bf9b324b
.xword 0x6b34aca9e9b28b29
.xword 0x588f701ee240cb82
.xword 0x5594229232798adf
.xword 0xb91d06c3f60c5973
.xword 0xab0f78b6b4332d72
.xword 0x49821054d2714b1a
.xword 0x1c7ed337ea5e1e3e
.xword 0x9e65c0e94787a308
.xword 0x5a961d51fc6ad07d
.xword 0xfa611f82960a4ac1
.xword 0x5217677d44837435
.xword 0x392403e80198e2bd
.xword 0x59f4892c9c15f675
.xword 0x73df20c11ec7c617
.xword 0x88dca085c7342a6e
.xword 0xb33fcfc9cb820a2a
.xword 0xc093d35503205634
.xword 0xee5016cd017c8e38
.xword 0xca0534c831113f7b
.xword 0x2d0ff07b2ab3fe36
.xword 0xb4b0f85a1362b760
.xword 0x89e880a6144b8df8
.xword 0x2f51b3bada7d9cb6
.xword 0x030699e474c265f5
.xword 0x193ad7f1819e860e
.xword 0x3956a949888e1363
.xword 0x2bff77b8cf866f5b
.xword 0x5fd641194aa703c0
.xword 0xac05788d6d2aca5f
.xword 0x87fcfc4a2ee92580
.xword 0x99ec381788bb86ee
.xword 0x2b2dfe102f36849c
.xword 0xeb6d0f2af282abc9
.xword 0x9e6549e7c87cd742
.xword 0xf5678e302f42e8a1
.xword 0x9cf678a390a06052
.xword 0x8497bb6d1e7124a1
.xword 0xd917d1edc79baa91
.xword 0xb15f586876d15b91
.xword 0x1689af3f4f4c4308
.xword 0x95624f906bbdf788
.xword 0x86c6c133af89180e
.xword 0x298b3ca458921310
_t1_sslkey_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_auth_key:
.xword 0xa26c4a438fe0076a
.xword 0x7d9fb7fc02cc405c
.xword 0x6e21b9c7c2199444
.xword 0x383086115f63db0f
.xword 0x6fa6aca801cb7428
.xword 0x501eb5d7d5d35980
.xword 0xb86e34fe7d870b6b
.xword 0xc705f3715b4a0130
.xword 0xb2b1cfc27d95232a
.xword 0xb0fdf430a6ad90d0
.xword 0xe430653c8d697b10
.xword 0x2dc333400f7ac99e
.xword 0xfadfd46f170ab66f
.xword 0xa9b0aae975a859cb
.xword 0x76a92d53d3903f42
.xword 0xd8b5d774d22f2dcf
.xword 0x5d804a3ed669b0bf
.xword 0x78237855d8f528f0
.xword 0xd2f8bf2baa9f7f79
.xword 0x8f47e749ee76ea54
.xword 0xe6ea587d5600bfd5
.xword 0x120d369e2995d639
.xword 0x58e7adf0a4aa5654
_t1_sslkey_auth_iv:
.xword 0xeb05b2cd801c148f
.xword 0x4c9296462c71f202
.xword 0xc7a4974f0e9952e6
.xword 0xf424f313f85ab1fe
.xword 0x9e217f6cf289c0eb
.xword 0x00e457d0c85507ae
.xword 0xbbc819b49aff2613
.xword 0xd7a5842895f78e6c
.xword 0x18e6d8ef69c74033
.xword 0xb4e63b5a115fd79b
.xword 0x4bd5b7de3529b508
.xword 0xf7285ce3d3c19074
.xword 0x084550d654d905ea
.xword 0x447079d4b955f93e
.xword 0x0667c85f3efd1e38
.xword 0xe56d9b8a6dee1694
.xword 0xa60640d86f0ea4fb
.xword 0x94b6405d6c054bb0
.xword 0xc02189015d68f4ee
.xword 0xebcd5e8b5a45737f
.xword 0x774f8f8290451116
.xword 0x5910b45d31c55a71
.xword 0xed50a7b6ef7a829b
_t1_sslkey_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_toc:
.xword _t1_aes_cwd_array
.xword _t1_aes_src
.xword _t1_aes_auth_key
.xword _t1_aes_auth_iv
.xword _t1_aes_fas_result
.xword _t1_aes_key_array
.xword _t1_aes_iv_array
.xword _t1_aes_dest
.xword _t1_aes_alignment_array
_t1_des_toc:
.xword _t1_des_cwd_array
.xword _t1_des_src
.xword _t1_des_auth_key
.xword _t1_des_auth_iv
.xword _t1_des_fas_result
.xword _t1_des_key_array
.xword _t1_des_iv_array
.xword _t1_des_dest
.xword _t1_des_alignment_array
_t1_copy_toc:
.xword _t1_copy_cwd_array
.xword _t1_copy_src
.xword _t1_copy_auth_key
.xword _t1_copy_auth_iv
.xword _t1_copy_fas_result
.xword _t1_copy_key_array
.xword _t1_copy_iv_array
.xword _t1_copy_dest
.xword _t1_copy_alignment_array
_t1_crc_toc:
.xword _t1_crc_cwd_array
.xword _t1_crc_src
.xword _t1_crc_auth_key
.xword _t1_crc_auth_iv
.xword _t1_crc_fas_result
.xword _t1_crc_key_array
.xword _t1_crc_iv_array
.xword _t1_crc_dest
.xword _t1_crc_alignment_array
_t1_hash_toc:
.xword _t1_hash_cwd_array
.xword _t1_hash_src
.xword _t1_hash_auth_key
.xword _t1_hash_auth_iv
.xword _t1_hash_fas_result
.xword _t1_hash_key_array
.xword _t1_hash_iv_array
.xword _t1_hash_dest
.xword _t1_hash_alignment_array
_t1_hmac_toc:
.xword _t1_hmac_cwd_array
.xword _t1_hmac_src
.xword _t1_hmac_auth_key
.xword _t1_hmac_auth_iv
.xword _t1_hmac_fas_result
.xword _t1_hmac_key_array
.xword _t1_hmac_iv_array
.xword _t1_hmac_dest
.xword _t1_hmac_alignment_array
_t1_rc4_toc:
.xword _t1_rc4_cwd_array
.xword _t1_rc4_src
.xword _t1_rc4_auth_key
.xword _t1_rc4_auth_iv
.xword _t1_rc4_fas_result
.xword _t1_rc4_key_array
.xword _t1_rc4_iv_array
.xword _t1_rc4_dest
.xword _t1_rc4_alignment_array
_t1_sslkey_toc:
.xword _t1_sslkey_cwd_array
.xword _t1_sslkey_src
.xword _t1_sslkey_auth_key
.xword _t1_sslkey_auth_iv
.xword _t1_sslkey_fas_result
.xword _t1_sslkey_key_array
.xword _t1_sslkey_iv_array
.xword _t1_sslkey_dest
.xword _t1_sslkey_alignment_array
_t1_table_of_context2:
.xword _t1_aes_toc
.xword _t1_des_toc
.xword _t1_copy_toc
.xword _t1_crc_toc
.xword _t1_hash_toc
.xword _t1_hmac_toc
.xword _t1_rc4_toc
.xword _t1_sslkey_toc
!# CWQ data area, set aside 512 CW's worth
!# 512*8*8 = 32KB
.align 32*1024
_t1_cwq_base2:
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.align 32*1024
_t1_cwq_last2:
SECTION ._t1_T_CWQ_DATA3 DATA_VA=288358400
attr_data {
Name = ._t1_T_CWQ_DATA3
hypervisor
}
.data
_t1_user_data_start3:
_t1_scratch_area3:
.align 16
_t1_spu_op_array3:
.xword 6
.xword 4
.xword 6
.xword 7
.xword 2
.xword 0
.xword 4
.xword 0
.xword 1
.xword 0
.xword 3
.xword 3
.xword 5
.xword 2
.xword 5
_t1_aes_cwd_array3:
.xword 0x40e100e01400003f
.xword 0x40e100c01300001f
.xword 0xc0e100c01100001f
.xword 0xc0e000601100001f
.xword 0x406100601700003f
.xword 0xc0e000e01900002f
.xword 0x40e100001000003f
.xword 0xc0e000401800003f
.xword 0x406100a01300001f
.xword 0xc0e000e01100003f
.xword 0xc06000401900002f
.xword 0x40e000e01700000f
.xword 0xc0e000a01b00000f
.xword 0xc06100001b00003f
.xword 0x406000201100000f
_t1_des_cwd_array3:
.xword 0xc060000008000007
.xword 0xc0e000400e000017
.xword 0x40e1002008000017
.xword 0xc06000a00800001f
.xword 0x406000a00800001f
.xword 0xc06000600d000017
.xword 0x40e000a00e000007
.xword 0xc0e100800a000007
.xword 0x406100e00e000017
.xword 0xc06100c00d00001f
.xword 0xc0e100e00a00000f
.xword 0xc06100800900000f
.xword 0x40e100c00a00000f
.xword 0x40e100400d000007
.xword 0xc06000c00c00000f
_t1_copy_cwd_array3:
.xword 0xa060008000000006
.xword 0xa06100a000000002
.xword 0x2060006000000002
.xword 0x206000a00000000f
.xword 0xa06000c00000000c
.xword 0xa06000a00000000d
.xword 0x2060006000000002
.xword 0x2060004000000001
.xword 0x2061000000000005
.xword 0x206100e00000000a
.xword 0xa061006000000001
.xword 0x206000e000000003
.xword 0xa060006000000008
.xword 0xa06000a000000009
.xword 0xa06100000000000f
_t1_crc_cwd_array3:
.xword 0xc16003c400000009
.xword 0x4163018800000005
.xword 0xc162034400000001
.xword 0x4161010800000002
.xword 0x4162034400000009
.xword 0x416001e800000005
.xword 0x416303840000000d
.xword 0x416301a800000006
.xword 0xc162032400000003
.xword 0x416201a800000002
.xword 0x4160038400000006
.xword 0x416101280000000b
.xword 0xc16103e40000000c
.xword 0x416201280000000e
_t1_hash_cwd_array3:
.xword 0x41620d2100000004
.xword 0xc1600c8100000034
.xword 0x41601b230000000e
.xword 0xc1610ba300000038
.xword 0xc1610e8100000003
.xword 0x41630a8100000027
.xword 0x4160008100000038
.xword 0x416109a20000000a
.xword 0xc16303c100000029
.xword 0xc163030200000027
.xword 0x416218c300000023
.xword 0xc16108810000003c
.xword 0x41630d0200000027
.xword 0x4161140300000026
.xword 0xc162000100000032
_t1_hmac_cwd_array3:
.xword 0xc16110060013003d
.xword 0x416217a7001f0007
.xword 0x41600e05000f000f
.xword 0xc1630825000f0016
.xword 0xc1630f49000f0007
.xword 0x41620c25000f0036
.xword 0xc1630249000f0033
.xword 0xc16205a7001f0031
.xword 0xc16205ab001f003d
.xword 0x416101e5000f0005
.xword 0x41610b67001f0025
.xword 0x41630c29000f0014
.xword 0x41610c09000f000b
.xword 0x416315e7001f0009
.xword 0x41611187001f0030
_t1_rc4_cwd_array3:
.xword 0xc0e100a00400000a
.xword 0x40e000e000000008
.xword 0x40e100c000000004
.xword 0x40e0008004000009
.xword 0x40e1000004000002
.xword 0x40e000400000000c
.xword 0xc0e1008004000007
.xword 0x40e000000400000f
.xword 0xc0e100e000000008
.xword 0xc0e100c00000000b
.xword 0xc0e0002004000007
.xword 0xc0e0008004000001
.xword 0x40e1000004000004
.xword 0xc0e0008000000009
.xword 0xc0e000a000000006
_t1_sslkey_cwd_array3:
.xword 0x10600cc000000000, 0
.xword 0x10602dc000000000, 0
.xword 0x106022a000000000, 0
.xword 0x10602c8000000000, 0
.xword 0x90601e4000000000, 0
.xword 0x90602dc000000000, 0
.xword 0x1060124000000000, 0
.xword 0x106020a000000000, 0
.xword 0x9060270000000000, 0
.xword 0x1060242000000000, 0
.xword 0x9060054000000000, 0
.xword 0x10601b2000000000, 0
.xword 0x906022a000000000, 0
.xword 0x9060284000000000, 0
.xword 0x106025e000000000, 0
_t1_aes_key_array:
.xword 0x01dfe095f5175e97
.xword 0x791ae33e7468b4e9
.xword 0x23bfd9c62fec9e35
.xword 0xc4a52f7073fff1f5
.xword 0xab07710fe9bd416f
.xword 0x1dfa23b4fb68bc8b
.xword 0x9908853126496949
.xword 0xfb49cfd803554e58
.xword 0xf4dc1b64f59327d2
.xword 0xb7afb14465b8a95a
.xword 0x9a4afcd8d4aa47df
.xword 0x20d136d4ac815c10
.xword 0xc404e36c07728fb5
.xword 0xa9c297ef4887ae34
.xword 0xca9d8ac0175fe3b1
.xword 0xa22dea5b7d767927
.xword 0xad80a0a2602b1c6d
.xword 0xfa791741ce1ac4d0
.xword 0x3004f193748ba34f
.xword 0x8707211a236d3d2f
.xword 0xadd305c26302c308
.xword 0x734197bab825c1ac
.xword 0x32936d4d836e23d8
.xword 0x10cbc7cc277bd492
.xword 0x25fc96a5f7fb5d46
.xword 0x530a067a335b26cc
.xword 0x3b7993f50b0271fa
.xword 0xc056487aec5e9bef
.xword 0xabc2ccdd72b16e32
.xword 0x63ef961c1ebe3bd4
.xword 0x9b9f3eebfc1bf328
.xword 0xdec0e82c614c01fc
.xword 0xb6486a4a70888382
.xword 0xe128d82f5012a2b6
.xword 0xe3d2e1cc8f401c84
.xword 0xf2998c279de00fca
.xword 0x7fe26fc40ab09463
.xword 0x5fa05bf0b84c94bd
.xword 0x5ec2a3e519eb1d53
.xword 0x59c02356f0d235c3
.xword 0x4ec308e1bb8ed77d
.xword 0xb9acbcdf7265191c
.xword 0x973fbc224d327ddb
.xword 0x149c8fa41f6ce240
.xword 0xf00ed131428f960c
.xword 0x5a762a3ce3b824af
.xword 0x4fc1fe1dd8a846f9
.xword 0x1492e022bafa0360
.xword 0x4b6e9211da16cb14
.xword 0x02eb78834a0cc6e8
.xword 0x654d41d037e5ffb3
_t1_aes_iv_array:
.xword 0xefe591ac6342adc3
.xword 0xa645672be2bd105a
.xword 0xe1d30f61960c4ef2
.xword 0xa7a617bbeebe25f0
.xword 0x2867d4d00db87d0e
.xword 0xf5c63c672f3530fb
.xword 0x974c2f10c14b59af
.xword 0xacc3cfef009dc43b
.xword 0x4c514de0c3d7e772
.xword 0xf936b1bdb42398da
.xword 0xcc0a0255ede5be83
.xword 0xa226193ee922b928
.xword 0x4814c798a6a2f32e
.xword 0x43fdd31a0d7025c4
.xword 0xf8e479ceeecaa18e
.xword 0xbd365d20ad707777
.xword 0xa6ceefacb006434d
.xword 0xb541533b904af55e
.xword 0x21fb3f5b75aaac19
.xword 0x49b953967570c567
.xword 0xb97a2cc824fc6001
.xword 0xf4b607876d37d543
.xword 0x795c4c3e9beec8da
.xword 0xfc9a5cf9728de98c
.xword 0x0a903a267226914d
.xword 0x8f273573448872b1
.xword 0x882f667b86f56c81
.xword 0x6e915f1cb9d46ead
.xword 0x17a3445e52817155
.xword 0xb021ad00f7e9e6b9
.xword 0x747f78ba64a707b3
.xword 0xda576816c280d2e7
.xword 0x4149db21e3d2d3eb
.xword 0x5462ce9d7e6c3132
.xword 0xce81c5443ae30888
.xword 0x38c860b885e9eafe
.xword 0xc54dc4e666c4584f
.xword 0x44b07f39fa216622
.xword 0xbff4c82b5f5bc890
.xword 0x4530d5d45e63634c
.xword 0x7a189589dc94092f
.xword 0x5533c402a5b9b124
.xword 0x45846ee8e343fdbb
.xword 0x3ea11efdb14eb6e1
.xword 0x3048e6256466a216
_t1_aes_alignment_array:
.xword 10
.xword 15
.xword 0
.xword 8
.xword 2
.xword 3
.xword 1
.xword 9
.xword 0
.xword 5
.xword 14
.xword 11
.xword 9
.xword 6
.xword 8
.xword 11
.xword 1
.xword 15
.xword 2
.xword 3
.xword 3
.xword 12
.xword 12
.xword 6
.xword 3
.xword 2
.xword 0
.xword 3
.xword 0
.xword 11
.xword 11
.xword 13
.xword 9
.xword 8
.xword 1
.xword 0
.xword 4
.xword 7
.xword 5
.xword 15
.xword 10
.xword 11
.xword 8
.xword 3
.xword 13
.xword 11
.xword 0
.xword 8
.xword 12
.xword 7
.xword 15
.xword 11
.xword 9
.xword 12
.xword 5
.xword 9
.xword 13
.xword 13
.xword 4
.xword 7
.xword 11
.xword 11
.xword 8
.xword 15
.xword 6
.xword 3
.xword 14
.xword 10
.xword 9
.xword 6
.xword 11
.xword 1
.xword 1
.xword 15
.xword 10
.xword 1
.xword 14
.xword 8
.xword 10
.xword 15
.xword 8
.xword 4
.xword 1
.xword 10
.xword 14
.xword 2
.xword 2
.xword 2
.xword 8
.xword 14
.xword 10
.xword 5
.xword 7
.xword 14
.xword 13
.xword 12
.xword 13
.xword 4
.xword 10
.xword 5
.xword 4
.xword 11
.xword 12
.xword 11
.xword 6
_t1_aes_src:
.xword 0xe81f52503e47a560
.xword 0x65a16af6b037fe10
.xword 0xa1bd126990a0c0ba
.xword 0x567071282d8a5d8c
.xword 0xe6544fca8ba1ec97
.xword 0x848d41a0e4d829d3
.xword 0xf30ae5a7cf3130b1
.xword 0x56ebf9dc77122876
.xword 0x93952f27fadcec96
.xword 0x32dad3d822a4e3dc
.xword 0x6f5c53ca53708b4b
.xword 0xa04ab9061ea74781
.xword 0x80552ada25d749d3
.xword 0x4e72b72b970f8fbd
.xword 0x52ad2e24670e8462
.xword 0x174eaef1858385b1
.xword 0x8dafc81c37c638e7
.xword 0x09b9b1942a3577a9
.xword 0xd73730ca64414dbc
.xword 0xbd1ec28bcd066b34
.xword 0x6c222969ca6ba263
.xword 0x621d537e340479d6
.xword 0x43c518a9ce223cd8
.xword 0x6829c538bd3905c5
.xword 0x4111c6661d7adbb5
.xword 0xd46f5cba2b860069
.xword 0xa09b8875f9a3ae43
.xword 0x55787dcb4bdebd26
.xword 0x7ef3f38efea24f8b
.xword 0xfe4f08238b72cb58
.xword 0x0086e169a24870f1
.xword 0x8de3f6893f0e887f
.xword 0x1068fe31ecb678b1
.xword 0x3c979a69f2b6100f
.xword 0x041ef42678b28447
.xword 0xf06f2e1cfd3af25a
.xword 0x63e0b6089a3e6f35
.xword 0xbc233808af26fc81
.xword 0x054aa3a26c1f75ac
.xword 0x09d9a3d368767c35
.xword 0xd131107fdfdb4bbf
.xword 0x7275660b158c3cab
.xword 0x45adf4417dd1a87e
.xword 0x242ade8d5919e33a
.xword 0x902f4a32bab50572
.xword 0x1f33b0c0b704f43a
.xword 0x42b70a8230633352
.xword 0xae1eec1e24abc744
.xword 0x27d68d171f223e3e
.xword 0xe8c788e9b0960e8e
.xword 0xc43bba7573a4536e
.xword 0x3015db7d447a7c28
.xword 0xf4beabcc5ce531b9
.xword 0xc0e491d6cac31f93
.xword 0xadbfbbb93dbd6438
.xword 0x845e59b2dc307f70
.xword 0x7cb52a3189eaf587
.xword 0x15ac064758f9c780
.xword 0xb9e373abca882611
.xword 0xe72b23b6bc199805
.xword 0x3d074ef9969c8120
.xword 0x5c197249e7c9ff5e
.xword 0x15903cd9d5e91f5e
.xword 0xee7208276bbdcdbf
.xword 0x667881a138f2038d
.xword 0x54683e3db66730d8
.xword 0xf98da19442785efa
.xword 0xdefc0595f9d9a415
.xword 0x04595e58c8e922b1
.xword 0xb518d314571f138e
.xword 0xdacc5e2459a51065
.xword 0xa859d39399a94423
.xword 0x35acebc268a764c5
.xword 0x6aedb95e226a052e
.xword 0xdc1b7e1d9fab8cef
.xword 0x7a1568db239f6a76
.xword 0x95567832e4cc855b
.xword 0x209e6640ec99cda7
.xword 0x8ddacfdd8f54b7e6
.xword 0xd62558006c01e399
.xword 0x19a47e13c3c1539e
.xword 0x568b2d8edf70de4e
.xword 0x6eb96dbbd1552872
.xword 0x0bdd46a130ec66c1
.xword 0x6e4d6fb4da992b9f
.xword 0x4f9a584845b5f71f
.xword 0xa989e0ef1257b849
.xword 0x74477903ebad9310
.xword 0xc983855e04611fad
.xword 0xb4932d672a986d9e
.xword 0x8ffa45152f40fcd1
.xword 0x7542867b20b670eb
.xword 0xb336e32f0df6e7cd
.xword 0x68660987f3257396
.xword 0x35b977e979f897dc
.xword 0x31f8b27d4d06a477
.xword 0x3ae879b98d5a1465
.xword 0x2b0f63f0c48e8e73
.xword 0x06b4652648429e4f
.xword 0x8f5e681dc5f06ec3
.xword 0x66747ad0939f7991
.xword 0x4fd78521209df8d0
.xword 0xfcc83e946e57af3f
.xword 0x758bc7ae6302c88e
.xword 0x0a191ed960661213
.xword 0x45d0b17661ccf0c0
.xword 0x68c960acdfb8d918
.xword 0x6f6fa6b9140578ce
.xword 0x3f7b09554233dc27
.xword 0x79e815081bef8c61
.xword 0xf4f805c51c031e63
.xword 0x0f9d84590e497fc0
.xword 0x6727bde3556f9e05
.xword 0x753790a2f46f8d00
.xword 0x41f5df4893d05644
.xword 0x6c864d94a53e268f
.xword 0xce5d16e58e89ebff
.xword 0xe78c1964f5a74b10
.xword 0x5b55b7141dbd5abb
.xword 0x4ee00106d434b877
.xword 0x33c8c469b26096dd
.xword 0xc28223ccd5e66d67
.xword 0x4ca51203efdb859a
.xword 0x4a83f067a793cda5
.xword 0xd83fb9df214a6f27
.xword 0xcb94ff8f8acf08cb
.xword 0xb655e5ae6d0ecde9
.xword 0x676f4801c49e95f9
.xword 0x91b03ca51e58518c
.xword 0x13257599a3b24f2a
.xword 0x80b502829b26f1b9
.xword 0xe0b84abd2031a3b0
.xword 0xa2b30d28d286bbbc
.xword 0xdfbbe302a2f3899a
.xword 0xdc92ca29dc2dc8a7
.xword 0xec626b15ae81e3dd
.xword 0x7e39c443f969fc30
.xword 0x779ba06a8cbcb450
.xword 0x4f4f878a58cfe182
.xword 0xb584e38180a72e3a
.xword 0xec687a68e7d12675
.xword 0x3be324f52e5ce43c
.xword 0xa5b22716c725d711
.xword 0xd1bc7d9006ab2047
.xword 0x9fe6849d57e51d2a
.xword 0x8c14c9a3b2147ddf
.xword 0x7d13e8789448d67f
.xword 0x5fe8e02752a85b79
.xword 0x95862292b4bd3475
.xword 0x7b95209f80b94aee
.xword 0xe0611904ab4f7185
.xword 0x1a27220669861163
.xword 0xe54cb2d966bdaf0c
.xword 0xa6a1aa209ef27f77
.xword 0x293975e3f10ff13b
.xword 0x2ec7e744cb72ed6f
.xword 0x0ebc8f7b1430c13b
.xword 0xf3719d666a923d05
.xword 0xf2f08b5699d8b967
.xword 0xfb5ac18679a5325e
.xword 0xff08e7fdc813abc2
.xword 0x37a9f48cecc0db21
.xword 0x213deec2162a7d3e
.xword 0xa4cdd143ea708717
.xword 0x0f6e40112e487262
.xword 0xd3ead717d2502cb3
.xword 0x6d16526716e55b52
.xword 0x1f2af0662e609c66
.xword 0x56613be4f373f9fa
.xword 0x530452729dbeeb3a
.xword 0xa7b93a39ac6f45f4
.xword 0x2fe0085e44837905
.xword 0x7e0dc1be00626e2b
.xword 0x9b2b50a2cb45c073
.xword 0x6833a5145bca65cd
.xword 0xcb15d4c7bd2a0cf7
.xword 0x1b0eaa3924a3ef06
.xword 0x5a799aa79e75a38d
.xword 0xa81a858cd6c5706b
.xword 0x5e4a86e0a19ce098
.xword 0x34f86c2f23b1ab65
.xword 0x0e84da6275c448b6
.xword 0xb8d0b4b4e62382bb
.xword 0xbc033eb8ce34e393
.xword 0x3327fda07c19dc44
.xword 0xbc86ff7eef16b739
.xword 0xe586b6c8d02fd9d7
.xword 0xca243747bc462e11
.xword 0x241d5e57aa28bb86
.xword 0x931c9b774ecd534b
.xword 0xe3bea5d619ec1a58
.xword 0x901610c0601686ce
.xword 0xd0f94ef099615411
.xword 0xad3b93f4ad0f0afb
.xword 0xfa9e8b3a9dfc41d8
.xword 0x2e30cc2189d1dc9a
.xword 0x6ddfb57363d6f96b
.xword 0xfad148602d3e12b8
.xword 0xfaafc25e42dc5c6f
.xword 0xb19d8ddd403b3406
.xword 0xf0951f7494e0fd05
.xword 0x1afeacba086154f3
.xword 0x20dc0874b28b686c
.xword 0xd84c0d5b20172968
.xword 0x5de3a383971fa3a4
.xword 0xf8d5d4cdde065caa
.xword 0xa98676cf97b93b85
.xword 0x78fd18e6dd3e721d
.xword 0x7d5e5e21eab01c9b
.xword 0x10d2bc154f51e412
.xword 0xe0a64405ab6bb2f1
.xword 0x8d3a2c8db20697bf
.xword 0x60de00a26d719d10
.xword 0xce66609d1aa5d12e
.xword 0xe8dde43163e69fb1
.xword 0xbf4d82d02744468d
.xword 0x5d9f49b6b6830158
.xword 0x4f29f49be118e804
.xword 0x4bd2fe2784f7c24d
.xword 0x1b608f21e4856634
.xword 0x12ef85bb0e13ca5c
.xword 0x80eb6c964b609b58
.xword 0xabd20a40e5ad9096
.xword 0x46ed98406b1c3c62
.xword 0x6c0c881b982d81ca
.xword 0xd3277cd24be5bca4
.xword 0x8846fa11e82931f9
.xword 0x51820e18fda0b04a
.xword 0xf4938af2b2873832
.xword 0x818557012996598e
.xword 0x87076a58ca130af1
.xword 0x7113833b48178b86
.xword 0x4057a2979b1ee037
.xword 0x2ee3095dcfc4876f
.xword 0xda669c1457d79e4f
.xword 0xf8cc11ac799c1377
.xword 0x151d59196631d3ee
.xword 0xae650b03b6931d07
.xword 0x36dbb4ba9f1c4fd7
.xword 0x3df54961cfc42158
.xword 0x8029c87dbf18fb87
.xword 0x31f92a88bc6a5337
.xword 0xe246138f2fef2b89
.xword 0x1bd567c80b31379c
.xword 0xd98abb19228a97ba
.xword 0x309dfbd6756c2284
.xword 0x690d1e7095438c42
.xword 0xc4bfb75772ac29c3
.xword 0x559a2078c09266f8
.xword 0xb0b9b2686a47edcb
.xword 0x64d5244602b7ab4f
.xword 0xa49bad250ebd54b1
.xword 0xb401de48db5a1169
.xword 0x903e456bbb76c06b
.xword 0xb836a4f2cb19b9ee
.xword 0xd5a5d668127b18c0
.xword 0x9fd7e507334e69cd
.xword 0xf76f7189f8de22fc
.xword 0x851d293e80f5294b
.xword 0x43d6bbf0ef52e83b
.xword 0xdf5b47d411a429ac
.xword 0xcf32942874ce7c7b
.xword 0x7ab6331adc3dca66
.xword 0xb4d6c042da7ebb2b
.xword 0xe9d7488e37ebd235
.xword 0xd3fcf158e89ec8e7
.xword 0x2cc4118ba2424626
.xword 0x6cfdebfc0ed756f9
.xword 0x4d6e3291015c3fa8
.xword 0x4fe2f81630d1bfb2
.xword 0x6e0be1373c480cff
.xword 0x873b60889a3a6d9f
.xword 0x1a09ad84604e82b7
.xword 0x36003fde15ae853c
.xword 0xaafb585464060e4c
_t1_aes_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_auth_key:
.xword 0xdd3233fc31459d18
.xword 0x4b6b972b7c46062d
.xword 0x53e1243e1c4b5452
.xword 0xde58f15e9ae0354f
.xword 0xc55444152f2ca49b
.xword 0x66e719acfb0c21b6
.xword 0x521bc13e2c304779
.xword 0x37dfa75ef8e2a370
.xword 0xc145c00b351640d9
.xword 0x4bf472fe8f8d9c22
.xword 0xf105308764d1c283
.xword 0xffbdc11d6d30f186
.xword 0x36879bda5eb0c8d7
.xword 0x955f9e655f5328e2
.xword 0x34cc1fb4fae822d1
.xword 0xa580dcb257012b38
.xword 0x96cbb65a9fda8122
.xword 0xffd9f1d299d247cd
.xword 0x12b63b56db955139
.xword 0x72d4c1e22fe8b597
.xword 0xdc82354160042e39
.xword 0x4f0c580116ac151b
.xword 0x2130fe5042d78bce
_t1_aes_auth_iv:
.xword 0xd82584340b2a5b2e
.xword 0x3da4242f9b4b5c38
.xword 0x835ad94f16538c57
.xword 0xc9304e7ecd219b03
.xword 0x0b18f02d8188ecc0
.xword 0x74ce840af3e9a12f
.xword 0xccfe50f55b074600
.xword 0x97e8a8f0c3fcb9c1
.xword 0x8a52bc47b227cc6f
.xword 0x5c98ecba9b1fd9ec
.xword 0x1499e5a412c68e50
.xword 0x5edf4692b09513cc
.xword 0xac2452668478f789
.xword 0x93ec504c44720cfc
.xword 0xc7c0fe1755d2d4b9
.xword 0xd82b7e30236a5887
.xword 0x73873e0acbf08222
.xword 0x1c222fcf08c96ffb
.xword 0xa644c60cf5505906
.xword 0x0edc4b1e84f10ac3
.xword 0x8834f60edc3c75ed
.xword 0x4b438d2964f15b40
.xword 0x31da0341d494b67d
_t1_aes_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_key_array:
.xword 0x91afe7790438983b
.xword 0x068fbde9355061c7
.xword 0x1e89452ae5fab0ee
.xword 0x9bf9a14c64317174
.xword 0xb88f8b4caae5a9e8
.xword 0x6246689925ad52d6
.xword 0x735e84bdcf40b207
.xword 0x6fc6856542f8bf2f
.xword 0x9052e32d78d23eb6
.xword 0xee57768b67fbe79e
.xword 0x2f8d00943d67c240
.xword 0x85660abd121da93f
.xword 0xaa37bf7a6067c553
.xword 0x4a2d8d61856366e0
.xword 0xe357599ca45aaa2e
.xword 0xe743eed18bd3ada8
.xword 0xbf61d9ba8c65361c
.xword 0x6e1ad157f76b6f64
.xword 0x327139f664692d91
.xword 0x3d1ff24602a067b9
.xword 0xbd9191fe98eb2cda
.xword 0xa757d1b5c5e97005
.xword 0x05b08d5e3feeef25
.xword 0xca072182d8036f46
.xword 0xe06568a9bd5b4e5a
.xword 0xd32455f59b8faf03
.xword 0x9cb7cff5d0276c4a
.xword 0x748c4807bd97338c
.xword 0x7fdaa0b49a920621
.xword 0xfb0f3bab2725fa65
.xword 0x87b9791194a934dc
.xword 0xd9dabfe39ee509e2
.xword 0xfd151028a6a26402
.xword 0x77ed0efa8050d2c6
.xword 0x91992d562e3c6898
.xword 0x74830f315f24329b
.xword 0x2f46c2c43d500640
.xword 0x439a758516fbcdf9
.xword 0x1f80da345b728cc3
.xword 0xa79345b43b0faaa8
.xword 0x3552ea46fd5a452f
.xword 0xd496b01a325f1ed7
.xword 0x88d7de57175e4082
.xword 0xffb99a4ad870d113
.xword 0x35014abbdca0bfca
.xword 0x1244c4e8afa3116b
.xword 0xeb5f27d8a52bdd83
.xword 0x8200fc5a3e0ade12
.xword 0xa7d91a6255e59ef7
.xword 0xa95285635abe8a59
.xword 0x7b7e36e22709ad6b
_t1_des_iv_array:
.xword 0x0e39868fdc1b3746
.xword 0xc4335aed49c65c79
.xword 0x5d2af91b374af9a2
.xword 0x232e445e546625e2
.xword 0xf78cca7aba7f869e
.xword 0x5b59edf7107e1839
.xword 0x02446284ba852848
.xword 0xce23330ffeb87f78
.xword 0xec67a548063ebb93
.xword 0x7f1e47280f1f2e4a
.xword 0x7607a938d2e9d16a
.xword 0xbe2f8c3e06cb0664
.xword 0xdf6e47ad8a333aea
.xword 0x5614392db4f74d60
.xword 0x917624a76bf0dfcf
.xword 0x657ca849f72f9d79
.xword 0xb90a7e0b51c52aeb
.xword 0xdc6ec92c4fbab640
.xword 0x971f24407a7b1f52
.xword 0x7bae1ead97e2d976
.xword 0xf524e83ac5c80dd6
.xword 0xeae56a38b139c762
.xword 0x15a4c46584429f90
.xword 0x35c5dac4d6b79d34
.xword 0xe0a1b2f60d64116c
.xword 0xbd7a2a1771a9bfab
.xword 0x11639b53374544ac
.xword 0x74ac1e571aa4bbc6
.xword 0x2b89ebfe2370ebb5
.xword 0xbc4985d6a92a0acf
.xword 0x8cb5974aa814aae0
.xword 0x657c8b366b5a66f7
.xword 0x89de98a9476e6343
.xword 0xa8358efd41dabfd2
.xword 0xa124110c03d29e01
.xword 0x12fa5ba3d6e62fdc
.xword 0x24d5309a937dcab1
.xword 0x03b8bb58dfc660e9
.xword 0x29500b4211fa6a43
.xword 0x2857b592b93f7b3f
.xword 0xf33b9d2a5a9bd4a2
.xword 0xdcac7f7bd905736e
.xword 0xb2e5c9f2b6ca9bc3
.xword 0x772a5f683db9647e
.xword 0x05328dcf5c3d42e2
_t1_des_alignment_array:
.xword 0
.xword 15
.xword 12
.xword 14
.xword 13
.xword 2
.xword 8
.xword 10
.xword 3
.xword 15
.xword 11
.xword 10
.xword 3
.xword 13
.xword 10
.xword 15
.xword 9
.xword 4
.xword 15
.xword 13
.xword 12
.xword 14
.xword 13
.xword 6
.xword 13
.xword 0
.xword 7
.xword 2
.xword 5
.xword 14
.xword 8
.xword 13
.xword 0
.xword 7
.xword 4
.xword 4
.xword 5
.xword 2
.xword 12
.xword 7
.xword 3
.xword 9
.xword 7
.xword 12
.xword 2
.xword 12
.xword 1
.xword 15
.xword 1
.xword 2
.xword 8
.xword 5
.xword 2
.xword 6
.xword 4
.xword 8
.xword 15
.xword 0
.xword 6
.xword 2
.xword 5
.xword 10
.xword 7
.xword 5
.xword 15
.xword 8
.xword 7
.xword 7
.xword 13
.xword 3
.xword 8
.xword 5
.xword 2
.xword 5
.xword 14
.xword 7
.xword 5
.xword 5
.xword 15
.xword 7
.xword 7
.xword 14
.xword 12
.xword 2
.xword 6
.xword 11
.xword 5
.xword 9
.xword 1
.xword 0
.xword 8
.xword 3
.xword 4
.xword 4
.xword 14
.xword 11
.xword 13
.xword 11
.xword 3
.xword 11
.xword 13
.xword 8
.xword 9
.xword 4
.xword 14
_t1_des_src:
.xword 0x090282c3332f4214
.xword 0x0daf55620189cd7c
.xword 0x4bca841285018ad4
.xword 0xe1e63a1b40e27773
.xword 0x154d746897783bdc
.xword 0x205f5ffe2fbaed77
.xword 0xe56871fb03371bd9
.xword 0xb3c0c529abe5879c
.xword 0x36e49e899b9c1b01
.xword 0x0b14ed0a8e2d1d4f
.xword 0x54c988dc49034178
.xword 0xa0dd132a96f89e28
.xword 0xde28ab5643e7f775
.xword 0x7a37a586ffde69ab
.xword 0xc646e966893be91e
.xword 0xe7052031713f164a
.xword 0x03a6aea6b16ec204
.xword 0x97517b15698872b0
.xword 0x5d762f29ec41fae0
.xword 0x63884ee8d3ad60d2
.xword 0x2e42a782cebca43f
.xword 0x7af92156e9a65377
.xword 0x8114a6aab99566df
.xword 0xc9c9a1f2f21927f7
.xword 0x02b9a1f80230723d
.xword 0x5f138f0217a0f702
.xword 0xe586347b00451818
.xword 0x17c138192875eb42
.xword 0xcf4df050b8ccf907
.xword 0xb867211f2c883905
.xword 0x61df292d7dcf1623
.xword 0xf07c9e9e00212876
.xword 0xded198440f00a40e
.xword 0x87e45a084dc5549e
.xword 0xc870dd538f16fccd
.xword 0x70109f9522b7a726
.xword 0x8f02933ef463b947
.xword 0xd6126bd1eed62002
.xword 0xb01984d3cb15d938
.xword 0xc49519fb3d1bea93
.xword 0x916e2b5fdd264723
.xword 0xf218d24258688139
.xword 0xb27c97dd294646c5
.xword 0x6a00b680c6987016
.xword 0xe2935951e488fc7d
.xword 0xd5c68f48009ef113
.xword 0x99872a0bfa520af4
.xword 0x41160a907dec023f
.xword 0xd1434c49468ebd0a
.xword 0xd0e2cbff787e7210
.xword 0x9824b86e52210d97
.xword 0x4d1b9771a0aa1a39
.xword 0x28ecef4c1d25a7b9
.xword 0xf3e4cb62a72f78b4
.xword 0xfc492021fb599fa1
.xword 0xf15d140388a21688
.xword 0xa8e2debb7a790525
.xword 0x29880ae2156c245d
.xword 0x9ba73758b8120694
.xword 0x593b6ef2850b10f2
.xword 0xaf59c143504ffe24
.xword 0x1aec65090fa69ed4
.xword 0xb2b3dc0cc684519c
.xword 0x9fc1eb56e652d08a
.xword 0x52618167c1c8103c
.xword 0x624f5a25ed003b50
.xword 0x6a38eaa1ba87441d
.xword 0xc08f71fb63624035
.xword 0xef03ba7107d955cf
.xword 0xb82c5760e37fd0ab
.xword 0x5579c221ace4ec5c
.xword 0x4427592146fd8ed8
.xword 0xa462ddfe97600ee5
.xword 0x5cbe4aa1938ac7ad
.xword 0x5470b643c46cd0cc
.xword 0x2431bff3deaa2839
.xword 0xd21cd8d9ad6568c7
.xword 0xe6a2d56ca89f233b
.xword 0x00df992a568bd7e1
.xword 0x2916988f451b5eaf
.xword 0x0be1618fdea5a747
.xword 0xc5f4620c27214fc5
.xword 0x4e493d51fc401b0a
.xword 0x5c9f7a20b6066cf1
.xword 0x97e60b97c61118f7
.xword 0x7abc10aaa2dc6907
.xword 0xbd449b593fe4c67e
.xword 0x1172e84f5ec93346
.xword 0x75f7402d27518a14
.xword 0xa41fc17ebe5e1093
.xword 0xfa263a056d371934
.xword 0x2e29d95ad4aea8e7
.xword 0x8a285e7bfb2c301e
.xword 0x18a3853835e9d0b4
.xword 0x021145abec686762
.xword 0x96663e6c9d65eb4b
.xword 0x4b7707cce1c693e7
.xword 0xd6f6349a0a7a36bf
.xword 0x742488cc19a0857e
.xword 0x3d2351d661a5410b
.xword 0xab75700605a65087
.xword 0x980bf027fc18b81e
.xword 0x3518a9bfa402c8d1
.xword 0x9aa45b17ae0b19e4
.xword 0x413a8407bf825a57
.xword 0xf9681d7f9a6f45a4
.xword 0xfcc373ff7215dd6a
.xword 0x0c9f0b6b5eed9c29
.xword 0xa9b3fb069ae227a7
.xword 0xbc7e26a5ef049151
.xword 0xdb7aa43fabbfb15b
.xword 0xb67b03175b2674f1
.xword 0xb8bdec598e9728c6
.xword 0x07715be196d9d15e
.xword 0xf114d712e789a60a
.xword 0x7ec247e303a1a024
.xword 0xfec67067274be71e
.xword 0x58d45523ed400f9c
.xword 0xd77e3522d9152ad0
.xword 0xa4b23f765f627d8d
.xword 0xe5d1ee6cb28a598a
.xword 0x719c91a2bcd9d988
.xword 0x4cf66f953d2dd872
.xword 0x6ae9edda46f3874f
.xword 0xc598444e6e2a6713
.xword 0x09401f518cc750ef
.xword 0x27a1630ce8674870
.xword 0xaa2ec28ca0404755
.xword 0xb9a81ebab9353151
.xword 0x865657eeb3df8a7e
.xword 0xdedf25b18c03fc0a
.xword 0x9aeac3eee6d18290
.xword 0x836b1a686cd3768b
.xword 0xcf98157321a00a8a
.xword 0xff788c7a53d1d45a
.xword 0x4ca5e23b99c1f83d
.xword 0x22606fe8674a8e04
.xword 0xc91dadb5927574cc
.xword 0x9ffcc12a217af88a
.xword 0xc9ca2d07dffbf2fe
.xword 0xf7855d551bca0b15
.xword 0xb5f6a8035bfbba8b
.xword 0xeb1b5e23c6f4bb44
.xword 0xf9be4f122eefe8b7
.xword 0x58d22c89b2aa4e48
.xword 0x03e40693a0ea7880
.xword 0x3a6838198d6c0e25
.xword 0xed582ebfe8c3d2c7
.xword 0x4020d92ea8ac4bef
.xword 0x8db2a9fc4996c7cb
.xword 0x3748460c64fe68b2
.xword 0x54e00feea9044b51
.xword 0x38ca69f6d8c8d89e
.xword 0xa243a02ca0c31abe
.xword 0xdab1f858716e8661
.xword 0xe93a52d0526a20f6
.xword 0x2c3d92152a746513
.xword 0x291d5d481aedf514
.xword 0x334371f836b354be
.xword 0xf2b6bec4392d23b3
.xword 0xbf0a1bfdc2d000ce
.xword 0xd13d9e6486b21bbb
.xword 0x758286ddac5b34c8
.xword 0x53b6d1223e73d619
.xword 0xef9c5690b36a2794
.xword 0x8bb6a72cd471eddb
.xword 0x15d2c81aedb46d4f
.xword 0xb5a5461d2a510568
.xword 0x40d8fee155a83db8
.xword 0xd5b5f6bd6f8375bf
.xword 0x67b922ee2fe7c581
.xword 0x4430f9dfafda4f5d
.xword 0xa1e9705af16b377e
.xword 0xa0857be66c5a5916
.xword 0x2fb32b20eb8e251e
.xword 0x59856dfdcd93d637
.xword 0x1c8df6baebdcf95d
.xword 0xca94583f8f490c00
.xword 0x45eab7e9ef6b22fe
.xword 0xd2cb354b9c2b3eb0
.xword 0x015c394f4f48bdc4
.xword 0x038fd8a514788d50
.xword 0x14ad8668f714be1a
.xword 0x1988f9ecbd5a2f4b
.xword 0xb4a7bf856c143d72
.xword 0xfaad41657594b807
.xword 0x9a360819f03fdec0
.xword 0x1046e25d35a4da51
.xword 0xfd6079a319a65c53
.xword 0xf7c5826b14dcceab
.xword 0x6b1ca7d684d85692
.xword 0xb4a36ca68c2e0c57
.xword 0x89dc3de87679ee82
.xword 0x304d84c9ab889a82
.xword 0x3d98617e91ceec8c
.xword 0xb955e070c0f8fd6b
.xword 0xce8ae664f1388943
.xword 0x03d23be6ff861808
.xword 0x00f9abb164405e4b
.xword 0x120d8e494c98b085
.xword 0x359ac399a05f49bf
.xword 0xdc5f82c27587790c
.xword 0x600cc66b1c6acb4e
.xword 0xc9fc7e357d83422a
.xword 0x4870cf035679fcf1
.xword 0xda8f16b29d7231a8
.xword 0x7327f8b982f246ce
.xword 0x3e2dee222b685e27
.xword 0x8cb39ba33abd31f3
.xword 0xab8c5e477bb803de
.xword 0xea4e4af78bf1f8de
.xword 0x9878bb36d99692b7
.xword 0x79bc8638f14a2295
.xword 0x4c343450216e2f63
.xword 0x3af9e3a98fff41d4
.xword 0xc2a58f129fea8fd7
.xword 0xe07f528bb46a37f5
.xword 0xc8de3020e0d1a629
.xword 0x15e16a1dde920131
.xword 0xf453331a9119c202
.xword 0xefd8cbd2f60b40b4
.xword 0x2b07b11c0909414a
.xword 0xced07a2bd5871805
.xword 0xd2cf780606aa66e1
.xword 0xcb6bc4b87e302456
.xword 0x7834cb4a3e94aa1a
.xword 0x6ad2f7c1ed5dbb78
.xword 0x2e493997e4e20555
.xword 0x761d09aa5b5744f5
.xword 0x5158829ea50cba5d
.xword 0x2cca19d591f05042
.xword 0x8278e50a6c4bf117
.xword 0xa15872c019c62156
.xword 0x6ae27dab13841902
.xword 0xdb1416da2b597780
.xword 0xcf7a9b494a519996
.xword 0x011cc3ee92fda52d
.xword 0xa430f681850708f3
.xword 0x2a3ac5f88c8dd6f8
.xword 0x04bb845d190df7e2
.xword 0xbb24d2a99a029781
.xword 0x367dfa265f2163f6
.xword 0xe312942b9e2ea7a6
.xword 0x7c5752a5102caf96
.xword 0xb46cf226e219d71c
.xword 0xfe0f11c7c914dce0
.xword 0xd1e587f640ea3b74
.xword 0x15c2c671c78a3cd3
.xword 0x0b202959dc55604a
.xword 0xb61e4cd6c4fc9946
.xword 0x9715ec3baa199d0b
.xword 0xb264505b0569f74d
.xword 0x1e6a06166085d061
.xword 0xb2bb36e67a34caad
.xword 0xb85de04a4fc3cbb4
.xword 0x9ade60afb4af4aeb
.xword 0x0b1a278d37d878e9
.xword 0x5d1b5d868463d70f
.xword 0xfa5b54065489927b
.xword 0xdd4cdfce0241830a
.xword 0x8d053c073d606d2c
.xword 0x2451ac40a7e01b1b
.xword 0xffe6704d7898209a
.xword 0xd3da04d71b9779d5
.xword 0xc0fcab1897d5f820
.xword 0x1fbc483913d04770
.xword 0x036f4737416fa2cb
.xword 0x8c802ded11934709
.xword 0xaa2ed4057f11abd9
.xword 0xcbeca14542b3f5c5
.xword 0x141e05ef56ff6b4b
.xword 0x5d26eb1395bfc5fa
.xword 0xb80a4fe1a823b3a4
.xword 0xcb021121b31f8f14
.xword 0xf4ace22e043466cd
_t1_des_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_auth_key:
.xword 0xa19abef3e013fef5
.xword 0xe6b7de59a5d2aad6
.xword 0xc287bdf61924307e
.xword 0x2131deea84d819c4
.xword 0x5a1128f48b5c7f89
.xword 0xdb49722a177760ac
.xword 0xddc1f4aaf1a054e3
.xword 0xef0eadd3f373a81f
.xword 0x094cc8ee9b485220
.xword 0xda62cd8b44265011
.xword 0xb4ef24ccbfd2e8a6
.xword 0x44c3cfba565d6fc3
.xword 0x2d368562378a497e
.xword 0x78d6244ca8c1d214
.xword 0x5960666fce45d2a2
.xword 0xd00c95ec95424876
.xword 0xfd75ebc872151639
.xword 0x3634ff1bfa074911
.xword 0x4293c2612f047f63
.xword 0xf1332fdad7108444
.xword 0x7840572e96c45a58
.xword 0x4db3dfbae67318ab
.xword 0x6aa50723e47f2966
_t1_des_auth_iv:
.xword 0x9517601475df04a5
.xword 0x91667cf431b270aa
.xword 0x611ee4febba50305
.xword 0xe2b4d73c68e9eedd
.xword 0x5377a6cef9892743
.xword 0x2c2ed5ef51ce8cd7
.xword 0xfffc88dd980c5750
.xword 0x7ea9bee48d0113ac
.xword 0x7f456fe40ab0d1da
.xword 0x9a54ede8cb11b5da
.xword 0xcd6b90439032252f
.xword 0xc8a42846d26f92fc
.xword 0xdfcc6e0dc4fa9f28
.xword 0x9f952c540641e0e6
.xword 0xa3c1de7910ac41d0
.xword 0x62f5ed503b825507
.xword 0xdbd67a19b6da32cb
.xword 0x6cb29e8d0ed10e86
.xword 0x20aedc65c2317fb6
.xword 0xf6b538dbbab0326b
.xword 0x7f663f2e372dbc17
.xword 0x252b9fcb13ec2325
.xword 0x8b80300b16a42cf8
_t1_des_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_key_array:
.xword 0x9570098d2e4de646
.xword 0x0d3c9ff5e432f1e3
.xword 0x29d4336bda812d70
.xword 0x67e45d8919cbe1dc
.xword 0xf56dbe441647089f
.xword 0x2823e3c6d1d9b0f2
.xword 0x13c827c678dedc23
.xword 0xc6588b42a0d28539
.xword 0x39150cba2669497e
.xword 0x1be68c28137999a0
.xword 0xa38d4dd37c44123f
.xword 0x70ec866177b2de06
.xword 0xb2ed16c334333d46
.xword 0xa4322f21c9341e08
.xword 0x8dfd334e54ce7c1b
.xword 0xba62532b8a86881a
.xword 0xca10062a9c33f02c
.xword 0xb6f4694d4ae6ea5d
.xword 0x11dcefd5c7a9dc9e
.xword 0x1d43219aeafd2129
.xword 0xe3e797c5a6cb0e06
.xword 0x3bce75b4b580b9a6
.xword 0xe9c77233aa430a5f
.xword 0x226982ecc4f9741b
.xword 0x828d59a299e2a66e
.xword 0x50c727afdfc29318
.xword 0xe26dafc7d1bc2550
.xword 0x8c2de594e652dde5
.xword 0x37ca9012c775196c
.xword 0xee40056ca5d5d71e
.xword 0xe34a9bec3afccf96
.xword 0x06f85ea497a43775
.xword 0x9cb515c79bd3f8dd
.xword 0xf5214e85831f2c9c
.xword 0xeae28e5d6b6bbf14
.xword 0x1a97f77fef740061
.xword 0x8b359c58c2a7259a
.xword 0x432a28c619725f95
.xword 0xb4d62d4f39615760
.xword 0xcadef8b0e4ac18b4
.xword 0xe0424785233f44ad
.xword 0xff040408ba21e536
.xword 0xf7a1af0b069922b6
.xword 0xbe0f54a908278048
.xword 0x47f5959060c017b3
.xword 0x45524132e17fe25e
.xword 0xddb5d72d247bd4d9
.xword 0xb6bc8bcb1c04f4ce
.xword 0xa582650949cfb367
.xword 0xd155aaf3dea6b7a4
.xword 0x3a6edcf0deaa9d8c
_t1_copy_iv_array:
.xword 0x050574512a0dc8fa
.xword 0x5514f89f8092e453
.xword 0x96551fd6005af62b
.xword 0x763a4effa2cfb905
.xword 0x84072c041fff5848
.xword 0x09ef7473e0717852
.xword 0x67c4fbeca0ad852b
.xword 0x21447f296ce336f1
.xword 0x9132998dd5dbd6f7
.xword 0xc72bf56f53618f6e
.xword 0xf84f6a920e7cc1c4
.xword 0x61a3cc5677b351d0
.xword 0x519c1c116eaa80f7
.xword 0x4a2282cfdc6fdf03
.xword 0x44cc7ab7a0b4c48c
.xword 0xbca22e03cc3bc5c6
.xword 0xee672ce991a8adee
.xword 0xafd525b50f82b785
.xword 0xb71526971de837c6
.xword 0x45e13904a8722eb8
.xword 0x4bf9a6760237181c
.xword 0x231a1e30a5417b5f
.xword 0x49e34303716d9ac3
.xword 0xfb023e82884e593f
.xword 0x3336d14848992487
.xword 0x6bb322be54509a1b
.xword 0x9877bc20de6031e1
.xword 0x838eacd4e54e8d33
.xword 0xb355a2bc441f66cc
.xword 0xc1a37d560a497e46
.xword 0xc6e9cc8193f54951
.xword 0xcb1a3671afbbf22d
.xword 0x0fe0407a8c10df43
.xword 0xeb0a3192287ac28f
.xword 0xca1df894c445b42f
.xword 0x62480cadabedf0ea
.xword 0x9f3d3cf124875ce2
.xword 0x26ee67cec9075e78
.xword 0x0abe5dc0e1870ec1
.xword 0xea4278d451e569c5
.xword 0x7f4eed569affed63
.xword 0x5c3ff655379ca69e
.xword 0x71d41e61c0026771
.xword 0xf5b7326e81ed7c09
.xword 0xee333ffd974cb10a
_t1_copy_alignment_array:
.xword 11
.xword 7
.xword 6
.xword 2
.xword 15
.xword 13
.xword 15
.xword 11
.xword 0
.xword 3
.xword 2
.xword 9
.xword 12
.xword 2
.xword 6
.xword 1
.xword 11
.xword 9
.xword 6
.xword 5
.xword 15
.xword 5
.xword 9
.xword 2
.xword 12
.xword 13
.xword 5
.xword 1
.xword 9
.xword 5
.xword 15
.xword 6
.xword 11
.xword 4
.xword 12
.xword 8
.xword 15
.xword 2
.xword 2
.xword 3
.xword 10
.xword 3
.xword 1
.xword 5
.xword 14
.xword 11
.xword 4
.xword 11
.xword 3
.xword 5
.xword 9
.xword 0
.xword 0
.xword 4
.xword 15
.xword 6
.xword 15
.xword 12
.xword 10
.xword 4
.xword 4
.xword 12
.xword 7
.xword 15
.xword 11
.xword 14
.xword 14
.xword 5
.xword 2
.xword 6
.xword 4
.xword 7
.xword 6
.xword 9
.xword 10
.xword 8
.xword 10
.xword 11
.xword 10
.xword 13
.xword 5
.xword 3
.xword 3
.xword 0
.xword 14
.xword 13
.xword 14
.xword 15
.xword 11
.xword 1
.xword 7
.xword 8
.xword 15
.xword 5
.xword 13
.xword 10
.xword 13
.xword 10
.xword 3
.xword 10
.xword 1
.xword 8
.xword 2
.xword 5
.xword 6
_t1_copy_src:
.xword 0x0086b0446b566dc8
.xword 0x707ff70abf767a76
.xword 0xf213d5a3e2f96f6d
.xword 0xa8b06d3d591d77ed
.xword 0x93b41903f87624e2
.xword 0x9cbe01ac036ecb77
.xword 0xe27960438ba18d38
.xword 0x7b628c2f844c4d1e
.xword 0xfc458525b5fc405a
.xword 0xf445b719e8a83b2a
.xword 0x4ce602ba212e9d8b
.xword 0x0f5be3b75f9ea6ac
.xword 0x2109de89e2faf282
.xword 0xc1565afbf30902de
.xword 0x200f5a1a97acccca
.xword 0x0e0ea26af7f512ce
.xword 0x260de8610485353c
.xword 0xc846b4e6020b6a34
.xword 0x1516b183561f0b13
.xword 0xc1846d9ce0010d0f
.xword 0xff6cb2d0c6e587ac
.xword 0x2d99c4abe2836256
.xword 0x7143e76174203939
.xword 0x2c91b2fb7f4da326
.xword 0x48354fc112eadd49
.xword 0x222f2be2ef14b4c7
.xword 0x56ba2f31d1a43e90
.xword 0xe8f116f5486f413d
.xword 0xec9262b5e4be697d
.xword 0x44315cb4b64ec08d
.xword 0xd9e025ef650de0a8
.xword 0x937801f702787888
.xword 0xd4b649bf08462713
.xword 0x305783be7aafee79
.xword 0x1c0ac4123df156a3
.xword 0xfb143856bdf1fabf
.xword 0x34c8081633856e2c
.xword 0xf9a174ddec0fd404
.xword 0xcdec6be2b9b4fe19
.xword 0xdc2d6d801fe543c0
.xword 0x47cbe1a7a8c92b76
.xword 0x20dc9d4ae19efca3
.xword 0x046dfd80422df2d6
.xword 0x36097e65cdd163ff
.xword 0x49927af6b8d201c9
.xword 0x998e7d9399f3cb3b
.xword 0x2512b35bc9d46b01
.xword 0x9fd8c72a6bc503bc
.xword 0x927bf880e1bff367
.xword 0x54d43137787d9605
.xword 0x48893e3d3dba8f90
.xword 0x2c2eba356a5b4591
.xword 0x2bbb0049bcc531ac
.xword 0x3583ceeedc4d4b50
.xword 0xeadab8d3ddb72fd8
.xword 0x601a79aeaa7e89f4
.xword 0xee5cd562fc5f2346
.xword 0x2fe2e70f948217a3
.xword 0x2060a7be3ae3df56
.xword 0x06c936f783432db3
.xword 0x5660c4185fdd280b
.xword 0x937b2ef00a7bdc06
.xword 0x8ee068057159da12
.xword 0xf740feba17666b65
.xword 0x60c5f32fcea38dc1
.xword 0xf5a66cd7cd1a9c77
.xword 0x238fc0a70c71ea7a
.xword 0x0349f55bf1daa4c4
.xword 0x16a5ea90c7bef56f
.xword 0xd75007c3bad9a203
.xword 0xf05af5b2ca0a791e
.xword 0xdad3836367374cce
.xword 0x85b65e6f6818ec56
.xword 0x0e3379696daf8a1d
.xword 0xebd3ad73bd50f6a7
.xword 0x13296bc7b7df0726
.xword 0xa3ea677f7ca5f323
.xword 0x584fe012bce675d1
.xword 0x60a4e704c25e493f
.xword 0x8963ba1a4e532544
.xword 0x495a92fe9f97eb61
.xword 0x398e02c8ebeab780
.xword 0xa55465c721d5cf94
.xword 0x0701dc8e328ea8f7
.xword 0xa3b9a7441340386b
.xword 0xa7bdb19d40c67f7b
.xword 0x94f523c261606b06
.xword 0x16fffbe33da80450
.xword 0x4874141d4ede553a
.xword 0x6ed0ad0dc28ee148
.xword 0xb725e38ae1f0bf68
.xword 0x30085fa567f3eced
.xword 0xe697816c33df11f6
.xword 0x78a2d70ec19dbf79
.xword 0x5d7899f11be23242
.xword 0xca7d79633778c613
.xword 0x6f7b90c99d9c41f9
.xword 0x9b5382f83f4eec4e
.xword 0x8e2ea91061afea18
.xword 0x5512fd5f399d947f
.xword 0x14971704d8f35efe
.xword 0x0f971c25a3aff4a1
.xword 0x7dd57ff82b37442a
.xword 0x3e7becae9409b300
.xword 0x533b0ae4a6ae0f09
.xword 0x5dd262724476175e
.xword 0x0812e953a0f82cd3
.xword 0xff2e4f09c00cf3fb
.xword 0xa93ef64a5f73a3d7
.xword 0x0d73e1931f425a92
.xword 0xb1a0c75ae64ae568
.xword 0xaf955d410ace989b
.xword 0xd597f23f2e9bdf11
.xword 0x941ff9109284f8ef
.xword 0x4bb7be3d34351c5f
.xword 0x6543bdf52039158e
.xword 0x22f25cf7bc4d24bd
.xword 0xd64c9aaf88d3a2de
.xword 0x7f7275dec0882f23
.xword 0x26918ca718dfa213
.xword 0x8fee282fb02968ce
.xword 0x48f1b87afa7208a2
.xword 0x875e60aa1973116b
.xword 0xc02b9664dd2e1346
.xword 0x09ece1547b5422c9
.xword 0x9fa8ef33ed9bb165
.xword 0x2ccf3fc2948aa333
.xword 0x3672db41736ff168
.xword 0x897034f410d29ac9
.xword 0xfe76c96c0a1e667c
.xword 0x10374ae60cae72f0
.xword 0x5ecc1183f773d698
.xword 0x835fde9d10ab735f
.xword 0x977473b0a5a30d52
.xword 0x7a008ca70ae80b4d
.xword 0xd598fea13cccd9b4
.xword 0x313f577a97a3b154
.xword 0xfe03940ab3a59656
.xword 0xbe03bc29c4aa1b9f
.xword 0x86bf3144ff386dd3
.xword 0x5749935582ccf5ee
.xword 0x2e9b549b1cd09237
.xword 0x68ae5814a0bd2953
.xword 0x68d89ca98fd57935
.xword 0x7871ff5eb299d91e
.xword 0x433e2a410a7896aa
.xword 0x49c36cb203a8a4bf
.xword 0xb5bf533ed350e46b
.xword 0xc7329add4222bd93
.xword 0xb84637a0f8f97db9
.xword 0x05f23fc38ead00e4
.xword 0xd86b685de30ce90a
.xword 0xc2c94fa8476e9985
.xword 0x1c22aeee0bf9c6bd
.xword 0xb4726bc84ceedfad
.xword 0x91c385d9d2338da5
.xword 0xd96811fbe0f8e56f
.xword 0x877ef286ff76a562
.xword 0x2993c71aaabbd114
.xword 0xb216e1b92cad8bc3
.xword 0x062372d07fdc593b
.xword 0xc1653f1be49b162f
.xword 0x89b00b6409f314e6
.xword 0x50e70302e6184536
.xword 0x7365a075aa2920dc
.xword 0xff8b745597fc4ed1
.xword 0x321ced8aa6f59a46
.xword 0xcab1c12ada1eb9e6
.xword 0x3db857c82e6cddac
.xword 0x7d6db9b9822cba18
.xword 0xbbf098f726902d13
.xword 0xbbd85782f71d052b
.xword 0x26a1e453fec1b7b0
.xword 0x2268b5c93ca8e7af
.xword 0x5ca2160adeb87d72
.xword 0x7176aeb52f806750
.xword 0x8b1e318c072232b2
.xword 0x07c0236746a008b6
.xword 0xb2ad948340218aeb
.xword 0x8702e64accce7daa
.xword 0x91c539156d00545d
.xword 0xcff575ba71384ec4
.xword 0xe865eef63e53bd77
.xword 0x7bf396c104eb1b45
.xword 0xd9d10481a87287cd
.xword 0x882ded79b2dfeba9
.xword 0x4ac83de37e92083a
.xword 0x651484885f9935be
.xword 0xb70ecc7c00212528
.xword 0x31944924cd968865
.xword 0x4baaaecac54a7231
.xword 0x46c11a1f43d12b27
.xword 0x13b18312588a32a4
.xword 0x6160d6b3203bbfa1
.xword 0x3c961b2e6f7a4e15
.xword 0xe39f92781de5b4e3
.xword 0x1cb9e96954cf406e
.xword 0x906aeb8b95f7cea1
.xword 0x56a50b70218a55f2
.xword 0x923e4ff6988f8bf7
.xword 0x00b6b5b3a85af77a
.xword 0x19583ca3a0c1af16
.xword 0x9ed7326da53834a1
.xword 0xc8edc4a68e075993
.xword 0x50a3aab7de68503e
.xword 0x673b51bbb7f08a2f
.xword 0x7a7bd0d5932adba3
.xword 0x7f7b7de24b42d22e
.xword 0x52670d1aab34c206
.xword 0xf477ae7bffbe3227
.xword 0xbb7f0d48bfd5e850
.xword 0x82bdfb34cc7030bc
.xword 0xe077bc1e887928a0
.xword 0xbd0e4c633e662012
.xword 0xf66cb4c6a4b2badb
.xword 0x088292324d756c69
.xword 0x4ea64c6f349a94a7
.xword 0x4a84c722ad065c1f
.xword 0x3325e21c7bd2a31f
.xword 0x3a7da9737df2379a
.xword 0x415b8df0ce6f933c
.xword 0x4764caaa16cf1b1e
.xword 0xf05c8492fc5a73c6
.xword 0x2e6bf535d35f16e0
.xword 0xb2e2eba46d8e5037
.xword 0x3613d6d8d0f428b3
.xword 0xb31da5c2430026ac
.xword 0x06c2fe776c5f689f
.xword 0xbd21595c0e4cb12e
.xword 0xf15768ed70e8b3ec
.xword 0x0475269a577c95ea
.xword 0x2d9271775f47a9da
.xword 0xd053d97c6019660b
.xword 0x56095d75cf6f6497
.xword 0x21d83fb93ef02149
.xword 0x91dc91c50f5ec16c
.xword 0xd6574ff0690b4ae8
.xword 0x96433996272e6d9c
.xword 0xa2b84f487181c5b9
.xword 0xe8737f276b26fdd0
.xword 0x6d5d964955ed57fe
.xword 0x53f8667060f2b932
.xword 0x44725bc83edf1e21
.xword 0x8021c0018f671c67
.xword 0x339f7af6e4da16af
.xword 0x0ec00f60e9688638
.xword 0xa17779d003652fe3
.xword 0xf8e38888316de14c
.xword 0xea3bb665b77989af
.xword 0x3d7961f0da03905d
.xword 0x11145fbe08d1c884
.xword 0xe48f285fb483685b
.xword 0xbfe4563dce122720
.xword 0x512d5f7ffbb26273
.xword 0xced4d8ec13b1ca3d
.xword 0x3ca8691c9e92c886
.xword 0x54f22aeca34c2944
.xword 0x1868941d0aa135fe
.xword 0xc5c42b2466496d56
.xword 0xae922ad11165e969
.xword 0xb33f0b109f48837f
.xword 0xe62ca53d6248ba30
.xword 0xfc717cd1ab5c0b78
.xword 0x3e5e04ba2d763fa4
.xword 0xda0f7c8268fdf041
.xword 0x1d3fa5ce64021b74
.xword 0x21f2da689255b789
.xword 0x073d112406c2a2d7
.xword 0x6ccc0802e3b4ebcb
.xword 0x0d1faaed49c9e65d
.xword 0xa7785b7ed4904e75
.xword 0xb16650d4ebe84f81
.xword 0xf32c8ee26e143678
.xword 0x9cc4f73510b80ac8
.xword 0xc0c9c091c9300e0a
_t1_copy_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_auth_key:
.xword 0xdf2b2f8e1adb96b6
.xword 0xf29a76c38abc1980
.xword 0x7ea25394d3d41d92
.xword 0x64924405edb799b1
.xword 0xb4c3482a5f4fa021
.xword 0x7c50179844976489
.xword 0xecc209d8b58a6639
.xword 0xb2d4e3e5356b7ba3
.xword 0x140b44d4192abd9a
.xword 0xf28bf7a5153f5a36
.xword 0xc2f8fd4bf8465d51
.xword 0x5fc751c930090a82
.xword 0x2feda733eabe3d2b
.xword 0xc339fd32ee9373c9
.xword 0xb17d19e9189faefd
.xword 0xb1d7d17b2ece0b71
.xword 0x11973c7b7069accc
.xword 0x375c86cbeb2fa669
.xword 0xe8b72a56159b7284
.xword 0x10a000a53ba80670
.xword 0xbbf79a4ff7516c49
.xword 0x452646a148b8f077
.xword 0x5d5039cc47a3f565
_t1_copy_auth_iv:
.xword 0x00109f179779c8ea
.xword 0x0c02bfff9cd9116b
.xword 0x3a647e4485f06e02
.xword 0x6bc25138df571a56
.xword 0x850521f011536f56
.xword 0x49f855503bf32c1d
.xword 0xb2705da723c01c90
.xword 0x6d4d55426dde0cff
.xword 0x08d892b317df764e
.xword 0xfa309cc2cc9ff41b
.xword 0x231d2762dd4d6f23
.xword 0xf9dd42d7dc3fb596
.xword 0xdb0f73ccdc3c5349
.xword 0x853a2874e6406d06
.xword 0x1d3bb97cc79ad671
.xword 0x58cd41858c8ec939
.xword 0xd6432236407bb60e
.xword 0xdfbb1842797bf634
.xword 0xa5a49129796317f8
.xword 0x8089ff0df383003e
.xword 0x2ba39cca51f21007
.xword 0xd9aa4cc0fbbcd692
.xword 0xf742f5d2853af0cd
_t1_copy_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_key_array:
.xword 0x85ce37ffaa574979
.xword 0x303bff1d2ccb6bbc
.xword 0xd44b36c5656f89ac
.xword 0xf6b3a0c38f498344
.xword 0x51ba4a4624a90877
.xword 0xcd8f9613a0a2be58
.xword 0xdbd27dd48b25e1e5
.xword 0x22b05a237cda9d9a
.xword 0x63d987bf8c1255d1
.xword 0xedd513ff68dc70e4
.xword 0x8003292dbe209b75
.xword 0x43e10a611657d1e8
.xword 0xfb96ec72efa6924b
.xword 0x9627e34c1736ad03
.xword 0x960c72aa6d9476d4
.xword 0x59a6e0fa32defbb3
.xword 0xa1bba471ba0240cc
.xword 0x4ff75e7fea1beb53
.xword 0xb37cbc841c9130b3
.xword 0x2ebaf26370788a70
.xword 0x3b1f922e410bc28c
.xword 0xbc4ee534b2d0e321
.xword 0xf7622175c6fe56bc
.xword 0x0167e168160f2f28
.xword 0xf5cbcc8b03105b2b
.xword 0x17fdb3fd5a548a05
.xword 0x7deeb3075e74a323
.xword 0xc55703462d90ef69
.xword 0xaca00f8fbc97e4b0
.xword 0x776bfc220689a41c
.xword 0x3984d648c7829946
.xword 0x446369a29217c8a9
.xword 0xb8529520db696c7b
.xword 0x92c9344d2475769f
.xword 0xdf2530edc4eee386
.xword 0xcb70753d050cd46f
.xword 0x85105f551b5bcaaa
.xword 0xaef3686bbb6419bc
.xword 0xc4b4b2590fe12297
.xword 0xef6f350dbc15ea93
.xword 0xb7da9c5799b02ff9
.xword 0x782e8e09fdc8508d
.xword 0xab159995d3eb08a7
.xword 0xcb5eb51b93921826
.xword 0xa4ebc17f9bb7493a
.xword 0x575d84487558ce49
.xword 0x84b8bcc513f9de91
.xword 0x0ee08d7c60c69782
.xword 0x4d4c9375a81e213f
.xword 0x7ea5b8fed1b780e7
.xword 0x897361990a22154c
_t1_crc_iv_array:
.xword 0xae748c2628a6874a
.xword 0x610680dbaec05087
.xword 0xdcd88a76238ba971
.xword 0x196c0ff658c07749
.xword 0x17a30c4d22d728bf
.xword 0xf6988ce5d1c1a514
.xword 0xde764304e37591b3
.xword 0x134e8344242a26c6
.xword 0x898af202b4918f41
.xword 0x020eb7405cf2f42a
.xword 0x70a47ffe257cc3fe
.xword 0x9671f8f882259b00
.xword 0xfd18220bfb9e61c9
.xword 0x61bf06b0f551c959
.xword 0x5ff97340395d00e4
.xword 0xd59942fc124d1823
.xword 0xc715949c6e915aab
.xword 0x0fed356d95fda045
.xword 0xa50d9babdce07be1
.xword 0xd94ebb8fddc1e314
.xword 0x0adc09bf12eaedb6
.xword 0x348e42bbe38be2d7
.xword 0xe1d9870b7961f2a9
.xword 0x1174690c4a506758
.xword 0x6f2a10d92d16cbb3
.xword 0x9ca98c0f40e63bfa
.xword 0xa2ae1154b6c531e0
.xword 0xe5e960a4ef2af8b4
.xword 0xc76277c40745be44
.xword 0xcb7da1955862c914
.xword 0x94701c00ab103fb3
.xword 0xe983440119724c31
.xword 0xfc38624c23bd768c
.xword 0x4ff9308c650d2a19
.xword 0x5bbf36f48edfd3b0
.xword 0x06f016abb02c9cde
.xword 0xa8c1c9fb1cbb1713
.xword 0x3338fa3617121bb4
.xword 0x855e0be770134c95
.xword 0xccde324616aeab88
.xword 0xa5cb84a4d37be0db
.xword 0x7929a266284edb56
.xword 0x112cd26aac28771b
.xword 0xe4f55355ae83c4d6
.xword 0x1a2f2109cfc07370
_t1_crc_alignment_array:
.xword 12
.xword 3
.xword 1
.xword 6
.xword 12
.xword 1
.xword 11
.xword 11
.xword 2
.xword 12
.xword 8
.xword 14
.xword 15
.xword 9
.xword 5
.xword 5
.xword 2
.xword 3
.xword 11
.xword 6
.xword 11
.xword 10
.xword 10
.xword 3
.xword 7
.xword 1
.xword 5
.xword 5
.xword 9
.xword 13
.xword 0
.xword 9
.xword 15
.xword 2
.xword 12
.xword 7
.xword 4
.xword 9
.xword 12
.xword 3
.xword 1
.xword 8
.xword 12
.xword 13
.xword 7
.xword 10
.xword 3
.xword 1
.xword 4
.xword 10
.xword 12
.xword 6
.xword 2
.xword 11
.xword 6
.xword 9
.xword 4
.xword 10
.xword 4
.xword 14
.xword 2
.xword 7
.xword 9
.xword 13
.xword 10
.xword 4
.xword 11
.xword 1
.xword 1
.xword 6
.xword 9
.xword 12
.xword 2
.xword 4
.xword 4
.xword 2
.xword 12
.xword 4
.xword 8
.xword 2
.xword 13
.xword 14
.xword 12
.xword 1
.xword 12
.xword 0
.xword 11
.xword 11
.xword 3
.xword 5
.xword 11
.xword 7
.xword 10
.xword 11
.xword 10
.xword 7
.xword 7
.xword 12
.xword 2
.xword 0
.xword 9
.xword 5
.xword 11
.xword 1
.xword 1
_t1_crc_src:
.xword 0x8566857301ea3d4e
.xword 0x721fcb5227ea1c4d
.xword 0xdf8945918d3d3c4e
.xword 0xae6c42556aae55a5
.xword 0xb9116259da919eca
.xword 0x26762ca5f87bd29d
.xword 0x8a85f411abe2546f
.xword 0x1f3fb7726e2eac0a
.xword 0x76b1b499f2c594ed
.xword 0x36e8077165cb71da
.xword 0x810c0891bb8c09a7
.xword 0x5861e0f0a201a2b5
.xword 0xa4cdf87e28801b3b
.xword 0x74e8562bf58ba2e3
.xword 0x3fedc840b51b6da5
.xword 0x7d4571ad749e7d0a
.xword 0x6ad87de5549b210e
.xword 0x5affb55b3b13b85f
.xword 0xba394e21002bac67
.xword 0xe0d89e8f211bac90
.xword 0xda1f1ece55b4afb5
.xword 0x7a6a29633db3cd72
.xword 0x315d49c1e72822a5
.xword 0x5ebafca3a71180cb
.xword 0x47e68e7f9bce3b50
.xword 0x73ef1020955cc4a1
.xword 0xf45e6188af428bce
.xword 0x09ca0e6299d05875
.xword 0x1f0fe75716e4577b
.xword 0x702211a124f48942
.xword 0x53933e091b323c0e
.xword 0x577eb8438d5bd468
.xword 0x32b668c7c50a6d70
.xword 0xf23c9ac59776dedc
.xword 0xaeca4a046cd02ce5
.xword 0x98dd2f6de5d45f8b
.xword 0xa30efa59137699f6
.xword 0xce45b3b9bc6948f4
.xword 0x1db6290959e9898b
.xword 0x5188d2fc8671cb90
.xword 0xfcd1ac484bf1e6fc
.xword 0x66ce906eb48f59ef
.xword 0x61ec62d04951e8e9
.xword 0x4433ae847b798b8c
.xword 0x1daafa364299f9b1
.xword 0x7f10cdd7fc5c02d5
.xword 0x47d0e1e11907868a
.xword 0x6b37a982772b0d33
.xword 0x9e3832d9191c0951
.xword 0xda4c1be5e0448192
.xword 0x75a20890f793995a
.xword 0xf6617ba8a7025f57
.xword 0x5cf29f236596563b
.xword 0xa6db8ad335befb69
.xword 0xfb3849146f5f883a
.xword 0xe5537d2ae75fde89
.xword 0xde18136170675fec
.xword 0xb5be16a28f73c280
.xword 0x939b0bc39a8b3b84
.xword 0x7f42e561e0c219ae
.xword 0x9f4990206e4a18dc
.xword 0xfd6434ade394d385
.xword 0x29a1648f5308cbfd
.xword 0xdf14c2d45df88efe
.xword 0x94f966e7b3ce960f
.xword 0xa49586443b369cb5
.xword 0x5ecb93541f1a6f4c
.xword 0x05af8b1c9929b4e0
.xword 0x093b74500f669113
.xword 0xbdfe465e5b868943
.xword 0xc7888f7bc59aa13e
.xword 0xc98ec153e8af3ff8
.xword 0x6c987ba4d1004fad
.xword 0xf5d12e055ae19c99
.xword 0x526f0054ad1e65a7
.xword 0x302e6e052f9665df
.xword 0x15eaf993a4b6ad4b
.xword 0x8468fc5bfd7b77ba
.xword 0x80b1aeea9bc7128b
.xword 0xaf43642c416a8141
.xword 0x956878fffa7d2193
.xword 0xb9a5e24d3ba662f7
.xword 0xb11f05e8cc3615ed
.xword 0x1985197624ac5b3e
.xword 0xcd31f3461de2f336
.xword 0xa7776f5fc58f06a2
.xword 0xdf9c310a15381a4f
.xword 0x2a1cc78c99893ad0
.xword 0x2d0ecd26047e9c7b
.xword 0xbc828cf0baabc9ba
.xword 0x6f5b3ed2c2ac1104
.xword 0x14f5cac1ce1d7c25
.xword 0xdadaed55073dfe66
.xword 0x8c1666f1745e7f5d
.xword 0x9bbb372944bb705e
.xword 0x53366e105513a0c5
.xword 0x8c7e1e3917b93a70
.xword 0xea60f5282d890cd0
.xword 0x495f2bb26b1d6b2c
.xword 0x2baef0a562b5df15
.xword 0x73b44992dc210fa0
.xword 0x423850062db242f3
.xword 0x38e11127f6d186cf
.xword 0x78b717f358793039
.xword 0xa39afc2bc7dd466a
.xword 0xa2b7dd11b1f2852c
.xword 0x5db447b94065ea24
.xword 0x754997bf87adf5dd
.xword 0x6d2d6d266969e301
.xword 0x6df0456d82ba0f4a
.xword 0x3e1f325ed2d8a873
.xword 0x69a9cdc3e9ffaa04
.xword 0x63c9a64f3cd4e21c
.xword 0xbb7bb20e07c2db5e
.xword 0xb54ad051a1b45a19
.xword 0xb6d82ecdc3150b81
.xword 0xcc21950a50ca0f28
.xword 0xb5e7cd764ab6b156
.xword 0x05c291f277b66090
.xword 0xfa8cd5d653c745a9
.xword 0x98aae1dc7ff3e4e0
.xword 0x6def11db3450fa4d
.xword 0xc0cebcb7a6845067
.xword 0x8a2b33cda8898830
.xword 0x0db90761d29192ce
.xword 0xa9520f022416ee61
.xword 0x3f0f84f1a7722a67
.xword 0x623dc1b3f1273d39
.xword 0x5b3f67e01e2ec45c
.xword 0xf70e4ed792e4ff9d
.xword 0x46561671851efc6d
.xword 0xee33902ec4f897d8
.xword 0xc75337ed81a03f22
.xword 0xe12d9decc50dbdfb
.xword 0xdc5aa70760f73ccb
.xword 0x44645661c2e1438a
.xword 0x08a43c5cfe5876b1
.xword 0x1d8f0d419609a76f
.xword 0x55a4a746b3add780
.xword 0x1977d7106e5ee605
.xword 0x0745087385d8a466
.xword 0x0ebf4a91c2ef1c70
.xword 0x85d2c2678e5cfe63
.xword 0x2ea6ee12850c7c35
.xword 0xf3f0ba387d4a1779
.xword 0x5d83d396b0f5dbad
.xword 0x75d4bf0175aaa6a9
.xword 0x74e93e928f178f94
.xword 0x36c643da7f220b89
.xword 0xbe8365db3ff0457d
.xword 0x00b8a4f6e6d4d624
.xword 0xf9971bb906f5dc3d
.xword 0x016f0c8fa726a0c1
.xword 0x7de212bdaccd6cac
.xword 0x622c43d01b06acc4
.xword 0xcd029e64f95cdf18
.xword 0x55d890f02ebc5c0d
.xword 0xb12c6ed3528cdcd5
.xword 0x8d74a78f971ab351
.xword 0x9c09bc2817fe577b
.xword 0x1a767522491e3c01
.xword 0xd545cfbdf6695e73
.xword 0x9fb6e12f0b0e2dd5
.xword 0x1292553c2421f98f
.xword 0xf121d13bb5e9eaf6
.xword 0xc43b106f851ef5c3
.xword 0x3a11832315fbdaa8
.xword 0x49b0221fb2a3bd98
.xword 0x2e213f631e876131
.xword 0x0dd078dc5bac5e12
.xword 0xc5a15a50754c7a81
.xword 0x893ae8bd244e3253
.xword 0xe47106c25207080c
.xword 0xd0f3601437e04d06
.xword 0xffd735865af187da
.xword 0x55dd23473f04a42e
.xword 0x13d6d18108ced620
.xword 0xd1100c6d05cc267f
.xword 0x26f76fbc34397253
.xword 0x78e2eb04c099c743
.xword 0x6426f6d77ab12645
.xword 0xc442d4679d59bb83
.xword 0x8cef7b953f364aaa
.xword 0xb76f5b56fb8d324d
.xword 0x3b32a24391bab975
.xword 0x82ac4af7de116d7a
.xword 0x982499a5f9649cc1
.xword 0x94cf11092965a5a9
.xword 0x29257c0c3b39b49c
.xword 0xd3a5bce8c9ab6b26
.xword 0xbff7399b440be84d
.xword 0x64d4945c2c6692a9
.xword 0xca2511167d6040ab
.xword 0x01b71f8e09d1feb3
.xword 0x87def713e6858fc4
.xword 0xc5c30c2f037407db
.xword 0x0c5c8cf2f89f3384
.xword 0xecd8c019d22d07e0
.xword 0xaae8a4d7a6e160d2
.xword 0xfc6db2d79afc22fc
.xword 0xbd92d90dd7ff1f88
.xword 0x156ddd8d2c0ac8ae
.xword 0xf02355df492f535c
.xword 0x88e4edcb2c672341
.xword 0x0de288e29a61cd17
.xword 0x29dffdd576433552
.xword 0xeb71fe6f6e8a6953
.xword 0xff1d50991aebf254
.xword 0x88643a6ea2f688a0
.xword 0x08821cca16217043
.xword 0x867beaa89e97a7f1
.xword 0x3efacfc2244d1356
.xword 0x6fe44a20efb4a51f
.xword 0xb1ee5817092276dc
.xword 0xffa3fe08e7b4cba3
.xword 0xe0c1de22fa9839ea
.xword 0xeb9d7ed91ae336b9
.xword 0x9237415b89a490d4
.xword 0x309393722152cce7
.xword 0xd13b8162ba910faa
.xword 0xf2c18d9fa7aee46b
.xword 0x4525bc1054c060b1
.xword 0xe7df3a8564e13291
.xword 0x5746759f9bc80ca7
.xword 0x5eef91eeaf9208f1
.xword 0xfbb5abe19a4d796b
.xword 0x627a1879ba6b00d2
.xword 0x3832e12e07006f89
.xword 0xd8ead65e7d576a94
.xword 0xe5e99a6a58b5e1c3
.xword 0x42c9cec01ea2d807
.xword 0xc6a765a163710395
.xword 0x81b131dfaaa3d8af
.xword 0xaf6feed6bed15ab3
.xword 0xa1c93e232bd19ea9
.xword 0x6a83822d3e07ed8c
.xword 0xdd0400e67770e0f2
.xword 0x3866100f30e63971
.xword 0x556d17ac381a5ff8
.xword 0x75ee8eaa23afdc37
.xword 0x3c2d3f570ddebf05
.xword 0x5a980aabf0168e69
.xword 0x3d284a7d95e1aa26
.xword 0x9424bac6b86a7977
.xword 0x5c59829a7968435d
.xword 0x30f18eb22c2d6b18
.xword 0xb0b6d84750523311
.xword 0x2b45c597de4ead1d
.xword 0xc35003105738fe6a
.xword 0x13991a377c37fbcb
.xword 0xa0464969a10e22db
.xword 0xc243d01fcca2d628
.xword 0x14e540613931c1a9
.xword 0x61078465ce1a12da
.xword 0xe47296e42a959498
.xword 0xe6a85af33b38ae8d
.xword 0xace784929001592f
.xword 0x8339a01a3bd24b6a
.xword 0xa3bd471ebcc24af1
.xword 0xa0f11a196572212f
.xword 0xe63103f1064a5888
.xword 0x193f263227a1849c
.xword 0x9eddc0d096d804e4
.xword 0xc0a8ecbc6966e117
.xword 0x8028b3ab5e0f170c
.xword 0x93380d65e3ac52ad
.xword 0xd01adf131539d953
.xword 0x77faf1011b12bfa8
.xword 0x0b1bd85b38e3b03e
.xword 0x74be19111ede3c99
.xword 0x7f6eabe1a85abdb9
.xword 0xf605c30e514a57c0
.xword 0xda708e08844eee7f
.xword 0x811246133df4dc37
.xword 0xe78e644c7d6a15b7
_t1_crc_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_auth_key:
.xword 0x7ba72e36d87f0578
.xword 0x9a9c753544a836d8
.xword 0xf51bd9bbfe83f17b
.xword 0xa046352ae1de7ee9
.xword 0x65dce7068d2933d8
.xword 0xe84d6063797f4ee9
.xword 0x72960c7e0cdaa15a
.xword 0x30af61aae0854c29
.xword 0x2e6911a0fc515e64
.xword 0x3b44f57f34c1ac34
.xword 0xcba80f1a76f3a9ad
.xword 0xbb2ffd6b7f1055c4
.xword 0xb70c1bcbd91ffb00
.xword 0x67814994feca79fe
.xword 0xf5b1af3ecd10bf7e
.xword 0x688016573b77d811
.xword 0x855cff18abad6383
.xword 0x297c84b00924f761
.xword 0x9acb5faf536bf346
.xword 0x8adc89c43e20e958
.xword 0x672b9a1db6b4aeef
.xword 0x1b32dcfe96e10282
.xword 0xf521ff412c65d8fc
_t1_crc_auth_iv:
.xword 0x79de6cc9c424dcaf
.xword 0x98a4c32db368487c
.xword 0x7d815ea8d1475e0d
.xword 0x98258d1d90f7c961
.xword 0x2aa2c858eec93958
.xword 0x5309e00bf594d406
.xword 0xc8fcbdb1b6a189da
.xword 0x429add582e402dcf
.xword 0xcd540ccfd04adf3d
.xword 0xc8b873f25cc968d8
.xword 0xd889707146fde3d4
.xword 0x7060dd5923463b67
.xword 0x5850f67d2ef6f888
.xword 0x0e625b46804bdd09
.xword 0x543cc3e618a0e084
.xword 0x9fb9120e83b51d51
.xword 0x2d985f8fe2e48b42
.xword 0xf50c0e042024a2b0
.xword 0xa593c8327f93c701
.xword 0xc4f0eda25024511c
.xword 0x358edd1fca37c337
.xword 0x1469a68a91bf0ef1
.xword 0x36bf9b35b10edf06
_t1_crc_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_key_array:
.xword 0x4598e0ebace88833
.xword 0x939d27d8b5e0901f
.xword 0x67509ab1bf7ecb50
.xword 0x899380cdf00d8bce
.xword 0x29a8e3917592b317
.xword 0xa95263323c1017e0
.xword 0x3342c035192f2685
.xword 0xea7f4df415ec9d87
.xword 0x711632f5751e46e5
.xword 0x5fb4c4b3029b4924
.xword 0x63186d0c4628c701
.xword 0xbe219912f42f77d8
.xword 0x9a6849b663ef3144
.xword 0x7408e09702c8bc0a
.xword 0xb065c8d2d6fd691a
.xword 0xa48044116339d7b4
.xword 0x4ae0bb5eefa67e5c
.xword 0x1d32d542c266c7e8
.xword 0x4b4b836f8135cea5
.xword 0x4a0a98610359eb0a
.xword 0x123484974a500010
.xword 0xe4b74acb3a4def92
.xword 0x6aa98396b2986e68
.xword 0xdc1b111a57dd328d
.xword 0x48dbf12ecc1aaa7e
.xword 0x02ee1261d48d6a6c
.xword 0x2634851f05f048ea
.xword 0xc8112ea90ee026e4
.xword 0x9f697235507cd514
.xword 0x38d4c26bd9eaeafd
.xword 0x5839831b8e3f2574
.xword 0x1048da7cbd71ed66
.xword 0x1bfd57ecdaa53d69
.xword 0xd456abe39aea13db
.xword 0x481ce0fdc1fdf827
.xword 0x32e9b3256d0a3c06
.xword 0x8210a7e8d7b9520f
.xword 0xe9f17dfcc18cdcad
.xword 0x33be361686c5f922
.xword 0xfc6f4fd241743117
.xword 0xaa7fadc8a68d352e
.xword 0xbe2011ca3d2815ec
.xword 0x43d0dcd42cc307c3
.xword 0x346bdd4e0c5dc84d
.xword 0x44b631e5255f05f0
.xword 0x263791ca345fa1f4
.xword 0x75fb5c54a757e05f
.xword 0x15ff23bd11bd24c8
.xword 0x23f0d39deeeb2067
.xword 0xedff5aed9be71430
.xword 0x2af5707ce98b287b
_t1_hash_iv_array:
.xword 0xbf148a3969088608
.xword 0x15f4489324365837
.xword 0xcf819164d186031a
.xword 0x8c9dc319836d151f
.xword 0xab3f0292ea634e32
.xword 0xc888320b2d4931b0
.xword 0x65035cc31fadf353
.xword 0x5739b10dbd450978
.xword 0x369ef39a87036577
.xword 0x6cdd209772b0fa84
.xword 0x3bcca1a6d143a1cc
.xword 0xca979431558c523f
.xword 0x017ebcfd17441802
.xword 0xe1368c340672e18e
.xword 0x06e538625f2f1162
.xword 0x28b9a08e56a2779a
.xword 0x4f45a4008a4356e8
.xword 0x8216c61314ed3b47
.xword 0x382133c938dd5fa8
.xword 0xc891374a2aa240c9
.xword 0x15b8f7c6cd7cd5f2
.xword 0x7543c8bac8d4cc43
.xword 0xbc99abd0eb2fa479
.xword 0xdf8463ce947b3765
.xword 0x9563cafd727e91ad
.xword 0x4e399868bb4f95cd
.xword 0xe30608c1a15b8d53
.xword 0xf5442c4f869f963a
.xword 0x71cbd88674a62491
.xword 0x2629485a84c99a27
.xword 0x70f433e276a72ffb
.xword 0x1743b25415973278
.xword 0xa7deaa5374d4c756
.xword 0x04c76eaf902568d1
.xword 0xbbb19b5bd71e4d10
.xword 0x1006e06babf9e7b7
.xword 0xf7980a871fa33df7
.xword 0xeefccf9fd601b7ee
.xword 0xa90d86f93f921255
.xword 0x1340d8598891721a
.xword 0x525a6feb38f2cd12
.xword 0x6bea2ee7f6a78af8
.xword 0xb597ff2caaf5e93d
.xword 0x9b890bfd30be998b
.xword 0x8c97bdb760a74657
_t1_hash_alignment_array:
.xword 4
.xword 1
.xword 9
.xword 6
.xword 9
.xword 7
.xword 9
.xword 7
.xword 2
.xword 13
.xword 5
.xword 6
.xword 9
.xword 3
.xword 15
.xword 15
.xword 15
.xword 2
.xword 0
.xword 14
.xword 10
.xword 13
.xword 13
.xword 3
.xword 7
.xword 7
.xword 6
.xword 0
.xword 3
.xword 5
.xword 15
.xword 3
.xword 8
.xword 9
.xword 3
.xword 15
.xword 14
.xword 11
.xword 14
.xword 8
.xword 13
.xword 15
.xword 15
.xword 7
.xword 2
.xword 0
.xword 13
.xword 13
.xword 4
.xword 6
.xword 7
.xword 3
.xword 4
.xword 5
.xword 13
.xword 15
.xword 2
.xword 10
.xword 3
.xword 14
.xword 5
.xword 5
.xword 11
.xword 2
.xword 1
.xword 4
.xword 8
.xword 12
.xword 11
.xword 6
.xword 11
.xword 0
.xword 14
.xword 15
.xword 15
.xword 14
.xword 13
.xword 8
.xword 4
.xword 15
.xword 11
.xword 9
.xword 1
.xword 11
.xword 12
.xword 9
.xword 12
.xword 6
.xword 15
.xword 1
.xword 3
.xword 1
.xword 12
.xword 2
.xword 11
.xword 7
.xword 7
.xword 2
.xword 11
.xword 6
.xword 6
.xword 10
.xword 11
.xword 0
.xword 7
_t1_hash_src:
.xword 0x2630f12dcdaa896e
.xword 0xdc844c43c0b0b6e8
.xword 0x8b15a4bf75c014b3
.xword 0xed26a4eeb37f0b23
.xword 0x859c79024a9fbe0e
.xword 0x33f5fc7f05b3617c
.xword 0x5072a0cc1766eae7
.xword 0x4b6567052ba0aa08
.xword 0xde5041bc07dcf9bf
.xword 0x84fa848226e017f4
.xword 0xcd52d7a337c7a238
.xword 0x33d9db6c41359b35
.xword 0xfa009dafced41a41
.xword 0x3ee97689027b9da4
.xword 0xe893dc520053ba3b
.xword 0x7152a385a659e64a
.xword 0xe887b481add34a5a
.xword 0xccbc83aa311e1829
.xword 0xaa902576dde4c95b
.xword 0x83331e908b637d5b
.xword 0x8b902e5143ae7451
.xword 0x665553610be79f66
.xword 0xfde85131c9df4d9e
.xword 0x912a43288b53af81
.xword 0xf734daf6f8f41896
.xword 0x3065e31bbeae27b0
.xword 0x3bdcebfbd8df8a1b
.xword 0x89de502353a23ff8
.xword 0xdb40d20510a3d429
.xword 0x1e30a73872ab3b84
.xword 0xe5085da3bdc5ef4f
.xword 0xf417103f1bceeeee
.xword 0x71149c6a1a936904
.xword 0x990982c81302da7e
.xword 0x9b903d9ad7642fde
.xword 0x26ee2005faca040e
.xword 0x15a0439ddc92983e
.xword 0x5bf43cd3166e9c2b
.xword 0x40af69af595a4b21
.xword 0x941d89527537fcfd
.xword 0x387114a9a47dd1d8
.xword 0x2e6f4fd7eae6aaee
.xword 0x23e48091cf9db589
.xword 0x14d9d8ef13af20f7
.xword 0xf7d51b477da900d6
.xword 0x0d6b85fcf1d8bf03
.xword 0xc94739b7051c4830
.xword 0x7c51f3595367ac3f
.xword 0xc49626742a571b93
.xword 0x0475170191e868bf
.xword 0x1e8d99e7d01e9370
.xword 0x07c52378eaea4f89
.xword 0x36d9fc56f6dfc9fd
.xword 0x30e0099b746b1049
.xword 0xb6cb8ecb9b1ebe26
.xword 0x029fcfb94cb58f23
.xword 0x03980da305519ae8
.xword 0x5f1a40e2e5097a93
.xword 0x50a692c52d0cb6c9
.xword 0xe787050c41552fd5
.xword 0x7a16b9f60ea2babe
.xword 0x60537e3e00f9e56c
.xword 0x8853e6e1ac5a4241
.xword 0x9baa0ceece8aaf6d
.xword 0xb9a2bdd925d57732
.xword 0x685d363c7ebf0ca2
.xword 0x782880d29ed7b2a1
.xword 0x1ca9b349f3826e31
.xword 0x58832dc52bbea04c
.xword 0x251755de5abaeea4
.xword 0x9900876099c26a63
.xword 0xac403024ffca0918
.xword 0xc97d6df64ef5445a
.xword 0x72d8a6e644ae3054
.xword 0x1ec237bf8e66f76d
.xword 0x62c751bd08ac13b0
.xword 0xc54dbc0274c462c8
.xword 0xedfc8c7f01dd6070
.xword 0xe4dcc2ce512ef376
.xword 0x0c8682132b2d083f
.xword 0x469837a9890920c1
.xword 0x7a8415488479ff22
.xword 0x9055fe59dfc2fcd0
.xword 0xcf51e79a0110c0b6
.xword 0x7eaa43d5f142452c
.xword 0xfa8d65bed724f5d7
.xword 0x11606fd0bfda1bc3
.xword 0x2371e821c5b64932
.xword 0x86aa6cbeec1cd969
.xword 0x986b623fd348333b
.xword 0xb7b630d796330f49
.xword 0x5f1c7f09ce8e93cd
.xword 0x5cae18715da4dc46
.xword 0x677062281f3a82c1
.xword 0x5f73ee0610c02fbe
.xword 0x4cf383cb91c59c33
.xword 0xcc9a023b64b7eecb
.xword 0x2cfebee2f1c37f1d
.xword 0x7fd1f0dda68025cd
.xword 0x63e150031c3e9b0f
.xword 0x1bc13a2fb0ce758f
.xword 0x8e9aee489e2cea52
.xword 0x8bda40bd9b9da410
.xword 0x1caab838b3f777d5
.xword 0x1a494474bb9c1f9b
.xword 0x78f53956df38add4
.xword 0x44ebbe8702525eb0
.xword 0xc235472d57a35671
.xword 0xbfc87886114f59aa
.xword 0xc26a1bc444485898
.xword 0x6c8963d5d47a3534
.xword 0xd302fe50a2c61b92
.xword 0x0c96ee9d4b396224
.xword 0x1d8275607bcd7c68
.xword 0x7edf0527b50b4587
.xword 0xe20b31bbb28bfca8
.xword 0x0a186f2db97995ae
.xword 0x9614ef9d4d17a7d1
.xword 0x4272b221aa212589
.xword 0x0c20bdade3c85859
.xword 0x56f9bb2f441d5e77
.xword 0x639c14017ffade6b
.xword 0x1ff1803a2f437887
.xword 0x8b91cb0e7757d0ab
.xword 0xfbe8b66f507a311d
.xword 0x4832866715c5dc3b
.xword 0x65dae26c348cd75d
.xword 0xe72434ff6ff27333
.xword 0xf4ae428d6c5638c9
.xword 0xd4ac5c65e384e9b9
.xword 0x2f9e21327d83638a
.xword 0xe8324de0f36b234e
.xword 0xad88220b479660f6
.xword 0x0a4031f91f30ef0c
.xword 0xd4498b8d9728450c
.xword 0x81efa4afd9d37ec4
.xword 0x09d614f81b3b0393
.xword 0x229196e2ad4ad8f2
.xword 0x256ecfc25644a4a7
.xword 0x9c59cd77b9d9d056
.xword 0x021924e866b6c163
.xword 0xc9ed80b675c40215
.xword 0x21e8167a0285fdc6
.xword 0xc40f5e4b32c123f7
.xword 0x5794cc33fc2c150f
.xword 0x01cb7a467863f461
.xword 0xcd46470424c1d769
.xword 0xb11107de4ebe3dbb
.xword 0x8ac5da096812087b
.xword 0x26bb4a6a1173daaa
.xword 0x2f273ce43371ea4d
.xword 0x9b4d82b586cb8847
.xword 0xff154a25d2d7f8be
.xword 0xa39dd0efe5b4b523
.xword 0xfb3a6a316b5e3dee
.xword 0x12ef98c18eceb011
.xword 0x08ec7064382ee3b5
.xword 0xc7bd82500d065761
.xword 0xa1556edc8ced153d
.xword 0x5dd1fc01fb3ceb3e
.xword 0x79dde7b380cba081
.xword 0x14105776105a0df7
.xword 0xe83df2d8e9fdd572
.xword 0x04ff3acd7242d9e1
.xword 0x6b12406575b79fc6
.xword 0xf321e396b2f49d33
.xword 0x84c39c24192687c9
.xword 0x5d9312955beae358
.xword 0xd8bb005f2a04d2a5
.xword 0x7f4ae07c1c0c3470
.xword 0xb4ba8760b04e8535
.xword 0xc09c7efea12d7a27
.xword 0x75a48d799ca1ab66
.xword 0x2422c32ecdecf8b4
.xword 0xcae57fcd8a657949
.xword 0xdc0a22df2c9b61af
.xword 0xe4845533617831a3
.xword 0xa3fc6056d989b9f2
.xword 0x4da3195fa3b82e93
.xword 0xd8e9b6836cd5b8a6
.xword 0xd6ab4774ded3c3d8
.xword 0xb84640e2215146cd
.xword 0xf64e5cc5384fda2b
.xword 0x87e893b70bfefaf2
.xword 0x6f6e83b1f3552560
.xword 0xae659376f653eb9b
.xword 0xbb4ead257e59f5df
.xword 0x44658c5555aee562
.xword 0x9c1979992d455075
.xword 0x3d623706015b7666
.xword 0x48c8013bbd91e21d
.xword 0xa53a91437931334d
.xword 0x2902f5d51567247c
.xword 0x70b999989a759b69
.xword 0xf55db92a319f05bc
.xword 0x894007ae4630a43b
.xword 0x955a520494ae46a7
.xword 0xf1f29898d8153a57
.xword 0x99d210a2713798ae
.xword 0x2ddd97338fa7b971
.xword 0xe684fa5f03691343
.xword 0xd31c1e2675d20e00
.xword 0xdac90166ee216531
.xword 0xbea3f49766acb215
.xword 0x8fe44b4cf484fea1
.xword 0x2d4adaa3cd0ed9b3
.xword 0x8b11d72aae87d888
.xword 0x9c4a94a71255adb3
.xword 0xf08859ccd5df83f0
.xword 0xdde678923a4e3465
.xword 0x80a214cf2513c678
.xword 0xe2d99ef89cb00cae
.xword 0x5961185824f8252d
.xword 0x71075be906db11b0
.xword 0x34008629ba60a77b
.xword 0x848662386e6095d2
.xword 0xe06a8cf0bb416a5f
.xword 0xb8bf2f6d99b01fe7
.xword 0xf8ec44f8fbdc9ecf
.xword 0xc1ea53c8076890f5
.xword 0x45e687cea5d39877
.xword 0xc9b05a08ff191dde
.xword 0xc28ccf64408712f5
.xword 0x1f0a6e71b713bfd2
.xword 0xebbd04ce92d10f3f
.xword 0x8335ed8c6737d03b
.xword 0x95f1b47ce52b502a
.xword 0x74805bd4d7ec092b
.xword 0xc7733643b6630b36
.xword 0xc5883623896a340f
.xword 0x780bde8f8c730f78
.xword 0x0a4ff7923e09c6ee
.xword 0x30a32f4a0abd9e00
.xword 0x1387700c13aeea4d
.xword 0x7f29feda91524134
.xword 0x47f440cd3a8c241d
.xword 0xe0a5a5931c2cdd47
.xword 0x00ced3c5c1d09753
.xword 0xcdfde4a47ebe250c
.xword 0x489d53515041404d
.xword 0x935c79ac2007a372
.xword 0xf9bacd3ff931e8b5
.xword 0xde504f9e6c8394b6
.xword 0x14a7159c4f9e0821
.xword 0x90343a6d5e973e0e
.xword 0x8d3ae5ac00796d29
.xword 0x8fb30cf8105c4427
.xword 0xc8ad29d6b9cbef29
.xword 0x1ec4665cd72b8d6b
.xword 0x216abe7ed8adf70e
.xword 0x21ca89151432d43c
.xword 0x29c9f7f50dd07cff
.xword 0x2b9bc1c54e452da2
.xword 0x1b58b5bcfa2048b7
.xword 0x1f7158da9c47611d
.xword 0x7f2a8ce306cce1ce
.xword 0x86aec98d3220ac60
.xword 0x7296651e8201a86c
.xword 0x0d222c42d2ed21ce
.xword 0xd64f9bc70ba43bf5
.xword 0xb6550bcc94fb706f
.xword 0x4b27e3e6a1654f01
.xword 0x97cb4ec265472a80
.xword 0xce00d947401be361
.xword 0x376d23685b2316e9
.xword 0x5beb9562e65f9e16
.xword 0x524de7afd0e5945b
.xword 0x0d3604c56f3c4e63
.xword 0x454efc341d02bd27
.xword 0xc4e2fface37c4c60
.xword 0xfce2a2c14a8930dd
.xword 0xc7210e91ee89c92a
.xword 0x3a983680cd3bda91
.xword 0xbd441e8c8dfd48ca
.xword 0xb566f37936e9feca
_t1_hash_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_auth_key:
.xword 0xfada9906d476c912
.xword 0xf0e3a7791ab49013
.xword 0xfee285002abe30e6
.xword 0x1b998fdf4fe608da
.xword 0x9ab9aee5f053acae
.xword 0xd410d1fea3ab2f67
.xword 0x731b4e00ddedbff8
.xword 0xb23988dd72a594e8
.xword 0x606ffd30ee390ea5
.xword 0x1883d31b9b463db2
.xword 0xb1e9874fac1f19da
.xword 0x4dbe17c4d25910bb
.xword 0x6c8c5992014dbf54
.xword 0x721cb115ee37d338
.xword 0x04d8f32e6b8a5b6b
.xword 0xb23388ffde0d7bb2
.xword 0x983321f6c6478905
.xword 0xa57f0c6e646eb733
.xword 0xa45f751321e8a1ad
.xword 0xf8aca949e795d03b
.xword 0x707484dd9ed59f68
.xword 0x47a4c85dfbb3de13
.xword 0x0102aca5664ddf2e
_t1_hash_auth_iv:
.xword 0x24a25a97685ad37a
.xword 0x10b5df8c1c5b338b
.xword 0x0f682406bb08c8d2
.xword 0xf5cc028bbae82c14
.xword 0xffaff7850de31ab5
.xword 0xcd64c328eebce37a
.xword 0xdb6e474f4a041cc6
.xword 0xde0af92149e88098
.xword 0x5528065822ff7e27
.xword 0xca2b60af984d1ecb
.xword 0x99ea2e429538629a
.xword 0x8b392b8d0eac57dd
.xword 0xc7d1882ff5d0226c
.xword 0x42eddf91fa510ef6
.xword 0x65ef8689fc6bb69e
.xword 0x96b51e4e8dce1bb3
.xword 0x7e0c03326ec5c88b
.xword 0x5c2ca6dac9530b27
.xword 0x511e4d885ede9e8d
.xword 0x17e0420d63261a84
.xword 0x2de9593031e0ecd3
.xword 0x672d735c21d1d2c2
.xword 0x06fc7da85921493d
_t1_hash_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_key_array:
.xword 0x5b741b2ec8da8998
.xword 0xf7f50da6964a3c31
.xword 0xb17e05814fafc40d
.xword 0x501ed81705bba8bd
.xword 0xd92cdd6dfe1a72cf
.xword 0x20303efc02f52668
.xword 0x5fdb85a1d555f5b6
.xword 0x6cca0bf0c9ef6904
.xword 0x8fd7a509b2050087
.xword 0x6f2391afb0243257
.xword 0x14985e6441a8eb04
.xword 0x60c5ca9895ef72dd
.xword 0xa7991d2fd4f45b46
.xword 0xef438b539b628a05
.xword 0xeca62792102e39b3
.xword 0x846510a6d69e109e
.xword 0x289351d0a539d8fd
.xword 0x1b64b3fd1a3cf585
.xword 0xe48ef5c7117453f5
.xword 0xbfb3a6cda0b35ef5
.xword 0x28cde9d2cdd0f13d
.xword 0x23877c29145b0142
.xword 0x2cec038699bc301c
.xword 0x879db927f2eff848
.xword 0x0f5966b9ddb15549
.xword 0xe051da399138f0f1
.xword 0x79571bcd02b74233
.xword 0x75a3a950810c6534
.xword 0xcb1c0fc0f9f345fc
.xword 0xc3c044f309c4b49d
.xword 0xc6d2fe916f35b84a
.xword 0x543642f8ad68a0fa
.xword 0x6cb63d5113ae33c2
.xword 0x6b370fcb8a4879f6
.xword 0x251c5ebee5f8b685
.xword 0x62e8fe8134c728ba
.xword 0xf00cb332eaa8f69d
.xword 0x8f9689e520122037
.xword 0x0ad3be2595cb3b55
.xword 0xcd583bb99ea45ff2
.xword 0x2931ba7f22b66503
.xword 0x5d624ca9478eea0e
.xword 0x25f2972a64232435
.xword 0x5defe491c470be24
.xword 0x3c916cbdd554e3e2
.xword 0x7fa51c12e14c16ab
.xword 0xd6cdbb9f05384004
.xword 0x1c58b0eeddfbf43f
.xword 0xc467c47e13cd0434
.xword 0x06d7abe45524cee1
.xword 0x4b50b4f1055895b4
_t1_hmac_iv_array:
.xword 0x53a03d82d9e0f9f0
.xword 0x1166fba3f57baab7
.xword 0x2bce3616d5dfbf8f
.xword 0xcb6e1417216eb161
.xword 0x40a64662044a8274
.xword 0x6b2da855810b4b5d
.xword 0x2d270f97e9372197
.xword 0x243361ef95bc521d
.xword 0xb2a020016d616dbc
.xword 0x904582d41d344447
.xword 0x617c62668b809295
.xword 0xfa2d661e22753152
.xword 0x47a31f829b41df27
.xword 0xd37ec361ff7488d3
.xword 0xd186c04e0b641888
.xword 0x59e0665a28537c44
.xword 0x2c24b4f2628176d7
.xword 0x0f509818eb23394b
.xword 0x8cc9c35d0c46ee7c
.xword 0xbf989e9ae2bc1847
.xword 0x015348f46c6d4068
.xword 0x5beede71aedfe40d
.xword 0x7679ed18105c8d95
.xword 0x6d394cf5a188983e
.xword 0xab90910335ca448c
.xword 0x3e74d662c87253bb
.xword 0x34a48e7628e16418
.xword 0x9a2d07ad206d2e7e
.xword 0x70914c25b8941229
.xword 0xefa00012515fec4e
.xword 0x194325e19f45dfec
.xword 0x0800d5f8e7950172
.xword 0x1786db11c3e06322
.xword 0xaade4151d673aa10
.xword 0x977fe13059a7f641
.xword 0x57ed07d98ad4d836
.xword 0xc9e87d19495b88e1
.xword 0x6a07f509b3dbac63
.xword 0x10dbdebe234b36c0
.xword 0x582f13ecd043bd31
.xword 0x7743ec600478e03b
.xword 0x0530b98763540df9
.xword 0x8f409447e3408676
.xword 0x6635d33637cd4da1
.xword 0xbd025653cd0474e5
_t1_hmac_alignment_array:
.xword 6
.xword 15
.xword 2
.xword 12
.xword 5
.xword 9
.xword 9
.xword 7
.xword 3
.xword 11
.xword 15
.xword 10
.xword 1
.xword 12
.xword 6
.xword 12
.xword 2
.xword 14
.xword 12
.xword 11
.xword 13
.xword 6
.xword 11
.xword 7
.xword 3
.xword 13
.xword 11
.xword 14
.xword 12
.xword 4
.xword 0
.xword 15
.xword 14
.xword 13
.xword 12
.xword 12
.xword 1
.xword 15
.xword 11
.xword 5
.xword 5
.xword 1
.xword 0
.xword 13
.xword 15
.xword 14
.xword 3
.xword 3
.xword 15
.xword 10
.xword 0
.xword 8
.xword 3
.xword 9
.xword 13
.xword 5
.xword 7
.xword 4
.xword 1
.xword 11
.xword 10
.xword 2
.xword 13
.xword 9
.xword 2
.xword 12
.xword 6
.xword 10
.xword 8
.xword 7
.xword 6
.xword 9
.xword 2
.xword 9
.xword 12
.xword 0
.xword 7
.xword 11
.xword 2
.xword 12
.xword 7
.xword 15
.xword 1
.xword 8
.xword 13
.xword 1
.xword 12
.xword 12
.xword 3
.xword 6
.xword 9
.xword 4
.xword 6
.xword 11
.xword 8
.xword 6
.xword 15
.xword 3
.xword 1
.xword 2
.xword 15
.xword 6
.xword 8
.xword 5
.xword 1
_t1_hmac_src:
.xword 0xae971c5ee329e968
.xword 0x10ef61369d002406
.xword 0x189ae4ad5b084f86
.xword 0x60bdfb30d20d819a
.xword 0xa3e15f45a648b88f
.xword 0x3edc1026915b145e
.xword 0x772d8926021ef3bd
.xword 0xdaef7071cdbce5b2
.xword 0x1caa984d18556eff
.xword 0xa7b65d75247468db
.xword 0x3f4962cc1c6d3daf
.xword 0x6d1820683241ce72
.xword 0x5616c6cf59a271e8
.xword 0xf430c3f926bcbd22
.xword 0x98253c9e4c873196
.xword 0x74feb1100eb0261b
.xword 0x4c9496bec0963935
.xword 0xa2e6d5e6c7051d3c
.xword 0x79cc8f1015eb85ce
.xword 0x4df3f61ae47b8678
.xword 0xe05c0cf0a001e573
.xword 0xee421cfe10335b41
.xword 0xed644881b1c09151
.xword 0x0b4db229c81c8f0f
.xword 0xf7e66506f4a30129
.xword 0x06031b4f50f78b34
.xword 0x07c3807b0cd30106
.xword 0xe3460c4b5fdce1f9
.xword 0x3b352971c01ab37d
.xword 0x6eb27cba72222710
.xword 0x587886c74cea6aed
.xword 0x959355694584c2bc
.xword 0x2cc322119e5088f6
.xword 0xe316953429299281
.xword 0xcaf5bcb7e046752e
.xword 0x2bb66711da3dc4b3
.xword 0x22042b221b2431a9
.xword 0x4fe0c2551acc2bfd
.xword 0x16284f4cf4bbe289
.xword 0x911e4309e6004b31
.xword 0x02e2e724cc7327d9
.xword 0xe78b646e690e1355
.xword 0xd107e0fb9aa18c59
.xword 0xaab9afb35f8e482d
.xword 0x35a946c41ca5bb78
.xword 0x9344a3d1843de1f8
.xword 0x7929353ceb18c4e0
.xword 0x09d605779f87c7e6
.xword 0x5ac5c75e2ee43610
.xword 0x5be5fd42f6c788b4
.xword 0x513004755818f8d8
.xword 0x450670214bcf5bee
.xword 0xc1b4a1e2f811dc18
.xword 0x47a20d5220d30398
.xword 0x59064e68a2987125
.xword 0xfd51324191ca987b
.xword 0x4bdadb399b48affa
.xword 0x51300c75be79e20c
.xword 0x8ebacd50c1a5e548
.xword 0x5994e19d383cd363
.xword 0x27bfde60745c5997
.xword 0xdb9056a159fbed81
.xword 0x0decd21796253f88
.xword 0x5c1427b894748fa9
.xword 0x3ce6454261890980
.xword 0x875205f20396daac
.xword 0xb4d6307b3bf222b9
.xword 0xee7effee7ab879ef
.xword 0xda596ad054d9e8ab
.xword 0x8ba580f3dcea20ed
.xword 0x90db9e08042a2023
.xword 0xbbb26cee2a40fb8a
.xword 0x829f76997856e838
.xword 0x67d6b05e36e7e2ec
.xword 0x3af57e02c2f1e6d4
.xword 0x0e64a24ac2e40bd5
.xword 0xb0f39ffc380b601c
.xword 0x2d29e9127e95d072
.xword 0x33c711a4cd719ad1
.xword 0x6084ea02ef77b7da
.xword 0xebccfe8e7d3a87a0
.xword 0x14d463d9e39ef3b5
.xword 0x7edebfee3a04b630
.xword 0x06d1fa918bb9d049
.xword 0xebfa5722cf59d218
.xword 0x0fa220e49eb5e73a
.xword 0xb3afd9b945961a3d
.xword 0x1a793502491298f3
.xword 0xa4b48cfe0e7ea68d
.xword 0x331766fcca5b2f3f
.xword 0x43b97177f2dfd662
.xword 0x3f1158af2ad688b5
.xword 0xffda9d73cbc6bbcc
.xword 0xbdcdb797036e622c
.xword 0xa48590902867dbbd
.xword 0x1ce9fb885ec37a64
.xword 0xf1e45e261ada47fa
.xword 0x3bf300d5304e63cb
.xword 0xddffc83d45f3c626
.xword 0xf7016278df032cef
.xword 0xac973cd4c48077af
.xword 0x1eb1d5be7ebf8135
.xword 0x051264e914da7292
.xword 0x26fdae37f1e76a92
.xword 0x414e191ae322f110
.xword 0x0be631e88702a2af
.xword 0x481909a7b7c53fe5
.xword 0x0b57410b0778bac8
.xword 0x136153236dcd7d3f
.xword 0x30f1326a34155df1
.xword 0xce790a13ebf936ff
.xword 0x428ff9a2b1a73a13
.xword 0xd33004e039fea9e8
.xword 0xb8ff48aad1eb55e2
.xword 0x6d64fc27c7f81df1
.xword 0xc5a13043393cb0c0
.xword 0x126f18b39fa220bc
.xword 0x57be43aec334c818
.xword 0xa462721643c397dc
.xword 0x87088dd226336001
.xword 0x8abb956320250c60
.xword 0x475562f012d29315
.xword 0xe2173896803b572c
.xword 0xa243b8b2fe85288d
.xword 0xaab986e70d4b724b
.xword 0x4edfe258d40a204a
.xword 0x1380efea38779ad5
.xword 0x87331a200a98a862
.xword 0xda74060c3dcdc365
.xword 0xcb797f8fcd8ff128
.xword 0xf9764bebf83f6b6a
.xword 0x789bb232b56ec88d
.xword 0x5f135396b1e60873
.xword 0xc6332c51e95c4fa3
.xword 0x08c02e7c08c62945
.xword 0x83b69ab8720df80b
.xword 0x52cdd241faed105b
.xword 0x67318336775b2d1d
.xword 0x6f47b1e3b3383f5b
.xword 0xa22d4f18dbacfda4
.xword 0x1b76b604ca21a9e6
.xword 0x3cda1a31c93836ab
.xword 0x58c1d654ebf66fcb
.xword 0x909a390619b9a913
.xword 0xf0d64b530214e38d
.xword 0xd99be0b071cf12fb
.xword 0x1f3dfc596d48bd6d
.xword 0x31b1004bb4993984
.xword 0x98b9d433270d1344
.xword 0x28d9bb770531de4b
.xword 0x161a22e6e7895ae8
.xword 0x110d7201bdf63e6d
.xword 0xe696db8dd63b1d94
.xword 0xdac2553d7c9c6d07
.xword 0x161b131b81e6790c
.xword 0xea581ce35fe8122f
.xword 0xd0e4669822defbe6
.xword 0xbe08e9d52b04e95a
.xword 0x841e9da173d9450a
.xword 0x5a83a8c5277bd389
.xword 0x17cdb95059d00bd5
.xword 0x2d80b07814b2b2ce
.xword 0xf7fdceb30c0c9f3c
.xword 0x922ad3bc4d3e3c57
.xword 0x2f146e4ceb0f144a
.xword 0xada4268335500d7f
.xword 0x7cbc6e7394472998
.xword 0x4bd2e96d9a3c7b19
.xword 0x25d3999b2921dbaf
.xword 0x3c5afb3e8aad401e
.xword 0x6a0b68bcae347ac2
.xword 0x72e6108d6bf1b9cd
.xword 0xa1e6f6ae99cdd3c7
.xword 0x1d053d81d1580a97
.xword 0xf1c5a5dd0662165c
.xword 0x980e3aaee99b6119
.xword 0x7f6837ebdde5f2f1
.xword 0xceb5eabc8a65c0f8
.xword 0x9dabc9d958f8beef
.xword 0x7b1468a7ed656596
.xword 0x57c88797dc4a451d
.xword 0x503c136168cf0133
.xword 0x5a7299a3a49183c5
.xword 0xd2264a3823dc49f6
.xword 0x5098953b38493657
.xword 0xccbf296d7d596120
.xword 0xc24c4b9d30e6636d
.xword 0x87c395ea9455dc57
.xword 0x390ce77757b76279
.xword 0xfb972bc3c8b5864f
.xword 0x9b13922e8e1298da
.xword 0x46efc6391fd9ed00
.xword 0x80fbdb95adb178bd
.xword 0x96006dc6b3bcc956
.xword 0x4addefc200647864
.xword 0x505cbf56ddc3f406
.xword 0xb6d4ec7955875b33
.xword 0x23c886949d76a326
.xword 0x4dd8aafc0c795dfd
.xword 0xf341a37885ed41b3
.xword 0x33d47acab4732513
.xword 0x17ec04a3ceae5ae9
.xword 0x6f20f2b5d06dc726
.xword 0x11fa0cea61b1d230
.xword 0xc404fac6ab43527a
.xword 0x929eb4e7e0147f2e
.xword 0xe8afac765bd5c8a4
.xword 0xbbf0a14a96aee451
.xword 0xf29bb1f8975c83fb
.xword 0x0b9527321525eddf
.xword 0xe2c6bb2ed9154bcf
.xword 0x8ba8ade7a51ebd77
.xword 0x9ef4249370be1e4e
.xword 0x1ce17abe1db8b67b
.xword 0xcac3143866399672
.xword 0xf76788b84443e983
.xword 0x2ff6c92f90512245
.xword 0x2a6b4f1c37de1231
.xword 0x976815fff0b28753
.xword 0x9ad150387a1ea22c
.xword 0xfcf25abd1915a3f5
.xword 0xba987b28d8070950
.xword 0x16e63f1d33062756
.xword 0x0ba4495000a95ca2
.xword 0x5b5799930ae2d9d5
.xword 0x4903933068d9270c
.xword 0xefe1b5a8205289b2
.xword 0x7c288829fc158549
.xword 0x3b5dbcb40e0c423d
.xword 0x8e068f474f786ba0
.xword 0x9ea39d4478dbb613
.xword 0x2853c40c73573935
.xword 0x571d58d66518d4eb
.xword 0x8a52bb8210140002
.xword 0x1fe306d4829dd774
.xword 0x3e7b7a076865f661
.xword 0x2cb6feb82e7aa868
.xword 0x5115da6ba2bf2a75
.xword 0x1986f375c9243f70
.xword 0x87e9324ca12cb18c
.xword 0xd34d156b889a3f55
.xword 0xc3193901084cd7ad
.xword 0xbf34bd527f132b9d
.xword 0xaf17824d2e33dc9a
.xword 0x45b3833bf041bfe8
.xword 0x308829b0dece7fd2
.xword 0x8925005cd2aee53a
.xword 0x92c5b298a3ec262e
.xword 0xcfca882dab2b56ea
.xword 0x7b8fb460cad498b4
.xword 0x24a3029d913a297a
.xword 0xabb72ff5fb2f005e
.xword 0x17812a006b7ef8e6
.xword 0x072e1e11a582e85c
.xword 0x0c98082dbac79123
.xword 0x85d87b333751cff1
.xword 0xe6121d1f9249d5cb
.xword 0x6c1dbbc5b8858511
.xword 0xccdadb38e4bec625
.xword 0x192b53cc5bfbb568
.xword 0x9b74ea966d41d4fb
.xword 0xf5147aac747de614
.xword 0x7a47c88de3c3a894
.xword 0x7e954deadb8a5970
.xword 0xaa39518ebf4e4a15
.xword 0x1c50e47677c65370
.xword 0xa17fc498ebfe05e8
.xword 0xc66b3993d58c772e
.xword 0x40b741bcc313daa0
.xword 0xab9e6f61fe9ff724
.xword 0xcd6ce6664edea4a3
.xword 0xe5715739310d3a80
.xword 0xe5a45203f79e0cb2
.xword 0x8317f9da44737c59
.xword 0xbe8c836baa7e8877
_t1_hmac_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_auth_key:
.xword 0x7026ea68a376bb8c
.xword 0xa0089b83a4804cf3
.xword 0xb4a9cf0aba608f33
.xword 0xc1776efdbd083afa
.xword 0x237a2bc61562f87e
.xword 0x5bc13c0c0a1a46ee
.xword 0x675f095f6f40e110
.xword 0xcd02cb6c6dc0f505
.xword 0x86dfa4fbe00e3b90
.xword 0xad11eaae7940d798
.xword 0x7eaf905ff40f8b30
.xword 0x17a6ede54323ac47
.xword 0x7cc1b98a6ef123e7
.xword 0x10f073aa54feafe8
.xword 0xea166ff07729b7e9
.xword 0x5faa1d47a460fd77
.xword 0x4fc61bf16a8dfb03
.xword 0xc4b4b61b1c9a709e
.xword 0x7fd9e5bb3722af15
.xword 0x83601f426ce31f65
.xword 0xf1cf1d0a09b5da65
.xword 0x195160a4aac6b075
.xword 0x1f80fe252be352c3
_t1_hmac_auth_iv:
.xword 0xdefefc02cc3af48a
.xword 0xbefde77e170f373c
.xword 0x20292ff06de6fec5
.xword 0x0004c4c93d405f9d
.xword 0x45e8110e64351c76
.xword 0x1e4cab7c01a6d560
.xword 0x5667f0c8c108f1f3
.xword 0x9866c8c2b971ab5e
.xword 0x8949e01330b7eb57
.xword 0xb805efb6fb340a5c
.xword 0x4221ffa279829505
.xword 0xf895d1ef78728e58
.xword 0x7659b897e992932e
.xword 0xf6f9b73af5d9ba92
.xword 0x3165bf4337b3acdc
.xword 0xb058c3f2859c1a42
.xword 0x795c4a2d585a482d
.xword 0xd9ffb7b39bb481f0
.xword 0x46fa7ba281082737
.xword 0x67fc8c72ceec92ef
.xword 0xa76a6e8a87b559e3
.xword 0x7c2c5fa02a733594
.xword 0xffec7f41817cb59b
_t1_hmac_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_key_array:
.xword 0x4b9f8e4aaa4428cb
.xword 0xd59e0678f32bddfa
.xword 0x18ae8330b46e30d7
.xword 0x8a770817e188f66a
.xword 0x55c60313ad71b365
.xword 0x3de55d9244e0fd49
.xword 0xfa1220de865d6115
.xword 0x0beb8ffeee02f957
.xword 0xf6fc2a5a8bd3cf99
.xword 0x800a6c81e24dac7a
.xword 0xa81a2ab529fa23ac
.xword 0x7b68334f092d385c
.xword 0xff08ce56f543803f
.xword 0xb21529eb842f235a
.xword 0x4dacd49f1baec301
.xword 0x73d030d4d66c9c8a
.xword 0x36cdf2146631f8d2
.xword 0xdecaa16d1189b8cb
.xword 0x34f865c71805a163
.xword 0x01e2a272a3dd9ddb
.xword 0x724d15e8d80a1a3b
.xword 0x9b1251693ee04d8f
.xword 0x18154345abb59ce1
.xword 0x40c0806d5911f83e
.xword 0x3a4d9bfc867ccee9
.xword 0x6567e2c7224dad33
.xword 0x63b03a48f231e53e
.xword 0x407a603590a494a0
.xword 0x78c668462640839c
.xword 0x46c7010ba245e49c
.xword 0xc9950bcace1366c8
.xword 0x19ba52398db6ca6b
.xword 0x3fa2dc4efe66ba96
.xword 0x90d94f51e9fbddb5
.xword 0x63c1924ec7c4b2fa
.xword 0xb76830785bc57a3e
.xword 0x5ab3622f03432aac
.xword 0xa55c36277f2586b1
.xword 0xf1e6a62b67eda098
.xword 0xa404a50dc7fee177
.xword 0xd151b3779be39c74
.xword 0x93c01ccca7f2fbf1
.xword 0x53bfae570f821b43
.xword 0x663daeb0f42cd83a
.xword 0x8cffbc1106b3e245
.xword 0x5f3cc717f93b6735
.xword 0x4848fe10bdd3cd53
.xword 0x9158157e999b36b4
.xword 0xf73469285b7c594c
.xword 0x2f926c664c722eb5
.xword 0xfc3b61c3d9db4fa2
_t1_rc4_iv_array:
.xword 0xfa7ce616125e3eb2
.xword 0x2213786aef52f97f
.xword 0xc7bb706e7b2a8163
.xword 0xfc7e46ab394aaa31
.xword 0x912f70c4c9add3a4
.xword 0x3323e01f48a2a959
.xword 0xb7f9a4ab4165f959
.xword 0xcc6a5bcbfbe7c5b7
.xword 0xf4eb6b51f0efcb6a
.xword 0x50f79e1f8fe447d5
.xword 0x1d3f9ad7009814f2
.xword 0xf736d923663a4b2a
.xword 0xe770307df09ee407
.xword 0xbe6076acf646d57a
.xword 0x80e8c958361aeb38
.xword 0x9c101e47f0789ca1
.xword 0x5457ace2aef9d823
.xword 0x4571e7f9784ca864
.xword 0xa38f6ea10898a66d
.xword 0x695afd8b51eca3ff
.xword 0xf6a842f45abdad25
.xword 0xb51f2ea82d97574b
.xword 0x7d5905f2dd01195d
.xword 0x422383a18facd9d6
.xword 0x39959022c3ff5bda
.xword 0x0f7ec3340dceebb9
.xword 0xaa5a16c0ee9b8087
.xword 0x565215ea488e185f
.xword 0x9f6414790f7f2749
.xword 0x9ab38009ffffea5d
.xword 0x3942361662f18779
.xword 0x7ed636456f6fc9a6
.xword 0x6080bc17d1e41cf3
.xword 0xd99ca5f7c3194ae4
.xword 0x7f0b3c9a9333ad61
.xword 0x7d2cb6fde3eec7eb
.xword 0xf95874cfbcf299bf
.xword 0x5a511a93fedb8980
.xword 0x4034868293072840
.xword 0x4bdef27a60921aba
.xword 0x25b8e1e36670de84
.xword 0x1eec78f96a668489
.xword 0xcad2803cb17b192e
.xword 0xc3015e96bb263447
.xword 0xcacd08c0b4eed63a
_t1_rc4_alignment_array:
.xword 15
.xword 0
.xword 2
.xword 10
.xword 1
.xword 10
.xword 8
.xword 3
.xword 8
.xword 7
.xword 7
.xword 1
.xword 5
.xword 13
.xword 10
.xword 8
.xword 8
.xword 6
.xword 1
.xword 7
.xword 1
.xword 0
.xword 6
.xword 8
.xword 0
.xword 8
.xword 9
.xword 14
.xword 2
.xword 6
.xword 5
.xword 10
.xword 3
.xword 12
.xword 9
.xword 4
.xword 13
.xword 11
.xword 15
.xword 7
.xword 1
.xword 0
.xword 11
.xword 0
.xword 15
.xword 12
.xword 9
.xword 14
.xword 10
.xword 12
.xword 13
.xword 13
.xword 8
.xword 8
.xword 11
.xword 12
.xword 5
.xword 2
.xword 1
.xword 10
.xword 10
.xword 10
.xword 0
.xword 12
.xword 7
.xword 12
.xword 10
.xword 7
.xword 15
.xword 11
.xword 12
.xword 2
.xword 1
.xword 10
.xword 4
.xword 0
.xword 14
.xword 1
.xword 7
.xword 5
.xword 0
.xword 13
.xword 7
.xword 8
.xword 1
.xword 10
.xword 9
.xword 8
.xword 8
.xword 14
.xword 14
.xword 15
.xword 5
.xword 12
.xword 10
.xword 7
.xword 4
.xword 4
.xword 10
.xword 9
.xword 1
.xword 11
.xword 10
.xword 5
.xword 1
_t1_rc4_src:
.xword 0x58d7ebc7e9882f27
.xword 0x95d0573c92a86326
.xword 0x0faec6638caa9716
.xword 0x263ee0ebc36cef6d
.xword 0x807fb41757813811
.xword 0xcc23f72d6114b6ce
.xword 0x51159e42fe1c13fa
.xword 0xff952202279d3526
.xword 0x8b8da79fb587398f
.xword 0x953ae03bdcb1c014
.xword 0x793896f0ff0fee63
.xword 0xadf14391f2eaa01f
.xword 0x44f16e4d773dcbe5
.xword 0xb6b7d70bd1e72880
.xword 0xbdaa616d3cd816a2
.xword 0x90c427fec86cbd44
.xword 0x9e47330e6bca0b51
.xword 0xc45c2535b5e7fd03
.xword 0xcade18d13dd0545e
.xword 0x4f8fba976531ffec
.xword 0xabb902a8fc55a696
.xword 0xe69ff66d433bce27
.xword 0x5f2c03e82e08bfca
.xword 0x42d6dfe6190b580b
.xword 0x07da1c1bd4856196
.xword 0x78fdfa553d07e75c
.xword 0xc0a4642f22c4d9e4
.xword 0xe681607cd8f9f060
.xword 0xf329ace3e65e28d6
.xword 0xbe3c4706a7992336
.xword 0xc294d07c725a7a50
.xword 0xcbe1f000079dbb63
.xword 0x08c6d29bbc4ef501
.xword 0xf23447e799854e80
.xword 0x82289f9e550c0237
.xword 0x87d8147bc0d44a9a
.xword 0xfae5c3953dc25b9d
.xword 0x74531b2db8f06841
.xword 0x782bb4492d270649
.xword 0x3ecd5034051bdaf5
.xword 0x0edb391a88a810c7
.xword 0x60e42fec1b09eefe
.xword 0x09a561ad9b445094
.xword 0xcb5d8f8033f26e9e
.xword 0x753780ed69ab17be
.xword 0x7ab0925b8f8ca602
.xword 0x5866bb39e73daa2f
.xword 0x6ae1cefb264a6e63
.xword 0x372987cb1b877955
.xword 0xe48049819f6cc835
.xword 0x6b1c9bae38daf87e
.xword 0xc48e9b74d1369e07
.xword 0x28e1b3036e1c84c6
.xword 0x7cdb9f2a8fa413c8
.xword 0x0b2b069661f4c994
.xword 0x92ae7de70b61ad1f
.xword 0x43402c5c305a4448
.xword 0x0ac8e7c0ae1a1717
.xword 0x9004fc8da89782f6
.xword 0xde517e0ee5ba2ed5
.xword 0x1eeb36e9de337da8
.xword 0x0f0de3719ef4a051
.xword 0x5d99a496a97e5140
.xword 0x98291a8b264e3970
.xword 0x6f227a7176e4615a
.xword 0x3763f0b8c0cbb8a1
.xword 0xdc7e6055336ec83b
.xword 0x1c21bf8e98080ebc
.xword 0x0ab1aaf502364fc5
.xword 0x4bdde44d6662e236
.xword 0xef0c9ea089712f66
.xword 0xe6b1ec57504395ea
.xword 0xe34a8c554bd57ac7
.xword 0x0e2f3a57e6fbf77d
.xword 0x632135f1fc94bcf1
.xword 0x45ba7491072a984e
.xword 0x71da59ba8fc50e47
.xword 0xe359bfbe00a2a946
.xword 0x8f8b2b4662f17eaa
.xword 0xce7f468638909e45
.xword 0x0fe89c46082a1235
.xword 0x9f0dbc5d72124396
.xword 0xe3dd8bbbfc83bd67
.xword 0xb3db90f6e1d2884f
.xword 0x085362514b6e424f
.xword 0x0164addefee08e1a
.xword 0x7ebec972490f55ec
.xword 0xb97e07da86ca273e
.xword 0xb9f4409ca1b47977
.xword 0xa12a468d962082ec
.xword 0x4dc46ac4ec994649
.xword 0xd3e4749a62cef30d
.xword 0x4655e5b7b929e635
.xword 0xb18a5937903e61c6
.xword 0x15895d155ecd377b
.xword 0x8e5b4e0733a094f2
.xword 0xa90df51ffe1eb496
.xword 0x25a8eddf8a390182
.xword 0x7135a4de71ba30a5
.xword 0x86f8a5f1b07cf94a
.xword 0x92e44efa665a4fc4
.xword 0x9c4ee535dff6a737
.xword 0x1efa6c3b6b4f28e7
.xword 0x42af56ac146794dc
.xword 0xb40464443880d8d1
.xword 0xe09276f2da21ea64
.xword 0x58c462f8e0175956
.xword 0x5b0557e3eea6f60d
.xword 0x38f13464c2e8d1ec
.xword 0x924f6f35b23593ae
.xword 0xe8b65b356b46ac3c
.xword 0x574e4e39fb076646
.xword 0xc553c21eb043ea6b
.xword 0x69d6d4367d3e641f
.xword 0x470c59360768721c
.xword 0x3e00a50b95abe693
.xword 0xbfdf555ed5a29ef4
.xword 0x22f4c98e49a41dd5
.xword 0x4b1c2cc433d7a215
.xword 0xdf46846b7787d1ce
.xword 0x5206d2c407252f6d
.xword 0xab4d0686eec39e8e
.xword 0x636e5b4681d0bbbf
.xword 0x764aa2b0a35a403f
.xword 0x33291adeb4e8fb3e
.xword 0xc6acbd4cbe38a4cb
.xword 0x7249bc4e53e9fda7
.xword 0x30e3bad1ad5c64cb
.xword 0x473f6f95f52c51d6
.xword 0x154289ad925d4c00
.xword 0x107c072bb82d0bcb
.xword 0x4734d4fb5831d1ca
.xword 0xb2ddc5ce45c21ab6
.xword 0x2df51614ec6701f4
.xword 0xe01f245405efe07a
.xword 0x3bb2b570ebf992bc
.xword 0x85e8e4b689ed62b1
.xword 0xa98843d12b661809
.xword 0x0d9092580076fbe8
.xword 0x696dffa30b108fe2
.xword 0x268ec4198b48969c
.xword 0xec32df2a94ddf00d
.xword 0x993969384a8d9269
.xword 0x95e68511eb5a778f
.xword 0xd4c813bd743b67ab
.xword 0x4f398e9b7525f037
.xword 0xb4da97a06d3f38d0
.xword 0x55a4ae516370fc4a
.xword 0xdbd9e3815b96cf65
.xword 0xdb748ac0ed429556
.xword 0x9c03169a3758735c
.xword 0x59dbdfac6cc3f0e3
.xword 0xbc260b24b3aa8ea2
.xword 0x6b1822043678bec8
.xword 0x7e0ecc4547d74d71
.xword 0xe9cf67652806f48a
.xword 0x0260a76cac09a7d2
.xword 0xfe25e2ca23957032
.xword 0x66f8055b2444e4e2
.xword 0x5ac072f574c9693d
.xword 0xd07985066f14a860
.xword 0xbaa9ffd0638cf092
.xword 0x4c8a0c52f056e8f6
.xword 0x1d833a6417c3e42e
.xword 0x0b92dd36c1978638
.xword 0x0f3fa779278ebe9b
.xword 0xcb2f1cedc64ae89c
.xword 0xffe525c1d843dff3
.xword 0x53ba2e5446b1a19e
.xword 0x71a312a9ce2430f5
.xword 0x886311218d766469
.xword 0xfdc08bad457a83ab
.xword 0xf2547f0d91b7b5bb
.xword 0x6c7396bbb7c980f2
.xword 0x79d846b810dc6987
.xword 0xb8cd529611fcd7f4
.xword 0xc0e2396660ea2c9c
.xword 0x2c9f3fb7f87637f0
.xword 0xb69c2071498b738f
.xword 0x0829aba29b82447d
.xword 0x5783b71240be69c7
.xword 0xb406ea6aef021730
.xword 0x1b325d5c9ba638b2
.xword 0x17ad900beefa3df9
.xword 0x03a3edb60911e2e0
.xword 0xaf041e26366b26c3
.xword 0xff8bb524ed66c5fe
.xword 0x23b7625346358f70
.xword 0x35148e17c35bd4b3
.xword 0xcad9fd61506f4a85
.xword 0x6706ffdb7a9b6b64
.xword 0xc317c8bee0bffb72
.xword 0xb17d59e6c5efb8d6
.xword 0x44d139f5dc2eee3d
.xword 0x487076937ea31279
.xword 0x936479f2696c03d6
.xword 0x6dd8befe2d96369e
.xword 0x5139e93516fa3331
.xword 0x50c310212dde4edf
.xword 0x67a2b87219ab2667
.xword 0xd3bd3d823d57c2d2
.xword 0x6098ca57e2c213d5
.xword 0x67bf41c03ebdb65c
.xword 0xbf2a332109a7f03c
.xword 0x38892025ed3476ad
.xword 0xb35408547f33bc17
.xword 0x734bc629ed245c2e
.xword 0x8d47056ab0ebece7
.xword 0x20afb57a4a3f667d
.xword 0xf88593430c535e90
.xword 0x8a1f94982cd6b34c
.xword 0x809f5f7738ad12af
.xword 0x6d9c445c06e30427
.xword 0x7c318f10d84b5fcb
.xword 0xb867fe92f107c286
.xword 0xb663fbd7fc4e801b
.xword 0xb7e5ff0911cfe8ac
.xword 0x41b172cac763f039
.xword 0xec1dee54d8645783
.xword 0xd1a43e22a275df8b
.xword 0x1ddd9e472f090fac
.xword 0x56786adc52bacace
.xword 0x6ef384a05d064d3f
.xword 0xc0a633995af80aef
.xword 0xb60461596dd17272
.xword 0x83daaf0425f6c827
.xword 0x0de218e78d1709ed
.xword 0x6accff7952230029
.xword 0xefc215b92b8c6fb7
.xword 0x27e098d36f72fd20
.xword 0x15f313dc4c7dea89
.xword 0x7c017eef8a02be31
.xword 0x813e0b041a355696
.xword 0x22b1a13547f68197
.xword 0x180bf61b610fe51e
.xword 0xab5f7d3e2aec7e89
.xword 0x21a3c32d38ba4387
.xword 0xed7e6246e7161063
.xword 0x9b92f0e46e438000
.xword 0x4c160e99bdc42d50
.xword 0x1d46d8854c2a4545
.xword 0x97e9ebfba09c8997
.xword 0x81c9afc60136110c
.xword 0x2552d4207e2d6dbb
.xword 0x7b764890b43d4831
.xword 0x9f593ad40d3d6b9e
.xword 0x45f045818a1d20e8
.xword 0x18bee08ac939068c
.xword 0x06c29050dd856760
.xword 0x1eed86ec75b34418
.xword 0x9e77404f86e25a10
.xword 0xf442126335a0f8ce
.xword 0xe980fd2cb00223bf
.xword 0x86b832f36afa3d1d
.xword 0x3f9a0ab2938435af
.xword 0x8484f94d3241e618
.xword 0x63d2b3c68ef2dd74
.xword 0xef60cc168c4b81d9
.xword 0x3cecaf0dd4206a1d
.xword 0xb91cb8ec4706e56c
.xword 0x562dcacf283b251d
.xword 0x063ecfcff626b817
.xword 0x1b131d9e2453f5a7
.xword 0xe6c6216f912b471a
.xword 0xd3a1e1224e32a250
.xword 0x225b9949f44af857
.xword 0x46817182cd4573c1
.xword 0xe786bda1199352f0
.xword 0xc7fac108eba0d604
.xword 0x794dfd93f16a32e9
.xword 0xff44bf06028cd41d
.xword 0x873cedba87ef4790
.xword 0x029e1bed3163cfb6
.xword 0xb759dbd0ea67c52d
.xword 0xede124a08c6ee47c
_t1_rc4_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_auth_key:
.xword 0x8bd03b265316b7f3
.xword 0x3f4160bab3b9545d
.xword 0xd3afde90267e25b9
.xword 0xca4b417c940db299
.xword 0xcc527d3c739cae73
.xword 0x0480f4593fadfdb1
.xword 0xd394609fa127624d
.xword 0x92e4a27dd0a8e016
.xword 0x42edfda4a6280694
.xword 0x1b3d5c2f1049a594
.xword 0xd47eb0e509a55e70
.xword 0x6964c1e26995997b
.xword 0x924a9d2f2066dc93
.xword 0xbdbcda66dbc9d574
.xword 0xea0bed1bad2ce040
.xword 0x3920d5254f557d16
.xword 0x5753de01d879d8e5
.xword 0xe7ddd86268853473
.xword 0x5043e5a0908962b0
.xword 0x58129d89b0b80e4a
.xword 0xa2a1fdf885fa1bfd
.xword 0x4b8f7a80c6f71d20
.xword 0xbf83fb32940bca86
_t1_rc4_auth_iv:
.xword 0x19099b4bde5ca5b6
.xword 0x2ecfdaf43d26d136
.xword 0xeb2a8a33dc05a19c
.xword 0x0759b2280fcb8e1b
.xword 0x204982de077dd11b
.xword 0x750294254fef91f9
.xword 0x743559918fe8c0a2
.xword 0x016736237ce4057d
.xword 0x98dd0c4dc755e8da
.xword 0xb919ad1d3f9d4f24
.xword 0x5d5badad38737724
.xword 0x66d5f13abbd6d513
.xword 0x37b2b1e2c7029281
.xword 0xb1c114a9343df474
.xword 0xaee61128199ac8a1
.xword 0x020dfe53e8c4e26f
.xword 0x571b9f76a0d1009f
.xword 0xa81c27f4df1f25c4
.xword 0xb3b48ac059001cfb
.xword 0x95fc339ebe2a94f8
.xword 0xce8bb59c670678b2
.xword 0x3fca050851256636
.xword 0xa5f093bf03e20670
_t1_rc4_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_key_array:
.xword 0x3fabdbda8088838e
.xword 0xbdc8657e32678999
.xword 0x6c78926050edfa0d
.xword 0x8dcb43bd68ba6328
.xword 0x605e2bbd5e7d5717
.xword 0xa7046850d94f3211
.xword 0x59802c11a1da806a
.xword 0x29a3bb85afbfacfd
.xword 0xdee15a4dfb259ecd
.xword 0xbd2623223ca148c9
.xword 0x335eca6bac931e78
.xword 0x6e285a09a7973b97
.xword 0xbc6cb9327b4d0647
.xword 0x6764126be3f6616a
.xword 0xda1055ae95312a59
.xword 0xe10df117acde990f
.xword 0xe7770dc8ff492ad9
.xword 0x35eac6600e74afaa
.xword 0x2fed2f8119ec912a
.xword 0xbbb73e1b3ac16296
.xword 0x83da61850ecf0a71
.xword 0x641ac956b55e4d38
.xword 0xfb0daef630aaed29
.xword 0xf536414480147f05
.xword 0xe9650982c0e7b0d0
.xword 0x3020f80ccb46586c
.xword 0xdb938ad5023fa74f
.xword 0x1b395bce3d5df328
.xword 0xbee2a3584cde2d7e
.xword 0xcdefd1b0881258a7
.xword 0x70aa38b9e1bb1d5e
.xword 0x7c8006c898c44664
.xword 0xd70467917b30d773
.xword 0xde7774082720b510
.xword 0xd869d3451bbffb92
.xword 0x30e4f2a39e1f9794
.xword 0x4e6d0f1c311c5fb8
.xword 0x69f104ccbce9360f
.xword 0x239786d2d632ba25
.xword 0x4e3cf32d16f9566b
.xword 0x9a6ba3e1eb80c1ea
.xword 0xa242f18565f66a9f
.xword 0x85205f0ea0e6eea3
.xword 0x14db14d890a3ddbd
.xword 0x0606b6681a349817
.xword 0xad216fb2eb48fe05
.xword 0xef5c4eeb8b6281e1
.xword 0x660e27430d059de7
.xword 0x33690230f88bb5ee
.xword 0x6bd49f697d0d282c
.xword 0x7dd6a099201dc0b1
_t1_sslkey_iv_array:
.xword 0x9c1e30bdcb6e545c
.xword 0x2768e1e284291a95
.xword 0x5982a946f12bdc1d
.xword 0xe2d54afbd455182a
.xword 0x71315e488846f233
.xword 0x00d4d1625981e05d
.xword 0x5ecc7ca4ba80881b
.xword 0xdb4835a39b2a4b92
.xword 0x04798a642761ff6b
.xword 0x5c2a9bceda559fcd
.xword 0x8f82757e752002a2
.xword 0xbdac93e4a611d736
.xword 0xc2f77a56c88b9866
.xword 0xac468d41c5ef10fc
.xword 0x867e6b68c4c9edb5
.xword 0xf241f1e5d5a46abd
.xword 0x9a67acaaf5f82383
.xword 0x0afbf098165affc3
.xword 0x60dc0b71622fe7fa
.xword 0xf2b31c4cb6dd90e6
.xword 0x691e1ce773f35c2d
.xword 0x6b169512d552e362
.xword 0xc96625b99d836d2e
.xword 0x13af71e3f89cdbd2
.xword 0x62eed5419ed00b23
.xword 0x85cfdd599d2a1e9d
.xword 0x555d8f7fd573b557
.xword 0xe2889733c7c5247f
.xword 0x6fceef6364c0fc8b
.xword 0xfa0a8a588291a117
.xword 0xa7272a6ab6e1a69b
.xword 0x344fe88916794429
.xword 0x34051d6794aff30e
.xword 0x032e6f01697e209f
.xword 0x1eee0a8eb62b7b6d
.xword 0x154854010e3e66b7
.xword 0x49efa70a2cfeeff8
.xword 0x4728b0e515b5b2a6
.xword 0xf279307994ce8613
.xword 0x7ed80980ceaba8b0
.xword 0x706ef0d364d02ba4
.xword 0xb31cbce1edc542ec
.xword 0xf1380f439ed9b35f
.xword 0xe2938f92f7a0bd0e
.xword 0x24a536bfc2d4b355
_t1_sslkey_alignment_array:
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
_t1_sslkey_src:
.xword 0x01da133f02eb9f57
.xword 0x8a1cf7ddb3569d61
.xword 0x7ccf51727ce19128
.xword 0x1a1f4bc1ff8877ef
.xword 0x18746658e7f78ec2
.xword 0x8b8173b75767c411
.xword 0x45b2a928eb275695
.xword 0xe46526c96a4fd045
.xword 0xef68686a6c77e5ea
.xword 0x9a44087f1256865c
.xword 0xa36366aafa0603ba
.xword 0x4609ea60943bb1dc
.xword 0x77407616f5205d07
.xword 0xe8e363162d795e41
.xword 0x974a378e79dca2a4
.xword 0x01ac457b8960e9ce
.xword 0x7784af7a84cb7826
.xword 0x61d0bc15c1e46fdf
.xword 0x2b8307599cceb86f
.xword 0xb22218f70d92e125
.xword 0x8dbc961b5b4052a7
.xword 0x47102e24987bf4b3
.xword 0xccf662f67af6adef
.xword 0xb7628dc91b7033bb
.xword 0x9fb03c8ac3d0ebd1
.xword 0x286045213db88485
.xword 0x29d74a9cf4c2f622
.xword 0x8b7d07a44e2cb694
.xword 0x31f3fae3fdbb5abc
.xword 0xd1257fa7d5e0d396
.xword 0x2de7ea9f296bd713
.xword 0x8e258aa0eed2ff11
.xword 0x51e4c677079a7e87
.xword 0xe0bf75d1cc21b4e8
.xword 0x725784b289532ce6
.xword 0xe80d153e4b37f6d4
.xword 0xa5beaa3350bde11a
.xword 0x0d48c8571ae6581d
.xword 0xd15926a644d880e5
.xword 0xe43492b79dc90439
.xword 0x618c95c82d98b640
.xword 0xb064b36ec1648493
.xword 0x2a17322dd4d0bdee
.xword 0x9afa13ac72cf8fc5
.xword 0xe6e5966629c41d37
.xword 0xa74aac72b9c0b6d0
.xword 0xea19655f930d3cf2
.xword 0xde9cb37779ae29fa
.xword 0x2d20e5f1700bf865
.xword 0x3938bbf55834334b
.xword 0xd9c7f836a1e579de
.xword 0x4e30a014c08e9f21
.xword 0x260b2db289eaa653
.xword 0xd0748ccabe28e8c7
.xword 0x409510787419f302
.xword 0xb2cbf8821f4da712
.xword 0x4dae395edb805e86
.xword 0xe0f53c7e13069374
.xword 0xb13663c0233da674
.xword 0xd3139e0925d10f5f
.xword 0xf9cab458d40815c2
.xword 0x8d753ae8b25ec302
.xword 0x9146ee13cf9e1944
.xword 0xf7d773a785e3669c
.xword 0x9c555a46d5357710
.xword 0x1dfd4beb0c2d6b96
.xword 0x8a585bb515b5f891
.xword 0x46cb90a5292ca2cc
.xword 0x5e49bfcab9669677
.xword 0xd20beda642b4d090
.xword 0x52ef26a296b1ce54
.xword 0xad72f4611d603e3a
.xword 0x53521c027cae9c5f
.xword 0xe7b0ca1b553e6b6a
.xword 0xb6642a39c17c70da
.xword 0x64a12b8b6af734b9
.xword 0xd73f206edfcfe58a
.xword 0x65f92cc5735f5284
.xword 0x3e12cb515c42805b
.xword 0xac2df345d9e1350d
.xword 0xc60b83f8f3fbbfcd
.xword 0x60b76e4499067570
.xword 0x514593b0170d40f2
.xword 0x62d484837aca7913
.xword 0x5ec8bbc579d1ca28
.xword 0xce03b97bb657960e
.xword 0x71113cfe44ea534a
.xword 0xb8e729e5d6b4e688
.xword 0x10a6f2a4cc79acc6
.xword 0x956711166e093bac
.xword 0xbca0c1049abe3fd9
.xword 0xb36f294386ccf8a5
.xword 0x6ba4dd491df48df0
.xword 0xdb5bb012edcdb949
.xword 0x52469f053acb556a
.xword 0x51c506d55e5569e3
.xword 0xff3167999e4add2e
.xword 0x622d92286e5f85e2
.xword 0xae482861b3172836
.xword 0xe9d7ff8e426bd857
.xword 0x906f2e048caa7d11
.xword 0x841e5e45b4ab461a
.xword 0xdbb27d83c5fd0887
.xword 0x73ad1a1e54520a4b
.xword 0x40ae0c56f602eba3
.xword 0x8be2588385821b8d
.xword 0xf9a39fa98d86394d
.xword 0x28a3125936072db4
.xword 0x83f68bec04482572
.xword 0x70f102ecfc8ad53b
.xword 0xcd136c402b4d6fe1
.xword 0x8b76e580ab9c47ad
.xword 0x53770c7783b50103
.xword 0x0ce20a554db7e17a
.xword 0x62d173fc83e9e143
.xword 0x2ad081b54fb3ad44
.xword 0xd48e08413b4217ee
.xword 0x4894e1074f8e4082
.xword 0xdc92eef03d0e3bb4
.xword 0x80fc3440f4825de8
.xword 0x1977c019cef5f069
.xword 0x0da8607ae7be1b52
.xword 0x64c9cead095a9aa9
.xword 0x21a9aa4292e0af63
.xword 0xf505a5256db1a31d
.xword 0xd9387a03db992078
.xword 0xcc628075a045fd8a
.xword 0xb0d20363654923d3
.xword 0x871e4fab4d65c9d9
.xword 0x9bfa4f215b9945ea
.xword 0xc3dbe11ad4321b6c
.xword 0x897e3d0d7f4eea2e
.xword 0x0c8a4a6cca336d90
.xword 0x49688c18494f456c
.xword 0x7b1f75749e412373
.xword 0x2d56b7b7c22dd3b0
.xword 0xc0641da4b3a8c806
.xword 0x4a42c51b2b819504
.xword 0xc7866debe456991d
.xword 0x24bd587d4a280449
.xword 0x1c57bf65ce0e758f
.xword 0x3b498697278abe06
.xword 0x0fec209f977c5780
.xword 0xa643847dd3ab30b0
.xword 0x1a3642f5fdb185ca
.xword 0x013aaa1fc163040d
.xword 0xaac942b4634d962f
.xword 0xe7042923ffeed91e
.xword 0xcc5dc45cae064d0e
.xword 0x00ca4a8446d0cd10
.xword 0xe270a2c4aac4c7e8
.xword 0xe1213faf350b103b
.xword 0xeee2018d7c4e645c
.xword 0xb44c2231abb171e8
.xword 0x5afdc639fff3d947
.xword 0x02b06f1a796ddc27
.xword 0xebbfd2117af55dba
.xword 0x8a60d34bf1d18848
.xword 0x6b08622f608a2719
.xword 0x281b8b740ed6f212
.xword 0x7f077f7bb3798841
.xword 0x101f88a225efd2ac
.xword 0x92a3af039f9c29f1
.xword 0x566d217410c30b76
.xword 0x8e9db139617f4555
.xword 0xfcee0fb7cf86f17a
.xword 0x37543ab52e9c8319
.xword 0xf98852acb6768672
.xword 0xd486bcbb6098e6e0
.xword 0xedc1e6d7ee569796
.xword 0x3957e2686393aa34
.xword 0xe92666ef4a2051fc
.xword 0x404e6a030e5b71a1
.xword 0xacb5c22d68270182
.xword 0xaf51fcfef06b0815
.xword 0xdf1aee60909fbfc8
.xword 0x7b2344b9542f4e0e
.xword 0xc394b456c3431b53
.xword 0x830043d2560600a2
.xword 0x628915c3f9fea2fe
.xword 0x841f6a072c9c942d
.xword 0x4433a819eb64f1ea
.xword 0x5e49721fdaa83d30
.xword 0xebdd7ba306551ec2
.xword 0x528b8310d76c1a94
.xword 0x540f9ef41b9d1226
.xword 0x7416578d82626d71
.xword 0x6b936425bacc8973
.xword 0x4058ad9a5bd5f23f
.xword 0xf2f62e6f48e928bd
.xword 0x427a3a60fa135194
.xword 0x3e185a7a381e1cf5
.xword 0xce4ea6b4a54ef4c3
.xword 0x1d1b2c3f291a6b29
.xword 0xbed02311733101bc
.xword 0xd3eb43299121f7e9
.xword 0xc4670ae470878fec
.xword 0xb652bd9a0be96ca1
.xword 0xf0d9da557622cf6a
.xword 0xadd9164733eb0e1b
.xword 0x912b171e3273ae0c
.xword 0xd2c1eef79b36f474
.xword 0x1b618f07b4735525
.xword 0x1f1d000ed7b65770
.xword 0xc6b012a207cbd9f2
.xword 0xe5626d296a2d9078
.xword 0x4f249113f53ad143
.xword 0xb53ce14278fc10ff
.xword 0xe1cdf1d9aeaf0ee2
.xword 0xbb714c39d3bb7c53
.xword 0x371fca2c15452e3b
.xword 0xafeacd87afbcd38b
.xword 0xbaa67c1a08e7a123
.xword 0x7eba18ae047dbfee
.xword 0x3ccdd95c6183b5c7
.xword 0x1d571434f7a002f6
.xword 0x6ae2be0f80158101
.xword 0x9cbe14a6cab9083f
.xword 0x41a91068fabbdbf5
.xword 0x79fd31852b672818
.xword 0xe8ff5437c8a85a80
.xword 0xb092d73e8e594987
.xword 0x9dede32e4d69dc51
.xword 0xf2860f465badb06c
.xword 0xcff4bcf8457ce76f
.xword 0xe8de758982fdca24
.xword 0x5b0ce134c2765268
.xword 0x1dfd8e2f5cfdf2bd
.xword 0x99a342dc086e6741
.xword 0x0a826cfb03568812
.xword 0x0df33da75a86051d
.xword 0x8484802e6e458f00
.xword 0x80a9b2b636bf1e04
.xword 0x69d066342cac82f4
.xword 0xb91d30757abdf068
.xword 0x3f2a1c6bc50b47fe
.xword 0x57d7a24a2aa575d2
.xword 0x5c5e86371dac63b6
.xword 0x191443fe21f775f9
.xword 0xcba483f4fc9a60ef
.xword 0x95383fb405a34e2e
.xword 0xed199764c0447e29
.xword 0xd154c27bf9a2fa0a
.xword 0xb3de841d28e25f91
.xword 0x8f749f883c1bc539
.xword 0x49116d5cbe739d81
.xword 0x952c5efba0019edc
.xword 0x379caff6df5f18be
.xword 0x8d63933512e215ef
.xword 0x8ec552df0fde531c
.xword 0x2aca9b19475a64fe
.xword 0xaa8af03f77cb0afe
.xword 0x8113f42ba8de1620
.xword 0x602e82919fb8017e
.xword 0xdb96839ed034da34
.xword 0xbe85eca841126583
.xword 0x3aef8f0b6c76118f
.xword 0xa637a19df7ea2df8
.xword 0xc1bc0faf0617a188
.xword 0xb26ff1844f4c04ff
.xword 0xc2217593e41638e7
.xword 0x4537c22324d589e2
.xword 0x19ca68d0b879d29c
.xword 0xccfd81967d9bba7f
.xword 0x9bd13ac4b3ffa8d3
.xword 0x76ef21a6a1ef376a
.xword 0x0a5463517830eb30
.xword 0xf9ae874c7452cdcf
.xword 0x67ed3142e7f0b22f
.xword 0x08aa51cf3487f081
.xword 0xb683f808fdc9a372
.xword 0x2979030d91bf5007
.xword 0x7a87cc4b76a7e0ab
.xword 0x7e5f158b9e70bbda
.xword 0x5bf747e108606fa3
_t1_sslkey_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_auth_key:
.xword 0x07a983c13e91fb37
.xword 0x7723eacfdf3b2678
.xword 0x54d65f9beb278e67
.xword 0x1b25f70cdf2d694f
.xword 0xac4c0dbfc474496f
.xword 0x5639d134a1803547
.xword 0xde39ab2fef41c8bf
.xword 0xbc2a374c8580288a
.xword 0x150c9d8104f33f45
.xword 0xc9e4c24c168bee2e
.xword 0xdc45390ce0a4eb9c
.xword 0x0094102a11711e38
.xword 0x3f590b48573f8eb4
.xword 0x43e61eba3e75baa4
.xword 0x05e52f19afd6d263
.xword 0xa79e9fd1d56891e0
.xword 0xcce2a2ffd78aa6b7
.xword 0x4b5f99b4221239e6
.xword 0xb85c8d8b2cdf8a4e
.xword 0xa8cd2719be508d08
.xword 0x8b8bd5b8bd4506f9
.xword 0xba15288aa7fae5cd
.xword 0xb01cdf8199787a29
_t1_sslkey_auth_iv:
.xword 0x52665fe0a01997fa
.xword 0x7abc91234e540b21
.xword 0xce8d81954758f77e
.xword 0x1ce8f5759b1bdee7
.xword 0x1b22689828afd046
.xword 0xb1806b5942bf3ee0
.xword 0x6553f9193744de24
.xword 0x96598a62684ca6ce
.xword 0x9ee9aabf588f0142
.xword 0x91eb45cd34fe5ec2
.xword 0x642c097da4e52c0d
.xword 0x73f8b9f052c82149
.xword 0x83eafb88b2ff6149
.xword 0xad6af2c0782a964d
.xword 0x7fdbf6154437e84d
.xword 0xf15989f4867e318c
.xword 0xd8289ad1e73fea26
.xword 0xd09b03fc9c1d6ae5
.xword 0x6d188b7954769257
.xword 0x62a707ddcc9d5f1d
.xword 0x7dcff0410874d50a
.xword 0xb4e9cbff0091abfb
.xword 0xf127ca48a0e8b357
_t1_sslkey_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_toc:
.xword _t1_aes_cwd_array
.xword _t1_aes_src
.xword _t1_aes_auth_key
.xword _t1_aes_auth_iv
.xword _t1_aes_fas_result
.xword _t1_aes_key_array
.xword _t1_aes_iv_array
.xword _t1_aes_dest
.xword _t1_aes_alignment_array
_t1_des_toc:
.xword _t1_des_cwd_array
.xword _t1_des_src
.xword _t1_des_auth_key
.xword _t1_des_auth_iv
.xword _t1_des_fas_result
.xword _t1_des_key_array
.xword _t1_des_iv_array
.xword _t1_des_dest
.xword _t1_des_alignment_array
_t1_copy_toc:
.xword _t1_copy_cwd_array
.xword _t1_copy_src
.xword _t1_copy_auth_key
.xword _t1_copy_auth_iv
.xword _t1_copy_fas_result
.xword _t1_copy_key_array
.xword _t1_copy_iv_array
.xword _t1_copy_dest
.xword _t1_copy_alignment_array
_t1_crc_toc:
.xword _t1_crc_cwd_array
.xword _t1_crc_src
.xword _t1_crc_auth_key
.xword _t1_crc_auth_iv
.xword _t1_crc_fas_result
.xword _t1_crc_key_array
.xword _t1_crc_iv_array
.xword _t1_crc_dest
.xword _t1_crc_alignment_array
_t1_hash_toc:
.xword _t1_hash_cwd_array
.xword _t1_hash_src
.xword _t1_hash_auth_key
.xword _t1_hash_auth_iv
.xword _t1_hash_fas_result
.xword _t1_hash_key_array
.xword _t1_hash_iv_array
.xword _t1_hash_dest
.xword _t1_hash_alignment_array
_t1_hmac_toc:
.xword _t1_hmac_cwd_array
.xword _t1_hmac_src
.xword _t1_hmac_auth_key
.xword _t1_hmac_auth_iv
.xword _t1_hmac_fas_result
.xword _t1_hmac_key_array
.xword _t1_hmac_iv_array
.xword _t1_hmac_dest
.xword _t1_hmac_alignment_array
_t1_rc4_toc:
.xword _t1_rc4_cwd_array
.xword _t1_rc4_src
.xword _t1_rc4_auth_key
.xword _t1_rc4_auth_iv
.xword _t1_rc4_fas_result
.xword _t1_rc4_key_array
.xword _t1_rc4_iv_array
.xword _t1_rc4_dest
.xword _t1_rc4_alignment_array
_t1_sslkey_toc:
.xword _t1_sslkey_cwd_array
.xword _t1_sslkey_src
.xword _t1_sslkey_auth_key
.xword _t1_sslkey_auth_iv
.xword _t1_sslkey_fas_result
.xword _t1_sslkey_key_array
.xword _t1_sslkey_iv_array
.xword _t1_sslkey_dest
.xword _t1_sslkey_alignment_array
_t1_table_of_context3:
.xword _t1_aes_toc
.xword _t1_des_toc
.xword _t1_copy_toc
.xword _t1_crc_toc
.xword _t1_hash_toc
.xword _t1_hmac_toc
.xword _t1_rc4_toc
.xword _t1_sslkey_toc
!# CWQ data area, set aside 512 CW's worth
!# 512*8*8 = 32KB
.align 32*1024
_t1_cwq_base3:
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.align 32*1024
_t1_cwq_last3:
SECTION ._t1_T_CWQ_DATA4 DATA_VA=289406976
attr_data {
Name = ._t1_T_CWQ_DATA4
hypervisor
}
.data
_t1_user_data_start4:
_t1_scratch_area4:
.align 16
_t1_spu_op_array4:
.xword 6
.xword 4
.xword 0
.xword 3
.xword 2
.xword 5
.xword 7
.xword 2
.xword 3
.xword 7
.xword 1
.xword 3
.xword 1
.xword 3
.xword 3
_t1_aes_cwd_array4:
.xword 0xc0e100c01400001f
.xword 0x40e000c01100003f
.xword 0x406000a01100003f
.xword 0x40e000401500002f
.xword 0x406100601b00003f
.xword 0x40e100801400001f
.xword 0xc0e000c01100001f
.xword 0xc0e100201400001f
.xword 0x40e000e01700002f
.xword 0x406100201300003f
.xword 0xc0e100401400001f
.xword 0x406100801700001f
.xword 0x40e000801500000f
.xword 0xc06100c01000000f
.xword 0x406000601700001f
_t1_des_cwd_array4:
.xword 0xc0e100e00d00001f
.xword 0x40e100c00a00001f
.xword 0x406100400900000f
.xword 0xc0e000a00900001f
.xword 0xc06000200d00000f
.xword 0x4061004008000007
.xword 0xc0e000c00d000017
.xword 0x40e100200a000007
.xword 0xc06100000c00000f
.xword 0xc0e100200900000f
.xword 0xc06100a00a00000f
.xword 0xc060008009000017
.xword 0x40e000600d00000f
.xword 0x406100e00c00000f
.xword 0xc06000200a000007
_t1_copy_cwd_array4:
.xword 0x2061008000000001
.xword 0x206100400000000b
.xword 0xa061006000000002
.xword 0xa06000000000000e
.xword 0xa061004000000001
.xword 0x2061002000000007
.xword 0xa06000a000000008
.xword 0x206000400000000a
.xword 0xa06000400000000c
.xword 0x206000400000000f
.xword 0xa06000e000000002
.xword 0xa061000000000004
.xword 0xa06100a000000006
.xword 0xa06100400000000b
.xword 0x206000c000000009
_t1_crc_cwd_array4:
.xword 0xc160038400000002
.xword 0xc16301c800000008
.xword 0x416103240000000a
.xword 0xc162012800000000
.xword 0xc16003a40000000f
.xword 0xc16001c80000000f
.xword 0x416003e40000000d
.xword 0xc16201c800000002
.xword 0xc16003e400000008
.xword 0x416001280000000c
.xword 0xc161036400000002
.xword 0x4160014800000006
.xword 0xc160034400000002
.xword 0x416201280000000b
_t1_hash_cwd_array4:
.xword 0x416108e100000032
.xword 0xc1620ea10000001a
.xword 0xc16206620000002e
.xword 0x416108e100000027
.xword 0xc161074300000020
.xword 0x416200a200000003
.xword 0x416000a10000001c
.xword 0x416003c10000001c
.xword 0x4161010100000038
.xword 0xc16004220000003d
.xword 0xc1610fa100000040
.xword 0xc16319430000003a
.xword 0x41600be100000026
.xword 0x4163024100000018
.xword 0x416201c100000033
_t1_hmac_cwd_array4:
.xword 0x41610049000f0036
.xword 0x416212e7001f000d
.xword 0x41600065000f0025
.xword 0xc1630329000f003b
.xword 0x41630a85000f003e
.xword 0xc1620109000f0004
.xword 0xc1620a29000f0030
.xword 0x41620025000f0022
.xword 0x41620407001f000d
.xword 0xc1621067001f001e
.xword 0x41610b29000f0030
.xword 0xc16208c9000f0023
.xword 0x41610a660013001a
.xword 0xc160164b001f001b
.xword 0x41630f89000f003d
_t1_rc4_cwd_array4:
.xword 0xc0e1000000000000
.xword 0x40e100400000000d
.xword 0xc0e000e004000008
.xword 0x40e100c000000008
.xword 0xc0e0006000000003
.xword 0x40e100000400000f
.xword 0x40e100c000000004
.xword 0x40e000a000000004
.xword 0xc0e0006004000000
.xword 0x40e000c004000004
.xword 0xc0e000a00400000d
.xword 0xc0e000a004000007
.xword 0x40e100c000000002
.xword 0xc0e000a000000001
.xword 0xc0e000a000000004
_t1_sslkey_cwd_array4:
.xword 0x10601d8000000000, 0
.xword 0x906004c000000000, 0
.xword 0x106010c000000000, 0
.xword 0x90603f6000000000, 0
.xword 0x906037c000000000, 0
.xword 0x9060310000000000, 0
.xword 0x1060404000000000, 0
.xword 0x9060360000000000, 0
.xword 0x9060156000000000, 0
.xword 0x9060284000000000, 0
.xword 0x106018e000000000, 0
.xword 0x106034e000000000, 0
.xword 0x9060210000000000, 0
.xword 0x106009a000000000, 0
.xword 0x10600f8000000000, 0
_t1_aes_key_array:
.xword 0x3bdab569b73ef5e4
.xword 0x1782ffe3a4399ce6
.xword 0xde03ba49b668cdf1
.xword 0xd3fe70d6da14d561
.xword 0xc69e6be28e1bf8b0
.xword 0x3e448df49c474c42
.xword 0x933abfc221c15196
.xword 0x5d964e742dc3ecfa
.xword 0xb1ca131772efd75b
.xword 0x2cc34f5e76240640
.xword 0x4bb5f0b34719d861
.xword 0x615d22da1601f0a6
.xword 0x09c70ca382e47e34
.xword 0x092b8c89dfc52d3e
.xword 0xe32d24a43fd70832
.xword 0x13b8f202c9317837
.xword 0xe2b6400457233d96
.xword 0xcbf682a3c5e0f6eb
.xword 0xd34be3ad49c5a82f
.xword 0xc9225f80db8de28d
.xword 0xd8f0002ba1e9ece7
.xword 0xb971b33d8d1149bf
.xword 0xd65876685e05594b
.xword 0x3b0b1480a603945f
.xword 0xc0926d41f4a7dc75
.xword 0xe95a6985a119e046
.xword 0x5f5227aeda18907a
.xword 0xcf6d952599cd3ace
.xword 0x3d3c87a4a9aa5230
.xword 0xaa0f6c84792b0e56
.xword 0x7cd9939f4b8f11ca
.xword 0x3b9b80e2e2ea8c5f
.xword 0xf6cdf62514dc8f06
.xword 0x8cef90c869ca65e2
.xword 0xdb8bc8e87f4f7630
.xword 0x9d72174d274ce389
.xword 0xaf943edb57f6d23f
.xword 0xf6b0bb4f2181a615
.xword 0x42b8670c8a3b4d88
.xword 0x2d827808cbace1ed
.xword 0x39906cc98a5c4123
.xword 0x524e1f45c5dae300
.xword 0x4bb0abbb03ad318a
.xword 0x7111165750efa588
.xword 0x2395ce720008a1b6
.xword 0x7c23921b9cd6016a
.xword 0xe2d0a9104e34d9b8
.xword 0x2ef7aba2c2d14fc2
.xword 0x925e155cf6ae2476
.xword 0x28245d4738da6db7
.xword 0x9f6cda34bcff1af5
_t1_aes_iv_array:
.xword 0x0a9102c9161df267
.xword 0xa699ff9207e786dd
.xword 0xbbb5a8ec5106b7f9
.xword 0x0a9fe48cb376edc8
.xword 0xa66b74ab86f9ccda
.xword 0xf6732f0bc624037d
.xword 0x5fc13a8066d71a15
.xword 0x0d7f7f38ff7ed7cd
.xword 0x8c47871e3bf7a1d6
.xword 0x2fe75f6f9a967fcd
.xword 0x483eef798455b7c8
.xword 0x9b564eee8dbde217
.xword 0x9829508b07b66350
.xword 0x94b90bd93133c378
.xword 0xb260f2438c7c0b05
.xword 0x4f998c390a7ccefb
.xword 0x50339703655c096f
.xword 0xe512a0548e4447c6
.xword 0x789349a887980e0e
.xword 0x3a4b59743e22250a
.xword 0x65e833a082477ad6
.xword 0x4bf75772c51d0c85
.xword 0xd593f6b36e5b1eb1
.xword 0xbb454b6b1e45a2a5
.xword 0x6865d14799fac175
.xword 0x2a761df702a8ec90
.xword 0xd33d82bfead54310
.xword 0x107114b7fa270dfd
.xword 0x1bed34a38aca39d1
.xword 0xd49f1db69fca1163
.xword 0xc020ccc1c385ed45
.xword 0xd554ab49a72567f8
.xword 0x46cc03698f702961
.xword 0xa645ab47a57f80d9
.xword 0x1bb6c5d31f1c332a
.xword 0xcfcdde376868a10e
.xword 0x451af1cfb28d2439
.xword 0xb985406cdc884e7b
.xword 0x4870d8744eb9a44c
.xword 0x6953c8f39014577e
.xword 0x89cf6ea08c53942d
.xword 0x6b65382dc7df41f9
.xword 0x49a642c8c3b515fc
.xword 0xa9d1bf990842ed69
.xword 0xe2e1d672a0b1de67
_t1_aes_alignment_array:
.xword 4
.xword 4
.xword 4
.xword 3
.xword 7
.xword 4
.xword 14
.xword 12
.xword 5
.xword 3
.xword 13
.xword 5
.xword 5
.xword 3
.xword 15
.xword 5
.xword 10
.xword 8
.xword 1
.xword 10
.xword 12
.xword 11
.xword 4
.xword 11
.xword 14
.xword 5
.xword 11
.xword 2
.xword 3
.xword 4
.xword 7
.xword 15
.xword 11
.xword 3
.xword 7
.xword 0
.xword 4
.xword 12
.xword 9
.xword 9
.xword 2
.xword 8
.xword 13
.xword 0
.xword 3
.xword 0
.xword 8
.xword 9
.xword 0
.xword 1
.xword 12
.xword 3
.xword 11
.xword 12
.xword 13
.xword 12
.xword 6
.xword 15
.xword 6
.xword 8
.xword 15
.xword 6
.xword 10
.xword 11
.xword 6
.xword 7
.xword 6
.xword 8
.xword 12
.xword 11
.xword 7
.xword 9
.xword 8
.xword 0
.xword 4
.xword 15
.xword 6
.xword 1
.xword 11
.xword 8
.xword 15
.xword 6
.xword 8
.xword 1
.xword 10
.xword 6
.xword 10
.xword 4
.xword 5
.xword 11
.xword 0
.xword 11
.xword 12
.xword 4
.xword 10
.xword 11
.xword 15
.xword 9
.xword 1
.xword 2
.xword 12
.xword 8
.xword 0
.xword 0
.xword 6
_t1_aes_src:
.xword 0x7d753b86f7ba5697
.xword 0xda48febc2f8a1ea4
.xword 0x848ab33d568765c2
.xword 0x7888fd1c17cf768e
.xword 0xa7d55b256a5580ff
.xword 0xe88350b9b9bb0b56
.xword 0xf4dabc53838705eb
.xword 0x880386d6e30fc4bc
.xword 0x57a4e8bce7b4f1db
.xword 0xfc58fd7e253cbef4
.xword 0x0dfff3433a389a5b
.xword 0x7c2bc76b53b909c1
.xword 0x0aa328fc63015e2b
.xword 0xfe5d4664088c954d
.xword 0xd56980bcf25d3ff6
.xword 0xf903d2bdc6e39d89
.xword 0x6c6fa100e39a0729
.xword 0x61d46478b96e8d21
.xword 0x7363791e3a81d705
.xword 0x80ef715d4ec7e025
.xword 0x1d62936e78e97675
.xword 0x7ef138f2106cc150
.xword 0xd99882a1d4828bb5
.xword 0x79d147bed4610c8f
.xword 0x3f886d5ce88b1a25
.xword 0x58ef9eff1ed576be
.xword 0xa0662f7a454511b2
.xword 0xdfa5f3aea71d9c38
.xword 0xa5ad042c904509d5
.xword 0x787b0b0e71962c6f
.xword 0x3e43283b4091f44e
.xword 0x2b77377e9413b6cd
.xword 0xdf292a09c3c29305
.xword 0xe3a034b03996cd39
.xword 0x36c3486f3c5748ff
.xword 0x287b5ec189c025cb
.xword 0x113701c8819e529f
.xword 0x7bace172596b7677
.xword 0x3380bcd90c290768
.xword 0xdca630862b6de649
.xword 0xa8346a072dc9e509
.xword 0xc3c017c440e09d17
.xword 0x38f5295ace406846
.xword 0xef33c8fc4047b36b
.xword 0xd7931876acb6654b
.xword 0x6224bd4343e1b879
.xword 0x872db17761afd366
.xword 0x18c0f84cdc076b5b
.xword 0xab4352e040c97d75
.xword 0xc38f84b316ecc855
.xword 0x03d2874e1f9ba904
.xword 0x319160d0caed4c31
.xword 0xb14e055443368f09
.xword 0x5ab746700a23b510
.xword 0xc0eb47174d72aca8
.xword 0x1f068717948240b0
.xword 0xe03c851a9139da0e
.xword 0xae344f3b416c9e06
.xword 0x5deeff7bdee12e11
.xword 0x23f3551db590315e
.xword 0xf3b775606ab164cd
.xword 0x35dda89780507a4c
.xword 0xfea3241f6bf8f858
.xword 0xe443a50979c77256
.xword 0x3d1cd912edc9d16f
.xword 0xf68092e6025a9dd5
.xword 0x61ebe05ee8566898
.xword 0xb8020043d0e7250d
.xword 0x169a64f6afd5d86c
.xword 0xada23a92a97a2e98
.xword 0x2dfb44ac2ad1f4e1
.xword 0x2b9dd1d7b2390e20
.xword 0xcd799442820cb89b
.xword 0x237361eed5a692fd
.xword 0x6589bcc95763c5bb
.xword 0x702ced8b100b58f1
.xword 0x06c0191f49ed29f0
.xword 0xda568510f04e4c63
.xword 0x091c05992217f988
.xword 0xc99309ec1694e8b3
.xword 0xdec17061cb41d43e
.xword 0xb1de7c1d08508cad
.xword 0x91fd1555d8d1b76a
.xword 0xb01bd04373a475ed
.xword 0x889d79548b2dbdf4
.xword 0xde5ec895d141e30b
.xword 0x049f1d1fcba17dac
.xword 0xeff95e8b925cd056
.xword 0x9478d0e6c0c4d996
.xword 0x9ec59ed9bf45cbe6
.xword 0x92d4bb73b1c76805
.xword 0x4a76b9c61a0acc90
.xword 0xdf4c91e12b9cc6b2
.xword 0xaea7dc3588aa0f05
.xword 0x50ba3fcbe73c5a51
.xword 0xbb4a15e90a9f99f4
.xword 0xcf56a82d23244c68
.xword 0xeb12cdc8f08bdc2a
.xword 0x2cebc95b75536220
.xword 0xf60993f0583c5dea
.xword 0x75d26315c01a8746
.xword 0x1233d029c948ecc7
.xword 0xfc640eb3d8d45db1
.xword 0xe793372c31a34035
.xword 0x9d8c89b947e7db80
.xword 0x490adfac824a18dc
.xword 0x7b89778652ce2fe7
.xword 0x6fdbb4a2a554b626
.xword 0x4aa927245b45cc94
.xword 0xdf5148992af27142
.xword 0xc983fb0bc28bca15
.xword 0x829dcb2d17b33231
.xword 0x574e0686676b48d3
.xword 0x8b5051988df2058a
.xword 0x59d6f86db029bffc
.xword 0xeb3cf51709487ae7
.xword 0x7d66d921b855f892
.xword 0x3ae3df4df03d4958
.xword 0x5ecfed97f69ac1f5
.xword 0x581e80c4cada04b9
.xword 0xd3923bd2b2667c8b
.xword 0x076741cbdd0b5bb8
.xword 0xfd19d2a4d5913137
.xword 0xef3a2fcee2173339
.xword 0xc2f6bfc1e2104b9b
.xword 0x6c835a296dbe496c
.xword 0x47d91bdd2ab1464a
.xword 0xf46aa9f54421d0a0
.xword 0xd1a7fd144bcdc4f7
.xword 0x84eec978ae007395
.xword 0x23f25f9d4547e54d
.xword 0x6a947affce7e2a64
.xword 0x377e5c2d84190673
.xword 0xcbd44d9bfcee9f04
.xword 0x8e32d3f5003ac4c7
.xword 0x86d94d0517b8cdab
.xword 0x762709f430d95154
.xword 0x4e1ee8225548a868
.xword 0xdf40de1175b47777
.xword 0x412dc0d03fd7158f
.xword 0x26a7dfaa2554b800
.xword 0x15ebe7ea3f2a766d
.xword 0xf32e7ecb3748b693
.xword 0x5de2797e008cd515
.xword 0x73e03e34c436bb95
.xword 0x691d196aa3f5c201
.xword 0x192f97ea6310c732
.xword 0xb4d23a5b178ffa9c
.xword 0xb373fd6e7abc0190
.xword 0x035a49230af07017
.xword 0x10973f156bee4c2e
.xword 0x589b0006dd3242d6
.xword 0x2af02272f7e6f12a
.xword 0x11f3dbd8826aafe0
.xword 0x6f4e1f4c70265aeb
.xword 0x8c0a0aaea0b070b8
.xword 0x4f61550e01aa8807
.xword 0x3786491966fa986c
.xword 0x591810caf55b6f00
.xword 0x3430ef50e47ffff1
.xword 0xccbaa40f0228e8f7
.xword 0x53baa3bfdcad76b2
.xword 0x520658f3be0e0659
.xword 0x1d47eb1a97158995
.xword 0x08f44b576a8b1924
.xword 0xe30f9418e54c7916
.xword 0x5a5c24db48897cf5
.xword 0x9ed37d921ac4911d
.xword 0x52096f0b8e225ffa
.xword 0x990367a1a92b5a2e
.xword 0x576555c6cac2155e
.xword 0xd48770c7f4d78729
.xword 0x5e834dadd08210bc
.xword 0xfdd89682a7b3e083
.xword 0xe6555537a9172465
.xword 0x9b3db3a6e7167176
.xword 0xd174def2ded6ad64
.xword 0xc18d17134f47920c
.xword 0xcca8769aa06ead90
.xword 0xe597505374237bb0
.xword 0x8580329937c30b2f
.xword 0xc2a26999eb75f867
.xword 0x57c3dfc4a28292e0
.xword 0x83429d49f871a765
.xword 0x747fc2dee9c74db1
.xword 0xd91a40243585b963
.xword 0x88f9b06a52bf23d5
.xword 0x50c84fae7faa86ea
.xword 0x2277d1308fcea9b9
.xword 0x5cd8cba20e7eab14
.xword 0x96015e81eb2af811
.xword 0xaebda2d1a0a9e0ee
.xword 0xd149c0f95ce1af1d
.xword 0xb34cf66229b7bfc8
.xword 0x526c705f635417a0
.xword 0xfd85dc538f0d35e0
.xword 0xfb55a06996fa724d
.xword 0xa38cdfc229ae45a8
.xword 0xe2f74e0eeac42e56
.xword 0x3367f6ab921d36ec
.xword 0xbe7d54338b8d12e4
.xword 0xe4d9580cfce45de3
.xword 0x50a43cc0b2312aab
.xword 0xe5cbdee59f7067d7
.xword 0x7781236e09c03873
.xword 0xbd8dc6f89ff30124
.xword 0xbe7db0a3d3616d8a
.xword 0x7cc605efbc75da81
.xword 0x0a7434fff1bead53
.xword 0xc7e2cae4b47eefae
.xword 0x8026b1786de499a3
.xword 0xfcda338dd5d2e799
.xword 0xd891bef3cd9014ac
.xword 0x26196a20b7801d3c
.xword 0xd8b78e323bddac3a
.xword 0x5fb7ce68466f8068
.xword 0xf866339e4caf2824
.xword 0x91f84ac485692b25
.xword 0xb459c97df7141d7b
.xword 0xcb0b00a32ec6ab70
.xword 0xbece1e9ed54858f5
.xword 0x89d2dabf20300e32
.xword 0xa3260ecf45124279
.xword 0x57d7c59be6981f6f
.xword 0xc50db51299c3a369
.xword 0x5e3cbd50ee633aa1
.xword 0xed2a1d4461a9fff3
.xword 0x03dce9a74662519d
.xword 0xb346b0ac884f0569
.xword 0x643484123077c7f0
.xword 0x7977d7fcb3952448
.xword 0x67e798661cf42461
.xword 0xc5a9dd8d1f76551b
.xword 0xc3d869616c0a7902
.xword 0x2206172f417a3b50
.xword 0x03bc413eaff386b6
.xword 0x90aefefdd9dcbe61
.xword 0x6eae42b7857c35ce
.xword 0x093ec120497e5690
.xword 0x22943d51c0e31633
.xword 0x5170ce2965d29d95
.xword 0xc332442789bedf8a
.xword 0x6842afb2b7c9b815
.xword 0x05d70c8d04ffe47a
.xword 0xf4d69172b1cc6e97
.xword 0xc817db3705138ca7
.xword 0x4096ad7ae83a9fd6
.xword 0x47b8ac7241ebfcc4
.xword 0xbe9f158f34d7de37
.xword 0x4b2f21ba1fa3caa3
.xword 0x09c781730cc1aa7e
.xword 0x8d4e339419cd91b7
.xword 0xa8c95b1939595977
.xword 0xa7bd7c3218a76ddc
.xword 0xe71238891aea1a33
.xword 0xf9693706aa40d8af
.xword 0x8a7b366b63407786
.xword 0xa7df21735762fdcc
.xword 0x3c9d5a968bdecbd1
.xword 0x3afadd4b2616b6db
.xword 0xf2cf759124f75771
.xword 0x779fc3608efcc6a7
.xword 0x6906da88165914d7
.xword 0xe5d823f7c470fdef
.xword 0xa8ff9a1ced67cc52
.xword 0xcd603cf48fb73783
.xword 0x20780e51e6274e08
.xword 0x86b83ebecc876f1a
.xword 0x78bb217b42715b41
.xword 0x218984eb440d774e
.xword 0x0ae1551e61d8429b
.xword 0xc7ba6d57a1eb2259
.xword 0xd6a6675d59536c6c
.xword 0x2fe6af1271f1d829
.xword 0x32c9e51afbc20eeb
_t1_aes_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_auth_key:
.xword 0x77741f6589d03cec
.xword 0xdee46f7bf4ad9c03
.xword 0x26a05891bd81418d
.xword 0x9ce93e3ec3e7b9a0
.xword 0x618a35f78289d6a9
.xword 0x3577aa1bb20569a6
.xword 0xb02ae9515ca6560a
.xword 0xb038a5adae19cfb1
.xword 0x071193a8d357ac1a
.xword 0xfc227331eecdb4b5
.xword 0xf7520e141106dfd1
.xword 0x38d1c061386e1a1e
.xword 0xda7002335c179352
.xword 0x7f79f2e129b6e779
.xword 0x77ae662bb208b0b3
.xword 0x5247f63abc3997fb
.xword 0xb9311f740c349423
.xword 0xec4ea2438acc8077
.xword 0x00a5953bc552f9ab
.xword 0xd148370728e89fab
.xword 0xcc6f2cb3d3d20cf2
.xword 0x0f79536a856bec6e
.xword 0xf2ffe55a02f7a868
_t1_aes_auth_iv:
.xword 0x2ef332f2b26e6886
.xword 0x04fc933618aff1c6
.xword 0x5022c27ba4c0652b
.xword 0x06ed7fd56c0cd5c0
.xword 0xc0c556ae21c668ae
.xword 0x2dd3f2183adb6943
.xword 0x9ae52bd3061c84cf
.xword 0x938a1f0baaf4d3be
.xword 0x2ea3e1bf14fe6a25
.xword 0x264683363eb48046
.xword 0x5fd34e92418b03e3
.xword 0x1fb10fe6b5d567c9
.xword 0x6bacb06ee9cccdd5
.xword 0x29ad344f4e986e38
.xword 0x0d168766f913e5a2
.xword 0x54920c129946a166
.xword 0x929628c000c78e70
.xword 0xd2a8f49121dcb878
.xword 0xfcc4b2fb8a04e45b
.xword 0x94bf4dfc4dd61501
.xword 0xa1cc9846e93c440f
.xword 0x3e8b2449808e00c7
.xword 0xe7f1b3dcaf967902
_t1_aes_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_key_array:
.xword 0x831f83dfda40c25e
.xword 0xb6e4e953cff2b116
.xword 0x9c78faba750e9a94
.xword 0xc133dc84ad6b1b25
.xword 0xea67edf0fc811cda
.xword 0xa462cabf5eb06eb0
.xword 0x2579a45d74882260
.xword 0x9b218829221adc73
.xword 0x34c4ec3932e3a86c
.xword 0x44e16e11cb5fbf88
.xword 0x2efc115e219a30b8
.xword 0x919ac23615294bcd
.xword 0x0ae2362417b28e42
.xword 0x15ed73aee06d7bd9
.xword 0xdd91d5a75f400687
.xword 0x7abf7fa86d494ff4
.xword 0x88fbce6c81df0967
.xword 0x46d82ab0b167558b
.xword 0xcea9af64934db2f6
.xword 0x77e1d1403908dbfe
.xword 0xab35437c5267f38d
.xword 0xe9a23fcde220342b
.xword 0xdc783e47b06a25db
.xword 0xf54f80bf931a3c1b
.xword 0x92c750e13c38593a
.xword 0xbecb919b2be43f48
.xword 0xe1c6a3ef4bf24489
.xword 0xbecc7861dc389356
.xword 0x3b006acf9bf724d8
.xword 0xafcbe34064254801
.xword 0xb8eb17b9738c4901
.xword 0x0520c12c818caab0
.xword 0x03eb7320e1f8de90
.xword 0x1d289c683f41bdbe
.xword 0xf8a94a5ea0111091
.xword 0x2a0c2dfb6c02783c
.xword 0x7a31bb73ce980b06
.xword 0x3ac401e57d1d8abe
.xword 0x6ab5096659afd1de
.xword 0x0fe8fe55cce64352
.xword 0xeed5fb18e7c7871c
.xword 0xb502f7fdff98ecb3
.xword 0x8960af45fcbff7c3
.xword 0x21d8981449a6232f
.xword 0xada9833215ce199a
.xword 0x76decca0abbd82a4
.xword 0xe6c27469c2dbafce
.xword 0xddf7dcc7378854a4
.xword 0xcf381fbd6519cee2
.xword 0x4219e57a13ddc24a
.xword 0x24e1265f3555857a
_t1_des_iv_array:
.xword 0xbc48d72c49cce552
.xword 0xbf589661752f9d9e
.xword 0x2dca388dbe501197
.xword 0xf5d4e84eb60d78c6
.xword 0xca98894dbb0e9be8
.xword 0x2a086573d980512f
.xword 0x6756137dc40d33a5
.xword 0x5d17a8be103aed6c
.xword 0x4f7f74901d3d4aa2
.xword 0x07ce6587a6bfb66b
.xword 0xbc681a59abb0ae2d
.xword 0xb75e5fec23b1e124
.xword 0x626e52327c06609e
.xword 0x86642bc279a7d9fb
.xword 0x61a43883db426a8f
.xword 0x87b21667da019a6c
.xword 0x17809de0e3d92ba6
.xword 0x78b854c4d0ce5650
.xword 0xacbb3d06b9586d35
.xword 0xd94de3e90e2723b6
.xword 0x8d4f2e694eeb26cb
.xword 0x9c7cfbeac4bbfacc
.xword 0x20a7b154e088105b
.xword 0x606ee136fbb2d027
.xword 0xf79b3aa4fb038c8d
.xword 0x96b585e6200600d2
.xword 0xb7bbdaa93f34899f
.xword 0xee596923e9e2cde4
.xword 0xe4bf294b51690bc3
.xword 0x51dba41e9034e9fe
.xword 0x6d9acdc970b2f5a4
.xword 0xcac97b6824db042b
.xword 0x2b047c4469d47398
.xword 0xb2512a282c8f6dff
.xword 0x8dcb68d7d03845da
.xword 0x1c5b5b7470ca706f
.xword 0xa7210bc5358cc233
.xword 0x3bac80a8726b0509
.xword 0xc1b30c34ba1a12d0
.xword 0xd1b825fc1b166f1c
.xword 0x8c649f3768594d00
.xword 0x0d9a8d1a8d27844d
.xword 0x75899c9954aba882
.xword 0xb57a24b5122aef30
.xword 0x617ac87c673ce568
_t1_des_alignment_array:
.xword 0
.xword 15
.xword 0
.xword 8
.xword 13
.xword 15
.xword 11
.xword 15
.xword 6
.xword 5
.xword 7
.xword 12
.xword 13
.xword 11
.xword 3
.xword 1
.xword 0
.xword 5
.xword 6
.xword 0
.xword 1
.xword 10
.xword 3
.xword 13
.xword 6
.xword 13
.xword 5
.xword 0
.xword 7
.xword 2
.xword 7
.xword 4
.xword 14
.xword 11
.xword 10
.xword 7
.xword 13
.xword 13
.xword 10
.xword 1
.xword 12
.xword 3
.xword 3
.xword 7
.xword 14
.xword 4
.xword 1
.xword 12
.xword 5
.xword 0
.xword 1
.xword 8
.xword 2
.xword 5
.xword 2
.xword 9
.xword 7
.xword 14
.xword 2
.xword 2
.xword 7
.xword 1
.xword 9
.xword 11
.xword 12
.xword 15
.xword 10
.xword 10
.xword 5
.xword 15
.xword 15
.xword 1
.xword 11
.xword 12
.xword 7
.xword 11
.xword 13
.xword 6
.xword 10
.xword 15
.xword 8
.xword 15
.xword 0
.xword 1
.xword 14
.xword 0
.xword 8
.xword 4
.xword 7
.xword 3
.xword 13
.xword 6
.xword 11
.xword 12
.xword 3
.xword 3
.xword 2
.xword 3
.xword 9
.xword 11
.xword 1
.xword 1
.xword 4
.xword 9
.xword 11
_t1_des_src:
.xword 0x615b4713a5309240
.xword 0x1a5c2aa08841f145
.xword 0x3f1bfec55641ec37
.xword 0x1097e3d108f6cb1c
.xword 0x1e39362bcbf1183c
.xword 0x55841cc02ad4295b
.xword 0x5dbb1688045be034
.xword 0x464642bb3ffb4ab5
.xword 0x82a29ce770f173e9
.xword 0xefdcc19648ec503c
.xword 0x529d46fc58c041c2
.xword 0xc444c9224aa14a87
.xword 0x752e6c30a115de67
.xword 0x30c01f59e193d6ef
.xword 0x54446b6101b95978
.xword 0x8254dfccf3c6b4f7
.xword 0xd30bbf9519a779ad
.xword 0x556e3d7cf97fd281
.xword 0x17bcd576e1bbeb54
.xword 0x04dc95455c937061
.xword 0xe75810de78b229b4
.xword 0x6f1480a1b3498771
.xword 0xe79f4435d6d13f48
.xword 0x9c6f525ae83e8a29
.xword 0x0e6eecac6b9d71bf
.xword 0x1aa49c7597321842
.xword 0x9e6dfa25046ed2da
.xword 0x5f6b625f62cd9b03
.xword 0x8be3fa0958eac607
.xword 0x5a5aa7206a258ee0
.xword 0xb4056018fe223b90
.xword 0x0e8b91fad35e48d3
.xword 0x27fa5e3aa99e0361
.xword 0xd00f56ef9c235acd
.xword 0x5ac04f43bf09b660
.xword 0xfdad583e03c911d6
.xword 0x388d472fc5fdd028
.xword 0xee2b019607255285
.xword 0x235dbfed41e4ff7a
.xword 0xf44a0b0f26850212
.xword 0xa239522a84e22be5
.xword 0x093db08b0f66cb26
.xword 0xa4d1a6a95e5d4465
.xword 0xf91b3d055c9df6b2
.xword 0x734775f93f0da124
.xword 0x4b589218b1de45f0
.xword 0x6cebe221257478bb
.xword 0xeac6426e0577973a
.xword 0xe9e7158e9c67699f
.xword 0xe18c0d9f78e58861
.xword 0x34f0227d92c25307
.xword 0xfea6a899791e3514
.xword 0x6f2ebd34dcd62bb5
.xword 0x4e1766d2549a6658
.xword 0x9e23afa89aa2f487
.xword 0x623eff747ba71db0
.xword 0x03548384e9e67b85
.xword 0xde3b05b4c13aaf27
.xword 0x5240f8a762f98936
.xword 0x72d096971e2c853e
.xword 0x0aee71e8d2b6c8c3
.xword 0x9ff118f9765a7793
.xword 0xda4769ec3eb3e480
.xword 0x72223a80030ad5fc
.xword 0x7026169cd719a897
.xword 0xc9fd9972fd72e321
.xword 0x9e6bfa6d3f4915f0
.xword 0x32d09093f706becd
.xword 0x0bc58b79ab5ba197
.xword 0x56b62a8d9d57d214
.xword 0xf6a5cf6020104eb6
.xword 0xe9d0ceb319493da2
.xword 0x2fabca590d362ba4
.xword 0x86ca5b3c0b64c25c
.xword 0xec380ad4d17c0fcf
.xword 0x9b81d8a082639d86
.xword 0x1e6faf64494fafdc
.xword 0x7220843ac072177b
.xword 0x3a608eca32fd16fb
.xword 0x8bb4fde7c8003d35
.xword 0x8c91ac1b63180542
.xword 0x9b773d07bd65b1da
.xword 0xe98377857b0f8968
.xword 0x5e6ac8b98ed7277c
.xword 0x6d6903b56b305877
.xword 0x1708a0af6a6a9441
.xword 0xfe070e55a0ad4fe9
.xword 0x089373e4620e7515
.xword 0x166580711c29d32f
.xword 0x5c99d5fa02008fe6
.xword 0x4ee79bce95210e0d
.xword 0xe433cc61d635dc81
.xword 0x3962f24f0a7a2bf6
.xword 0x45162d13423b7c0b
.xword 0xf771f3c62944e86a
.xword 0xc204b59609369373
.xword 0xbfa4cae61433eed5
.xword 0x4b58dab6988821f6
.xword 0x0a3cd3aba649f762
.xword 0x1fa1e36e67e5400f
.xword 0x4228b7b549820625
.xword 0xd9332dd800e8398c
.xword 0xc42f611be3330610
.xword 0x0db996a4032c590a
.xword 0xf3d2aae548c27b61
.xword 0xb04f1028f140a775
.xword 0xba28c216d32e7328
.xword 0x7b6fe5e7d5974b92
.xword 0x4b81bf066c581b13
.xword 0xb996195735330015
.xword 0x0b578e894cb2d30c
.xword 0x74794079e3ee72b9
.xword 0x8e61be513e7dd1be
.xword 0xbb5b49cacd9771ff
.xword 0x836e59648fab7ac0
.xword 0xe9cf9f5a82667425
.xword 0x265903be48467510
.xword 0x3d060188412db1e6
.xword 0xe12ba21b4239f75b
.xword 0x6b492631b640851c
.xword 0x7817f9c1a71a5a46
.xword 0xf0934397ad7bc48e
.xword 0xf7d8a8f5e55595c7
.xword 0xd8bc33f4ed8ac938
.xword 0x046fe7f73fe2157b
.xword 0xd2fff57c97f142d3
.xword 0xb3ae600b85ae8136
.xword 0x0a5011597eccf929
.xword 0x57181a96d073ae9f
.xword 0x7380bab429653ed7
.xword 0x604720b659ec7b43
.xword 0x633f153d8433b493
.xword 0xa0068ee1562711ad
.xword 0x662c11cce6785d85
.xword 0x2f11e7d63c509737
.xword 0x59546d98c79c0c5b
.xword 0x0f8cd572ed874ba3
.xword 0x5d96c3828b3cbd40
.xword 0x7763a4d49ffa806b
.xword 0xf2d86d6c7b7f8cf1
.xword 0xba7a1c8996afd6d7
.xword 0x5cf29941ca364749
.xword 0xa0b0fca884dc6f8a
.xword 0x08156e7fc3d66fe6
.xword 0xda2cb52b6bdf827e
.xword 0x70f8e08e4bd94228
.xword 0x14f41afe4dd484d9
.xword 0x6c104862f47ef297
.xword 0xdedff9a663656226
.xword 0xc4fb6ccae348e993
.xword 0xa7263a2523fed15b
.xword 0xc1f73f8fca537dcf
.xword 0x0de883daf14faaec
.xword 0xb008ce854a94fd46
.xword 0x2603446df78c34e5
.xword 0x417401a6df39e085
.xword 0xad82fd686844673c
.xword 0xa7be581c6978c289
.xword 0xefd4b89aa82b43ec
.xword 0x96b217e2d0f12371
.xword 0xace904378863ea3c
.xword 0x4217cd79a226aaf3
.xword 0xd40bca63eb69ab3c
.xword 0x2473b2aded860f15
.xword 0x044633d5390309ca
.xword 0x58d3515bc38f0a24
.xword 0x717ce9f80bdf1c8f
.xword 0x06975d4c8882b29d
.xword 0xa69c3b8de274f318
.xword 0xad01d5478d72c243
.xword 0x7d8b4b8daf9620c4
.xword 0x6ae592daee2ec5ff
.xword 0x57615cdb1ddb749c
.xword 0x9aa4e8b2831f618d
.xword 0xeaa4f6bf2e1a2b80
.xword 0xcaeff6b40845029e
.xword 0xfd85e158689fc2f6
.xword 0xd798589bb57b088e
.xword 0x8e36b3e53372d5fc
.xword 0x065cd53205cb9ac2
.xword 0x64a2c7ba085771e9
.xword 0xc9e86af7a7b41cce
.xword 0x583a5ee4e759689b
.xword 0x1d0ce56404ec1b94
.xword 0xa15557a22e36033a
.xword 0xe073d23b089a871d
.xword 0x3f8e84bd7d8d0280
.xword 0xaa9b3302c3905571
.xword 0xef298f8823990189
.xword 0xa9bf141c260d6373
.xword 0xd3edf7f9eb9e34ae
.xword 0x7aae33b6ec126a32
.xword 0xd744eaa2c94bb1b8
.xword 0x64bbe2c5f8db43e3
.xword 0x2a44e77cf49a87c6
.xword 0x68be8cd04fb95b3b
.xword 0x959ed22d5cd743be
.xword 0xc9d022295cdee8c7
.xword 0x432f67c285134bcf
.xword 0xe758a571ba4ee098
.xword 0x11d419829a64dcc6
.xword 0x5b590584b1f3a822
.xword 0x15577578420d0763
.xword 0x5ec977a83f41523f
.xword 0x3b87d0290a953ea1
.xword 0x9f7596eda44b0c5a
.xword 0xbfb03e8485709c3d
.xword 0xc31398a60f14a408
.xword 0xcf2aeac84a9c4235
.xword 0x4e75eb6cf5c1bd30
.xword 0x2e2326b8a6559a98
.xword 0x9fdac3d3d64253ad
.xword 0xf7d23d1f5d933c79
.xword 0xfc27c8f1859d443e
.xword 0x8fb8e9234e133e30
.xword 0x8b4a6299ec0ab9b4
.xword 0x9de836ac9158cc45
.xword 0x29097f4574ed1b5b
.xword 0x12d01d70abdbe2cf
.xword 0xf6cde777951de47f
.xword 0xa62d42930c97a4c0
.xword 0x8d5d51457294b920
.xword 0x00c8abdc6b2c977c
.xword 0x5d7e9d549f53342f
.xword 0x8915965870f98bd5
.xword 0xb0afebcceaa0ba60
.xword 0xa6d7550c54ecadfb
.xword 0xea0462715a30525b
.xword 0x0a3d3eb58efa764a
.xword 0xfa724c6ee224ed21
.xword 0x6e447fa08cd4231f
.xword 0xa4cfafeb4b2d0548
.xword 0x12034d481e4ae4ae
.xword 0x581ab19675fc503a
.xword 0xa913af4b75b1cca5
.xword 0x0683bb35e43dadb9
.xword 0xa1b3a516ddc9a0e1
.xword 0x219bad2187c0f1aa
.xword 0x57fc82158c44c436
.xword 0x88ba295814200c34
.xword 0xf990b9a40fee99e4
.xword 0x94ba25021b01ddbf
.xword 0xe70183e94e12e001
.xword 0x41f0941a054fdb38
.xword 0x21e74697178fc46e
.xword 0xb0f9358730af7a9d
.xword 0x9d91ee4db1254cc5
.xword 0xf79ddfc3fbf39e94
.xword 0x150d668764f21dac
.xword 0x1d733fa98e8899d7
.xword 0xfc50ca6b6a07a8e8
.xword 0x8b1e97c2d3d1b140
.xword 0x19cdc558563c05a2
.xword 0x976f75081b39a0f9
.xword 0x94a1bd7b4ae51d74
.xword 0x9a814fe3c5ac032e
.xword 0x2c182b70a1667326
.xword 0xf97d0119a98b9142
.xword 0x6a5ae889d947d163
.xword 0xd8bd4de96f212003
.xword 0xfc78cca0973824a5
.xword 0x570af4c6ca61b197
.xword 0x5fa29f95ffc50734
.xword 0x6a405fa02accfa22
.xword 0x2bcf5e62a2089105
.xword 0x2822570cd9dcdae2
.xword 0x7bf2b871b833b47e
.xword 0xb009312eec6a7368
.xword 0x0f7432c94a649c27
.xword 0xf6ea81646fa0f91c
.xword 0xafe7f8c6d2cf2ad9
.xword 0x7fc1703a7f7d3b0b
.xword 0x5c6df978daaf45b7
.xword 0x565f6c504085b547
.xword 0x49fdfd6503595060
_t1_des_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_auth_key:
.xword 0x616cd6d6ea1832ef
.xword 0x0ee4994fa51f362d
.xword 0xdcf03982e1043798
.xword 0x68f6d74d3a94b4a2
.xword 0xe84c534d197eb199
.xword 0x213bed37f2a00d45
.xword 0x4e7f829cdbe1353b
.xword 0x39671fb93f3bc64b
.xword 0x76ca2170d7d1b19c
.xword 0xc3f8ff6b5fbf6127
.xword 0x6321f2fb98a42f48
.xword 0xe32017e7b9d43835
.xword 0x9755293285380ded
.xword 0xdd2c7394d1598102
.xword 0x1d65ad81bbaa35cb
.xword 0xc15c9ef6aeff5ea8
.xword 0xd95ef38c856ea230
.xword 0x65bcdce1d216cc17
.xword 0xc91e678a827ca55c
.xword 0xa27af68f0b24fd62
.xword 0x8cf5b14ec79b9c9b
.xword 0xee3d8a7147d5a362
.xword 0x666877655b8f9918
_t1_des_auth_iv:
.xword 0xc0a7597cb14847a4
.xword 0x37ed7ecf639f5473
.xword 0x014edb0c29a6ae89
.xword 0x3e91ed2778f78310
.xword 0x4c22f61544386d86
.xword 0x7c465ed9aed49496
.xword 0xd8ea172bbaa4dbcf
.xword 0x68c26c3b0ec6da5c
.xword 0x7c6119030c2f8962
.xword 0xb82f3dba11aa66fd
.xword 0x4a851fb68517c00f
.xword 0x2268e1cd8969957b
.xword 0x509f6f80440f4907
.xword 0xb706109ee6664160
.xword 0xa0b92a1c69f18547
.xword 0xb0cc0df29b63b371
.xword 0x08239e1e4e1a6677
.xword 0x428b6e6cabdb3d62
.xword 0xebd381a9bdf8f7e7
.xword 0x6f0632520d6bdf98
.xword 0xfaf3a5291348126f
.xword 0x3e6ae050fdb66799
.xword 0xb004ff9546c390a1
_t1_des_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_key_array:
.xword 0x2e1f2db5ba59fbac
.xword 0x3eb55e7dbed3a205
.xword 0xe86d9d2d3af06cbd
.xword 0x69594d06989a169b
.xword 0x12172766850a9776
.xword 0xeb062e02a4ba97a2
.xword 0x3e7d2a246083adac
.xword 0x938eb847fcbba1a1
.xword 0x8695d133a8a1cc25
.xword 0x488e3a6e7e1a5b75
.xword 0x249d56cf7f73370d
.xword 0xb97d78a15d2746f8
.xword 0x0d3a6239a28044d1
.xword 0x61b24f79230b6eb1
.xword 0x4c037f068d1674f4
.xword 0x7cc3d3daa8d62c5f
.xword 0xd4aa0de270ac024e
.xword 0x3c2d4d52f2dac635
.xword 0x9d1858d822d849ca
.xword 0x8e9a11338178085c
.xword 0xa3acd2b36909800e
.xword 0x4dfe3895f941f03e
.xword 0x329b370afa1ab906
.xword 0x41d8c3600334ef96
.xword 0x61e221c223e73d2d
.xword 0x0f20de61f43da117
.xword 0x2ab43ba71344f558
.xword 0x214ddff1a028e305
.xword 0xf8a005e5423af459
.xword 0x12087e2a28475a74
.xword 0xd1aaa2036f4f6f89
.xword 0xd57ae630457a84ff
.xword 0x9b85b4d7120f256d
.xword 0x786f86ca8d053535
.xword 0xea5c5fdc5b8bdd2d
.xword 0x946db4494b3956e3
.xword 0x42650bbae6170592
.xword 0x26d010aaf243717d
.xword 0xa2a1aae42a17871e
.xword 0x1942634e9601fbee
.xword 0x2523fa518abb3dc2
.xword 0x1ec681bbf84a4317
.xword 0x695b78d9a24af929
.xword 0x32ef3b70475791d3
.xword 0x504976985a43e8d6
.xword 0xdf018fa43d8184b9
.xword 0xd6074c0c05e85864
.xword 0x919431e598fb44df
.xword 0xdf8bef9c6f26858d
.xword 0x50c15ae80125d8dc
.xword 0x32dda6f0d474d80b
_t1_copy_iv_array:
.xword 0x903ba095c7bb204c
.xword 0x5434c31c78303659
.xword 0x6921e2825d50d496
.xword 0x7453149ea85fa548
.xword 0x2c878b438f398b1b
.xword 0x11ab8c08c6282e6d
.xword 0x75610c004b3f1c2c
.xword 0x37e305a86dc809a4
.xword 0x43a124fbc7535b7a
.xword 0x759934ae42376442
.xword 0x91ebbf90a8cbd18c
.xword 0x2b7e3c867d2eaa56
.xword 0x8559ed7da0a6fcf9
.xword 0xb7607c11eb153b50
.xword 0x780462959fab027a
.xword 0xbb8a228ab90fa357
.xword 0x1b775d18d74793b6
.xword 0x1f7e356e9990860d
.xword 0x50bfb83867ab6c33
.xword 0x6ac609b28a565825
.xword 0x849b256d79b8667e
.xword 0x904503c74523fa5b
.xword 0x2d7b1e9b6c6546fa
.xword 0x724b33ec1368b948
.xword 0x7b5c62b1c1139fe2
.xword 0x2b79569c3fcc0c67
.xword 0x008ebaf643288173
.xword 0x3e24089376a567ca
.xword 0x11ef8db31b1a68cc
.xword 0x0ed05e2a9cd4e4c1
.xword 0x496dc9a7fba4c66a
.xword 0xb0fffdd0d25ae8bd
.xword 0x7eb7feee9ae7bc30
.xword 0x08df328b0573a71c
.xword 0x4ef637822af23c6c
.xword 0x5f21ad9586cf1c32
.xword 0xabe5e6ee744c7ba8
.xword 0xdf0b59555f747512
.xword 0x83aa60203dd7a1ad
.xword 0x2ec5ab370d99f72a
.xword 0x99006a61c9ca0150
.xword 0xaf96226d754247be
.xword 0xbbcf27c952f66ba9
.xword 0x9153678e978d56a9
.xword 0x9dcdd6f1ba8cf527
_t1_copy_alignment_array:
.xword 11
.xword 12
.xword 2
.xword 9
.xword 3
.xword 11
.xword 9
.xword 13
.xword 6
.xword 5
.xword 15
.xword 1
.xword 10
.xword 2
.xword 14
.xword 9
.xword 9
.xword 15
.xword 8
.xword 2
.xword 8
.xword 11
.xword 10
.xword 12
.xword 2
.xword 4
.xword 1
.xword 4
.xword 9
.xword 11
.xword 2
.xword 15
.xword 12
.xword 14
.xword 13
.xword 4
.xword 12
.xword 6
.xword 5
.xword 6
.xword 15
.xword 2
.xword 6
.xword 5
.xword 3
.xword 8
.xword 10
.xword 0
.xword 3
.xword 8
.xword 2
.xword 7
.xword 14
.xword 8
.xword 11
.xword 2
.xword 6
.xword 15
.xword 14
.xword 15
.xword 10
.xword 6
.xword 7
.xword 11
.xword 7
.xword 8
.xword 14
.xword 5
.xword 10
.xword 10
.xword 7
.xword 9
.xword 1
.xword 13
.xword 13
.xword 11
.xword 3
.xword 2
.xword 11
.xword 2
.xword 15
.xword 12
.xword 9
.xword 4
.xword 6
.xword 15
.xword 11
.xword 3
.xword 14
.xword 13
.xword 15
.xword 13
.xword 12
.xword 13
.xword 13
.xword 13
.xword 8
.xword 2
.xword 1
.xword 5
.xword 0
.xword 4
.xword 12
.xword 10
.xword 7
_t1_copy_src:
.xword 0xb55eb2723799cdef
.xword 0xed056734d402b17e
.xword 0x211b42aab242b6b6
.xword 0xbd0620ea3e15f03d
.xword 0xf396700331140c1b
.xword 0x444405a4428ff67f
.xword 0x23efc7784b59ce3a
.xword 0xb0d336f3aa92fcf9
.xword 0x333e2c009cfcfa12
.xword 0xd6631f9f2911c328
.xword 0x57f2e6cac6b484ac
.xword 0xe457492abfd5641a
.xword 0x56a436f81e20a79b
.xword 0xdc68d7aa944abd59
.xword 0x98633991484c8b11
.xword 0xb5334f904d8d82a1
.xword 0x65ebcc562a60a69a
.xword 0x556a5e0e28f7e944
.xword 0x55a4c5a89787e5bc
.xword 0x63850c32e5645d70
.xword 0x53c3731c20e174cd
.xword 0x0f3573538c18c456
.xword 0x6e408ed9bf3d70b5
.xword 0x8b4e6009a682a004
.xword 0x45e8c0e6de474177
.xword 0x119e5ea025f2d3a4
.xword 0x0d016c76a23cc5b6
.xword 0x6cd960775de8c4f6
.xword 0x4925744e3152588c
.xword 0x06502ce74e030e90
.xword 0x3f3bbcc51910f128
.xword 0x7fd11e01946c5f2a
.xword 0xf39e5820058ae070
.xword 0x6a47febb14a46fae
.xword 0x6eeec9a035ce0680
.xword 0xa0fff610ca710c3a
.xword 0x81bb52fa006dbd94
.xword 0x4e454d17d975e196
.xword 0x14670ac620ab0789
.xword 0x5dd26b4378b905a4
.xword 0xab6caf7278a01515
.xword 0x55d9a98fbb83bdfa
.xword 0x37aa3fb6d9a834a9
.xword 0xc95c919bb1381fb7
.xword 0x0e4d7d02550c33eb
.xword 0x73a4e5d238bc5a3b
.xword 0xf57717bb4a3b9bc1
.xword 0x38976249047084ec
.xword 0x038bc5b0a6f5b5a6
.xword 0x1bf1a3dc8fed188b
.xword 0x7ac694a8dc3fe7f2
.xword 0x7c4afec9f0708f08
.xword 0xa859689086b3dac9
.xword 0x7c76c185671c3bcf
.xword 0x6d5ddc60703adfda
.xword 0xe5923fa23844adcb
.xword 0x958f0eddaf59324d
.xword 0xa44e7d6afe3dc35e
.xword 0x2db1415b6c363589
.xword 0x0b96f16347a51670
.xword 0x7349e9efd3612090
.xword 0x2f62cc35c4b69627
.xword 0x47ad3d089db7b36e
.xword 0x5651c6c9626f1f5b
.xword 0x6467b65399180029
.xword 0x42edf60815f1be55
.xword 0x89e1cd04f08a6e70
.xword 0xdc29f3ed6620ebac
.xword 0x24a7449ddf99b952
.xword 0x92c428520399b619
.xword 0x490b411f5f131505
.xword 0xa836b5811a842943
.xword 0xf7d9f85a27a8c57e
.xword 0x8c5f80ff145addcb
.xword 0xe0a20c132c78da40
.xword 0xa4a93fe1cb85d4d1
.xword 0xf481ac08c11d7806
.xword 0x16c5f29ba4046516
.xword 0x08ad1295afc41e82
.xword 0xaf6dc523fa0edc53
.xword 0x60f40d7d8d7c87a8
.xword 0x8ca793ef425ffa80
.xword 0x859783cf2f02a7c8
.xword 0xdfdd8f25b0a30316
.xword 0x88fde4dc05f0579d
.xword 0xdef7f9debbd87702
.xword 0x73459769f881e557
.xword 0xc7cafa353444fb26
.xword 0x9707614adc7a6f1b
.xword 0xd004a632c00f0f2c
.xword 0x7192dfd641ddc90a
.xword 0x28bafc742795d69e
.xword 0xda54546b537c4dd5
.xword 0x1eb2d2a70bc0cc75
.xword 0xb33891122b4a3199
.xword 0x2182c4beeeec3481
.xword 0x3b1766b1482c5931
.xword 0xca20dcb739865754
.xword 0x8dee82b6fe83a769
.xword 0xd3804bcd3b1cf08b
.xword 0xa8bb5fa533a5246e
.xword 0x55bc205df837cab2
.xword 0xe19bca1a6bf4dc64
.xword 0x14cd3b725f29f82c
.xword 0xd3256456ef1f6469
.xword 0x2bcb7049b3f8b24d
.xword 0x00b26632a1f88590
.xword 0x9b8827370a28e1d8
.xword 0xbb28180185c5e82e
.xword 0x57cd434a40ac1731
.xword 0x784183d983a914b5
.xword 0xb3f07334e03b5f6f
.xword 0x0a6fe10bc6c362e8
.xword 0xa2a144a88f58b5f3
.xword 0x2331f8d99907abca
.xword 0x60e354f67aa9cf00
.xword 0x154c2d90ff97cd08
.xword 0x2ac29a551e302cd2
.xword 0xb699a5eee02ce926
.xword 0x2f56c978a8c9c125
.xword 0x1dd9351457bc788b
.xword 0x5666af2e8f9de5de
.xword 0xd462f8b280bbb0ef
.xword 0xa4d3c5cba7f3e23d
.xword 0x80a7c04bfa53750c
.xword 0x0842b20b6e473c50
.xword 0xe625d5372721a88b
.xword 0xb43dad10cf2cf520
.xword 0x6901d43dcefb5129
.xword 0x981b2564b5185784
.xword 0x66d2cb019fe7a0bc
.xword 0x2f03cf3c79b459b6
.xword 0x075d0c2f8be34463
.xword 0xdcdd489ce567d986
.xword 0x6bdc7e8b3e034d6c
.xword 0xbb3415bf3b7c9a86
.xword 0xd0b469fb421da8d0
.xword 0xa35c247a92693aeb
.xword 0x4b8ac3f748aa38b3
.xword 0x48d2ff737d009776
.xword 0x3a50a3da18b877f5
.xword 0x535e6a74bd01b927
.xword 0xbd7c5e8c3083dd08
.xword 0x2497adc2602a0046
.xword 0xe7cb5db5bd81cfc3
.xword 0xb2f6277fd0be65d3
.xword 0xcf7adfb3a885ace4
.xword 0xfb9ed51436227c71
.xword 0xbefd0e6ad690b88a
.xword 0xcd257add2b6e4aa8
.xword 0xe2301fe31b3e8f45
.xword 0x13f00dcc8d8f4720
.xword 0x1e4ff181f405ecc8
.xword 0x9edf0f6aec03dbc8
.xword 0x7b542f3a060b464e
.xword 0x511e7366f0104ab3
.xword 0xa4bc6f146259291d
.xword 0xb130daa1a9841fb9
.xword 0x661d1c47016b3c02
.xword 0xe1fb84dcc0beec32
.xword 0x4b018925fbdad3c6
.xword 0x53565b482c8a2b5b
.xword 0x6d44ef4e71475e2e
.xword 0xdb811e576f352888
.xword 0x82bf927e54516994
.xword 0x0553cb9513970647
.xword 0xa637c6d5445a6761
.xword 0x74682947c7f45263
.xword 0x37c6d0a3f85a81ea
.xword 0x971d2afc1ce5d2a2
.xword 0x2ea64a68fe5e8ef1
.xword 0x517af241418d5b2b
.xword 0x35694aa26f971767
.xword 0x4bf55ec54bf10154
.xword 0x29d494af22ba335d
.xword 0x498a886d0f24c9ab
.xword 0x2adf79c002a77e74
.xword 0xf0001e0a61d05e35
.xword 0xcd9c93219c51182e
.xword 0x5949b778eb239aba
.xword 0x59f643deddbb1d98
.xword 0x4768ae4d7c17f09b
.xword 0x40b338a0796da6b2
.xword 0xe3b7d7ed0e70e479
.xword 0x54ee28c61d48b251
.xword 0xa5cd5f1fa55e1f1a
.xword 0x4aa2aab5688bbd4b
.xword 0x4ddf57d0d1f0722b
.xword 0xcab47f3fda7819c1
.xword 0xee3ad21170bf1ab7
.xword 0xff9ff1dcce405b9b
.xword 0xfe4fd63fabaa79a9
.xword 0x2b4847f1d28483ad
.xword 0x7b82ad1a3ba0f2d1
.xword 0x4479ed381b07d2f2
.xword 0x77b070902c8e7389
.xword 0xb3e53fea6b3392f7
.xword 0x1be112b026ce4c9b
.xword 0x70b3aab64f7a5c9a
.xword 0x59fdbb1347577b74
.xword 0x2cbe356764740fa8
.xword 0x2ead4c75e713026e
.xword 0x54d5f7c46231b627
.xword 0xfbdd4476d7715907
.xword 0x48f0ce7b6bdf8564
.xword 0xdffe5c54603df092
.xword 0x048cacd0fe4f42a8
.xword 0x6c65461388abc1cb
.xword 0x1c7503768be633ce
.xword 0x468baec202cceca7
.xword 0xf6c93bcd50131f0b
.xword 0x4b78155b0fb5b4c5
.xword 0xd0d299cc8f09e832
.xword 0x493c1f197352ce48
.xword 0x499a3ac0a9c8dbe3
.xword 0xcb7331cd7a978005
.xword 0x8b7a819a7d3e6045
.xword 0xe92dc4d3377353dd
.xword 0xc27e0e3e201f43d9
.xword 0x04bbe555c8e5b590
.xword 0x827261bfc847246a
.xword 0xf8f6c66d88144eff
.xword 0xbcc1a5f434424c6d
.xword 0x972339190f34798e
.xword 0xdacaed1d07220f28
.xword 0xdfb1fa6332c659b5
.xword 0x74cf949e5c615d32
.xword 0x3135725a547e1c9e
.xword 0xf7424f23f796d223
.xword 0x1e3bc0ee7123be91
.xword 0x9a54472d1248f6c5
.xword 0x50ca4f57c735a565
.xword 0x1c9bb45e31664a4c
.xword 0xd9648fa4c97e3253
.xword 0x2b532c50255a3192
.xword 0x5b43c20bd8bd84d9
.xword 0xfad7cde967ec1c80
.xword 0x149a3a9e4427773e
.xword 0x4cfe73f64b4d6b97
.xword 0xd392c3b08e918c3f
.xword 0xee687313d50bbd3a
.xword 0x6f29f8a2ce599431
.xword 0xf453125a8b470ddc
.xword 0x9528254e0aabd587
.xword 0x912704b2ddf0d35e
.xword 0x7090a7d393a28805
.xword 0x6176b3630984db6b
.xword 0x49abb4679c96fe94
.xword 0xf7d059a823c1de63
.xword 0x33dc92525b5fe8b8
.xword 0x10062e53702ee03f
.xword 0x269c86794cbc61fa
.xword 0x4a8e82639c2f7422
.xword 0x2e9b62eddd407bed
.xword 0x57b79fb0ba11ed3e
.xword 0x01079ecb15543f4d
.xword 0x25281c38033f2e27
.xword 0x8fef0dddb28a25fc
.xword 0x1be74b2ef79b1a8f
.xword 0x30f2221ab408fb1b
.xword 0xefb20a1d6f8bd5c4
.xword 0x1cd8207f8963a7e4
.xword 0x828c46692835c4a5
.xword 0xaf8e48d9e27b741d
.xword 0x2bbaedbc67394d4e
.xword 0xf3280533b0713c8a
.xword 0x64e8477315980d93
.xword 0xfe54ab5a8a188021
.xword 0x1ea3ffc9d6aeaca5
.xword 0x351be27a1abd5908
.xword 0xa8bbc195e290a98c
.xword 0xb609a6c810a86264
.xword 0xd879419bc8e33f57
.xword 0xaa930f110fb30a6b
.xword 0xadeb599742256548
_t1_copy_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_auth_key:
.xword 0x2c7611ae21363fea
.xword 0xdcafcbc45b39e128
.xword 0x779ae0f34a7c9489
.xword 0x51102bcfe6be324a
.xword 0xe84139bae99e0309
.xword 0xf90ce29a85d5e5fe
.xword 0x70878d9235ec6e42
.xword 0xb999bb3bdb1d4764
.xword 0xb45d31ee1950f2e4
.xword 0xf562b80fb03ad829
.xword 0x0289ef7d2e82a34c
.xword 0x70ad715de980703b
.xword 0xc9a11561ee923e0b
.xword 0x74654c1b631449f9
.xword 0x6405ce1f5d154340
.xword 0x8c7c51c3313b31a3
.xword 0x309e9dd1e8312522
.xword 0x59698f293864547b
.xword 0xed27e1fbe54cd6fa
.xword 0xa730f269632caad6
.xword 0x99a2f71a90fc438e
.xword 0x1d66c929c77ffa84
.xword 0x43c08a5db5d14c18
_t1_copy_auth_iv:
.xword 0x471c140dc9505842
.xword 0x6790f0da80d8693d
.xword 0xcfce5116e0d5fe93
.xword 0xe6350a8bb7e0568c
.xword 0xc789c3e4b5d56deb
.xword 0x1046468dc6f5c92a
.xword 0x1e4954008cc039d6
.xword 0x1b67e5dad5a580d1
.xword 0x8d14d87f17242d03
.xword 0xb11f038094d29a67
.xword 0xce48354dc955d332
.xword 0x7fb0e87fac86824f
.xword 0xfc23b58909fdb39f
.xword 0x1262381d074aafdf
.xword 0x3780cecc84677bb3
.xword 0x5c95328b015aabe3
.xword 0xc17db3252ac55c58
.xword 0x7bf9944c0230c82b
.xword 0x8611eddaa6d805fa
.xword 0x0e4be33897cefbf6
.xword 0xf351697ff05e1038
.xword 0xf4a1f4bc9e36066f
.xword 0x2d9ac7a144f95740
_t1_copy_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_key_array:
.xword 0x023fce30ccf6b7bb
.xword 0xce5ab9d047a4c32c
.xword 0xf815b2a8b1ee7e69
.xword 0x9c9b1183dc008b73
.xword 0x889f492e9ddc1682
.xword 0x088e2a88d0826d48
.xword 0x4f20241f7dc7af79
.xword 0xb975c78f3e34e16c
.xword 0x36355b25114d45a1
.xword 0x66c4022b4f2ee20f
.xword 0x7b379175b646e7b6
.xword 0xf62f07e6aa54efb0
.xword 0xda96291224d6abeb
.xword 0xbeec7dfada197c9a
.xword 0xdfce9a5ff58437d3
.xword 0x6a94b541c227aba5
.xword 0x968dadfe0850c53b
.xword 0x1a7f7ad57963d630
.xword 0x74d5269b9b6c5e18
.xword 0x43ab26ae4bd08397
.xword 0x6e883bd2203acece
.xword 0x3baf4b21f447c1e0
.xword 0xcd92efaf2b6b9239
.xword 0xb5df5d92c870ca94
.xword 0xf0080594b53cec77
.xword 0xe4f46c50ec394d8d
.xword 0x55d8efeaf36d124f
.xword 0xa3cb49b099fa68c9
.xword 0xe3d516c8b97c90a9
.xword 0xafd4fea0dca557f2
.xword 0xa33fd7077c787787
.xword 0x4ba11d9f955ce3a8
.xword 0xf0113aa523d5c321
.xword 0xabfdf338ed028521
.xword 0xd13b699cc04f63cb
.xword 0xb261324d0ebda3f1
.xword 0x2f9f7427a2b4cfa2
.xword 0xa1587db077e8dd66
.xword 0xf6c09ea8f67833a5
.xword 0xa420658b4672f9ec
.xword 0x2394b52400876566
.xword 0x7fb35218b15e6914
.xword 0x98e7150d1b0907e5
.xword 0x41cf4c039608b31e
.xword 0x077cee7f5bf05141
.xword 0x2300bf01f03690a3
.xword 0x5fc143902370c90c
.xword 0xae3f4b75e6361d73
.xword 0x8e0a351e74d9bcf1
.xword 0x13422a325c08cb87
.xword 0x3ae854a01a90b90f
_t1_crc_iv_array:
.xword 0xcce2b36a47e82acb
.xword 0x157d18ab9f8273bb
.xword 0x6bb87603d8e682c9
.xword 0xdd472a15e612244a
.xword 0x86f010ad3ea44144
.xword 0xb3592ce657f05d1f
.xword 0x8384b40582bacd4f
.xword 0x67bec195e427379a
.xword 0x2bf9b6d93ca27545
.xword 0x116550357895a337
.xword 0xcd008ffa7c532106
.xword 0xf3a52b42487aa4a3
.xword 0x772e83cbffb60201
.xword 0x146ebf15e84c1f83
.xword 0xb99b4c9b827cf02b
.xword 0x76377f394069e48c
.xword 0xfd45cd003033c2fb
.xword 0x90961ddf7e3c34fe
.xword 0x1571978175fdc6fe
.xword 0x098e353f156d0836
.xword 0x140c130edd01161e
.xword 0x55f8e11d1468185d
.xword 0x5b3c445de97fdedc
.xword 0xbc8b182b5f2e6f60
.xword 0x670de89bce9d7857
.xword 0x1a8af021ccf02a9e
.xword 0x565906df25b643ec
.xword 0x626cc6a1c489bab6
.xword 0x1f6ba12f2125bc14
.xword 0xde22542132ad570b
.xword 0xf07a76e621a3c218
.xword 0xe0629cc5047a5cc3
.xword 0x4b5314e8f01584f8
.xword 0x5c25e9267ef38f57
.xword 0x070886a4076bd1fa
.xword 0x8f5f54e0e51df774
.xword 0x61dc0e0190d99d1c
.xword 0x2ba55d62fe7d97b0
.xword 0x4bdc5237771cb555
.xword 0x884a8a0d04574109
.xword 0x5106046405c7c932
.xword 0x0fc6e099d50ef810
.xword 0x5115db396a0215cf
.xword 0x3fa9de99c51059cf
.xword 0xbdfedb47cd029f84
_t1_crc_alignment_array:
.xword 2
.xword 1
.xword 0
.xword 4
.xword 1
.xword 3
.xword 15
.xword 12
.xword 7
.xword 5
.xword 2
.xword 0
.xword 14
.xword 5
.xword 7
.xword 11
.xword 9
.xword 0
.xword 11
.xword 1
.xword 3
.xword 2
.xword 11
.xword 2
.xword 5
.xword 5
.xword 1
.xword 8
.xword 1
.xword 3
.xword 0
.xword 8
.xword 1
.xword 14
.xword 7
.xword 14
.xword 10
.xword 6
.xword 3
.xword 7
.xword 14
.xword 13
.xword 7
.xword 11
.xword 7
.xword 14
.xword 0
.xword 6
.xword 15
.xword 9
.xword 1
.xword 4
.xword 0
.xword 2
.xword 11
.xword 13
.xword 6
.xword 15
.xword 15
.xword 1
.xword 7
.xword 15
.xword 11
.xword 10
.xword 15
.xword 2
.xword 5
.xword 11
.xword 13
.xword 14
.xword 6
.xword 2
.xword 5
.xword 8
.xword 2
.xword 12
.xword 9
.xword 14
.xword 2
.xword 6
.xword 5
.xword 12
.xword 1
.xword 7
.xword 11
.xword 10
.xword 2
.xword 7
.xword 15
.xword 5
.xword 13
.xword 1
.xword 8
.xword 4
.xword 15
.xword 8
.xword 14
.xword 15
.xword 6
.xword 0
.xword 15
.xword 6
.xword 13
.xword 9
.xword 0
_t1_crc_src:
.xword 0xe9638f92388bd699
.xword 0xb63dff13370abf13
.xword 0x4f950748874171cc
.xword 0xf665c6db0b553ea0
.xword 0xc381704d3338569a
.xword 0xac4685bdf177c8f0
.xword 0x266c91dabb2e7db6
.xword 0xed19a1d17271d28e
.xword 0xbdbe061e88683dae
.xword 0xd6d33b3a7839919b
.xword 0xc4a7b989d1995d55
.xword 0x53cdf96918b7e31c
.xword 0xa01e49844184c663
.xword 0x3521a25f13e1fcc0
.xword 0x5137f171fa6040d1
.xword 0x26d29edcf4ecf48d
.xword 0x01a01aecc769bf32
.xword 0xb54c9fca7dd56c7a
.xword 0x3a67254e2ca98ca2
.xword 0xb9e9f050e165bd71
.xword 0x07be151662ef403a
.xword 0x10b5b0440ccc8c91
.xword 0x0ac284429fbad80f
.xword 0xd9c4e57677114047
.xword 0x510e78503c841b5e
.xword 0x6717713fe4b5c07a
.xword 0xb1c3e694f579fd7e
.xword 0x5b7f69a508a04128
.xword 0xd420a7cb625def57
.xword 0x765e9d36e7ef0ff7
.xword 0x41492ad2d0430ab1
.xword 0x486f8e3bec8cc56a
.xword 0xa59bc77630a3c5ce
.xword 0xf0b907c36657538e
.xword 0x067720f47f759492
.xword 0xadccfb119f2aed0c
.xword 0x2de2f4f717412477
.xword 0xe1f4a8f2ace896f3
.xword 0xacfcd461bbdbc483
.xword 0x53bc2a3c4e0a408c
.xword 0xb1690604365b8bc8
.xword 0xfd598a9bdf07ba89
.xword 0x428acdaef6164314
.xword 0xa66a1c9c022fefda
.xword 0x39bb0c6c9ef0a8ff
.xword 0xa996f95c6a857c82
.xword 0xe63c33e2a4fd2573
.xword 0x4e70eb1d8629ebb4
.xword 0xe6a5f9e8bbe42998
.xword 0x4d66fa090de1b86e
.xword 0xa567508b5c6f0f4b
.xword 0xfe896cc57802143c
.xword 0xdfc961a694f5dfd4
.xword 0x60b09d9a53997060
.xword 0x1e3120b7a64b5f9f
.xword 0xfb3a08fd072d84a1
.xword 0xe542a7acc5a0b567
.xword 0x5c01d6f7066eb80a
.xword 0x83902b8f133ed7e0
.xword 0x64bbe8404c23dd72
.xword 0x6441c7ff64d81c58
.xword 0x89c708e013056fcb
.xword 0x0ede06a448e7bfe6
.xword 0x2b7187d0c13eb07d
.xword 0xf851ec0c0fae364e
.xword 0xf0a9d5a1865e3c80
.xword 0x63a6adfb3da91b17
.xword 0xa92a5373370ffa3e
.xword 0x3b33177ab506a653
.xword 0x44f0e505c0e5045c
.xword 0xb320837e9174bc12
.xword 0xa74d06680ab7ce6d
.xword 0x3ba524c594d6b4ab
.xword 0x9a2e9aa511360ef2
.xword 0xe11802e056e2c4ea
.xword 0x2990c4b15ba24854
.xword 0x9d15e7b94e37d259
.xword 0x94a8f4389dcb9757
.xword 0xab8a02dcf042b9f4
.xword 0x2ae9ce0f74287e74
.xword 0xda460e3c9e2e8d1a
.xword 0xa02b4c54c7e974b6
.xword 0x9562d7d80b00be04
.xword 0x28adc9743504d074
.xword 0x2fffae328e7b6054
.xword 0x0ffb50128d926917
.xword 0xe9108fdc06248e6b
.xword 0x7be06253679dc6e7
.xword 0xb787f031ef43ad0c
.xword 0x075f9d00350027b2
.xword 0x79ec157596852b3e
.xword 0xde50d7fbc53702b6
.xword 0xf946e1669b23873a
.xword 0x1a947ffd0079fee5
.xword 0xdaa24d14ef1da415
.xword 0x38a1a7a1ae32d507
.xword 0xbb77462dd5ba086e
.xword 0xe8c633ba68179cfe
.xword 0xb3073118e4f2e477
.xword 0x6d66926b60376b8d
.xword 0xbc21b27a0eb87745
.xword 0xc81fe7cc7653bbed
.xword 0x0f157864699c5f2f
.xword 0x47be35ecef2fd7a4
.xword 0xfd5fe20cf034c8e3
.xword 0x459190daba8c2d75
.xword 0xf865a415b2d3a7d3
.xword 0x8e86ede4440a1e45
.xword 0xdacf54a340861fbe
.xword 0xb7252271c36f90c4
.xword 0x4c4eff2c56a80ac4
.xword 0x45a90640c293e579
.xword 0x5072f014bb4b8896
.xword 0xff444516fe99e2c5
.xword 0xd5229a732aef0ecd
.xword 0x9df78ae79c71230d
.xword 0x50dcfa5143fd796b
.xword 0x901abf64cbc9a8b2
.xword 0xc1711cac99c4bb43
.xword 0xd56de6107bbfb7d5
.xword 0xb8761a59ef890a0f
.xword 0x08d7dfc15e26535f
.xword 0x07357256dfc75eb0
.xword 0x299dd4b1df56af13
.xword 0x2295f572662038f9
.xword 0x2b53e5a8a7d56766
.xword 0xcdef91602aeb2e17
.xword 0xf797f585447cce89
.xword 0xf488635a119077dd
.xword 0x7b0bb1e0d50ef4ee
.xword 0x8da4331cdbd373b3
.xword 0x4ed7e9b8260a23f8
.xword 0xef5e54a76a0996e1
.xword 0xf2e4b999385bf34f
.xword 0x0d662b8fafa78ebc
.xword 0xd241ac2a01b9fc83
.xword 0x2e020a9806cbf1d7
.xword 0x79b63a27d211c37e
.xword 0x2b083c94be63eb48
.xword 0x921127e1b21037e6
.xword 0x41c64222d54b3a6b
.xword 0x5b447d0e88949eb1
.xword 0x29925b431bde053b
.xword 0x4d763b6bfa766bb2
.xword 0x9e5f83777fa88d33
.xword 0x5ded4ae629bee522
.xword 0xdf90022b28d907b4
.xword 0x878dc79c09d9786d
.xword 0x591b42f75a0d4bfd
.xword 0x9cc687a40969f802
.xword 0xc712c75ecedff20b
.xword 0x85589e3607be24f7
.xword 0x835738f93d75eb2b
.xword 0x645e182a6d056a0b
.xword 0x98b45380361c8203
.xword 0xe373fb68549bdc07
.xword 0x70168cb3eabee119
.xword 0x7c959fb9f388cee3
.xword 0x7cf88f8b92fefc93
.xword 0xc98694fa98430985
.xword 0xdfe9604793f421cc
.xword 0x45b45ee25699a944
.xword 0xfdb685d6a1ebbaf2
.xword 0x83753404068fe11f
.xword 0xdd4d359a96bec2ab
.xword 0xe9d23818984ab61f
.xword 0x75556303ea1ab36e
.xword 0x3b1e4d7b183c715e
.xword 0xefb59bb744417843
.xword 0x5218f81439054367
.xword 0xf6c7d40444e54c18
.xword 0x7a5701d20a045642
.xword 0x26a853c84a224694
.xword 0x4e13a0ab9002b504
.xword 0xe47d4f2d9c85ef03
.xword 0x444bac9397217b41
.xword 0x211cff2b7d47f953
.xword 0x1e6f7eb24321454c
.xword 0xa0a0c5a9ec7213cb
.xword 0xc0b92927fd311fba
.xword 0xbc5e5d018f364a83
.xword 0xe768606e538fe1eb
.xword 0x22f0abb88b4fde29
.xword 0xfd78f40bc5fe9a4e
.xword 0x1a819c0781c5a788
.xword 0x1fad5e596cdd420b
.xword 0x49fb6ac8209287b6
.xword 0x4634af46d5732851
.xword 0x593233df2923b260
.xword 0xfc57c390bbc627ad
.xword 0x7ffff5db5c98f450
.xword 0xd582f90aa80c4425
.xword 0x819b76515c991333
.xword 0xd20e1e0897a2e38a
.xword 0x38b52b2d25096510
.xword 0xdf0dbb26e95a978a
.xword 0xae864f3904aaea05
.xword 0xf24c3dff8ed06078
.xword 0x739707d5722d5db1
.xword 0xd167994de27e1ded
.xword 0xe84cf77787b60453
.xword 0x49ee2279016e7a7f
.xword 0x11cb94fae7d6800e
.xword 0xdd1b8e6944c75467
.xword 0xd03711b11bf1ba90
.xword 0x1fed3c843da56281
.xword 0x1dcd7361da3cc0bd
.xword 0xfb52a15168f64fdf
.xword 0x9921ea67bab9d960
.xword 0x355abd27cafec552
.xword 0x578dc8f1f79f3ece
.xword 0x6c9b91f8839dbefb
.xword 0xfedf22b17dfe472e
.xword 0xccace28e6a734dff
.xword 0xe5ee7c1cc3bbc85c
.xword 0x8c56dbfe59d82ff3
.xword 0x4adfaa1da754ce65
.xword 0x7b18f5916f721a30
.xword 0x0c5cd882519dcbfc
.xword 0x751603fb235b163c
.xword 0xcc1aca5e85bf4b96
.xword 0xee7024cdca5d593d
.xword 0xe974edf2cbe1c383
.xword 0x7b7fb8d6ab378ebd
.xword 0x8f34548e77b1e930
.xword 0x99c2046f2652242d
.xword 0xd50c8272e7962cfe
.xword 0x3bb37429be0ac1e3
.xword 0x5cb05f5a303f4aa7
.xword 0x71a6973bd14e0572
.xword 0x60cc654e58df793c
.xword 0xf2f6ced4e71c2a1b
.xword 0x0036390db59d7e5b
.xword 0x71bd67055fec1318
.xword 0x16ede0ceded79895
.xword 0xa80b641770c32b91
.xword 0xd3d2ba2510d489dd
.xword 0x8778d273b73c5f28
.xword 0x80682582cd395e20
.xword 0xa1e387a0936888bc
.xword 0xa12c523c730b2839
.xword 0x1238c007b2f9f396
.xword 0x5244fc572a443e5d
.xword 0x986205aa1f727619
.xword 0xdb1753cc01744a00
.xword 0xcf7b705ce6e27e97
.xword 0x2438491c720cf114
.xword 0x98d81af22f090047
.xword 0xb2757b67105d6faf
.xword 0xa8b4f8c43115c76c
.xword 0xd5af130880f7ee40
.xword 0x2698d4c7bcd17ce2
.xword 0xb5da295c2a40fcd5
.xword 0xd7bc2ef3354c3c0c
.xword 0xe50f86b2b14cf4c9
.xword 0x56c50891397ef8d5
.xword 0xa9dc38c9d938d615
.xword 0xac104607be3799ac
.xword 0x7ffe7b2d271ba496
.xword 0x07e7779f9e486157
.xword 0x9ea54564b996531f
.xword 0x31ef13cd584cb5f3
.xword 0x7e360a5994d984fe
.xword 0xba85a99968e3a904
.xword 0xb41523a3ce1c50c8
.xword 0x96773449fe31b97f
.xword 0x5979203698719821
.xword 0x6c7c5bcd5cc53afa
.xword 0xed23b1237a833d22
.xword 0xd9edd0551eee1928
.xword 0xa2b5c7d0bc47f826
.xword 0x0e21e2cd8bd40ec2
.xword 0x7cd4a7884c03b130
.xword 0x45b7eca39b34b87b
.xword 0xdb7315d17785597f
_t1_crc_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_auth_key:
.xword 0xdd64b495650d8016
.xword 0xc144c1af4dd13bce
.xword 0x700dc55573da07d7
.xword 0x8e8032f50bbf70c7
.xword 0x891eb171470d6548
.xword 0x35479c720c6a5512
.xword 0x9a4696af3c9104b6
.xword 0x2326179b8aa868c2
.xword 0x0788565eb5517e98
.xword 0x8cb8944392a79eab
.xword 0xdaa23017da62e996
.xword 0x65272192d7559580
.xword 0x0ed4f196c983abb8
.xword 0xd4f0e92cbd5590fd
.xword 0x5c01668cd01213bc
.xword 0x55208298023a5977
.xword 0x31753602d7fc5075
.xword 0xdb890037a7001918
.xword 0xb07534082ee350d4
.xword 0x68d5fadfdc67bfc1
.xword 0xde3053afc37cdb84
.xword 0xfb8baa75019cc2d3
.xword 0xc5a3733be8295b75
_t1_crc_auth_iv:
.xword 0xa95b8cebe52f2fbb
.xword 0x7b487f9f87560bd4
.xword 0xb463a0f44218c46d
.xword 0xf230f288cb671a51
.xword 0xaa4e84e19f5ecdb8
.xword 0x9d5e63fae3976d58
.xword 0xc9f7ff1ceafc319e
.xword 0xbd89c1febf6910e1
.xword 0xbed38b93db26d98b
.xword 0xc6302ed92ab61ce6
.xword 0xb5b95ce7c371a96c
.xword 0xf179d7c1eb2c7e05
.xword 0x1009d986e05c6c86
.xword 0x16b7fdfbbeb43aa4
.xword 0xd2102fd2d9d9fefb
.xword 0x2d98d8a397bcbd68
.xword 0xd13c301b81608a73
.xword 0x1eb6dda86ae8d98e
.xword 0x1a30a5318173f6f1
.xword 0x4fbb3909c9f5fa7a
.xword 0x51c4a3c3ee9e7826
.xword 0x13a6ca82dccf87b5
.xword 0xf4e7600fad378d66
_t1_crc_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_key_array:
.xword 0xa6ccf8ed68ed26f7
.xword 0xc22197ed213c3363
.xword 0xf3259104025ad310
.xword 0xb31343ffb92228d3
.xword 0x89db347f2e17b983
.xword 0x7e7bd3faf00480e0
.xword 0x147f1b9df293cb9d
.xword 0x2ea53c5a26f344d0
.xword 0xab25d93404568144
.xword 0x44bad81d8e8af557
.xword 0x62914684035ce652
.xword 0xb0a375c24894d7d2
.xword 0xbba33b40b90b0953
.xword 0xc150d35bb4d166ae
.xword 0x70a685eedf8b40fc
.xword 0x9e622f7e28464c3a
.xword 0x565a9fe0d6bbd8ae
.xword 0x91f156d7c9628bc2
.xword 0x83bf4f27cd35cdf3
.xword 0xb262b1265e4a33ff
.xword 0x3a2dd7dd76a98853
.xword 0x5288d83cd4d303ed
.xword 0xe09d27937a74a82e
.xword 0xff4fdd23a1c2d94d
.xword 0xaa35662a8cf8ff59
.xword 0x39f20f2335e6501f
.xword 0xc1099c0d22ed1147
.xword 0xaea7b70c2fdd2518
.xword 0xc369d5268648613d
.xword 0x94d3114c823d4dd2
.xword 0x3cf7d53f94ae03b2
.xword 0xad095ed4fcae571e
.xword 0x90a639ee2685e45a
.xword 0x423651ad244a6ba3
.xword 0xb73815c1b01817fd
.xword 0x66a469d724930f63
.xword 0x3a1bec38fb6a53c1
.xword 0x5b42d166715495d6
.xword 0x5a0a13c20563b221
.xword 0x77f79d0d813db8f7
.xword 0x9eb810851c90dfc4
.xword 0x7e489905331527dc
.xword 0x166c10938dd2cf0b
.xword 0x2ccdb4c007d7099a
.xword 0x8b618969fbc730cc
.xword 0xccf60fd21ea9dafb
.xword 0x347aba1ed6455550
.xword 0xdfe669f94666d12c
.xword 0x12f5b9207763b2dd
.xword 0x090a63de8dcb6221
.xword 0xb021cf44f4530c7c
_t1_hash_iv_array:
.xword 0x317afc6808020993
.xword 0xb5bd2556730c0e15
.xword 0xecb01128c104658b
.xword 0xc2db8016240575c6
.xword 0xbde1eeb3073340ed
.xword 0x5b29041879f5163e
.xword 0xa3b1d11ae4b8af73
.xword 0xede55465fdef53e7
.xword 0x9a7002b395b29705
.xword 0x91c112c9949cdc77
.xword 0x3989d8f8d59907f2
.xword 0x9d834b6642852919
.xword 0x0eb5bcd5b0732ccf
.xword 0xd2026ec55806c1ed
.xword 0x958a705864d7a551
.xword 0xaac6470a2245bc5e
.xword 0x910e5ca086beb2f9
.xword 0x06c323b36d4fb0e6
.xword 0xaf9e967846a473e0
.xword 0x083e2daa1a88a095
.xword 0xd70aa99ac8f61d3a
.xword 0x1a8c2f3a87c927ba
.xword 0xcd01538800706249
.xword 0xba72a495c9fdeb59
.xword 0x8f938492757b5249
.xword 0xce895ec09230fefc
.xword 0xfd0528562d48f351
.xword 0xb4901578de83031a
.xword 0x29ec7ee3c078d129
.xword 0xff3ef67830fae937
.xword 0x8941e951eb097c64
.xword 0x9836279383f30d4e
.xword 0x1c45562a417e2dbf
.xword 0x3e17df326850b0e4
.xword 0x45c02b985d8e79f6
.xword 0x3f3072391cc5d9eb
.xword 0x89254da8cca833d5
.xword 0xb7273eca2a3c78d0
.xword 0xe0f4739a860fa713
.xword 0xa8aa7f565359616e
.xword 0xf59dbc7acb2a2431
.xword 0x2f432824546de630
.xword 0xf900f043a548f173
.xword 0x84ae8de4dada9899
.xword 0x5cc86c71e5e245ef
_t1_hash_alignment_array:
.xword 2
.xword 0
.xword 4
.xword 10
.xword 4
.xword 15
.xword 9
.xword 7
.xword 3
.xword 11
.xword 4
.xword 11
.xword 13
.xword 2
.xword 6
.xword 6
.xword 4
.xword 10
.xword 10
.xword 11
.xword 3
.xword 7
.xword 9
.xword 13
.xword 10
.xword 7
.xword 4
.xword 15
.xword 12
.xword 3
.xword 10
.xword 4
.xword 1
.xword 3
.xword 0
.xword 9
.xword 14
.xword 11
.xword 13
.xword 4
.xword 8
.xword 14
.xword 14
.xword 4
.xword 4
.xword 10
.xword 12
.xword 12
.xword 14
.xword 0
.xword 1
.xword 4
.xword 10
.xword 13
.xword 9
.xword 0
.xword 2
.xword 13
.xword 11
.xword 8
.xword 15
.xword 12
.xword 1
.xword 1
.xword 5
.xword 4
.xword 10
.xword 2
.xword 12
.xword 3
.xword 4
.xword 0
.xword 14
.xword 11
.xword 11
.xword 6
.xword 14
.xword 1
.xword 8
.xword 10
.xword 14
.xword 3
.xword 7
.xword 11
.xword 2
.xword 9
.xword 10
.xword 15
.xword 8
.xword 12
.xword 7
.xword 6
.xword 12
.xword 1
.xword 0
.xword 6
.xword 15
.xword 11
.xword 11
.xword 12
.xword 8
.xword 6
.xword 9
.xword 2
.xword 12
_t1_hash_src:
.xword 0x9bd844f04efe7495
.xword 0x294d48471a9d1402
.xword 0x8f7e53c0b34fbf0e
.xword 0x67df64a032d4dd0a
.xword 0x582da8f7fb7833bc
.xword 0xff3c4b4b9b386e4e
.xword 0xa6d21417f663f568
.xword 0xa488ccf12dbffa66
.xword 0x6372526db78f7e3f
.xword 0xf0fd86bc71db9700
.xword 0xd5a8148aedab2875
.xword 0x6632f6864e76e21f
.xword 0x45d91384ad359595
.xword 0x05738cf0ccd771f1
.xword 0x4e18262ec4bfa537
.xword 0x310a4d1e8fda1873
.xword 0x197c9e2c9393a2c3
.xword 0xcef71c0564263eb1
.xword 0x6904d8f04fc588d2
.xword 0x38be96dee3550ef1
.xword 0x9aa3fc91060fb7c9
.xword 0xf5643890a46fcd84
.xword 0xc5d0ee6082196559
.xword 0x1583f7ff04604df1
.xword 0x3b56cbeac4427ad4
.xword 0xf963d0d80c359944
.xword 0x16c7c54c4efc7a37
.xword 0xe0d9fb16aeffb12e
.xword 0xebd3da0c4a7800c5
.xword 0xf39df4cc6d3b7d39
.xword 0x5eb62bbec3aa26ed
.xword 0xc07c572f857c7cd8
.xword 0x1ca16a431f83f92f
.xword 0xdedcf571ba76b136
.xword 0xdd4800d6767e59df
.xword 0x7cafd0161f158b05
.xword 0x7610f53261be66f6
.xword 0x7d5b0d34fd791615
.xword 0x869111f847675560
.xword 0x7b53681d2f31bfa9
.xword 0x1cc4736e8e27cc9b
.xword 0x413c596248050d74
.xword 0x5be258d99427c61d
.xword 0x7963bdd489428fab
.xword 0x6ea62f551d0784b8
.xword 0xbde0d644d2671c2f
.xword 0x425aff0edb02f39c
.xword 0xdbdf813ac8b1c977
.xword 0x01ec099e6206a886
.xword 0xd9cb03e1fa5e6b24
.xword 0xa2d86cddf293f8ac
.xword 0xa97097c6a72e7c60
.xword 0xe55690f5a2441043
.xword 0x60822b0c6a3e0d25
.xword 0x148f5f533a63cfac
.xword 0x4cd6e4beaaae2095
.xword 0xed3f0ca65afd73b3
.xword 0x6e3a2d04c058ea4f
.xword 0x718de4ebf7c21c5f
.xword 0x3f690c7edfb8f5c6
.xword 0x663651755ee27698
.xword 0xc52d197194292866
.xword 0x6731ad661ac366bd
.xword 0x7e73614d9db2b26e
.xword 0x1601d8a3692a90e0
.xword 0xc7735db654cdadf0
.xword 0xa1ed75bba8a95ba7
.xword 0x754ef09bca960237
.xword 0xe0a8ffd5e7346892
.xword 0x185dea7331625f0e
.xword 0x501b3fb14d1616f1
.xword 0xddb37948df40c786
.xword 0xc79ac49ca1e020af
.xword 0x88035d4d7d5702d3
.xword 0xf7b84696ada74a4a
.xword 0x4c1359e80c403574
.xword 0x4b818d9fa0aba469
.xword 0x91474cdb8d8ae733
.xword 0xf6452f93fca922b4
.xword 0x8730f830be93655b
.xword 0xb00526ee2cde94fb
.xword 0x03d290f74f031682
.xword 0xe4fc62b6feccb30e
.xword 0x51c5a7edab41425d
.xword 0xce6d9ff15c543780
.xword 0xa844d50f55458ae4
.xword 0xa2734db995436817
.xword 0x2e5e5d5ed98ebc78
.xword 0xe42ab8e903975a39
.xword 0x425170d1d1022279
.xword 0x562e9597c8c8a022
.xword 0xdbc2f37aba4d7cb1
.xword 0xc578bcacc5e629cc
.xword 0x0a5646e45ecd2ba2
.xword 0x3935786afc1d8500
.xword 0xca80d65bcb214c62
.xword 0x78bb83122bf5dc33
.xword 0xe6374bae1aacc36d
.xword 0x53430b6a945de281
.xword 0xcc89fd1bc91410d2
.xword 0xbf0671dbf39e7d42
.xword 0xbfb5371ea2cf6c27
.xword 0xb8dc308c358c83a9
.xword 0x2c29b52ccfef613d
.xword 0x98fdbad3393c472f
.xword 0x85f9bbd7ac67df7c
.xword 0x73bbc90783681522
.xword 0xd7877f6a425b4306
.xword 0x3b53e941db1764c8
.xword 0x72fdfc6039e7898d
.xword 0x4078bc0fc9470776
.xword 0x89e83ace6ca54d38
.xword 0x01b9195da88ff936
.xword 0x929d8ae84c696c12
.xword 0x5b8756591142120e
.xword 0x9e34361412b578e5
.xword 0x9baf29a55d663630
.xword 0x4b4f59d65dc7c17e
.xword 0x62bb0a76f9cae56b
.xword 0xf5d30e398ddce3a2
.xword 0x3fa8e4ad443c27da
.xword 0x44844680c47ec049
.xword 0xef706468d89c98df
.xword 0xcc3cbd3da822825d
.xword 0x2a3702d920363973
.xword 0x42cb268a7cf1ae06
.xword 0x58ba064ff7e9a52d
.xword 0x921064d28fca798d
.xword 0xaf3c875d3bdda526
.xword 0xf65ac39e74e22661
.xword 0x0a1e4c9f6a2db30a
.xword 0x404860c6dc9f4051
.xword 0x91735cc915e7de93
.xword 0x1e6fbc6a4ee7cc3a
.xword 0x343487856eb13ab0
.xword 0x8677a5b201c6dfe1
.xword 0x2f14ded885d6ab03
.xword 0x56c6e53cd9f59a00
.xword 0x54a51c27cbb39874
.xword 0x03ce652dfd35ba2e
.xword 0x4168db10dd7eb314
.xword 0x2ed074c8c401072c
.xword 0x0e69b5253428f939
.xword 0xe76a17a664e66319
.xword 0x0b864f9586c6e08e
.xword 0x294ac19e0c3f9f4e
.xword 0x04d3d0adbd527b9e
.xword 0xe6e6627df5ce1979
.xword 0x87df8a2a78252a6e
.xword 0xdb246d22ac260625
.xword 0x6b9a7faf53f5f5ee
.xword 0x8ac5b1c67b23118a
.xword 0x814ebb127efcb7e3
.xword 0xe533596cbea6253f
.xword 0x5df0836a739e494e
.xword 0xb4b10447f41d9bfb
.xword 0xe687bb3682840543
.xword 0x78de09b16af36e5f
.xword 0xf5b6ee4a6ed7c79b
.xword 0xfdd978eb74e7574a
.xword 0xe0ceab1fcff10789
.xword 0xdae4153d157aefdf
.xword 0xcdac996f65f30a7a
.xword 0xf03db8b0d3a1c543
.xword 0xbaa669ca6ea180e0
.xword 0x6cced4acaa9dfa2d
.xword 0xa0c4882080cc4e04
.xword 0xaac5ae4fc998efd7
.xword 0x7d97106d452969e9
.xword 0x5e9bbae2f6bc3afe
.xword 0x53043fdf84599e26
.xword 0x4028c53eec095310
.xword 0xc4f3dc79e378932b
.xword 0x6f9c707dfe42da6d
.xword 0xea0e8d39a517ba6d
.xword 0x2d17a92b0ee6c1c7
.xword 0x00cd07af32381647
.xword 0x428de0ea279a9862
.xword 0x8c2f64feeb8f9390
.xword 0x91c3e47244ec5144
.xword 0xf05bbd166e306b52
.xword 0x17c1ad0e7adc9661
.xword 0x62d39922a8389cd8
.xword 0xa027a2c657338a5c
.xword 0x69fe5cebe111f0db
.xword 0x0e0fd57a9a90ea46
.xword 0x0a449bd6bc9548ba
.xword 0xde650ac922182724
.xword 0x5c3ce6fda2a0316d
.xword 0x314e1958b31fe993
.xword 0xe6af87a7d4fc52ca
.xword 0x7a469c44dd4609f7
.xword 0x16a8e4b34dd52068
.xword 0x47a8c972d54be8a1
.xword 0xa44561e9f58cb61c
.xword 0x3ad23b1f07bcf4fe
.xword 0xec7e7513a2e054ba
.xword 0x76ec088fe436b8a1
.xword 0xd43f1dbe70fafa56
.xword 0xf77dd8a1ecd0060c
.xword 0xf52254439b0f347f
.xword 0xfeb31d4129ee993f
.xword 0x393abfcc2fa25129
.xword 0x6e26128432c5ece5
.xword 0x2479bfd47e9d1c89
.xword 0x0504097235ac37ce
.xword 0xeea3f61c6129f064
.xword 0x3dab1d026cd86e59
.xword 0xbc8ea1b10fb4a587
.xword 0x10805bb185161e80
.xword 0x6304703a14708624
.xword 0xd4661adf593c4849
.xword 0xc120e40f3b068628
.xword 0x1343aceda3d78f03
.xword 0x579bb24f45f458d4
.xword 0xadd5e39ba053efd9
.xword 0x9683a84cf09b4f6f
.xword 0xc30b9ed5941e4fff
.xword 0x9fbfeada0cf6a9bd
.xword 0x7c1d7d665d045711
.xword 0x054a2b01a57cde5b
.xword 0x77fd11dcfcbe8fac
.xword 0xd9a1acaebb1672d5
.xword 0x1b887e6ac251c934
.xword 0x8d82289d0084a065
.xword 0x5c1e90be087d71bf
.xword 0x7afa8fb569aca700
.xword 0x2917425cc8ff6ee9
.xword 0xb91b4dbf43c27e0f
.xword 0x8adb0ae6f1ce6d46
.xword 0x9fef857dc1ae2eea
.xword 0x2c1793cd7bafb7be
.xword 0x2399acaf98a9d446
.xword 0x63d39173810a567d
.xword 0x481c4fa457ea84f3
.xword 0x65169a3eac15bf29
.xword 0x759114fcc3b3f181
.xword 0x4bbda3dcb0b5d5a2
.xword 0xfa60d214636d3aa8
.xword 0xe564a7f1918c5aff
.xword 0x9d2c87ed5ef05948
.xword 0x5264c3069101f8cd
.xword 0xcafcbbe1beabcced
.xword 0x9e000c51806d4981
.xword 0xc0dfa6d194af94b1
.xword 0x40c5264c817a0949
.xword 0xcdc9f7173de532c0
.xword 0xc5376f64b20052ea
.xword 0xa40ae4b7179da002
.xword 0xb05f3b107c828221
.xword 0x94b9028c853493dc
.xword 0x6e89d3e1a13f4351
.xword 0xc03fb77a0f1ec9fa
.xword 0x78c9e324ffd80914
.xword 0xfdbba1b3d0e00804
.xword 0x14d2cc26d36b67a3
.xword 0x2a5dd6c323668cb1
.xword 0x0c9038a255a26cf2
.xword 0x00c154e2f9792250
.xword 0xe65cc412d212215d
.xword 0xceda0689c98f0fa5
.xword 0xa3e052063c7c5365
.xword 0xfb8621ad154811dc
.xword 0x4e1fe1f3b3435835
.xword 0xdf5808dce3206aab
.xword 0x67825091c85d9b33
.xword 0xbe63c433fa17e5f3
.xword 0x63a24f5a767e7b4d
.xword 0xf2aba26e774c7b38
.xword 0x0dcbd47e9dbac9d6
.xword 0x356c1762d3368275
.xword 0x6b85e5f1e2c25bfc
.xword 0x42a7017e1f0ca9a5
.xword 0x8a126f898325c81c
.xword 0xcd972c8bcb5b1a15
_t1_hash_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_auth_key:
.xword 0x2ef0df040c311569
.xword 0x2e47910b4d4844f2
.xword 0xe71d03e14bbfc19b
.xword 0x4603f1d237be7b18
.xword 0xe7876157c64536cc
.xword 0xa50a3bc79a6008ed
.xword 0x536b147555cae1cf
.xword 0x32ade99d3ef85899
.xword 0x8066820d5bdeae66
.xword 0x501b448df14d3f58
.xword 0x61e8a49ebb82023c
.xword 0x18536e637385a879
.xword 0x34153ef5ee8fa319
.xword 0x7e657cdfea5b4eb9
.xword 0x689e6ff06b87f5bf
.xword 0xfd953dd23fdaa225
.xword 0xedc849b9fd4c2e8c
.xword 0xaf0398ca975d703d
.xword 0x9cb3cf4eec223ddb
.xword 0x150bade84804b111
.xword 0xf0351d9075e5523e
.xword 0x6918752cd007740a
.xword 0x2d3c49d9da625ee2
_t1_hash_auth_iv:
.xword 0x73c1d8a1fd884d5a
.xword 0xa6b0a4d4bf248980
.xword 0x24d0a86a11bb7f18
.xword 0xc3922c45a22f1fe5
.xword 0x9fd9665e00f209b9
.xword 0xe50df2401310b447
.xword 0xc8718604cb1e7c56
.xword 0xad46bc4e648c7d12
.xword 0xbac5b67be7c5d577
.xword 0x80409dce36bbe0cc
.xword 0x04d79acb6358d3f4
.xword 0x85c0d005aefa6ea7
.xword 0xd67ed37367ec211c
.xword 0x160b094d46bd3f6e
.xword 0x5da87ffe0fef9a00
.xword 0x632f22365698eb58
.xword 0x03ed4474cbf0d58a
.xword 0x820593d5c95f70b5
.xword 0x1b6515227fa4d88a
.xword 0x646f7b6ca62319d1
.xword 0x63d908e2c1c97baf
.xword 0xdda404f2b1fdfc68
.xword 0x09d2ea6d94d0bc72
_t1_hash_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_key_array:
.xword 0x4f54c5463a77c327
.xword 0x161ef3d8585d973a
.xword 0x56d710b25e7218e5
.xword 0x8fb6eefc0f70be48
.xword 0xd08063e601218e93
.xword 0xa1e8466eaf5fc256
.xword 0xc45bfa6b607ae717
.xword 0x7a25da9ea148f0d7
.xword 0x408ddea34d8a1a8c
.xword 0x6bb04dac09c7601e
.xword 0xc0cd02205be9407a
.xword 0x51b8d5397e37ce7d
.xword 0xaa211246d8c97627
.xword 0xcdc2f6d5f2759ac8
.xword 0xa3aff8845f4db5ae
.xword 0x3067b077b0c0bbe7
.xword 0x0c0ce3dc42c29992
.xword 0x20cb5d75b23c28be
.xword 0x28d198eae63a23ca
.xword 0xae8e39fc31c05062
.xword 0x5dfc115a2b8bddda
.xword 0xe24a366ae8f1602e
.xword 0xbc8497725ef33e8e
.xword 0x6d48250253805812
.xword 0x1ae8d635c275d427
.xword 0xa8796deab6e34cbf
.xword 0x8dbf2f1b232925b5
.xword 0x2f4bd7511cf8d1f2
.xword 0xec2c41c88a25493f
.xword 0xf7bc96bc18387b3e
.xword 0xf6d01ec17cd772c2
.xword 0xaace704c2363a295
.xword 0x05122c50f615afed
.xword 0x36ff61adcd6a7e33
.xword 0x1cf5c7acc590b95c
.xword 0x1dbc5fa091616a80
.xword 0x52c56ad38a2af9a1
.xword 0xfc6efc75b28f92c4
.xword 0x711aa6bf886ac755
.xword 0xdb1474e098556d71
.xword 0x3626019e08ea37c0
.xword 0xecfaed3275feb003
.xword 0x7c0bbc8f9ac2ecd1
.xword 0x62f6d3aae65a7b02
.xword 0x30999480bc2d20a6
.xword 0x0d424914eb13fee0
.xword 0xb56e70340815d887
.xword 0x66b8eb3e6d23561b
.xword 0x3d057c923fb41786
.xword 0xf2a382f24d413688
.xword 0xf16dc30904607f3e
_t1_hmac_iv_array:
.xword 0xb7ea251c0f9d11c0
.xword 0xb5f3588a83ef28f4
.xword 0x4d36aee7740933d5
.xword 0x84617080fc52d823
.xword 0x82c23a1e62dad5f2
.xword 0x0afa9771ce24046b
.xword 0xbff0b5e11bdc6b50
.xword 0xc6d5e4f6597264f8
.xword 0xddad71402915f539
.xword 0x94afbbeddaf29906
.xword 0x51ee796991a6d4dc
.xword 0x03aa447ebd75ddf7
.xword 0x5cf11473ddaa3085
.xword 0xb331955eadb5d681
.xword 0x70dd8ca90951d330
.xword 0xee86089fcbcb3507
.xword 0x870d609efefdcc57
.xword 0xdd366d115e37734a
.xword 0x7326401ddaa02c60
.xword 0x5758fcfce61d06d5
.xword 0x73ecef5eb4a2190a
.xword 0xe42957a2ee415f34
.xword 0xf1f681afb4ce2efb
.xword 0x998389261c5d03a0
.xword 0x403401a05ed0a3a5
.xword 0x5e456d816d4fc953
.xword 0xfb89043c213e27e9
.xword 0x42bdfc4c382ee77e
.xword 0xd187753b1cadb78d
.xword 0x125a3874cda4c9e7
.xword 0x3aeedf45a2c37285
.xword 0xe61f0d0ff282182d
.xword 0xbf6ac9de4e7cd175
.xword 0xf797c894950da4a3
.xword 0x8e9dffb5983f759b
.xword 0xd78731fdd243acab
.xword 0x1d9aa7816f0481aa
.xword 0x4f009e3e1f250cf0
.xword 0x14894b1e740026f7
.xword 0xfb85acff00d7c208
.xword 0xefc764310c774e57
.xword 0x78a8565394b964c9
.xword 0xa44c05fc8174a322
.xword 0xf31765f6aa2361ca
.xword 0x747a0ab95fcbc3ab
_t1_hmac_alignment_array:
.xword 14
.xword 9
.xword 1
.xword 13
.xword 0
.xword 14
.xword 3
.xword 8
.xword 4
.xword 2
.xword 1
.xword 5
.xword 15
.xword 11
.xword 14
.xword 8
.xword 3
.xword 14
.xword 3
.xword 11
.xword 7
.xword 13
.xword 9
.xword 9
.xword 10
.xword 8
.xword 12
.xword 12
.xword 13
.xword 9
.xword 7
.xword 8
.xword 9
.xword 4
.xword 9
.xword 11
.xword 14
.xword 0
.xword 5
.xword 1
.xword 10
.xword 1
.xword 11
.xword 8
.xword 15
.xword 13
.xword 4
.xword 8
.xword 1
.xword 10
.xword 3
.xword 0
.xword 6
.xword 12
.xword 2
.xword 11
.xword 8
.xword 14
.xword 0
.xword 15
.xword 10
.xword 2
.xword 10
.xword 13
.xword 1
.xword 0
.xword 13
.xword 15
.xword 9
.xword 9
.xword 5
.xword 13
.xword 6
.xword 5
.xword 2
.xword 8
.xword 11
.xword 6
.xword 13
.xword 13
.xword 8
.xword 13
.xword 15
.xword 11
.xword 6
.xword 9
.xword 3
.xword 11
.xword 8
.xword 15
.xword 0
.xword 3
.xword 2
.xword 2
.xword 10
.xword 9
.xword 12
.xword 7
.xword 13
.xword 13
.xword 4
.xword 1
.xword 0
.xword 1
.xword 13
_t1_hmac_src:
.xword 0x253c459c5631a100
.xword 0x4dd98096499cdcd8
.xword 0xea0085bb7ccdd246
.xword 0xeeb7bbf54c16ac63
.xword 0x97d2513acfef778f
.xword 0x8701a0ba6b0a1e0d
.xword 0xca309f31c41e3073
.xword 0x6eaacc4607024ee5
.xword 0x098a4dd3d75a39ec
.xword 0x9029fa1724f58dd3
.xword 0x3f2ecdb19384de19
.xword 0xcffae1f743336f48
.xword 0x8f795f4b4b71a8c8
.xword 0xdc080b886f4f9a2f
.xword 0xf257a9da2a020f56
.xword 0x76b07c52fb33c8f2
.xword 0x209ed366d8ee5987
.xword 0x7d294953567e0bf3
.xword 0xfb1316cbc31ab032
.xword 0xabda583af6d78c3f
.xword 0x4d9763cc057954c2
.xword 0xb14263c262c391cd
.xword 0x185d4cc3cd57f6bb
.xword 0xd6f5db21037889b8
.xword 0x89ec6c215b1b47a3
.xword 0x89ff83ad037e9805
.xword 0xeb53c2f442259329
.xword 0xd8e5a75bdfa48496
.xword 0x7b0647d1dbbf2275
.xword 0x6c1fae8466338358
.xword 0xfe78e8ab588904a7
.xword 0x62d5e7b5d46b4692
.xword 0xbb88f759e8ce6cad
.xword 0x874f9e5007321640
.xword 0x6a2207c4dd9cfb2c
.xword 0x9de813a908f1d545
.xword 0x2acfb8ca7e86a18d
.xword 0xd95ed5e7e1ff7f4b
.xword 0x3849cf3e0bf816d2
.xword 0x8d42301af7371e24
.xword 0x153d3053dcbe70cc
.xword 0x594f81b12c854ef4
.xword 0xd56d1b344c218b6d
.xword 0x7fcf8d55d2c786d7
.xword 0x835923c84ac5b357
.xword 0xfc95087ba96bef25
.xword 0x1f2514edd684037b
.xword 0x09e59e0de47e8f86
.xword 0xfb435a61b1463f1c
.xword 0xf9d9a4ad252abb70
.xword 0x21c27c2fba7b439d
.xword 0xdeb479e8e12917a4
.xword 0x79fb1c127be6abdc
.xword 0x233856af56ff1aaa
.xword 0x0325eb7d606fbda1
.xword 0xf88c24534e34f0da
.xword 0x617c3f88a18f1074
.xword 0xd8b1b6e8b1aca848
.xword 0x412fc925f4b46883
.xword 0xfe0a576f8dc00ab7
.xword 0x3245dc82cc243952
.xword 0xbb04ed2b168818ed
.xword 0x776d866f4e8d8080
.xword 0x15b2ba74578f45df
.xword 0xed11978f3b339ddb
.xword 0x341035cd90e72af3
.xword 0x5f8acab62be622fb
.xword 0x7de105254390deab
.xword 0x125cdaab605c9907
.xword 0xc07b8226949c6f27
.xword 0x5b64d857030c23be
.xword 0x73f9b01f5fcffe34
.xword 0x06fa3da115c7843d
.xword 0x18f736a8fd5be475
.xword 0x4e5fcbe7b6ab22fb
.xword 0x9d9ab3deff38b445
.xword 0xe648266cc8bd4d53
.xword 0x5ff686560b980478
.xword 0xe0da5c95daede4be
.xword 0x6526089a9a21b894
.xword 0xdbd79c025f9e4124
.xword 0x0f4b01f9e9c99f7b
.xword 0xa92b9fbe0ac6de9f
.xword 0xa50aaceaf9ed528c
.xword 0x52e57581aa24c6d3
.xword 0x3acbf72b3d9d90f0
.xword 0xd5a64766d1fc2960
.xword 0x7ee7eb7d31d30291
.xword 0xe579c2fb51805a56
.xword 0xc32e347d76ab5fa2
.xword 0xc005d3bdce09ddf4
.xword 0xc6a02be85dab18a2
.xword 0x565cb14959ee5318
.xword 0x00fd12426bcf380a
.xword 0x638763041256a0af
.xword 0xf6d645543dced792
.xword 0x98c75924421a1303
.xword 0xc6ba1f4f08185b7a
.xword 0xf87952795987daa9
.xword 0x15c7540ea6d3d124
.xword 0x7bb555715800184c
.xword 0x3a0048874ae8dae3
.xword 0xb19d7e84f9c085c6
.xword 0x686f421bc398a530
.xword 0x88ca6ccef7c9545c
.xword 0x0f4e415c99178e3c
.xword 0x5314a1917946c79b
.xword 0x5eff8f0cffb954b0
.xword 0xd35b5367840105e9
.xword 0xb018eabe078b227c
.xword 0x2d344cbb83e83ef1
.xword 0x94806f0cd9a6007e
.xword 0x5287eca82dad5b65
.xword 0xd60077e1c73bcafe
.xword 0x504ce6cc927b4e19
.xword 0x10eb24b4a33e9635
.xword 0x3e87b4f0848160b2
.xword 0x090421e990addb0d
.xword 0xc579a28577078443
.xword 0x2a9b30f99f8180ea
.xword 0xad458efd0a464db1
.xword 0x3cc9d1924989b1fc
.xword 0xb1b4f1a597d7f20f
.xword 0xa23653d594488515
.xword 0xbc11ee20b549c779
.xword 0xf7a2bb271a8febff
.xword 0x7fe794166ebbe07e
.xword 0xee4222ed97c8fe21
.xword 0xc5eef1c485940e02
.xword 0x3a4c710885446c14
.xword 0xf19bce3eb6fe9368
.xword 0xdcf0ca3092dfe622
.xword 0xbb512161efeb55fd
.xword 0x5d51254f1f7459a5
.xword 0x45213bf5879aa80f
.xword 0xbdd016e1590e0344
.xword 0x510669edbb42d25f
.xword 0x29b8f9355318c2b0
.xword 0x49c3f4495a4c1483
.xword 0x517595b4b8df4e72
.xword 0xe807f321d08eca87
.xword 0xa73ea0f3cb4d8dee
.xword 0x39e042812d56ad6c
.xword 0xf647f82d1a797dd6
.xword 0xad222bac53c1570e
.xword 0xba7ec73c1e9e78aa
.xword 0xf066220092dbb9a9
.xword 0xb018dba1b4af36d3
.xword 0x47568208099786bb
.xword 0x54525565c83f3567
.xword 0x467c6f2af1059326
.xword 0x48e4c360cf87e670
.xword 0xbbe12fcc83f2e237
.xword 0x02183a512c759508
.xword 0x3281a0f61a4cebf3
.xword 0xf491aac238ab0e2f
.xword 0x70066e589f7f9383
.xword 0x0058f9d8037266bb
.xword 0x26bf80926708d880
.xword 0x990559b018155b37
.xword 0x515aa1f91f8c511e
.xword 0xb094de0ba4b3b9be
.xword 0x44d915aa980fd4b1
.xword 0xf0930755c6d1d643
.xword 0xaf78101d191f7356
.xword 0x98278ddd1780a62b
.xword 0xf9f9457a030af716
.xword 0x5d6dd4cd7b3fe93c
.xword 0x720fafc5fd69696f
.xword 0x89eaf9b04331dce7
.xword 0xae99b3e3aac608ae
.xword 0xaf9ad36fcfefa2f6
.xword 0xe65be47f99bca6dd
.xword 0x428667d2927838f9
.xword 0x72d18a498ca189e8
.xword 0x6cb4e640129ef061
.xword 0x18d2d05c35601f41
.xword 0xfa6fdade5ef1921f
.xword 0x154102693489b59f
.xword 0x92043fde5cafb909
.xword 0xbb097847736515b6
.xword 0xc37057d550092713
.xword 0xc1da59defe1b42da
.xword 0x9c3f1326367e8674
.xword 0xaae508e941707613
.xword 0x3641a0600ea62d1d
.xword 0xba07229707982a17
.xword 0xf741e8a941f8393c
.xword 0x846f244e61a41917
.xword 0x11ef97bbfc105b4a
.xword 0x758955dac4e5f355
.xword 0x78c974b7c4ae9fe9
.xword 0x1e2f14f97a09943d
.xword 0x8eab443176a25b66
.xword 0xb4c135adb4a78df4
.xword 0xc7f8ecc408f5f3f5
.xword 0xda7dcdd24cefd36d
.xword 0x1b6dee4e286341d9
.xword 0xd098c326f6aad8c4
.xword 0xe24564c589bd07b8
.xword 0xb5ee955979db7025
.xword 0xe3f96948f4fafcef
.xword 0xfa39a2a00c016442
.xword 0x3b1b8b9d599eb38f
.xword 0x9616da3117b777af
.xword 0x1787553534ebd290
.xword 0x8cc7bc09e7d4f1b5
.xword 0x2e9d194b177347b2
.xword 0xb178033e0ff898cc
.xword 0x827ac130fe3757da
.xword 0x294ccd092da7f52e
.xword 0x6579df23ad02c5b3
.xword 0x29bf9c93f3826fa2
.xword 0x3d7cca8ec321ed39
.xword 0x53ce4b594a39dfa6
.xword 0xc8d51ca2616a98c1
.xword 0x2de5ff40136b8156
.xword 0x976661135420e366
.xword 0xe39adfa33929711a
.xword 0x5443b09a1d39b92e
.xword 0x2f5690218d281f93
.xword 0x83a4e21a70fb50cd
.xword 0x43b82fd3481141a4
.xword 0x92c3c9d72070af25
.xword 0x64d8127fdaadd42c
.xword 0xbc833e7e55f3513b
.xword 0xf4823a745d33fd69
.xword 0xde345a5931ab881f
.xword 0xb26b219f9de8f3e4
.xword 0x1b3cf46db10b2662
.xword 0x8226569eb153708f
.xword 0x04741c2bef4af694
.xword 0xf6ec8d5cb155c059
.xword 0xf78cf620d68e8a54
.xword 0x4f9dae3c4b0d304b
.xword 0xef2d97cf4b5875bb
.xword 0x1e302af510707aaf
.xword 0x4e3d7b77d6c8d40f
.xword 0x246a73aaec572cb6
.xword 0x154c3b60a06c39b5
.xword 0xbf9d543999637683
.xword 0x9d373b09be78e37f
.xword 0xb6a68c98a27e26f2
.xword 0x906344176d976e73
.xword 0x7116c92f8be07e23
.xword 0x6acced4bde60f730
.xword 0xc6acc5eb10b519e4
.xword 0x86d4790c023eaa98
.xword 0xda13cd07bf7a74e2
.xword 0xef0fd00e4e3c2878
.xword 0x238a5750c633f4eb
.xword 0x5083f51c2718d526
.xword 0x3353b7be8f133a96
.xword 0xa1a932b6ef177ee2
.xword 0xf1207e51866dbeed
.xword 0xda8b12c5b95e1820
.xword 0x555f64deebee1888
.xword 0xcb7854520aa45cd7
.xword 0xb67b53ca87bae753
.xword 0x329169389e588019
.xword 0x013a55d3f5eaf383
.xword 0xabd933c1c675d016
.xword 0x597383f0ab63da4e
.xword 0xab18d2a6a1bba1d4
.xword 0x7bbca65a195e59da
.xword 0xdb29ed1c8bbe5413
.xword 0x24495d60eda52907
.xword 0x2325e32896d56a06
.xword 0x1345bf83f567ed5f
.xword 0x95b51cc4ce955da8
.xword 0x8a06f6448e9c6f6b
.xword 0xb3af783dfe016537
.xword 0x0f14ba91a4d309e9
.xword 0x97750cb088271233
.xword 0xbf9bde09aaebc915
_t1_hmac_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_auth_key:
.xword 0x341e7e3708bfce67
.xword 0x86469e037dab9b97
.xword 0x12313514d4956164
.xword 0x950dfbb68b87d549
.xword 0x84a221e3a9d8a2ba
.xword 0x9b6210752e732eba
.xword 0x53339b7ee97101ac
.xword 0x15173eed82249243
.xword 0x243a9b2cd30c18b4
.xword 0xe42695cce2e4328b
.xword 0x9011bfd58c5f78b0
.xword 0x41f026797cf2f281
.xword 0x9ea2d61c4584dda9
.xword 0x51b57c8eca9a1ba1
.xword 0x08f56d086269b26f
.xword 0x0ea1a917309bb39d
.xword 0x4feb38dac60bd163
.xword 0xab90188c41bb7fbb
.xword 0xc63e98a31bb5ca8d
.xword 0x9c17e653c1cb2e23
.xword 0x8a7302ceefc15385
.xword 0x0e28c081dc005190
.xword 0x1c36eafa12c3f104
_t1_hmac_auth_iv:
.xword 0x46fd7ded432e9dfa
.xword 0x74174dd8cd705bd3
.xword 0x9f929f12d4155b2a
.xword 0x9c273b3b46487a56
.xword 0x76637c699bbac549
.xword 0xb1dedc32842cbd6c
.xword 0x4e44878d0b2db9b5
.xword 0xb78783360a20f484
.xword 0x9412afbbe42a5791
.xword 0x5f32d78b7ccef5b7
.xword 0x6bc1359327d69fdc
.xword 0x854b1b803bf9543e
.xword 0x2625ce13963b14cf
.xword 0x2a5e87d88afb6011
.xword 0xa9685cf798f8c52d
.xword 0x1ffd0e3e3086b500
.xword 0x95a1fbe718dc62f2
.xword 0x1570219470553249
.xword 0x0843d8ffabab529f
.xword 0xbedfc2502b25a8c9
.xword 0x0d4ff7b2b8850557
.xword 0x760e83176e55e524
.xword 0x496fe707217ecc0d
_t1_hmac_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_key_array:
.xword 0x7adcbace9cca1448
.xword 0xc67b98d4f37e6665
.xword 0xc277f9771737d269
.xword 0x65c1534c909bc739
.xword 0xc686690c1810ebd7
.xword 0x8b6a4bfc65bc5888
.xword 0x41b05f893ea773c0
.xword 0x2ca27dea3c3c4d63
.xword 0xcc1917279e10322c
.xword 0x774a15d9988f24aa
.xword 0x6d0b5cf676cb7a3e
.xword 0x07bf2b00cbba9e7a
.xword 0xc8cb1aab59754987
.xword 0x93696b8fd3f2e848
.xword 0x6eea851cf6efc736
.xword 0xd17b94d462b87f6a
.xword 0x524377b023b2aa90
.xword 0xf6db878b67cc9bec
.xword 0x83de980e2b3f479c
.xword 0xdfff2bf89e969f9b
.xword 0xcf1a7606cac99000
.xword 0x2875d18e97763a28
.xword 0xa514d8fc7804873d
.xword 0xb6158204392cdb28
.xword 0x7f64076f334e5843
.xword 0xc821ae85fbef2a00
.xword 0xa1e7f3ac1d1be8b1
.xword 0x4617670a022f5133
.xword 0xaa217d2571c9991c
.xword 0xe0fca4cc82d0a666
.xword 0xe599188a0409b0b3
.xword 0x292e52c972dbc273
.xword 0xe65933111176180f
.xword 0x4a829b0efef854e6
.xword 0x0a317bbcc1e93caa
.xword 0x0efa043efcaca01c
.xword 0xe5e6d93dbcb752cc
.xword 0xd8d52a8cfd1fdc9e
.xword 0x155b87a905173e27
.xword 0x045aafc8e65911f5
.xword 0x30cd689734c9d296
.xword 0x55eed6765e0a3003
.xword 0xe1df80b4e682a943
.xword 0x6d1728255a50c699
.xword 0x2111a8423251f108
.xword 0xf96fb1c1ba4a56c3
.xword 0xc6c8e60345439345
.xword 0x82487979a6b9ddb5
.xword 0x67afec0b22fb001b
.xword 0xfe9721642e927960
.xword 0xc663229f3cb9298a
_t1_rc4_iv_array:
.xword 0x15946874a703de05
.xword 0xec43cf816c02bb1f
.xword 0xdab9603cb354f37c
.xword 0x1533cafa5e9911fb
.xword 0xaaacc2de132904aa
.xword 0x31b2a97d7d4fd21c
.xword 0x500cc36b55baacd6
.xword 0x136a317bc63976ef
.xword 0xaa38286058876f73
.xword 0x62d80c00cb8bfe80
.xword 0xf360b7230e04b3ed
.xword 0xced7479b2296c801
.xword 0x2c72b0797e6546ca
.xword 0x885e278fc47a022c
.xword 0xeaef0fadce754f3d
.xword 0x56d15dc31095dbe4
.xword 0x608ed30ecd3f4da8
.xword 0xc801a3a0437644e7
.xword 0xefd161d6a95d547a
.xword 0x02a033c105176bfa
.xword 0xca5a48049af986cd
.xword 0x70c9846800745642
.xword 0xf2f08b3b6bc3471a
.xword 0x3de3b5e8067bc143
.xword 0xbde9b6176267e152
.xword 0xb39b3c3b54fd4ad6
.xword 0xe1aa4faf1511b626
.xword 0x67baa9ab4ee87cc2
.xword 0x454fef9a55f437f1
.xword 0xd03f1e72ffc4654a
.xword 0x696a9e932872aa06
.xword 0x4cdde7ba99107a2b
.xword 0xa92148c6f97c0e49
.xword 0x3393ecec1ecd6476
.xword 0x922b0d34033960cc
.xword 0x4770b17b3d426aeb
.xword 0x0c06f935dd864269
.xword 0x250bb779fdf0a300
.xword 0x61a227fd72de2131
.xword 0x542710396bacfa33
.xword 0x3abbc0cb4531cb46
.xword 0xb59add06d9e024ef
.xword 0xdc14c13ad33c6ce7
.xword 0x51c034ddb926a508
.xword 0x6804a326da4fc9bd
_t1_rc4_alignment_array:
.xword 2
.xword 7
.xword 0
.xword 6
.xword 15
.xword 5
.xword 4
.xword 15
.xword 5
.xword 3
.xword 6
.xword 7
.xword 13
.xword 3
.xword 3
.xword 4
.xword 12
.xword 7
.xword 1
.xword 12
.xword 0
.xword 6
.xword 3
.xword 6
.xword 11
.xword 0
.xword 8
.xword 1
.xword 0
.xword 0
.xword 0
.xword 13
.xword 1
.xword 11
.xword 6
.xword 15
.xword 0
.xword 2
.xword 11
.xword 1
.xword 5
.xword 9
.xword 11
.xword 14
.xword 13
.xword 3
.xword 1
.xword 9
.xword 7
.xword 2
.xword 4
.xword 14
.xword 9
.xword 13
.xword 15
.xword 4
.xword 11
.xword 13
.xword 9
.xword 7
.xword 4
.xword 9
.xword 7
.xword 0
.xword 7
.xword 7
.xword 5
.xword 11
.xword 8
.xword 10
.xword 15
.xword 1
.xword 2
.xword 6
.xword 3
.xword 0
.xword 8
.xword 3
.xword 4
.xword 15
.xword 11
.xword 11
.xword 5
.xword 13
.xword 6
.xword 15
.xword 13
.xword 4
.xword 12
.xword 4
.xword 1
.xword 7
.xword 7
.xword 9
.xword 4
.xword 5
.xword 3
.xword 13
.xword 10
.xword 1
.xword 5
.xword 4
.xword 5
.xword 6
.xword 13
_t1_rc4_src:
.xword 0x63153b9cdf630f44
.xword 0x857fa4448fc2bce7
.xword 0x1855b440ef829493
.xword 0x0fd9345cf8c6246a
.xword 0xe7a52025a588224d
.xword 0x266d508b72df131e
.xword 0xe963c40ea4f98dd0
.xword 0x7e1889524ba8b1e3
.xword 0xeebd6f9cfdabcb6f
.xword 0x3aa72381af3ffdd5
.xword 0x752cf3d03fd7f2ee
.xword 0x9defde41f7270a8c
.xword 0xab1c79d918a0ff36
.xword 0x27e4ba353acb5b43
.xword 0xed152b76ca0350b0
.xword 0x5bedbb0ab3eef822
.xword 0xfa92e5eb501cae58
.xword 0x25813a7360d71439
.xword 0xa2b7a88cdcc177dc
.xword 0xe070509c19323768
.xword 0xdf7ba093a36fb677
.xword 0xeb18b61849c4c3cd
.xword 0xaf82083530800435
.xword 0x71f4981c34783106
.xword 0x92213acec51b82f5
.xword 0x458ddd9ed20923ad
.xword 0xe0178f7936d73472
.xword 0x5bf83f43acb9ad1a
.xword 0x562f75faea648b4e
.xword 0xd01ac35e306aeba0
.xword 0x5502181c94e3e896
.xword 0x9c3861d89ce6b959
.xword 0x78deb4019846eeab
.xword 0xb625fedc708b7b30
.xword 0x2502725b5427e0b7
.xword 0x0fcc43046aaa6ce3
.xword 0x9256f0c538322c84
.xword 0x74f13b1a3e2f41aa
.xword 0xbee1cb3cea84be92
.xword 0x12f7a4076ce3cc6d
.xword 0x3b73f86b8ff95990
.xword 0xefbfe760c3fee880
.xword 0xe316dee5ffb69d49
.xword 0x9575411179460c04
.xword 0x25f1f3817059850a
.xword 0x9a399d938e9e0ab3
.xword 0xb1d845a2df2203da
.xword 0x82793ad27ac0a995
.xword 0xc3f90f9eebd84554
.xword 0xccea1a3244f90a8a
.xword 0x88c7ca823a1a125b
.xword 0x740ab6ef88b6512f
.xword 0x731ee17c40f6163a
.xword 0xea64828ca82217e4
.xword 0xaaa2946914ee7536
.xword 0x417630ced6210d76
.xword 0xbd76b27ac0a9c298
.xword 0x9d7c533673511119
.xword 0x595ba1d4c424f8a7
.xword 0x9f48f4a7ee89527d
.xword 0x4c2843e4a448c983
.xword 0xe1c1eb6b7eeb0823
.xword 0x29767f6e93be5e1a
.xword 0x7033a5727c24fd8e
.xword 0x0018335a8e3688ce
.xword 0x7451018aef3ca0cd
.xword 0xf527d57cea23ee70
.xword 0x955bb1096a921c5d
.xword 0x69e89e16c1024ec7
.xword 0x868db681e93acbda
.xword 0xb79a115676a3eaa3
.xword 0xb7b2c3632d6ab22a
.xword 0x437706d99a333a57
.xword 0xab159e698f6f7c50
.xword 0x450cc91c9ba9357a
.xword 0xab691bc35aeb01ed
.xword 0xeed07e8ac5d75e38
.xword 0xcef43cde4ab235fe
.xword 0x794465b623e5347b
.xword 0x284b47d28e4f58c0
.xword 0x2eb74184a7563b24
.xword 0x6716e10c204c3eda
.xword 0x3b56d308e94ed683
.xword 0xc579142327f81af0
.xword 0x7fa4d3da46bab97a
.xword 0x6f987a6182a7f684
.xword 0xe1304453d2c0eebc
.xword 0xf3e3d97b0dcc7817
.xword 0x60ea926e9974976e
.xword 0x51804fb5c0ba09d7
.xword 0x4ee2e79b857dcdad
.xword 0x11022c9fff5facae
.xword 0x3dfe228bf1752d88
.xword 0xb0ab6c182977024c
.xword 0xbf520bf76ea68a33
.xword 0xb46bce57406c48bb
.xword 0x9d5c3d2d2b6e15a0
.xword 0xe2fc14dafcf51b93
.xword 0x11945eca95248c00
.xword 0x55c2fdbf932d5120
.xword 0xd87a429572840687
.xword 0x580a0f6536fb33d9
.xword 0x7d5b6565816bfa20
.xword 0xbf74d69bcc13ec6f
.xword 0x7140bc12a68ce749
.xword 0x8f708a18adc712d1
.xword 0xdbd78cb25b748415
.xword 0xe6e63341cebf1346
.xword 0xf8b808b6777ccce5
.xword 0x60f6658675987cb1
.xword 0xcb45a6c3d25d8c6e
.xword 0x3dff1e1737afabf4
.xword 0x261b0955355dba42
.xword 0xbe51524c6ed47007
.xword 0x309f2db860c7daa3
.xword 0xb03de9224e5fb219
.xword 0xd310371213b2e48d
.xword 0x54069ee521f53fd3
.xword 0x6a1beba2935b7c07
.xword 0x56c75755021ae996
.xword 0x5a58c6ccc45c67e1
.xword 0xd52652da50ea8f3a
.xword 0x7b16f7f64c44ea1a
.xword 0x0edc50d8659cc8ff
.xword 0x1977727b24445343
.xword 0xd027a2afab97c5b8
.xword 0x5565d4af084b2d26
.xword 0x744401083f8095d9
.xword 0x75e6b1dc67780289
.xword 0x2f4196baaae15ef1
.xword 0x7856498c9eece652
.xword 0x8eef786298b9f002
.xword 0x053d6bcdb3777a80
.xword 0x588259c325e890c0
.xword 0x166ffce957a0f016
.xword 0x4b0a75a4fa317a33
.xword 0xc24aed636379da3b
.xword 0x3c43337164f9f869
.xword 0xd0950fe67311457f
.xword 0x14797b8226d340c1
.xword 0xe7d59f96d1f127a3
.xword 0x8b52398647b60f5f
.xword 0x6fa48c08ea1ca2da
.xword 0x765d7187e9dcfd3d
.xword 0x9bf92b83fcf352e5
.xword 0xb0c22e70b4a94838
.xword 0xd79981f29068e06e
.xword 0xdc89e384faad30fa
.xword 0x053bf16c19d9fe88
.xword 0xc31871a24b26bdbe
.xword 0xec3d2ba35b4b4cb3
.xword 0x7adc29872c29e7fb
.xword 0x873ee2a78fc5d753
.xword 0xdbf6e61b1c87e45a
.xword 0x0d05bef23b0936a0
.xword 0xcf25232675648249
.xword 0x019d22d01687133e
.xword 0xc8d8256251ab6f3b
.xword 0x9c044a152ad37d67
.xword 0x333b0837cd9cd70a
.xword 0x5fde77e10d03966d
.xword 0x406ff3dd89bf647d
.xword 0x090468cc5680acc6
.xword 0xd006e4310e2efe9d
.xword 0xcd2ff8fc46d3e15c
.xword 0xe46d53dc409c3cfb
.xword 0x6a7e5c676d941b4f
.xword 0xb01a2e5a4abb2145
.xword 0x7b0037d9c22c5a00
.xword 0x3f7dde0c78403aff
.xword 0x6ab57a6a70c12bef
.xword 0xf44d5053ed02e7d5
.xword 0x29b2a30093a20414
.xword 0xa56c61f2770e036d
.xword 0x09007d1f89d481e2
.xword 0x607ffce09612c799
.xword 0xb87b4b2e0807674c
.xword 0xa6ac92d6b1fbd70c
.xword 0x242871f99ebec797
.xword 0x864f4f8f4a6ad98c
.xword 0x50770b3feb8188c3
.xword 0x4e8a4233c4b44a2d
.xword 0xb5aa8d22edfd95cb
.xword 0x634f3655b1df3858
.xword 0xfcd41d52614b6cd2
.xword 0xb7a4df50520ee377
.xword 0x1bbb8512ec030f23
.xword 0x8d784acb1eb0dfa3
.xword 0x566d8a3ffa501fe5
.xword 0x066a684966ebfeeb
.xword 0x78625f02849a8da0
.xword 0x260f8e876e0b74b0
.xword 0xb821a8a536873762
.xword 0xd8a9e503f9eb8852
.xword 0x9d176baf8b0783c0
.xword 0xdcb62a0ea7667090
.xword 0x1ef22c07de0864ab
.xword 0x9393987f02db4a99
.xword 0xa6c08a3c16affb4b
.xword 0x852ac45253d523eb
.xword 0xb48956a2ea4a9fed
.xword 0x13fdc6db9ff25c4e
.xword 0x1bb0587559b16ac9
.xword 0xc1e6c11993290486
.xword 0xca8fbfcbcae11758
.xword 0x030c7a15f780b3a9
.xword 0x531d0bb11758e92f
.xword 0x1ab311c0a7de008a
.xword 0x4d23d6b37670170b
.xword 0xe9615ce008fa88ac
.xword 0x8197eee2b7f03ece
.xword 0xe113905f751c698d
.xword 0xd5ee9bc9537d26f3
.xword 0xf83410ce3670780a
.xword 0x203cba43af057cb9
.xword 0x56a8b852e208a404
.xword 0xcd122e9085cff85a
.xword 0x86d5d08a1390f0da
.xword 0xe686eef832340b0f
.xword 0x4f41eb31b5183bc5
.xword 0xb243ad0fb68a2223
.xword 0xefe77d1acbb68681
.xword 0xadfd52136bb121a9
.xword 0x835185e0f88c7e60
.xword 0xb2a8bcbbcf4b99f7
.xword 0xeace12c26b5a33ab
.xword 0xea0af99508da429a
.xword 0x4c39ae6d3a833d77
.xword 0x2776ce606ff83521
.xword 0xca68d43ffc9b8939
.xword 0xe05e213f13e13c4d
.xword 0xb15ea94bf9f48dc9
.xword 0xccceb0b5d39fccc9
.xword 0x25614517ff564250
.xword 0x93539e24b14184fc
.xword 0x9de50d0ec07c5fd4
.xword 0xe7eb74b50bf3a7ad
.xword 0xea4e63dcaed0bfb9
.xword 0x10ade753b319c2c0
.xword 0x5cffbd1965ede42f
.xword 0x65a9741631e55767
.xword 0x040ddad6c9ae7c78
.xword 0x17dd47cb2cf7a2f0
.xword 0x10f1e9faa0c346e2
.xword 0xb91aa0c0b1a118ff
.xword 0xf3d5974a9a505814
.xword 0xfe60b3d775becfe3
.xword 0x33d64e8ac3380e08
.xword 0x9205a0ca529953ba
.xword 0x6189827abba6f9e7
.xword 0xd3093a4e9420fc07
.xword 0xb324f2be13c3b087
.xword 0xc20cdc40909d7e49
.xword 0xe4a4469816ec0ce3
.xword 0x79e3e0c9fa569852
.xword 0xcb33cb0813b23c7d
.xword 0x1b9836dd632195ea
.xword 0xf96070cb9bd8aee4
.xword 0x50c874e14afb48b6
.xword 0x4e5c7eb964e311ef
.xword 0xd4e963794d218d4a
.xword 0xf6acada921d1c572
.xword 0xaaf507d8f5dcee41
.xword 0x67d76005aee84754
.xword 0x6cd73ad45dd03544
.xword 0xb3681c2d032fb4a5
.xword 0x01cba1a35644ac8d
.xword 0xc3af6bbf1cab781f
.xword 0x8228bb086ba0b734
.xword 0xdfa59b04ebfba5f8
.xword 0xfcf86b4c56e0bd89
.xword 0x54253cc3b7d3b9fb
.xword 0xfde149306a79be14
.xword 0x6fb889898070b464
.xword 0x52ade97e919f5ad6
_t1_rc4_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_auth_key:
.xword 0xbf3007ee0c84a421
.xword 0xbbaf605e75c9c984
.xword 0x3b7dbdee3d10a2d5
.xword 0x279f3b4e338118cb
.xword 0x743a3dfa7ddd1100
.xword 0xa189426672edba88
.xword 0x05cd603fd409d02e
.xword 0x162fd1f310e2af0d
.xword 0xb476fa0b2597121d
.xword 0x4b870bd949b477b0
.xword 0x8f6fee60ad39396e
.xword 0x56e76d0358a218d0
.xword 0xee62537222de04c9
.xword 0xf5edcf267495ecab
.xword 0x5ce1bcc310fbb0fd
.xword 0x35bbcd376b896d43
.xword 0x3a2a0f425148f565
.xword 0x7305a482ff95f77b
.xword 0xbcfdcc1e5b5198f3
.xword 0x59677dddcb7f428f
.xword 0x11ed764a814ffc51
.xword 0xc1440b892995146a
.xword 0xe5da18efcbe3cdfd
_t1_rc4_auth_iv:
.xword 0x01d624809c5a9bb4
.xword 0xfb97cb5a9907ea08
.xword 0xa44b8bd434ba9b2f
.xword 0x13e058849fcec014
.xword 0xb1ca95da436a5fbd
.xword 0xb608cf4c0f0d5d6e
.xword 0x790e96a873a3c79a
.xword 0x88902fecbe96739b
.xword 0x7c4103419740df38
.xword 0xd61a6407a7e6ba6a
.xword 0x10092df677b55470
.xword 0x4d671d66371d5c34
.xword 0x790a4753f4c49854
.xword 0xde9fcf1940e82caf
.xword 0x51ffeedb6ca1f96c
.xword 0xee168e11322c431a
.xword 0x14b3924e4650d23b
.xword 0x561a60e64b3f4f58
.xword 0x54b0bf6641196d49
.xword 0x17172efd0460248b
.xword 0x84df48c64472d5e8
.xword 0x4d7e5e0b1aceee4a
.xword 0xd032be518feb10dc
_t1_rc4_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_key_array:
.xword 0xebcbc862b1a1dde8
.xword 0xd61922c3b9d66f07
.xword 0x4ece9c323add1588
.xword 0xb37ff6fa7d2dcecb
.xword 0x832d059240d25e88
.xword 0x823341ab24e0d69e
.xword 0xd1ef65cd6fe52758
.xword 0xabde66624217d6b0
.xword 0x8f3b2716e4d5ae8d
.xword 0x09896da9b9bb7813
.xword 0xf9e7df6d045d096f
.xword 0x7d5dabdcf04ddf57
.xword 0xb9b424910b006ab5
.xword 0xf559bdc4d04a8b3c
.xword 0xfd1556cede960ca6
.xword 0xfb5d0df718279150
.xword 0x77a95a88b3ce224f
.xword 0xbc2511c27db6d25c
.xword 0xf585b399fd8f58fa
.xword 0x48e6ae676214959f
.xword 0xcf1272be765ffd1e
.xword 0x264e6ab9534a847a
.xword 0xe0cee899670c5178
.xword 0xf89abe81574101d4
.xword 0x39ea14173ae4f6c4
.xword 0x338191850ada4c33
.xword 0xf7aaa5561e20982e
.xword 0x517bb9b2d8ecdd8f
.xword 0x3155bbf44a03f1e4
.xword 0xafa62c360a18ed47
.xword 0x2c8d4a4f429f8d85
.xword 0x3d06391bac0217a8
.xword 0x9b107d5cde697f8b
.xword 0xcb178c9d1e97f492
.xword 0x489daf38a038366f
.xword 0x14d9b7b32c01c189
.xword 0x916deb0ce2afc5b1
.xword 0xc85ad91a0bc6f694
.xword 0x4a0a368ba5e90457
.xword 0x1716b2c93a3a6f21
.xword 0xad0961564b5c14c8
.xword 0x7cfb403e418f9be4
.xword 0xa84a2de4eedcfede
.xword 0x2f3374cd150cb776
.xword 0x8fa85ec155c34883
.xword 0x748350e19c187950
.xword 0xdd384846ca4c6c00
.xword 0xa63a978fd64fe8e7
.xword 0x0a522b3a810b2b3d
.xword 0x7bc3beda37bf52aa
.xword 0x423022ccff3dcd70
_t1_sslkey_iv_array:
.xword 0x5f74c9a6a9dc1573
.xword 0xfe9ae3cdbd4bc11f
.xword 0xa8d89e01671c7fbf
.xword 0xcfba3e92da29d522
.xword 0x24497fdf5f7b56fe
.xword 0x5e182e9f8f27c495
.xword 0xe0f86c3f13ee3f3e
.xword 0x468cf14dbd1e0693
.xword 0x5550361124c97e85
.xword 0x92e25e51f944cbd8
.xword 0x82781b34b4d020db
.xword 0x8ddd31e360896a50
.xword 0x3da85058f16929a4
.xword 0x8a1ed24db2fe58cf
.xword 0xe527e030a90d5235
.xword 0x842a3d9472d5fb2c
.xword 0x2f86cd3d915f07ce
.xword 0x90f3c3645205d478
.xword 0x8026ccb5f869672a
.xword 0xc501cf00ff750780
.xword 0x6914fd0a0be86b51
.xword 0xb67ddbbf429dcd4b
.xword 0x803c836327e8b31b
.xword 0x47f5b4c3993e246d
.xword 0x46df4e695b6b4948
.xword 0x22787ef6a118302f
.xword 0x60402ea1ef19ab84
.xword 0x08993749f391f50d
.xword 0xce1bbaee19f83114
.xword 0x9d186fa784c35cbe
.xword 0xb64c97bc0aa7b52e
.xword 0xad4b9e5ed6e604d1
.xword 0x24845b89da970d02
.xword 0xdfecf9dd810a0fad
.xword 0x34669c14c588aff7
.xword 0x6f6518e79a26721b
.xword 0xb295e09eed430fdf
.xword 0xc580dd053b3fe172
.xword 0x4b36cfb890e7d566
.xword 0xd0038e25ad19c049
.xword 0x1f677a70177cf63f
.xword 0xc03c49d77b923ca4
.xword 0x640cbe0eadcd217b
.xword 0xa768f2f4ecbe1af0
.xword 0x1e438a019dfcf5ee
_t1_sslkey_alignment_array:
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
_t1_sslkey_src:
.xword 0x0d635751a62db3a6
.xword 0x046901e2b85bace1
.xword 0x27759eca698cd3db
.xword 0xde6166c3ef3bc367
.xword 0x9db980583f892605
.xword 0x634ca1ea511000df
.xword 0xbe77dff80e106d4d
.xword 0xc33e8ae64430d3c5
.xword 0x98beb2d493973b52
.xword 0x9ce57ef05f1217e1
.xword 0xcc7ba5bd58161a3e
.xword 0xfe7a91ffdce8fcb1
.xword 0xbb1325e39a13c8e5
.xword 0x48540dc0294796b0
.xword 0x7da1c4a1c9836f97
.xword 0x6c80f8b236d92db3
.xword 0x9b02676d63906319
.xword 0x1a0afbb1b50bafd9
.xword 0x0c4de21512d6797e
.xword 0x89a1416874e4e5fc
.xword 0x007b2d87d0354662
.xword 0x03581a4bf81d77d5
.xword 0x7d3beefd6a7a60ff
.xword 0x19a79482ff0e6b8a
.xword 0x14db2747f4ae46e4
.xword 0xf03c4a4651bf09a1
.xword 0x1d003ef6c20dc818
.xword 0x610a63de0b121f33
.xword 0x415a706ff86a8f2d
.xword 0x0fd77f7a9c565c75
.xword 0xabfc73eaeae9fca6
.xword 0x1471d217526b8c5b
.xword 0xcd52ded023e524a3
.xword 0x14e4e6e748d3af72
.xword 0x6731acc45e7198aa
.xword 0x5bcec858d5553a44
.xword 0x23ba25bba491fce4
.xword 0xd7279303abd3f65d
.xword 0x0df90449c50f5cc1
.xword 0xf898af1fd82a9725
.xword 0x9666fa17885d1287
.xword 0x48ab128246da9789
.xword 0x3f959a6cc4183024
.xword 0x9ceb533aac2dc8d3
.xword 0x008e24ffad7be597
.xword 0x6b78f542ca74d8f9
.xword 0xd83d1cd78b08d7be
.xword 0x7d32678d75c023a6
.xword 0x8a87a2d1e96c807c
.xword 0x998ed2571cd61623
.xword 0xc6e3984efcf96697
.xword 0x508d6740d52468b0
.xword 0x7306662e7d54d699
.xword 0x02ec4b087b924350
.xword 0xa0bef0b3ea3a9817
.xword 0x9718b205a61dc72d
.xword 0xa26ef345788e71cf
.xword 0xbd14a689dee9db8f
.xword 0x004b1b21a943bfaa
.xword 0x9e373309a2d83a09
.xword 0xc0ddc3ba3300f28b
.xword 0x06761b0b55152601
.xword 0xdefeed103835cd4f
.xword 0xa0fbe15c6eabd4f8
.xword 0xa08184ba3b7a8c71
.xword 0x7b66e61da31cefe4
.xword 0x31444b43d4982e1b
.xword 0x8feac08ed680cfdd
.xword 0x202e2077797bc6a9
.xword 0x32e08a7ba51135e1
.xword 0x333788702041e455
.xword 0x357e01661ce9a3de
.xword 0x6caf82fd38aac5d6
.xword 0x629cff3c62dad928
.xword 0xef641ef3a7c7084d
.xword 0xdf1382fb49fc5f50
.xword 0xf6b12691cc6ecee8
.xword 0x88bc9ba4db6eafe5
.xword 0x6724cbcea1d53b78
.xword 0x85a795cebf1a105d
.xword 0x89ab1465dae8df88
.xword 0x4aecf5b0dd39258d
.xword 0xccd140a655085ccb
.xword 0x38bd0d29de5c8d3e
.xword 0xd3d310d9b426f6f1
.xword 0x83ae59afe1ce1abb
.xword 0x5c4bf5a872a8df2c
.xword 0xcf795692e3ea6d53
.xword 0x6ed6be5f50e31748
.xword 0x922f1bf244a07fc4
.xword 0xd8aabdb9b9784f42
.xword 0x96abbba612b0ccbe
.xword 0xa331ac4bcdc05154
.xword 0x03e20959350285f3
.xword 0x68fc14abe5501e8f
.xword 0xcc54afa07481d3a0
.xword 0x663e9d367936996b
.xword 0xd920efa7fda6438c
.xword 0xa6da8b97a482858e
.xword 0x5d7c77d16d872033
.xword 0x465c9e61e55572dc
.xword 0x5ce4210586197596
.xword 0xed5ed8c623810415
.xword 0xad7bd68b4a104bbf
.xword 0x7fdd1801e6fe6dc0
.xword 0x32b08a925125a81a
.xword 0x171efd99e1bfe71f
.xword 0x4aac552d5fc6829d
.xword 0x752eb2519c5dbb89
.xword 0xb267cea5f17cbbd4
.xword 0x0488e628fc225e98
.xword 0xd2fe3ef49d640d9b
.xword 0x68de827ef9d7b47a
.xword 0xe0734dc553380be6
.xword 0x57a94d7e619af67b
.xword 0x792be2ec6bdc42e7
.xword 0x8204cf06e06deab1
.xword 0x9f7e72fa285a483b
.xword 0xfbc8a9c0cbc31579
.xword 0x2614f14ea6290284
.xword 0x7d0d76564214a4d9
.xword 0xb1b3853f57e349d4
.xword 0x962048c8661858b7
.xword 0x50485f2f99253e5c
.xword 0xf9e38d25eeb0b024
.xword 0xce10348530776c9f
.xword 0xb9680ab29472a95a
.xword 0x4b1c259bf125ac33
.xword 0xdd9301bf16a43fae
.xword 0x35e75a157b09fb63
.xword 0xb8497b07733e8cf8
.xword 0x4ae62b578757cbc8
.xword 0xa3a66248cc90f9c9
.xword 0xf0dcb056d0eacdc5
.xword 0xe72c51e5e169d127
.xword 0xe5ee758f411dd833
.xword 0xd3c25e8cd706ce93
.xword 0x03cb15b40e46f0de
.xword 0x8276c58c6dc0b529
.xword 0x064d0352d8cee988
.xword 0xacca012f53b3e039
.xword 0x3b9d3b2dddd16d4e
.xword 0xeda2730dbe4cf933
.xword 0x9833ea257017aa14
.xword 0x2dd68683b9b05c1c
.xword 0xef28667db0256ca8
.xword 0xbf4ff4e696da715e
.xword 0xf064a8b0a2988127
.xword 0x4c94b79d6e8a7629
.xword 0x66989b4aed85e02e
.xword 0x73b717cedd6f4b10
.xword 0xf9180a7efd821f50
.xword 0x3995d55971433e49
.xword 0x37fdc58b22dacff3
.xword 0xbe93265dbbed6064
.xword 0xc23eae070508bb45
.xword 0x8bf739f694c1dfa8
.xword 0x32fdf19ec3d3d7c6
.xword 0x26506b9454bfbaae
.xword 0xddc43e62e8b9d624
.xword 0xda74151a890cafa4
.xword 0xc292cc8e29382ae1
.xword 0x416b274341cf543b
.xword 0xcf0f38e898ee22c4
.xword 0x727a0cc1cf892bc0
.xword 0x6132599c1628ddd4
.xword 0x83fb0d7f7d7920e3
.xword 0xe70acc091222a995
.xword 0xb8eeeab1ded35296
.xword 0xd856f20417c46aee
.xword 0x8f6865eb548c879c
.xword 0xa40fc4d1132def11
.xword 0x451379afee6768ba
.xword 0xc9ad11b96de19f79
.xword 0x8492283333850aeb
.xword 0x3846c23c0c9e8ca9
.xword 0x8721993035c6fdc0
.xword 0xb8570ef775397c7f
.xword 0xda6858aa8f52df80
.xword 0x508c4ddd01172371
.xword 0x07e0a9bb18ef06ef
.xword 0x4c0f4fc812f58ced
.xword 0x718dbf342b95801e
.xword 0xa648c0eb93bb63ad
.xword 0x16180eee0c7419d8
.xword 0x218acad9a9839264
.xword 0x3175645c2befa95d
.xword 0xbda01baa5757a0d4
.xword 0xa34196ab2fac71ca
.xword 0xecd4fcbc7ae9ad05
.xword 0x4cdf94d770f0b8c7
.xword 0x6af7d4d1ebb19f25
.xword 0xe670e1384114c2d7
.xword 0x12c8dc9c944ae2ba
.xword 0x6ea7a9dd7ca7a2e7
.xword 0xc91e1813ce7161a2
.xword 0x0ce52c1b72315af3
.xword 0x98b7e542a60b6c55
.xword 0x14789faf0294b3cd
.xword 0x9ef271673f66308d
.xword 0x2227ecd6ff8ad0fd
.xword 0x1ce1ead5158e5f60
.xword 0x38951624f30f371e
.xword 0x099b93ecfa9cd8fd
.xword 0x6be521de1e10c647
.xword 0x3eed6f1e609b8461
.xword 0x7184c979d71e8513
.xword 0x60224a54071815ff
.xword 0xdcbd19946c3caeef
.xword 0x3c95ff2855763201
.xword 0xf6a1dc096bf67d31
.xword 0xc68dd88af52e1fb2
.xword 0x033ee3e7c22552c5
.xword 0xf7aac0286c7b3c86
.xword 0x906d782fec82b737
.xword 0xf7ce936ca060eea4
.xword 0xd208d705f2c5a867
.xword 0x8e5685b4f2a905a0
.xword 0x9399d89b3d9d80f9
.xword 0x2839991a0a422190
.xword 0x6313a5c4575b0c4e
.xword 0xec0cad92e3d358a9
.xword 0xe37b4a4427a06c58
.xword 0xa7e5313ad0216a4f
.xword 0x83e2f884c648ab41
.xword 0x66c40773da6f7cc9
.xword 0x63f60a93ea0ff2de
.xword 0x51e3596a35963535
.xword 0x73876b46f0d0d7bc
.xword 0x32ba1b8201332ae8
.xword 0x33c592579c3f6600
.xword 0x5890630e09f43ca5
.xword 0x35f24e8a263b0d94
.xword 0x12ff1cea93220503
.xword 0x407092592c28809b
.xword 0x2848606a3005e5bb
.xword 0x8dcc9bcf289b9df5
.xword 0x878164ffbbc4738b
.xword 0x0f1174cb5234737a
.xword 0xf859e6c95df809ec
.xword 0x8d35b9c21741a68b
.xword 0xc5a266156b24b0cf
.xword 0xa32e9d6dfc6f57ff
.xword 0x7001879c2c1c664f
.xword 0x9c040d8ae1514922
.xword 0x92b7ada64513d926
.xword 0x1ce143c67d9f7904
.xword 0xac562cd1e088d6b0
.xword 0xa175c736c11a2b0a
.xword 0x5d71fd8285c3e3a5
.xword 0x31c1426bb009ed78
.xword 0xc413ee2f6d3686da
.xword 0x7de118edd97c68a6
.xword 0x1638088d158dd883
.xword 0x7febc410a36a958c
.xword 0xc017ec939e01a4bb
.xword 0x08bda361e8ece9bc
.xword 0xd3cc24e104f8f662
.xword 0x63db76997d2f9834
.xword 0xe888da9496147bd6
.xword 0x754ac738377efe7e
.xword 0xf3edc725455e658c
.xword 0x477ee775ee7a6369
.xword 0x4913789060b5d49d
.xword 0xb24818450a23d2a8
.xword 0x2425b4a563a88e4f
.xword 0x4f8d01658f016ccf
.xword 0x0527755682672aa8
.xword 0x4afe8fc8a4362499
.xword 0x19c1097a365dd1d7
.xword 0x19cd0a9c9abf8d5b
.xword 0xbe451bcf3bd38cf5
.xword 0x14dade97dda2b98a
.xword 0x3c7294d3809f08c2
.xword 0x88c7f1879cea7227
_t1_sslkey_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_auth_key:
.xword 0x42096fa806ad4953
.xword 0x9ee0391c67914626
.xword 0xe8ba3276ca95c694
.xword 0x5c930ed232f47b84
.xword 0xb0f12114eb5ddaf2
.xword 0x6cc2c20d0583a012
.xword 0xa43bb006ff97c7d1
.xword 0x2c48461842b9a3a3
.xword 0xbc3aa129db32596a
.xword 0x7ed5886c6beb0418
.xword 0x892e04082716b57c
.xword 0xc90dbd49517ca71c
.xword 0xead2960935664efb
.xword 0x44274b5c2ca177bc
.xword 0x5e8fa99a15eafbfd
.xword 0x5aa32b726aa37659
.xword 0x444c82bb2768ce66
.xword 0x075084fe3c3afac1
.xword 0x40f608532a6c891b
.xword 0x9c177d9102a6cedb
.xword 0x7698897b882f6b16
.xword 0x3d391e27e73d60c8
.xword 0xc7a85fc124a6391a
_t1_sslkey_auth_iv:
.xword 0x2c96ce5277d934a7
.xword 0xf090d7a34f3db621
.xword 0x9026538145627373
.xword 0xabbffd6d070b29b6
.xword 0x81547a6c80f9f8b1
.xword 0xced4cca5b105f50f
.xword 0x2fa2ea73a4273ead
.xword 0x01c4d8ae356f90e2
.xword 0x50a52af1ff61292d
.xword 0x216e2ae3ea762e94
.xword 0x65a3f8f639d66730
.xword 0xc23abf4d1e4158bf
.xword 0x1a50c3766a65f7c7
.xword 0xe743903715bd0620
.xword 0x132984e6cb6dbe60
.xword 0x5328a3599812590c
.xword 0xa0ca2934c3d71fe1
.xword 0xb425f5643f0fd9ff
.xword 0xe4afa4f6d9c37ab5
.xword 0x4f4d6f99f51094d9
.xword 0xd24dddf60488d55e
.xword 0x9c43baf0a36452f7
.xword 0x36d64d4d00e64e74
_t1_sslkey_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_toc:
.xword _t1_aes_cwd_array
.xword _t1_aes_src
.xword _t1_aes_auth_key
.xword _t1_aes_auth_iv
.xword _t1_aes_fas_result
.xword _t1_aes_key_array
.xword _t1_aes_iv_array
.xword _t1_aes_dest
.xword _t1_aes_alignment_array
_t1_des_toc:
.xword _t1_des_cwd_array
.xword _t1_des_src
.xword _t1_des_auth_key
.xword _t1_des_auth_iv
.xword _t1_des_fas_result
.xword _t1_des_key_array
.xword _t1_des_iv_array
.xword _t1_des_dest
.xword _t1_des_alignment_array
_t1_copy_toc:
.xword _t1_copy_cwd_array
.xword _t1_copy_src
.xword _t1_copy_auth_key
.xword _t1_copy_auth_iv
.xword _t1_copy_fas_result
.xword _t1_copy_key_array
.xword _t1_copy_iv_array
.xword _t1_copy_dest
.xword _t1_copy_alignment_array
_t1_crc_toc:
.xword _t1_crc_cwd_array
.xword _t1_crc_src
.xword _t1_crc_auth_key
.xword _t1_crc_auth_iv
.xword _t1_crc_fas_result
.xword _t1_crc_key_array
.xword _t1_crc_iv_array
.xword _t1_crc_dest
.xword _t1_crc_alignment_array
_t1_hash_toc:
.xword _t1_hash_cwd_array
.xword _t1_hash_src
.xword _t1_hash_auth_key
.xword _t1_hash_auth_iv
.xword _t1_hash_fas_result
.xword _t1_hash_key_array
.xword _t1_hash_iv_array
.xword _t1_hash_dest
.xword _t1_hash_alignment_array
_t1_hmac_toc:
.xword _t1_hmac_cwd_array
.xword _t1_hmac_src
.xword _t1_hmac_auth_key
.xword _t1_hmac_auth_iv
.xword _t1_hmac_fas_result
.xword _t1_hmac_key_array
.xword _t1_hmac_iv_array
.xword _t1_hmac_dest
.xword _t1_hmac_alignment_array
_t1_rc4_toc:
.xword _t1_rc4_cwd_array
.xword _t1_rc4_src
.xword _t1_rc4_auth_key
.xword _t1_rc4_auth_iv
.xword _t1_rc4_fas_result
.xword _t1_rc4_key_array
.xword _t1_rc4_iv_array
.xword _t1_rc4_dest
.xword _t1_rc4_alignment_array
_t1_sslkey_toc:
.xword _t1_sslkey_cwd_array
.xword _t1_sslkey_src
.xword _t1_sslkey_auth_key
.xword _t1_sslkey_auth_iv
.xword _t1_sslkey_fas_result
.xword _t1_sslkey_key_array
.xword _t1_sslkey_iv_array
.xword _t1_sslkey_dest
.xword _t1_sslkey_alignment_array
_t1_table_of_context4:
.xword _t1_aes_toc
.xword _t1_des_toc
.xword _t1_copy_toc
.xword _t1_crc_toc
.xword _t1_hash_toc
.xword _t1_hmac_toc
.xword _t1_rc4_toc
.xword _t1_sslkey_toc
!# CWQ data area, set aside 512 CW's worth
!# 512*8*8 = 32KB
.align 32*1024
_t1_cwq_base4:
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.align 32*1024
_t1_cwq_last4:
SECTION ._t1_T_CWQ_DATA5 DATA_VA=290455552
attr_data {
Name = ._t1_T_CWQ_DATA5
hypervisor
}
.data
_t1_user_data_start5:
_t1_scratch_area5:
.align 16
_t1_spu_op_array5:
.xword 0
.xword 5
.xword 4
.xword 2
.xword 5
.xword 0
.xword 2
.xword 0
.xword 3
.xword 1
.xword 5
.xword 2
.xword 0
.xword 3
.xword 2
_t1_aes_cwd_array5:
.xword 0x40e000601400001f
.xword 0x40e100c01700000f
.xword 0xc06100801400002f
.xword 0x406100401300000f
.xword 0xc06000a01800003f
.xword 0x40e100001300000f
.xword 0x40e100801700000f
.xword 0x406000401700001f
.xword 0x406100a01000001f
.xword 0xc0e100401700000f
.xword 0xc0e100201400001f
.xword 0xc0e000401b00002f
.xword 0x40e000801100003f
.xword 0x40e100001800003f
.xword 0xc0e000201700003f
_t1_des_cwd_array5:
.xword 0x406000000d000007
.xword 0x40e100e00d000007
.xword 0xc0e100400d00001f
.xword 0x40e000800c00000f
.xword 0xc06000000a000007
.xword 0xc0e000e00800000f
.xword 0xc06000800c00000f
.xword 0x40e0000009000007
.xword 0xc06100800c000017
.xword 0xc0e000000d00000f
.xword 0xc0e0000008000007
.xword 0x40e000800d00001f
.xword 0x406000000e000007
.xword 0xc06000000c00000f
.xword 0xc060006009000007
_t1_copy_cwd_array5:
.xword 0x206000600000000d
.xword 0x206100c000000008
.xword 0x206000e00000000b
.xword 0xa06000c00000000d
.xword 0xa06100400000000d
.xword 0x2061002000000008
.xword 0x206000e00000000c
.xword 0xa06000a00000000c
.xword 0x2061008000000007
.xword 0xa061004000000002
.xword 0xa06000c00000000b
.xword 0x206000a000000007
.xword 0x2061000000000000
.xword 0xa06100000000000c
.xword 0x206000000000000a
_t1_crc_cwd_array5:
.xword 0x416103c400000006
.xword 0x416101e80000000e
.xword 0x416003440000000e
.xword 0xc16101080000000d
.xword 0x4163036400000004
.xword 0xc16301a800000003
.xword 0x4160030400000002
.xword 0xc160018800000008
.xword 0x4163032400000008
.xword 0x4163018800000001
.xword 0xc16203a40000000f
.xword 0xc16301e80000000b
.xword 0x416203a400000008
.xword 0x4163012800000004
_t1_hash_cwd_array5:
.xword 0xc16302010000003f
.xword 0xc1600f4100000016
.xword 0x4160056100000026
.xword 0x416211a200000007
.xword 0xc1630f010000003d
.xword 0xc163028200000021
.xword 0xc160048100000009
.xword 0xc16200e100000029
.xword 0xc1620c2100000012
.xword 0x4160002100000017
.xword 0xc160070100000015
.xword 0x41620a6100000013
.xword 0x416307e10000000f
.xword 0xc161022100000009
.xword 0xc1600b4100000017
_t1_hmac_cwd_array5:
.xword 0x41620b05000f003d
.xword 0x416000a5000f0022
.xword 0x41600645000f000f
.xword 0x416107e5000f0040
.xword 0x41630545000f0035
.xword 0x416101660013003d
.xword 0x416110cb001f0011
.xword 0xc1610965000f000b
.xword 0x41611867001f0019
.xword 0x416301c5000f000c
.xword 0x41620e09000f000a
.xword 0x416308cb001f0037
.xword 0x41600f05000f0025
.xword 0xc16111460013000a
.xword 0x41600b85000f0030
_t1_rc4_cwd_array5:
.xword 0xc0e1002004000008
.xword 0xc0e0000004000003
.xword 0x40e1006000000004
.xword 0x40e0002000000005
.xword 0x40e1000000000001
.xword 0x40e1004000000008
.xword 0xc0e1002004000003
.xword 0xc0e1002000000004
.xword 0x40e000a00400000e
.xword 0xc0e000c00000000c
.xword 0x40e100c00000000c
.xword 0x40e100e000000003
.xword 0x40e0008004000000
.xword 0xc0e100a00400000c
.xword 0x40e100600400000a
_t1_sslkey_cwd_array5:
.xword 0x10600a6000000000, 0
.xword 0x106024e000000000, 0
.xword 0x9060258000000000, 0
.xword 0x90603c6000000000, 0
.xword 0x906022c000000000, 0
.xword 0x10601a0000000000, 0
.xword 0x1060382000000000, 0
.xword 0x9060054000000000, 0
.xword 0x90602be000000000, 0
.xword 0x1060048000000000, 0
.xword 0x1060372000000000, 0
.xword 0x90600c4000000000, 0
.xword 0x90600f8000000000, 0
.xword 0x9060062000000000, 0
.xword 0x9060040000000000, 0
_t1_aes_key_array:
.xword 0xf01266bb08a6a7d8
.xword 0x3c09a47f6f2962d2
.xword 0xea55b0eab35b8ea5
.xword 0xb07ea24f2bc7b9c1
.xword 0x54dfbf754094bfd4
.xword 0x77a5ea8355e6ea22
.xword 0xc71db83f3c20062c
.xword 0x41ffa58f6f4bcd45
.xword 0x64ab6466273146da
.xword 0xbb87da7436b98f21
.xword 0x88883cd8ae09f90a
.xword 0x8bbb99244878a657
.xword 0xaaf838f10c16e9ae
.xword 0x9737c20ca9a443e5
.xword 0xc9a5fce960035647
.xword 0xc8fab9829753c1f8
.xword 0x3e88c379b859b7b7
.xword 0x2ea3a606bc0a1555
.xword 0xab8f63d83498102f
.xword 0xc12837807605192a
.xword 0xb923424b4d3c5535
.xword 0x5c9745d7f0e5dd64
.xword 0x30bb77456f711a1f
.xword 0x3a844b896cea8003
.xword 0x760eba4724562077
.xword 0xbb345a4ebeaaa076
.xword 0xd76b68afb500f050
.xword 0x9c0a3ad7b6100e9a
.xword 0x6a5fbd6a931d738b
.xword 0xa663717dc31320e7
.xword 0x71e4cc7209e17412
.xword 0x9748187ea42a6e2f
.xword 0xa732ed90efc3cc6a
.xword 0x0a5a6e5df5814902
.xword 0x2a77bccb997ce6ba
.xword 0x1266c5fcb80c8899
.xword 0x10a223c58660b67a
.xword 0x9c2260ba2cede4cb
.xword 0x309bfd003cc789dc
.xword 0xabdb9d0d0f3fe894
.xword 0xd357abd978768862
.xword 0x737f3f32b3dc4d58
.xword 0x8eeb86eeb91eabee
.xword 0x350c40f76d9f7cae
.xword 0x345dd915461c373c
.xword 0x26c103365868186f
.xword 0x67389770b5d36fd6
.xword 0x061185b237013688
.xword 0xf6f3b2cc637aa295
.xword 0x69b373e486b00b15
.xword 0x52d84d278f82a7c1
_t1_aes_iv_array:
.xword 0x3580c385e5f42321
.xword 0x398dacdc16b8891c
.xword 0x10474b1b20353b5d
.xword 0xe598694ee70289e2
.xword 0x8a3dd34ef9bcbf2a
.xword 0xe4d83e135b7b5789
.xword 0x61aa9961cf9ac1e8
.xword 0x0d82ef46226fa741
.xword 0xe5a64160f4dcf434
.xword 0x267c1245cc2ef096
.xword 0xc9fde74bfed6b642
.xword 0x50b2943cb35d4a95
.xword 0xf26ff80baba9cbaf
.xword 0x8849ec26336926cb
.xword 0x6f37b76c3103309d
.xword 0x486c6722c637df4b
.xword 0xbd91da8064d6b2ae
.xword 0x035c2239f98e1104
.xword 0xb8fd2e8d36ead020
.xword 0x7039416a57945930
.xword 0xa975290b484724ae
.xword 0xddf8dea790a6618a
.xword 0x93a5958420133576
.xword 0x56eef801e158e95d
.xword 0xa358772002081598
.xword 0x48e91d813308eda6
.xword 0xf390341f34c03bed
.xword 0x223b300396d53cd5
.xword 0x27c3fbee6332981d
.xword 0xaa4f81b422972abd
.xword 0xdf6c786894823d3c
.xword 0x539fa0ee9f387f78
.xword 0x11fce637af6d7bee
.xword 0xbc4fc088175a5c6c
.xword 0xcb31f20a0fd7b796
.xword 0x7ad10e62b51fa861
.xword 0x9abf575e52ce6bee
.xword 0xaea283812891f582
.xword 0xd83050064f126c52
.xword 0x72e52b9bdcff22c9
.xword 0xae77f9dbbf89af7c
.xword 0xfd362362f3dc6efe
.xword 0xea68d82f96538096
.xword 0x9bd6815946628478
.xword 0xbbbaaf2f64d2980b
_t1_aes_alignment_array:
.xword 9
.xword 7
.xword 11
.xword 6
.xword 0
.xword 8
.xword 2
.xword 14
.xword 3
.xword 8
.xword 3
.xword 6
.xword 13
.xword 8
.xword 1
.xword 14
.xword 7
.xword 9
.xword 6
.xword 11
.xword 9
.xword 13
.xword 5
.xword 2
.xword 4
.xword 1
.xword 2
.xword 3
.xword 5
.xword 11
.xword 0
.xword 13
.xword 0
.xword 2
.xword 14
.xword 5
.xword 6
.xword 4
.xword 2
.xword 13
.xword 3
.xword 2
.xword 1
.xword 9
.xword 13
.xword 3
.xword 8
.xword 6
.xword 7
.xword 13
.xword 13
.xword 3
.xword 9
.xword 6
.xword 0
.xword 0
.xword 12
.xword 6
.xword 14
.xword 13
.xword 13
.xword 4
.xword 9
.xword 15
.xword 7
.xword 1
.xword 1
.xword 2
.xword 11
.xword 13
.xword 15
.xword 11
.xword 9
.xword 7
.xword 4
.xword 1
.xword 5
.xword 4
.xword 13
.xword 3
.xword 15
.xword 15
.xword 13
.xword 2
.xword 15
.xword 1
.xword 5
.xword 11
.xword 7
.xword 6
.xword 2
.xword 15
.xword 3
.xword 14
.xword 5
.xword 10
.xword 3
.xword 10
.xword 3
.xword 9
.xword 11
.xword 9
.xword 13
.xword 11
.xword 0
_t1_aes_src:
.xword 0x31b46bd1fc7211f3
.xword 0x693a4f8c194b287a
.xword 0xf187d5054ea206dd
.xword 0x039b831c103877fb
.xword 0xb181aefd64d1b57a
.xword 0x0d5129fadc9fa12b
.xword 0x1ba4b3fd0ce6a8f4
.xword 0xe82da09fd351050f
.xword 0x1306f70dc3acbb6f
.xword 0xd7e20a70859f4dd2
.xword 0xa0db9d180d214b79
.xword 0xdf071f66bf806032
.xword 0x29bc0203f6e1cc64
.xword 0x2ad721c25b21a8b9
.xword 0xbf1e6735001e7b15
.xword 0x7eb7f9d83d7318ed
.xword 0x8a04d6449b5ffa87
.xword 0x727baa232a1fb93f
.xword 0x82e46f56e6fef604
.xword 0xadc282f4efde2867
.xword 0xc6beede8ae9e79da
.xword 0xfba588ee82e5ea94
.xword 0x51c68d1ee9275a26
.xword 0xa814752990f8b5c1
.xword 0x9e752d77f7b48461
.xword 0xbe7fee0bbb4405ba
.xword 0x77d3a09076513625
.xword 0x6c43e8f0e2aaec45
.xword 0xb0a725ed7db97bfd
.xword 0xa6ecffaeff2bc540
.xword 0x2417d1218d632b34
.xword 0x215a895d7ddc94b0
.xword 0xc0fb2694ddfbf5db
.xword 0xf1994c50f0892f06
.xword 0x357f1d42ef122444
.xword 0xa83b4cf04861557f
.xword 0x3273ed00f0763468
.xword 0xde5a5f6f90ab2bdf
.xword 0xbe7cfe74c06e6cca
.xword 0x53301095de487b35
.xword 0xbb14bc2f19dad045
.xword 0xe2bc383a5ef56de2
.xword 0x37cddf4d74064973
.xword 0xa43de2a795bacbe1
.xword 0xcdc645a661de1e7a
.xword 0xafd6afaa28d813b6
.xword 0x8ec0081e142bf53f
.xword 0xac45eff8ed8eeab1
.xword 0x4fd98d1d37d5b421
.xword 0x35696d2b9f322e2c
.xword 0x0dfc9e500b8c406f
.xword 0x7186147d04ac7ef3
.xword 0x251778aecccfb590
.xword 0x852031f61b528d87
.xword 0x083e7db92fe60211
.xword 0xc0ab2afd127b9eaa
.xword 0xbbc3c7a152754606
.xword 0xb28d962f10ce7112
.xword 0xba703b021b800cec
.xword 0x75ce056aaefd6202
.xword 0x129199117e007797
.xword 0xf82e00166e2b03a6
.xword 0x77cededbd3a1459a
.xword 0x1746d0576d38c48c
.xword 0x121e6c2d9ad9f461
.xword 0x2ddba32388ba810f
.xword 0x83d96690b3fa46a5
.xword 0x1be1cfb6337a4edc
.xword 0x2c51eb47e8c49292
.xword 0x94d9ec419d3be4dd
.xword 0x533e8dfff912fec5
.xword 0xe6745c5c3295aef6
.xword 0x528698d7083a295c
.xword 0x85fb7a23abdcb681
.xword 0x55ed7768dc959679
.xword 0x00a830736cebad94
.xword 0x49e65fbd77fe7b83
.xword 0x773773c363c7461f
.xword 0x39b736dd70f398f2
.xword 0x5b6188d426f77a4c
.xword 0xb401c19f39b8747a
.xword 0xf64c756e368d3538
.xword 0xb96c5bb263069056
.xword 0xd4d59c35a04959c2
.xword 0x184bb654f216d5d0
.xword 0xdfcf6c0478cf897a
.xword 0x053c89f943f3e85c
.xword 0x931b7719a8c8e452
.xword 0x07ed9a436e796756
.xword 0x686f0cb6da1e5454
.xword 0xfde711add193f4d8
.xword 0xd4b1b47e00a19265
.xword 0x25d0fb7b8dfa23b3
.xword 0x513123646addce4d
.xword 0xbf2cc89ba44dab5a
.xword 0x55806834372961a5
.xword 0x83fcf8178c9af787
.xword 0x3a2ffb1b321e6ee5
.xword 0x7378f95f7414a83b
.xword 0xf5bfa9f809a92cb0
.xword 0x8be06fe18daff690
.xword 0x5fa570b348610fcd
.xword 0x51930d3b6596db53
.xword 0xf38317cec653f416
.xword 0x0dfc33e3eb9c310d
.xword 0x0abc0283e013a3b2
.xword 0x16ad785f81564431
.xword 0x02e3fd83ef723b08
.xword 0xc1f06d425a69e020
.xword 0x3aac82c268d0291a
.xword 0x418aad537bcc4b4a
.xword 0x2351e3c8e97a6e18
.xword 0x8abb885fa3fe73a2
.xword 0xfbc30274c2be0198
.xword 0x9a8924c2254e48c0
.xword 0xb4356ed58b7b691b
.xword 0x2ba35db65d293c3a
.xword 0xf83332ac536f453e
.xword 0xef06c3ed3361fad7
.xword 0xb9bdca74ad100388
.xword 0x35a9e8c37918b86e
.xword 0x55ba1373290a5b0e
.xword 0x9d9738631ef893ed
.xword 0x618740622a8ed5a7
.xword 0x34c0ac090212cd5a
.xword 0x44eaa0761a2f7dcf
.xword 0x2e6585400373ac61
.xword 0x7f42df11ca5e7379
.xword 0x233a9643ab8cfda1
.xword 0x0758e05c987a4f97
.xword 0x1af8c2fe6c2b339a
.xword 0x291ac0e3936c885e
.xword 0x3f05b9f1af60453d
.xword 0x91be84253551f1ff
.xword 0xa1bc5ae43a9b48c5
.xword 0xe08307b9789935dc
.xword 0xd89b8f39ebb3e8ad
.xword 0x2ca1378a014cf201
.xword 0x4405794835796510
.xword 0x8d518a7e3b9348c6
.xword 0x47bb9792dc3ba3d0
.xword 0xb28feb836e1e0ab2
.xword 0xc14b046e96c47b51
.xword 0x54a291c9ce21cbed
.xword 0x7161a5f694166417
.xword 0x4527bca9058cefe2
.xword 0xaa3e350a6f0af7fc
.xword 0x6dff5f4fcdc79f10
.xword 0x586b7e9e4abd9b4a
.xword 0x847fd7782345af32
.xword 0x55c5a35dee3f5cc3
.xword 0x392fb0a0584563a7
.xword 0x55be3d1eaae9e03e
.xword 0x4e7a64c243eef7a1
.xword 0x95297ae3a2f6bef3
.xword 0x959782247e74a34e
.xword 0x56ad3b9794a535fa
.xword 0x2d4aa6c5509c61d1
.xword 0xd757610576d9b88d
.xword 0xeab24aa7d8422936
.xword 0xa0a5442686876d22
.xword 0xb9132c194ff51bfa
.xword 0x95e83f2b05c1a988
.xword 0x53ae51988677921e
.xword 0xda06d5ee701e4c7d
.xword 0xa715dd45767de0bb
.xword 0xdff5ad0a6c7c8e69
.xword 0xc32b55fc67bdf476
.xword 0x79f42e36ef29a084
.xword 0xd73be87084302df4
.xword 0xe91bff47f63c981e
.xword 0xf88860bd8a410466
.xword 0xcf0eca3db88acf0c
.xword 0xcec45f64096d8b51
.xword 0x4dfca76dc25e7028
.xword 0xe42b7c173c214a9e
.xword 0x6d01681f011b1a9d
.xword 0x6c7cd602053738b2
.xword 0x3818c07423f97103
.xword 0x1bd99e6b04ab4296
.xword 0xb9cfbccbb02409c9
.xword 0xf194d6124a47b1ff
.xword 0x2045d1b15460f85f
.xword 0x72755a2a3168ca7f
.xword 0x3f52dec7a067a968
.xword 0x8a49a9bbb3d0062b
.xword 0x86e7f06ab42cd8a6
.xword 0x4d75ab0195ff6905
.xword 0x2ac67f436688c576
.xword 0x8f28586e1d76c7e2
.xword 0x3558d7dfb59d254c
.xword 0xa28fb6e72be6b77e
.xword 0xa4a0b946468b030e
.xword 0x0758d4525e9d93fa
.xword 0x1474bba1393b9a30
.xword 0xbe67c7051c10a010
.xword 0x93a84938cdfac70b
.xword 0xbd08df68f80e08c9
.xword 0x8473598c49456899
.xword 0x5ba2ed2eb2af17aa
.xword 0xf31778b3af5f7b78
.xword 0x8585769cb54f50a7
.xword 0x811a2abd11cf6644
.xword 0xd4c6b6b61597646a
.xword 0x6d1a7d8d0aedbb2f
.xword 0x3210fb21d44d4cf5
.xword 0x0de95de1d93195c3
.xword 0xcc064025652c77a4
.xword 0x8271a6024e02febc
.xword 0x657e7ae0a46ef36d
.xword 0x89c0c6a08cbb9f75
.xword 0xd4b87a6e5e249472
.xword 0xcdb826880699f256
.xword 0xebaa02bea7058a95
.xword 0x1689694a37e2ca98
.xword 0x09c85d34f2b375a4
.xword 0xcffe83e3180c5b8b
.xword 0x58f1695a9608fb87
.xword 0xc552e7ec07c5edb9
.xword 0xba8785ad74619504
.xword 0xda63fa647918e2ad
.xword 0x6b2a17331eedd36d
.xword 0x6f445baf42109c20
.xword 0x4b4dac631c675724
.xword 0x8da450a4c8bc1f62
.xword 0xb049eb64b858f4b9
.xword 0x2a9646f4d2d8edd0
.xword 0x4c1e0b79b82315af
.xword 0x9bbc2d5c5545fafb
.xword 0xbf20d18de7d75b46
.xword 0x7e3bd57eb68d1546
.xword 0x61d264d114b0fa58
.xword 0xd464180cf465d8a2
.xword 0x00fbcdf4f2060f60
.xword 0xa0df5494e6216f2c
.xword 0xa6c6452050fb6041
.xword 0x7027e603316873c3
.xword 0x78aacbe84a32fe1c
.xword 0x1df332b2422f0683
.xword 0x16d2a21400203389
.xword 0xf4e550180c8f042c
.xword 0xa83817b897718d39
.xword 0xe847c0a7746933ff
.xword 0x6dcc2c99731c20b9
.xword 0x75126a39d820de69
.xword 0x895d215ce6383506
.xword 0x88b5bd94186cabcb
.xword 0x741e1462dbfa0d6e
.xword 0xf0839ad7974cf2e4
.xword 0x9dc7f3ddfbdcad0b
.xword 0xe3814ee6a3f74b8e
.xword 0x02b0e6f4ccf878cc
.xword 0xe76d0146edd5fe21
.xword 0xcefeb3c96c59d789
.xword 0xcbd64b1b36ee6f7f
.xword 0x6337925156fb84d1
.xword 0x75464432492c1fd1
.xword 0x7e64c0071ea3f10f
.xword 0xa918120e5109b79b
.xword 0xd010b9cde9460c95
.xword 0x795f81fee1ef4af5
.xword 0x3b18c039ecbd07c6
.xword 0x36f251acabc25c22
.xword 0x0d6d8f3bb75ce201
.xword 0x6151bc44d3674052
.xword 0x3e919dcbeeb00f24
.xword 0x42c1c9d405272802
.xword 0x4fa747f0268f5820
.xword 0x66cc1ad4b397abf7
.xword 0x5594c1679b49a84e
.xword 0xa6b759ea3d2da608
.xword 0xc7c689843b7beb5a
.xword 0x205cd58027dec79c
.xword 0xaa74f0ce8b419ace
.xword 0xeae994d60a6e84e4
_t1_aes_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_auth_key:
.xword 0x1755ca1c94760ee2
.xword 0xd5773963a4050d49
.xword 0x1b06de3c308c2c9f
.xword 0xa9de28402d9a0257
.xword 0x753e4ce0fdbddfc5
.xword 0x51a9399ecc34f8aa
.xword 0x59cc3c2e4d929f9b
.xword 0x555633748bbe7cb6
.xword 0x9c80bb18beced569
.xword 0xc9ff2434d4f9a3ec
.xword 0x76f98476cb7aa9aa
.xword 0x3d1340f87a8e8f28
.xword 0xc07c83158fc77b7a
.xword 0x3fcd1140b3ffbacd
.xword 0x9db2c9fc13fa8524
.xword 0x6e39482c530adc96
.xword 0x90bba1ce00966925
.xword 0xd3a868884ec05027
.xword 0xd6d75054c29a3b9f
.xword 0x8129cd1b4f12d39b
.xword 0xed37cc61e9552c09
.xword 0xa0998fe06bc9e7e8
.xword 0x3616fcfa7b386dd2
_t1_aes_auth_iv:
.xword 0xd5c3a1c30930a095
.xword 0x2d9976747c0ecc8c
.xword 0x7f7ff6fd3d3b8692
.xword 0x9172280ee2ffa4f8
.xword 0xdace1eb152087b07
.xword 0x73f4a8ad62313fa2
.xword 0x559898dcfac44784
.xword 0xeb0071c2c60eb73b
.xword 0xe2ea174045e6fc8b
.xword 0x801a7c9f4230fbb3
.xword 0x9e66741f5d1e09c5
.xword 0xfb19d68df7887432
.xword 0xc11f0a784384ef73
.xword 0x871ad7f821e66e8e
.xword 0xbddc2d9b9b6501f6
.xword 0x4dec5fb03c410358
.xword 0x3b8ae6917dcfba6b
.xword 0xbd2c29a08ecf9453
.xword 0x38ffdeedbd5ea9c0
.xword 0xe0c7158a0128d5e6
.xword 0x8724bcf9c9e67777
.xword 0x31e7deed31474c63
.xword 0x98c743f701370061
_t1_aes_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_key_array:
.xword 0xd42d9991568099a9
.xword 0x01a01cf8f8318993
.xword 0x61fcd74d53ccd937
.xword 0x6041d3d6149f0f31
.xword 0x974592d63cccbd57
.xword 0x712ea5ffc5e3109d
.xword 0x0a4b6c065d3fb57d
.xword 0x5c71895c0035f7bd
.xword 0x4545a468dead74d5
.xword 0x23e614d479c32642
.xword 0xcd9859c2cb2b037f
.xword 0x62e2064d69fe849d
.xword 0x1db8c82290f126fe
.xword 0x1c3f7fc8ff69b860
.xword 0x7480729365113463
.xword 0xc9517bfb5344a13e
.xword 0x1e324fe1be3e6c9e
.xword 0x4469d7805c0d6f1c
.xword 0x30e994ee865f2835
.xword 0x17e45f40a2eb07be
.xword 0x6c4ad90fd83cd700
.xword 0x7a3d797c440b6eab
.xword 0x6cbda3d1c90f3d0f
.xword 0x267182a77c9015b2
.xword 0x220c3eff62395ed2
.xword 0xa5ca2596379e9236
.xword 0xb46a630fba4db065
.xword 0x6889feef5ce4c3d7
.xword 0xe74c315ee4223074
.xword 0xa7b16daa2a8d3a42
.xword 0xa64dc71e1d57b1f7
.xword 0x59d25635878dffa5
.xword 0x02cf8246868ebc3d
.xword 0xfe7f9878bf62a1e2
.xword 0x377bd64da375c36e
.xword 0x2ff688a1d6d4fb46
.xword 0x85eff3fc06b9bde3
.xword 0xca308e735731912b
.xword 0xed8116e102a85c64
.xword 0x6c199cdfd6d456c4
.xword 0xf4e654296f5764ff
.xword 0x8f833d85f76be77d
.xword 0xf4bb2f0cec02cb85
.xword 0xa4c123ab8cddeb6e
.xword 0xa04e3bfb8afccad2
.xword 0x131272cd20b4f4e4
.xword 0x9974094e84e37252
.xword 0x33e63ca63230cb2c
.xword 0x4fac68580805fe6c
.xword 0xb373d1b6cddccb07
.xword 0x7f3145d8b39a3e46
_t1_des_iv_array:
.xword 0xcb1afb61c04c96c0
.xword 0xea35fe036552d4e1
.xword 0xbcfc4f947e1b361a
.xword 0x9c51935e67675128
.xword 0xdc5bf7a4f31768d1
.xword 0x3cd1a90f84bf4d17
.xword 0x54126c0966c3fc6c
.xword 0xe764b00c4f26b82a
.xword 0xdf7077fe9d5fd919
.xword 0xb1da2ecb50a6deb6
.xword 0x4cab060e67d236bc
.xword 0xc0d2c9095786b2ac
.xword 0x79e24c1ebd707bab
.xword 0x386353be873a4162
.xword 0x664707bd8d89ab22
.xword 0xfe8940c2ca916f73
.xword 0x8cd8b3b054e51d2e
.xword 0x111c5571a7f8c314
.xword 0xc80345323c0a494a
.xword 0xd25ac9243e3d972f
.xword 0x54d12f5bd64d6458
.xword 0x5ea7c2aa5be18aaf
.xword 0xb9e158e06fde6995
.xword 0x3066f6961564348d
.xword 0xf974651b2187eb55
.xword 0xb6711943130c3568
.xword 0xa3a533a3510b0c3c
.xword 0x2cf4d9fad4532f08
.xword 0x1efc78b6730f6b26
.xword 0x2520990b7c0fc375
.xword 0x149bf37c0318892d
.xword 0x791aaafed2f9cf49
.xword 0xa26598533e56de1d
.xword 0x08cdbfe1e4c59c95
.xword 0xb0dc4c28f4d71053
.xword 0xbae6e2cc388d55a9
.xword 0x1637efb19d70c842
.xword 0xc06e246acc0b4b81
.xword 0xbc4b347392d84cad
.xword 0xe98413480ce31a88
.xword 0x86b2f8c040c4c1d7
.xword 0x98ec7510a7f35713
.xword 0xc9dcda6fad51cdf0
.xword 0x4b2de0978cf0ff08
.xword 0x47c2d0239f4aba29
_t1_des_alignment_array:
.xword 0
.xword 12
.xword 2
.xword 12
.xword 5
.xword 9
.xword 1
.xword 4
.xword 7
.xword 15
.xword 1
.xword 3
.xword 9
.xword 10
.xword 3
.xword 1
.xword 15
.xword 14
.xword 9
.xword 10
.xword 2
.xword 5
.xword 15
.xword 15
.xword 13
.xword 0
.xword 11
.xword 12
.xword 14
.xword 3
.xword 10
.xword 11
.xword 13
.xword 10
.xword 10
.xword 9
.xword 6
.xword 4
.xword 13
.xword 5
.xword 13
.xword 6
.xword 15
.xword 1
.xword 10
.xword 15
.xword 9
.xword 14
.xword 10
.xword 13
.xword 11
.xword 2
.xword 7
.xword 0
.xword 6
.xword 7
.xword 9
.xword 5
.xword 13
.xword 9
.xword 11
.xword 8
.xword 11
.xword 8
.xword 8
.xword 1
.xword 6
.xword 4
.xword 4
.xword 15
.xword 10
.xword 10
.xword 11
.xword 4
.xword 8
.xword 1
.xword 3
.xword 4
.xword 5
.xword 0
.xword 15
.xword 2
.xword 1
.xword 10
.xword 1
.xword 4
.xword 13
.xword 4
.xword 10
.xword 9
.xword 3
.xword 14
.xword 6
.xword 2
.xword 15
.xword 6
.xword 8
.xword 15
.xword 4
.xword 12
.xword 11
.xword 4
.xword 5
.xword 13
.xword 12
_t1_des_src:
.xword 0xc6fca00bef48420b
.xword 0xa199621a8c9871d3
.xword 0x70740e60fbcfc738
.xword 0xb7f22a9ea02f5aef
.xword 0x7794e5f0326250d6
.xword 0x0555ff34aeeaf3ef
.xword 0x53e5f6c803876f92
.xword 0xf403167d9decf18c
.xword 0x1e38319a3f6385a4
.xword 0xa549aa715d21e931
.xword 0x015f88757d5f0397
.xword 0x5e1dfb2748963653
.xword 0xc50d5b195709d67c
.xword 0xe4702165e7fead03
.xword 0x618adb863524d041
.xword 0xc927808bab632750
.xword 0xbe53e6980db7731d
.xword 0x000acf28fe10bf59
.xword 0xaedb563df91f32a2
.xword 0x481ae4f0b008fe1d
.xword 0x2c5b87eef0707f25
.xword 0x81fbfed8e656362b
.xword 0xb64ad189fe373a34
.xword 0xe2eccaa644ce3015
.xword 0x8d28d3a652597a7f
.xword 0x0f2be8bb55ddc7ed
.xword 0x7ff43277713fd2f3
.xword 0xb5cd4546362408e3
.xword 0x1ecc5c87a0f32fd4
.xword 0xb90a5269fc9d6877
.xword 0xeb1d695eed3337f2
.xword 0x535107610847b399
.xword 0x0b726fe698b716b1
.xword 0xf4e7adadee787df1
.xword 0x678b1921fec6d3b6
.xword 0xc0e224143d16bd13
.xword 0x7e1a373a9123a336
.xword 0xa36ad8c38838fe30
.xword 0x7700e008de3aefc1
.xword 0x3ece4f23bad868d3
.xword 0x3f626dca52cc16c9
.xword 0x375264b711bc7af9
.xword 0xe66789d6dc14bc31
.xword 0x4dd18a5897648c01
.xword 0xb9c26ca464d42b1f
.xword 0x6f8865c4bacba4e8
.xword 0x908609db9f5a0383
.xword 0x91182a4609c58500
.xword 0x511f2b50cb33bbd5
.xword 0x1e6a768599a38203
.xword 0xd5203f8383814cf3
.xword 0x249ec2c832c726b3
.xword 0x7e587a368715a816
.xword 0xefc1d57571de68c1
.xword 0x815f00c46fc2777e
.xword 0xcb371f6636d2e602
.xword 0x6f97a174d9b53d69
.xword 0x220296d0be4a3df0
.xword 0x04151b3a186f6c2b
.xword 0xfe9d51836b9930e1
.xword 0x646373060849dbcb
.xword 0x9f2d2ffdd48e88e2
.xword 0xf5d85d633c73ef72
.xword 0x18e14cc330eb596a
.xword 0x91d50208ecb7e75a
.xword 0x7f2e33d804f67243
.xword 0xdce8c0229e620ced
.xword 0x0c0da6e2a340d7a8
.xword 0x41cf38607bc8a44a
.xword 0x035f02061f8767f6
.xword 0x7758085d07a03d76
.xword 0x66a19934ee17f324
.xword 0x98e7da89e418dfb2
.xword 0x10a4946b3df5c2ba
.xword 0xede7e53785d4b9b1
.xword 0x49036c9c8ef4043e
.xword 0x59bddf7ccd6ed5ec
.xword 0xa7ea1d4b069a7833
.xword 0xfa925960901924c1
.xword 0xcd5d8318b0153af0
.xword 0xc2753911bb323a10
.xword 0x9d3a6a60b8920ba6
.xword 0xf9484dda1262c82e
.xword 0x7c9f1449d280cbc5
.xword 0x2ee2c3551b61c33d
.xword 0x0c22014efc552955
.xword 0xde70bbc52c10770d
.xword 0x95a6d485c2da5e17
.xword 0xd3b355eab96a88db
.xword 0xe7f61ce01620522c
.xword 0x29cc782602aa02a5
.xword 0xb6b0df77436696d1
.xword 0x7ecbb9bcf9c4d210
.xword 0x2a141ad9d4b8e842
.xword 0x0c56963fc1d06296
.xword 0x2d4ae055a79b5d2c
.xword 0x9e86b21a30d791f8
.xword 0xcabef91f4bca8173
.xword 0x48173561dceff3de
.xword 0x755d3f9edb5664bb
.xword 0xff6671fbb239f3e5
.xword 0xb9dc4ac3a13a8526
.xword 0x2ae90ae74e53192f
.xword 0x19c224a8b02efe5e
.xword 0x96c871ad2abfd3fc
.xword 0x5c9ccc80d6551428
.xword 0x2b55865b83904d89
.xword 0x4b345094b5c7278b
.xword 0xb99d3511597ce956
.xword 0xdae05b98138357ed
.xword 0x3199abfcf2e78931
.xword 0xd0f23f730be98e1a
.xword 0xa61fd5409d48dc89
.xword 0x4b5f218fb5a7b31e
.xword 0x2feb08067871d83e
.xword 0x73ef457905ec4894
.xword 0xdcaa78a891bbe4a0
.xword 0xcbcdbb70589d0f4d
.xword 0x307263bb1204f0ad
.xword 0xaff4fb27ba7cf39a
.xword 0x9103134f18fbad8d
.xword 0x00011265347a7e4b
.xword 0xb685b299a49581d8
.xword 0x5cfbb433e9013a49
.xword 0x4abc452fac966001
.xword 0xc88a513fcb5aef0a
.xword 0xa151247f1fbbac93
.xword 0xc32deed0f009f2a7
.xword 0xd8e82d0960a1bd99
.xword 0xb6e0729479ff1b8a
.xword 0xe870a75c709ebcce
.xword 0x5ddf44f9ebf04b92
.xword 0xf1ab9864729ef012
.xword 0x19147b722cc3d160
.xword 0x5c8bfafc9fd87401
.xword 0xc457c36ac7d265e6
.xword 0xc71d06b907a21a4e
.xword 0x419d18609d05187d
.xword 0xff10980e02948f50
.xword 0x810e82bcff4ed9cd
.xword 0x16e53b3c5749d68c
.xword 0x305db3760b65973a
.xword 0x291b205a885d5385
.xword 0xd1e8e560e5a5f7a2
.xword 0x7d7ad1640729c21b
.xword 0x62aee00025ac9693
.xword 0x02350f37893bf54e
.xword 0x231324352466fbe9
.xword 0x8dd1e8acbc8143e0
.xword 0x33edef9eae9935f1
.xword 0x4f7c7fe3d313d29d
.xword 0x617352359979740f
.xword 0xa418732b20b109f0
.xword 0xf17415ddb1279cff
.xword 0x0acc4682640a365c
.xword 0xceb3ad573e7d1291
.xword 0xa50cdf2dc6f53abd
.xword 0xe4f53a4dda5237a7
.xword 0x113b0184155058a3
.xword 0x8ea37cf66972e075
.xword 0x32c2c191a4114264
.xword 0xe12e95d986d5ef57
.xword 0xe0b08b16b0cefe62
.xword 0xfbf69d19dae84ffd
.xword 0x9dadb3e568ced495
.xword 0x03403ebcf164718e
.xword 0x7917d9ce69c91295
.xword 0x5c36a494d15ff080
.xword 0x24e7f42bbc6988b0
.xword 0x93ba5f354282ace4
.xword 0x8d79abee91a81b1c
.xword 0xa6d43e6501a7bb7f
.xword 0x97bcaa1fcef66c30
.xword 0xce50c8b94fbb0188
.xword 0x1f7d8f9fca93dcc4
.xword 0x8001f5b371ddb306
.xword 0xac9008045bb8fe27
.xword 0x1ea83f2722ec7f0b
.xword 0xe95f65299bd4d911
.xword 0x5c03c2f1d9ca4dec
.xword 0xbbce05ca1fe07143
.xword 0x1b706e89cd969652
.xword 0xce5177c6120c3358
.xword 0x4097afd2cdbdf48e
.xword 0xfd0ee6dc4ca54c4e
.xword 0x745727dd6ee387c9
.xword 0x78e881e4f8724831
.xword 0x462c76bdef175be3
.xword 0x56d5161143d789d5
.xword 0xa0ec85f8147dbcaa
.xword 0xf4385ad6efa8a481
.xword 0xa8f6150be9c05703
.xword 0x41fff3fed642360b
.xword 0xa266156bb803fbba
.xword 0x3b9d1950d92f2527
.xword 0x438118fe105f1542
.xword 0x791cdc2ab8893892
.xword 0xb7e67c11eec4c943
.xword 0xb696127933694e97
.xword 0xe28933628684ee99
.xword 0xeeb108aa234a8310
.xword 0x7a76c13254cb138a
.xword 0xa22cb951edc7cc3f
.xword 0x993c75154a89b68e
.xword 0xd1692f9b7eb03c44
.xword 0xb79d1e3c8b96e43f
.xword 0x32ad98424e6aa782
.xword 0x71977ac4c09f0ead
.xword 0x1653bd2aded8a804
.xword 0xe6bad7c402da71ea
.xword 0xd3e5cb2bd1bd1832
.xword 0x6af31b950be4d633
.xword 0x14402111a272c1c8
.xword 0xbf409ccf83c1482d
.xword 0xb39168680551e470
.xword 0x56725070d7614beb
.xword 0x90573f75e27eec75
.xword 0xf7d36b6b294e38d4
.xword 0x40d70a8e2f76cf9b
.xword 0x002bc17a1b8bf724
.xword 0xb57fb798d8dd1ae5
.xword 0x5eceff357e739fe1
.xword 0xda041a4c7dfa2754
.xword 0x6bfadb83baf3a18f
.xword 0x69ced28bbece40c3
.xword 0x8a9469dcdf127c8e
.xword 0x8da88f488ce8ebb7
.xword 0xac8fe0f405b3ecd6
.xword 0x3d8d4363f5dba3d1
.xword 0x596c19fbf66fec06
.xword 0xabbdcb2d90250dcd
.xword 0x9b4cb787f7acb49e
.xword 0xffeb9bceb0c68156
.xword 0xa6f0e542c32cc596
.xword 0xaa48bd3b818eddd4
.xword 0x5c20b7143b17e624
.xword 0xdbd8a66222ce31ec
.xword 0x038f31a96c207dca
.xword 0x56a86c24a8783c69
.xword 0x3453cc97a9424b59
.xword 0xc2bf960ea3d93759
.xword 0x4f1144e42220ad39
.xword 0xe0d6b258f5429ac0
.xword 0x8a31aaf0d963cc62
.xword 0x591d2fd20042031f
.xword 0xe876308f29d683be
.xword 0x66567b048e07766c
.xword 0xd0ca95564c85d761
.xword 0xc743a6a940792638
.xword 0x49021aedb8cb59b9
.xword 0xba211d3b8a06f76e
.xword 0x63ee422f25ae42c5
.xword 0xcb0d1ed6af706385
.xword 0x2367aec414075e19
.xword 0x84e4f9b7865978d2
.xword 0x601cdc610d570fff
.xword 0x1cc7d1460a909abf
.xword 0x78288481708b3c91
.xword 0x0882a732286be1c1
.xword 0xcc146165ae0843e1
.xword 0x4ff609fa6fb6461f
.xword 0x8be1a9529ee72882
.xword 0x2de7bfda63e1015e
.xword 0xb32e81ff6d18c880
.xword 0x7591958ffad359b1
.xword 0xef07468c011189b8
.xword 0x6d013d333b6a37e1
.xword 0x9757fc6596744a71
.xword 0xac789029ba50ba7a
.xword 0x5c591945f248d466
.xword 0x3e78200cc1cdee4b
.xword 0x9c291dbed83bc0a9
.xword 0x143dc044d6f089a2
.xword 0x30fd4fbbdff33022
.xword 0x7750e22a50215cc3
_t1_des_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_auth_key:
.xword 0xf11ad0c2f310eaff
.xword 0x282f25dcaf695ad5
.xword 0x7e5259ae14656447
.xword 0x3260c01944f18fbd
.xword 0xc18fad16cb300a82
.xword 0xfc2697f24b0585a3
.xword 0xe9374bb44d7131b4
.xword 0x3c0c1330349a280d
.xword 0x5412adc6ad1d4371
.xword 0x9d2d132bab32ea94
.xword 0x10b7d9b2a1fac084
.xword 0x07d8bc0847099271
.xword 0x4ccb51c2a028a228
.xword 0x1cc1044332953c0a
.xword 0x59e7d9ac74f303d2
.xword 0xa33853785dd7a382
.xword 0x4f026b01a145e40d
.xword 0xeb4080c1361009c2
.xword 0x72f36052f885c8f2
.xword 0xe77ce3dd78d15587
.xword 0xa354e6038a463c7f
.xword 0x747fb784ec5c3ec2
.xword 0x2fd51c137075421b
_t1_des_auth_iv:
.xword 0x41e9ddde2c72318c
.xword 0xf17f252113c0892a
.xword 0xfa91caed0c7c6608
.xword 0x71e2b5cd31428783
.xword 0xf060e5643348ad23
.xword 0x2901b856aae8f050
.xword 0x023b018f17ed1fdc
.xword 0x4d9d1e3331cc86d7
.xword 0x9d5420eade66045d
.xword 0xe841569be6f774d9
.xword 0xd3b00efe09b18f83
.xword 0x498c3cc3d3223d96
.xword 0xe6494db8b5ce2c25
.xword 0x823a414cba868eda
.xword 0x4d77b26b9829489b
.xword 0xd1b85a7b5f3035e7
.xword 0x5fbb4e6fa69570c2
.xword 0x84c82c8f6b06cb36
.xword 0x9cd2f216f9bf2d33
.xword 0x66f7a183c57505eb
.xword 0x66a904fe2826d81d
.xword 0x6f12cac7cfc5a299
.xword 0x38b0240e5314817b
_t1_des_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_key_array:
.xword 0xfdf2444ca1c4d63a
.xword 0xced058816ad9098b
.xword 0xb70894b5d9ba4e01
.xword 0xbdf21df49e0e44ea
.xword 0x455067a3522f0e3b
.xword 0x5f3db136ef4f6ae8
.xword 0x662488acf01e1ea1
.xword 0x48cbc37218dd2e09
.xword 0x6cb70cdf4abb0b96
.xword 0xff8b7ad19e01f438
.xword 0x82585931b0299d4d
.xword 0x90d705f5644bb76f
.xword 0x9136ca596845a019
.xword 0x8109636799d5d7e3
.xword 0x2d88585a60102936
.xword 0xa7e2ea9291c0347f
.xword 0xf722380064ab051a
.xword 0x8f26725bc0eb74b3
.xword 0xc32e5773d6bfc427
.xword 0x779cd4b1feea6d9e
.xword 0xdace4667779e255c
.xword 0xb26cb4d26ac7109b
.xword 0x8bdeb6db4355acf1
.xword 0x487ae2a322f1796c
.xword 0x2d52e4776cbf09d1
.xword 0xc61f204cb76b1706
.xword 0x51f6411c6010815d
.xword 0x2ebed6d7969b2c8a
.xword 0x499652c363af61bc
.xword 0x6b6b8e58e65e6222
.xword 0xf607c956b54a2f3c
.xword 0x04e9c59d695b13b4
.xword 0xffad6d49c56a3f4c
.xword 0x34d4383431a5adf2
.xword 0x0a877f97c1c5aba6
.xword 0x946f34192b0a83d4
.xword 0x916abc5909648816
.xword 0x34203a068d107a66
.xword 0xeba5cfb7e8f2c11a
.xword 0xfac0de4f57ab3a3a
.xword 0x1c2bcf6200f6b790
.xword 0x2f5c1f0206732ec0
.xword 0x4e5556b018b2e62b
.xword 0xe5e5cc56b97ef6dd
.xword 0x290678f64bc93da1
.xword 0x2c00cccc0897a64a
.xword 0xa7c165b168716c4e
.xword 0xed9507da3b8d7aa5
.xword 0x1b3afaa5f72ffc57
.xword 0xef1a2d1d18e7f9d9
.xword 0x3ce689fd66b56001
_t1_copy_iv_array:
.xword 0xb667b3d6639f775d
.xword 0x32b021814cb023fd
.xword 0xd1fd935c4fa60cb7
.xword 0x1825a93121bef861
.xword 0xfb18e0849e95ec7f
.xword 0xed3f2af7aac66adc
.xword 0xa44c1f903536ec44
.xword 0x384b99ba78e47a89
.xword 0x472d656abba5c724
.xword 0x98d03c23606cc9c1
.xword 0xd2023d3d63f28f14
.xword 0xd2b8077b7a7676e4
.xword 0x5ce82bb80da867cd
.xword 0xbf787158bc103f03
.xword 0xf2b8ee43727f4124
.xword 0x561338f90b24f5d7
.xword 0x763291c9e7540f19
.xword 0x2a91c29dff282931
.xword 0x99f73037f66bb5bb
.xword 0xa0c84275c98ec301
.xword 0xa7a6ecc6b7aee7db
.xword 0x972d364c727da005
.xword 0x9996e627d07fe6f8
.xword 0x239f201c1a3e977f
.xword 0x465d00b90e7a26de
.xword 0x03fd6f2ef15b7f1f
.xword 0x7777399592038a65
.xword 0xb683caff56345cc6
.xword 0x6c54e8382eb950b4
.xword 0xd3757d796a6c1dd6
.xword 0xad59aadb094814f6
.xword 0xc3a4efa5c3062fd3
.xword 0x6e3a41a15af14cbf
.xword 0xae9d776694dd154d
.xword 0xccb470f3099d6be0
.xword 0x3cc240a2aa840839
.xword 0xa4a3677d478ba0c0
.xword 0x45940431fe735eb7
.xword 0xf750b32ceefa21f2
.xword 0xcc75d93943c8e20f
.xword 0xe33f947d8a9566a3
.xword 0x15339bd874ba9b82
.xword 0xc2e5ff75c8017895
.xword 0xaf0a658f198d473c
.xword 0x4e4fb7a72bf5e982
_t1_copy_alignment_array:
.xword 7
.xword 5
.xword 10
.xword 5
.xword 10
.xword 4
.xword 15
.xword 5
.xword 0
.xword 15
.xword 8
.xword 4
.xword 14
.xword 14
.xword 1
.xword 14
.xword 7
.xword 15
.xword 15
.xword 0
.xword 8
.xword 7
.xword 0
.xword 14
.xword 6
.xword 15
.xword 9
.xword 6
.xword 0
.xword 12
.xword 1
.xword 5
.xword 6
.xword 13
.xword 3
.xword 8
.xword 6
.xword 10
.xword 11
.xword 13
.xword 2
.xword 9
.xword 1
.xword 0
.xword 10
.xword 4
.xword 9
.xword 11
.xword 4
.xword 9
.xword 0
.xword 4
.xword 2
.xword 2
.xword 6
.xword 2
.xword 9
.xword 13
.xword 10
.xword 9
.xword 10
.xword 8
.xword 10
.xword 4
.xword 9
.xword 12
.xword 6
.xword 4
.xword 3
.xword 8
.xword 15
.xword 5
.xword 13
.xword 11
.xword 11
.xword 2
.xword 6
.xword 11
.xword 14
.xword 10
.xword 5
.xword 3
.xword 4
.xword 14
.xword 7
.xword 8
.xword 3
.xword 14
.xword 13
.xword 11
.xword 3
.xword 11
.xword 7
.xword 7
.xword 0
.xword 11
.xword 0
.xword 0
.xword 8
.xword 12
.xword 15
.xword 10
.xword 8
.xword 4
.xword 0
_t1_copy_src:
.xword 0x6ff19ba05ed7b679
.xword 0x53e740b1251aee48
.xword 0x5e84051e2977ff26
.xword 0xc796a77521424931
.xword 0x7b47b0c6ffcd323b
.xword 0xbf6ed04db86e0497
.xword 0x0a20a65781f1ebbc
.xword 0x4d42377e564de87d
.xword 0x6e81ba22195bf8d2
.xword 0xf91212860f9cffb3
.xword 0x1c48a70b1b0d6b2c
.xword 0xf4eab1b854ae2cb1
.xword 0x626a469b1510e17f
.xword 0x5d899d008380a017
.xword 0x52803dac6c216ac3
.xword 0x4fc8ee61717ad014
.xword 0x2e9393ed67e18f0e
.xword 0x764f9f1de06f75af
.xword 0x3a6bec6726e28815
.xword 0x7e6c4341975cecdc
.xword 0x5f92e066c5d03534
.xword 0x4dc4e9c6b6ac5678
.xword 0x09f7098cc1bc1d3c
.xword 0x10bad6da57dfabb1
.xword 0xfac8165f7882a777
.xword 0xbad0b5ca87968870
.xword 0xa890df9ceb336f3e
.xword 0x25cae4f3935f3f28
.xword 0xadee2af70ca448b6
.xword 0x94d9e32370fbbd2f
.xword 0xd7683db1ad4d3bac
.xword 0x7ef7afb1a6bf8fe6
.xword 0x39766638ede71854
.xword 0xe88b6b6f4f8bce61
.xword 0x0f80d6acf83854f5
.xword 0xda8c1cb0a54b8df0
.xword 0xc67fe6a86992eef5
.xword 0x11322b940662f3d0
.xword 0x050be4cb0249eed4
.xword 0x6bc3377d8c634f63
.xword 0x65d88e2567857cdd
.xword 0x667325e49d88e977
.xword 0x3e75d54102663986
.xword 0xf931e186afdcc2cd
.xword 0x197ae98ff95d19db
.xword 0x0fbc756f08f24e15
.xword 0x0318617f972b7cdd
.xword 0x71f570d4c1989e05
.xword 0xd87f93997005897f
.xword 0x107ef6405805ed18
.xword 0x4f27b83550afd22a
.xword 0x6f6254c3e892338b
.xword 0xd628bbc049f70ab8
.xword 0xadb2e373c3e39b4c
.xword 0xf1d4ae1c5629c263
.xword 0xba8087755c04212d
.xword 0xc34f7e4f86bdabf2
.xword 0xa20ffbdba6acf542
.xword 0x39daca1cd3a6b4fb
.xword 0x3581c366da01a578
.xword 0x2eba9faf4f6afe17
.xword 0x0e90f4ca0b5b5fda
.xword 0xb53fb8fa4ac311aa
.xword 0xe5ce77245673333b
.xword 0x7b64238ed0259d93
.xword 0x86574ec381ceb1c1
.xword 0x99dd09548af17002
.xword 0x86b4b6c52b79350f
.xword 0xf9576f99378fdda5
.xword 0xb87d0f4776d833aa
.xword 0x0a38450276d4876a
.xword 0x0d82d1c75ea8d7dc
.xword 0x818acd0f32b4ef81
.xword 0x09b0b80303eb17db
.xword 0x998b8df9675e2ff8
.xword 0x35492080b7d0830a
.xword 0x5f2a26069f59f615
.xword 0x4c0d6ea170b0bc19
.xword 0x290e1be6bf9b2183
.xword 0x5f5c5341e6e14bb0
.xword 0x3edd9ec200a9ad34
.xword 0x85cfaaa7f2b6fb90
.xword 0x969a2a3b0447d0bb
.xword 0xc924223d8dc8c9f7
.xword 0xca7a5585c068e588
.xword 0xf4d8d4b771eba5f4
.xword 0x09963f1fffa87b74
.xword 0x565c50276b445990
.xword 0x4b15c9c9226494ea
.xword 0xa88077d3e07923d3
.xword 0xdd8edbe3e5ab678b
.xword 0x6f3e839cf95c2570
.xword 0xf8588127d275b1d1
.xword 0x2d2311ad6da1fc7a
.xword 0xebb0cc0cb67b5086
.xword 0x2e148f031a9e3f8a
.xword 0x82a3d1b3f2db8e0a
.xword 0x2a12b4832354c1a3
.xword 0xe31d9801e6a67400
.xword 0xae5642c16e582664
.xword 0x9dde52c8b173e7d9
.xword 0x57a3ddcb835c8605
.xword 0x88a60afcba7eda4c
.xword 0x8b6101cbdbf8a350
.xword 0x59f5453d0964eb84
.xword 0xf7eb55809a2c637f
.xword 0x6edd6bd2ca6505e0
.xword 0x5b447d66e03986d5
.xword 0xce27399cea97df56
.xword 0xf8f1e134f6d266eb
.xword 0x9aa6d85fca238b54
.xword 0x2dee37f2ccade1c2
.xword 0x6367380a7f11ace0
.xword 0x25345aabc06df291
.xword 0xcf046cdf1c574006
.xword 0x95e17d10c18103ff
.xword 0xbdeea9af247a19c6
.xword 0xcbe53b33b8b59445
.xword 0x73d0e7451fc50a03
.xword 0x45fb7cce73622570
.xword 0x8fbf9deb4cba38c6
.xword 0xa6d753bc0486daf9
.xword 0xaf064c684725d57a
.xword 0x8f63f75b2c547940
.xword 0xb89210f9914639a8
.xword 0x7988b5fc73975b3d
.xword 0xd2c9a00a7089bfd6
.xword 0xf2ebe0c375a75dec
.xword 0xd3def76feffa460d
.xword 0xb19f8bfbc506348d
.xword 0xa6aa7125af2521c8
.xword 0x502a5ba142830f4a
.xword 0x22b1139c50caac6a
.xword 0x3946cbce48ffd4d3
.xword 0x8f02665f92f7d77b
.xword 0x3f5782754ac84f44
.xword 0xcd34ae6f8b54c8b0
.xword 0x4521502947acb945
.xword 0x96aa15440049aea3
.xword 0xd051cf865ae4a980
.xword 0xda88a4ab66e16ce2
.xword 0xe30055f8dbcd2011
.xword 0x0230b02fe93a5770
.xword 0xd696347b815abb3c
.xword 0x861cdba7a92faae7
.xword 0x14283cab6dd31b9f
.xword 0xa6c48a77b141f201
.xword 0x8d97c322e7087fcc
.xword 0xc1426f2dfba805f5
.xword 0xcf1c0b62af25e805
.xword 0xae27385c7d855076
.xword 0x39cc413ca21d96ba
.xword 0xd0737236d5769f0c
.xword 0xe39d493679d6ccd8
.xword 0x5f8602fff8177011
.xword 0x30b12a41661440ff
.xword 0x1b34979739fc3338
.xword 0x7d91aabff50a8b19
.xword 0x02daf34ed73cdf4b
.xword 0xf21a484387eaab27
.xword 0x94cda829141ebcbf
.xword 0xd15edc2d84c25897
.xword 0xaf8a4f3644599f64
.xword 0x1aada5ef5b888422
.xword 0x2f4907b005acbdc2
.xword 0xb480eeb8d655a10b
.xword 0x0e5d4150a4b15620
.xword 0x373aa79e0ade9c2e
.xword 0x02662656b035a155
.xword 0x7cfa473360e64b97
.xword 0xafdb23ad1c7fc24d
.xword 0x508a2d29c85b725f
.xword 0xc7440f129743bf19
.xword 0xaca643b9176b7c72
.xword 0x7849a919da5ef040
.xword 0xdbf7b94f53d8353b
.xword 0x9e0442a7c27ad14e
.xword 0x43c5713cdb6ac9a5
.xword 0x7bfdf600a2e59543
.xword 0x298391cde9256854
.xword 0xffd17132fb7f34a9
.xword 0x1d7ce499f0a3b1cd
.xword 0xe54cb6181fb945be
.xword 0x4c37a78d061a0f72
.xword 0x3cf4319364597dd7
.xword 0xc09efd366aa54a5b
.xword 0x675f873f8544ad74
.xword 0x68d4a6ada2ac010f
.xword 0x49f32d3a6ea0b0c7
.xword 0xe6fda64d43814485
.xword 0xc54fbc4d7c18d8ba
.xword 0x61ff203d1b795869
.xword 0x8be2042ddf6f07e6
.xword 0xd9d4432eaf651391
.xword 0x77789b476dfa6d4c
.xword 0x5ef02fa6d0dcbf46
.xword 0x780e663f403b0c55
.xword 0xfb6409d0acd4b4a2
.xword 0x2cb43d37133e8361
.xword 0x4da54352c9d171d7
.xword 0x67098bf410523b19
.xword 0x6f094bf8367f7900
.xword 0xf95c491cbf52df22
.xword 0xc444675a08f525ff
.xword 0x98edc6a0f2e53427
.xword 0xc911091b5c13e97b
.xword 0x442bbc830a0b90ac
.xword 0x97c227cd7125913d
.xword 0x1033a9399aa6afb1
.xword 0xa583dd8b1c61565b
.xword 0xe4a84c91987e1aa4
.xword 0xf4e9383c883ec5b9
.xword 0x6117d18db1a902eb
.xword 0xeabf730ea6a1b2d1
.xword 0xc32cded35350019b
.xword 0x3c16e20268c8ae40
.xword 0x0d507d05b32d293a
.xword 0xf4a395a939d54c91
.xword 0xfb58699608fd644e
.xword 0x14fbe619d74013a1
.xword 0xc4543a2d21950112
.xword 0x30565145c7e10879
.xword 0xa4291f7e1c87811e
.xword 0x914f14f88d9f524f
.xword 0x060dcc6704aed703
.xword 0x9722f897adfe2241
.xword 0x37e29e3083d32bc6
.xword 0xf44652206d13d376
.xword 0xd5015878434e7302
.xword 0x7a617055081e57b3
.xword 0x789732589c310d12
.xword 0x5d10809d45d06341
.xword 0x24421d3c781d0e83
.xword 0xf6d14f2cd54b3c86
.xword 0xb6dec4038359fc0f
.xword 0x63a2420ab6887566
.xword 0x4fac1f15febf06cf
.xword 0x4403dc6f4cc4dd19
.xword 0x600dd687d40b0b93
.xword 0x30f0a8d12771d4a8
.xword 0x09ce460c27adc93b
.xword 0xeaddd561850ffec3
.xword 0x60449be96e5ea6b9
.xword 0x54a37160a5f170fe
.xword 0xc868c14af2222c7a
.xword 0xdaf0d97fff2f194e
.xword 0x9e200479214b22e4
.xword 0x703b03f301c8bc71
.xword 0x5c947272ced7f658
.xword 0x088839c0f4feeb62
.xword 0xd82d5cda6c14e0ed
.xword 0xeb482302b3ee5bb8
.xword 0xd4ed262dd0c98dc4
.xword 0x230e2ca11b13b2fc
.xword 0x1ab7721c71193ba1
.xword 0x489b2fca3fc7aaf1
.xword 0xad18b60f42972949
.xword 0xe1872c48090d04a6
.xword 0xdad962ef252d49ad
.xword 0xba27b8233e55794f
.xword 0x0a01fb632bcfc54b
.xword 0x585c2b765f64c0a2
.xword 0x85481ec3ce3f0a59
.xword 0x80a71b9b44140249
.xword 0x24c9523336e6e35b
.xword 0xa55ade4ee4694612
.xword 0x522797bc6ac5ac5c
.xword 0x33f232fe8ec3ffe0
.xword 0x8a41350b24b1ce1e
.xword 0xca5be558829fc33d
.xword 0x85c8470405c8e389
.xword 0x0f93c74f89bd4a0b
.xword 0x1377a8cb4caf228a
.xword 0x49e7a9b7dbd697b2
.xword 0x412313390ae101e6
_t1_copy_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_auth_key:
.xword 0xbb4ba6d98120ae3c
.xword 0x966ce3354436f67f
.xword 0x951c43d6ee93e3b8
.xword 0x8d7f8b064cbeda50
.xword 0x3ddc550eef69d7ee
.xword 0xd586056943889a71
.xword 0xef7e2670dbae71d5
.xword 0x6375b8248c674236
.xword 0x100536c35b79dd82
.xword 0xd3cdd083c9592b05
.xword 0x1693fcf41230431a
.xword 0x8e28a14357f27421
.xword 0x8ac9a949509344a4
.xword 0x2705610672c458a9
.xword 0x55c8559c844bee2b
.xword 0xa5b3bc76685b9f09
.xword 0xb13fec3f511fe8fa
.xword 0x615d13ef36947d85
.xword 0xd3641e33e3e6149b
.xword 0x4cd3a7c30075df8a
.xword 0x4be26569447d234f
.xword 0xd0469ad6b812618d
.xword 0x5bf6f3daadb817ec
_t1_copy_auth_iv:
.xword 0xdf77d39b2752be5d
.xword 0xf9f5fe4f6b25fbc3
.xword 0x2f2a9b0d0fca21c1
.xword 0x2152b663d1752b08
.xword 0x3270fd222f8ad1ee
.xword 0x8bcf9f17bb1c025c
.xword 0xf7beaa60603a37ea
.xword 0x71cb70fdaa7c8257
.xword 0xf647ac525920ceca
.xword 0xed1dfe4265c1b888
.xword 0x1137b04b9d49f385
.xword 0x95b94b53171de624
.xword 0xb7254af02c03eabd
.xword 0x382d759897f85317
.xword 0x0d1a1b8838ca2c85
.xword 0x10604083c526b0bd
.xword 0x832df9a6fe14a3d4
.xword 0x7bb4dd7d6bee3d74
.xword 0xb73a43272c2f9396
.xword 0xac3e79a937d1971a
.xword 0xbbd03a34717f31a6
.xword 0xc9bf9ad99edc5fdc
.xword 0xe58bb9025b9ba766
_t1_copy_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_key_array:
.xword 0xcd59d416ccab62fb
.xword 0x48acffd49fa6a0b5
.xword 0x986546155dc24848
.xword 0x175efc565ea116c6
.xword 0x1319c2e69525cfb3
.xword 0xf117e89d562c0b50
.xword 0x1c7ad1c0e123344c
.xword 0x422ec4893a01a489
.xword 0xb0d681e19de3e590
.xword 0x17dfcc8979b14c15
.xword 0xa8e08352403a9d6a
.xword 0x619a7336d7e9bd4f
.xword 0x60fd1c7ff1577588
.xword 0x11eb3d2fbd8d6f1b
.xword 0x013fd79736bf1a1f
.xword 0xadc486f05443c723
.xword 0x3bc17f24892e954b
.xword 0x5db78bfbf42de6f0
.xword 0x9dafc3521e4e00e8
.xword 0x882584c7038ec29b
.xword 0x589fb359f73ab2fd
.xword 0x947f1b9195a36c89
.xword 0xa85770773619765b
.xword 0xd9a76860814e4b49
.xword 0xbc12b14921348036
.xword 0x8eea1a59fbb95d68
.xword 0x256687a81ac4eb49
.xword 0xe41c33c26d91f461
.xword 0xb9403ce6c05466dd
.xword 0x7e34b285cfa56bad
.xword 0x7b678598ac88da25
.xword 0xfeafe4c622012994
.xword 0xed05aea1a02d4136
.xword 0x27a7ceb70f478086
.xword 0x0bb4cc5efadbe1eb
.xword 0x100bf6de4a1b3851
.xword 0x1aaa043ba00e359a
.xword 0x84ea825e2132598b
.xword 0xc34009d2441176d7
.xword 0x8fcb8e80e667d4a6
.xword 0x80d5d2fc6ce8e93e
.xword 0x07f917606925ff5c
.xword 0x6650ec602e78aaf4
.xword 0xe4fec70d9341b0b5
.xword 0x43a56574af44fe6e
.xword 0x49cec32e3dfece4f
.xword 0x9a6d8a080ec5bf02
.xword 0x850d61bf9e4f97af
.xword 0x9c7c7e6ee26166cb
.xword 0xfd3f3b613b5ba6f1
.xword 0x4b368cb4a2c8a776
_t1_crc_iv_array:
.xword 0xdf51fb0058c8597e
.xword 0x42cfc3fe8f86efcf
.xword 0xf58ea125c6ae2ec0
.xword 0xa9c48077a5bb0da6
.xword 0x4669744c33c06143
.xword 0xd1ef6b7948fb3ca8
.xword 0xb6d694d93b087340
.xword 0x14b1b9d37e59c347
.xword 0x6119ea86eb996dd8
.xword 0x25fca02a1d94dbad
.xword 0x934d5fb3ceb88703
.xword 0xd59b0071cf556a03
.xword 0x8cf8f301fc392362
.xword 0xa0d01ce1f6e1412d
.xword 0xe0b0aea5ffaa53da
.xword 0xcdf81c90d0416d38
.xword 0x9fba9de276642415
.xword 0xb86be515c429c3f9
.xword 0x69dc330e432763b5
.xword 0x735c012213a1ca4e
.xword 0x36a4e25d01b35dcd
.xword 0x3778d680368be219
.xword 0x9f71b03e67e55e04
.xword 0xb3b1295515f65acf
.xword 0x0eb89e59284a7a30
.xword 0x8ffd34153658216e
.xword 0x3c3053c76c075f82
.xword 0xc101cde378abd444
.xword 0xed8b8f52fdbab656
.xword 0x559573bb9f573306
.xword 0x9692d9af6111e182
.xword 0x09ece2ce439bdd17
.xword 0x50ca04d65ee38ca7
.xword 0xebcef2106a1b677c
.xword 0xfe6092ff5a5cf63c
.xword 0xec358a319ec9474e
.xword 0x9fc404cb0865de50
.xword 0x4a0a3199e18b0814
.xword 0x70e119bb2e8b0cb6
.xword 0xd3bdc03ebb8d73e6
.xword 0xd4d993837f2abd50
.xword 0x35c0788fe9950dd1
.xword 0x36c916de06a2e2ba
.xword 0xf46c749324556e9a
.xword 0x97e43244123c6828
_t1_crc_alignment_array:
.xword 3
.xword 2
.xword 7
.xword 7
.xword 10
.xword 12
.xword 6
.xword 8
.xword 9
.xword 14
.xword 3
.xword 10
.xword 3
.xword 3
.xword 3
.xword 3
.xword 14
.xword 9
.xword 13
.xword 7
.xword 12
.xword 14
.xword 15
.xword 10
.xword 4
.xword 11
.xword 2
.xword 14
.xword 0
.xword 15
.xword 3
.xword 10
.xword 0
.xword 6
.xword 0
.xword 4
.xword 11
.xword 8
.xword 9
.xword 6
.xword 10
.xword 9
.xword 15
.xword 12
.xword 5
.xword 11
.xword 11
.xword 15
.xword 0
.xword 9
.xword 7
.xword 15
.xword 13
.xword 5
.xword 7
.xword 4
.xword 1
.xword 8
.xword 0
.xword 9
.xword 11
.xword 8
.xword 5
.xword 15
.xword 5
.xword 6
.xword 13
.xword 4
.xword 9
.xword 11
.xword 12
.xword 13
.xword 0
.xword 13
.xword 12
.xword 5
.xword 11
.xword 12
.xword 8
.xword 4
.xword 13
.xword 1
.xword 11
.xword 14
.xword 2
.xword 13
.xword 15
.xword 0
.xword 15
.xword 7
.xword 7
.xword 12
.xword 14
.xword 3
.xword 12
.xword 7
.xword 4
.xword 4
.xword 2
.xword 3
.xword 15
.xword 4
.xword 8
.xword 15
.xword 5
_t1_crc_src:
.xword 0x97427282bc552baf
.xword 0x2cc5b7a52174d4e8
.xword 0x9ecd37a335afbacc
.xword 0x1d58700f31e4fc02
.xword 0x08fc3bfe07b5a17c
.xword 0xe946b8f70fc5fd61
.xword 0x9db8963763583e68
.xword 0x776a0f6d6a81823b
.xword 0xcb9d5ab9973459fd
.xword 0x30cca6ba08527e63
.xword 0xb34f8ad092e20521
.xword 0x889d3cc63d153eea
.xword 0xa69cf5dd0bfe79d3
.xword 0x9d8e4fa29d7497cf
.xword 0x664f265506fa5d65
.xword 0x5ce7567297dbb369
.xword 0x594d1567a4d40ab4
.xword 0x375df51153b7b0de
.xword 0xf60d9315c1143f0b
.xword 0x9dfd206b2f96948f
.xword 0x5b64fc43c0136092
.xword 0xe87ee96309f6c57c
.xword 0x67f34a004a10cea4
.xword 0xf67c0dd9c1c6237d
.xword 0x5f9e31c6dcc79883
.xword 0x4c4b47c5edd11da8
.xword 0x8a3e63bde772b11a
.xword 0x29c1bffac075b38e
.xword 0x871c6a488e40d791
.xword 0xb2017019c4d3bc0f
.xword 0xdef6d6701be8cb4a
.xword 0x6de9f4f589545c76
.xword 0x5b8ae5921086d1d3
.xword 0xbcea230b8877114f
.xword 0x373313a78a4bc1c3
.xword 0x4306b6de839f2254
.xword 0x75372b17ff69e1b5
.xword 0xf69f640ce7e0964c
.xword 0x6e5821d3de96d545
.xword 0x61decf569c28831a
.xword 0xf3517d539385def7
.xword 0xe9bfadd7789fb1a9
.xword 0x94e803d6e64d659d
.xword 0xfc2e86d8695ae222
.xword 0xc4b3b2a6770eacd6
.xword 0x7a1c193a5b866068
.xword 0x0ae866f892656c75
.xword 0x2aab726fcd69e379
.xword 0x6137cdeab2470467
.xword 0x3c8f29c59e5c9c25
.xword 0xc93dfcc239cc865e
.xword 0xecfbe8b575d47e76
.xword 0x41927c442ff511a5
.xword 0xa9e1380e0202a9b7
.xword 0xd9a59f99633e7248
.xword 0xd12e537404d104e0
.xword 0x21fa9ce2a6a3220d
.xword 0xf78753c015fd4147
.xword 0x8c93f3325376561a
.xword 0x5f5c862e81de3dce
.xword 0xa517026a3c56d27d
.xword 0x5d2c713aa0ad0c60
.xword 0xefcc5090e87942f6
.xword 0xfe95308561d522ec
.xword 0xb0633682c8b960d2
.xword 0x81866d8917130668
.xword 0xd7a4f34785b3871b
.xword 0xe8c486973c99c1ee
.xword 0xabb0ef3822f55d9b
.xword 0x8f6a330982776dc3
.xword 0x12b46bfa9209f77f
.xword 0x947ecc4ba9f7a60c
.xword 0xdbb7401a98e616ff
.xword 0x1fc3e3123a3caf74
.xword 0x81486278566d319f
.xword 0xc70807547a212bae
.xword 0xefbdf5eef9aeba3d
.xword 0xe99d1a7a5118a309
.xword 0x50d9b53fd7fe0b53
.xword 0x79938d8331e70845
.xword 0x47a4684ff6764d5e
.xword 0x04113669fc82388c
.xword 0xa9b7c237e2534e0b
.xword 0x2504c391f248cc81
.xword 0x060688f5c8f7d6e7
.xword 0x9923834b97826ba3
.xword 0x0ba16344a122bd0b
.xword 0x0a34f9d9d572cc6a
.xword 0x2305baf76160aff9
.xword 0x9e6866a6824aba8c
.xword 0x4220f06fe7e34ad7
.xword 0x83af84e5f1a4207b
.xword 0x4c19f55efff4c1e9
.xword 0x3a80b1134faa49ef
.xword 0x6ccb7008beaa623d
.xword 0x9e65c78e1a63d00e
.xword 0xdb681b70bf6b05da
.xword 0xd195d83d2647071d
.xword 0x15ecf0a213f3559a
.xword 0x426a3e71a79bf533
.xword 0x31b6d2d26bab63e1
.xword 0xf435632c54d1eba9
.xword 0x4a589f5a7b0e5876
.xword 0x42864c87bd93158f
.xword 0x85ab43a99dd2b874
.xword 0x7ed989e91e6b53bc
.xword 0x98be83f493c44c5e
.xword 0xd9ee845237321fd3
.xword 0x2f830b98d6da8201
.xword 0x276ee357b7bb55d5
.xword 0x361d5abd8d80a02d
.xword 0x2eca46a6f8c4169f
.xword 0xf51cb6ca932ad091
.xword 0x3ad63ec4e05865af
.xword 0xe0f6a3fe9714645a
.xword 0x5c5c542ef66bf500
.xword 0x33ceb8f3fe26e3c6
.xword 0x7c4d1dd6a23635b6
.xword 0x536d0a5642ef2762
.xword 0x7191396cc7d8eaba
.xword 0xe6977bc21ca750f8
.xword 0x44e695e63e01e89f
.xword 0x91b195518858d446
.xword 0x804d22a9a901aef2
.xword 0x9f5a0f77480c65a7
.xword 0x58bde33ddbc4201c
.xword 0x4305b076c5d307da
.xword 0x71349ce57961852f
.xword 0x2982669e03148933
.xword 0xeca528c01b66f46d
.xword 0xebb3271e037d882f
.xword 0xbb235c31df1fec73
.xword 0x86355031bbfa62f6
.xword 0x42e03302da7d20da
.xword 0x27981560ad35fe2c
.xword 0xe1ecdfeab9213c06
.xword 0xbccf1444df341a37
.xword 0x216d72741fe35e80
.xword 0x502c3ff5fc4d6462
.xword 0x8487179ae991de0a
.xword 0x4239000d19912186
.xword 0xf15484f3e5d46e3f
.xword 0xdf677effd52ebcfc
.xword 0x70ab36768bfc1f26
.xword 0x8c6bdeb3e21ab130
.xword 0xa43f81e8feecf482
.xword 0x0507360212db4f0c
.xword 0x67645b7bba10a1ef
.xword 0x071202dbeec7c93b
.xword 0x7130d3e3fe35dee6
.xword 0x673d163cbc6f7150
.xword 0xf8ab9d1c76c9de60
.xword 0xddc456ff478e126f
.xword 0xb29d64ddc21000d3
.xword 0xa00db42e25d76575
.xword 0xebde1735baf9860e
.xword 0x94928832149a99f6
.xword 0xc45a7d5a688d8651
.xword 0x3598f69a21c1c288
.xword 0xc68cae414f686926
.xword 0xe9e6a3a5d6bed3c4
.xword 0x02698ca451702990
.xword 0xeec3032f35230b2a
.xword 0xcd3b470610abeb94
.xword 0x10e7b8b59d74cddb
.xword 0xf24a2337fc248974
.xword 0xd42b80af217d1da6
.xword 0x5869c5caa1b91ff1
.xword 0x23225658ac4ecb6b
.xword 0xfec24626ae3cf5bf
.xword 0xc30f485d65801ddb
.xword 0xcea7c271d86df5ec
.xword 0xc091f0fe8def0c1d
.xword 0xfc199f570df99b85
.xword 0x158d6a8962e1335b
.xword 0x24f39304b7942dff
.xword 0xe668bc7f19581a2d
.xword 0xec50745430077a18
.xword 0xd72ca6f100e1ced3
.xword 0x636b29f80ea28f77
.xword 0x8daed63982bb0cd3
.xword 0xb16f5996c572caec
.xword 0xb30d57afd6d5a2d3
.xword 0xf1d4c6561537b7f3
.xword 0x220d1c4b8f96ba80
.xword 0x8873c1bc1f53d622
.xword 0x2113809e064bf063
.xword 0xce41e96a58ef9318
.xword 0x246f8deb8c64db8c
.xword 0x51755cec6dc0118e
.xword 0x91b0f056bede6ed7
.xword 0xabe0b1754ce5455d
.xword 0xe14313b133d341df
.xword 0x0248a3077965308a
.xword 0x8587b8a004d3de31
.xword 0x3679723cfa56b6b9
.xword 0x97a9f607b411351f
.xword 0xc02a64709585a3aa
.xword 0x5dc945f36a16b4f8
.xword 0x592ff61d759fddb6
.xword 0xb387098f3796cc31
.xword 0xef0bc91f894f1f7f
.xword 0x48d9968efd6c4b66
.xword 0x4c4c3be9a4f3c26a
.xword 0x7e668f3761ee691c
.xword 0x9633b5df4001da0e
.xword 0xd39588972a7860fa
.xword 0xda3a562f45bcb48a
.xword 0x7751e0182999cade
.xword 0xdcd0426bb62bb740
.xword 0x4c23547a9923698a
.xword 0xa3b77e5e34b76793
.xword 0x07e2f80a0dacfeab
.xword 0xfe9868dfeb8406bb
.xword 0x4694740bea067e78
.xword 0xf608c428b764be2b
.xword 0xf282fc134d07d7b1
.xword 0xdcadb6d3f0e8f0d1
.xword 0x4d6c1b29907a96c3
.xword 0x291fe689c658d230
.xword 0x0c76fe3d06094f9f
.xword 0xbd0298b0dbaf0f8a
.xword 0x8f5852c123e5dfe3
.xword 0x0caf9786ae5a6c94
.xword 0x2b127c87d61644fb
.xword 0xa485e3da352b02a4
.xword 0x4b31cfe9d4e15a0b
.xword 0x72771d33eb6778dc
.xword 0xd9f56d73d456a463
.xword 0xe3430b475a209ac1
.xword 0xaf72f48531b38542
.xword 0x520421fe9542c0d4
.xword 0xecb8c9ee0e8f9574
.xword 0x5d582d4ab466c43a
.xword 0x653c731d5688fa31
.xword 0xf689171e076774e1
.xword 0xdfbe8bc420b88d73
.xword 0xfd707d84b1d18089
.xword 0xb146f5a2f9edbce9
.xword 0x62d43593f64d3f0e
.xword 0x046428af14391fb6
.xword 0xf74493cc01ed4607
.xword 0x44f4812c76bd76fb
.xword 0xf39a86e00dbb6834
.xword 0xa706ca0733eff503
.xword 0x8a4c133066488352
.xword 0xb7dcb575ed2e9841
.xword 0x1e55926a19c31f6b
.xword 0x26cd0b176297924d
.xword 0x8e650d25cafba6f5
.xword 0xd094b041f14c3366
.xword 0x828e418395e1fb32
.xword 0x73fde8076f074192
.xword 0x937469c0b0f547b7
.xword 0xac6fadcf433a6bbd
.xword 0x2e764793f51011c4
.xword 0xa1f078b395eeb733
.xword 0x9895398577c0d89b
.xword 0xe59f161eef85cce8
.xword 0x0695e25a351cf3e7
.xword 0x456211110fb59008
.xword 0xf7a5d544ba0bda4d
.xword 0x07b011d79e7c8263
.xword 0x74ba6fe766c4f62d
.xword 0xd601d4ce886ea523
.xword 0x1bb577460b9d664b
.xword 0xf003988a31c62f9c
.xword 0x29ac1e93b496bde6
.xword 0x04427a8f548f0de5
.xword 0x55fc4c006f497beb
.xword 0xdc5ab92e2d7d05d2
.xword 0xc39221c490f04b5a
.xword 0xa3ff71d3526048e8
.xword 0xd72a8e9ef285d8df
.xword 0x52a58166f2b4b837
_t1_crc_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_auth_key:
.xword 0xa1d13fc1968e4a31
.xword 0x7922e9c678d963c6
.xword 0xa7abe7e91991c4e1
.xword 0x997de6dccb9b8f07
.xword 0x5621d1efab79065e
.xword 0x6a6c421fac5db6b3
.xword 0xaf5bede557510d16
.xword 0x60d83419d8eeb9a6
.xword 0x94e553cf1b3d976b
.xword 0x9cabb039fa5dbe19
.xword 0x454174b45737beb9
.xword 0x03f0ac2194601427
.xword 0x425e207edf6bb2ed
.xword 0xdbf836d6c91eaca9
.xword 0xd8ebb5ff127743b1
.xword 0x784d312cf4003d0c
.xword 0x0024cf32a2f08d3f
.xword 0x3fb80df3112a9a34
.xword 0x62ebc3b04114683c
.xword 0x148c58d6223d0989
.xword 0xc31ee27a281660d5
.xword 0x642691cd47c762e2
.xword 0x486d63f5899383b6
_t1_crc_auth_iv:
.xword 0xb9d529387782a619
.xword 0xac51b3d67c5a3749
.xword 0x61d3130064039d93
.xword 0xc775327105f6fee2
.xword 0x54d4cc44055117e1
.xword 0xf29bb06842df036e
.xword 0x9461216e933b46eb
.xword 0xb22fd3cd78dc8314
.xword 0x6e04b6d591314a5f
.xword 0x3787c606521d2f33
.xword 0x47985b74e3438766
.xword 0x861132a9acc08bdd
.xword 0x28b2cba5c0139b33
.xword 0x37db283261cc4c93
.xword 0x59fb9ca3b3f8f324
.xword 0x46a2cb8fb609a5bb
.xword 0xeb7c85dac0d9ad23
.xword 0x9296dfbe7bc4d586
.xword 0xa906f3ab00f8e007
.xword 0x46fec71e92d2368f
.xword 0x27db870d90761ca5
.xword 0x403593c95b76ad1e
.xword 0x5309769e9ba148b3
_t1_crc_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_key_array:
.xword 0xa252d0c8dbfcfc5c
.xword 0x84d1e731d8cb1ce3
.xword 0xcca73fb63e22260e
.xword 0x426fc59445ebc314
.xword 0x383ef81999155a41
.xword 0xc80827d2608ea82f
.xword 0x9e3ec5633014746c
.xword 0x48e0b7c3cfff37b1
.xword 0xae07030d026d319f
.xword 0x3bbdcffdea58b7f9
.xword 0x8b5335c4a4ffd5b6
.xword 0x245e1cc488bcd97a
.xword 0x6c9c19336ae961dd
.xword 0x449d54f9f4d723a8
.xword 0x4c448883d9dedc27
.xword 0x7660b7061adc45ad
.xword 0x6c3dbb9a99985349
.xword 0xaf43a31d08757266
.xword 0xe9c4553760a307ad
.xword 0x5701b4fcad4df422
.xword 0xbbbaf02066a9a2cb
.xword 0x20e36c6cba4656ae
.xword 0x234700b506d08c01
.xword 0x0a6370238f2c2786
.xword 0x0a26920c43c642a1
.xword 0x85c22b4cbb6f11b1
.xword 0xf341b8013b582a14
.xword 0x164767d94436c5cf
.xword 0xd4a87f386f762dde
.xword 0x547b4dd613d321f4
.xword 0xb05e0929c9977725
.xword 0xbe037b2bb2e9e229
.xword 0x7f5601c5f8e5291b
.xword 0x4e8fb256b97716b3
.xword 0x980335f5702de011
.xword 0xc1f6741c6a96ea68
.xword 0x24bc4cd13251951e
.xword 0x3584c85047d8e650
.xword 0x9c1523c8ce6fb1ee
.xword 0xd1b4016c4721b524
.xword 0x88f571eb92c53a7c
.xword 0x8e11a4bb80924672
.xword 0xb79685c3a771ea6b
.xword 0x98c5c2b70b7ac0bd
.xword 0x54c055ec5b65181c
.xword 0x06710da54a5b5e9c
.xword 0x6bf46a49ec3b6acd
.xword 0x540215c29c96c18c
.xword 0xb500ef96bb7c5730
.xword 0x766c872c4f4a851a
.xword 0xce8cc32c3952f717
_t1_hash_iv_array:
.xword 0x6ba9e873c51702fe
.xword 0x4b23002d7297b616
.xword 0x758fa56ff378d767
.xword 0x13e3f2a8822cf2e8
.xword 0x4e072e6769e9943a
.xword 0xbc4166de8e47396f
.xword 0xe2f52095fa33b6a4
.xword 0x2b23706366878bcd
.xword 0x6107b4577e146122
.xword 0x326641077e590059
.xword 0x0323a3ea32769b5c
.xword 0x8f9b1cdfe3fc024b
.xword 0x89267a4bb2ec8daf
.xword 0x16d0ef5c0a736ed6
.xword 0x5a39420c77ee7c8c
.xword 0xc6c5e3b7fb7858f3
.xword 0xb03258a879f23943
.xword 0x91a168c00786e170
.xword 0xac4df17c8e426f40
.xword 0x9115cea45001ca8d
.xword 0xef88ee29b196e39a
.xword 0x63be9fafc0e9db72
.xword 0x5d505e1d04f1be45
.xword 0x46f9002c881706da
.xword 0x058c1632db6f1df4
.xword 0x9bf401e8696f27ee
.xword 0x14a38200a7e33043
.xword 0xafde650aee1b62f8
.xword 0x0457c8671f98fcf2
.xword 0x63c1e75d4f2db216
.xword 0x7fd3f473942d2b67
.xword 0x9e9412da05be9bc5
.xword 0xd1a998e93be66647
.xword 0xd0efd69af81a3515
.xword 0x9aecc1aae52edf11
.xword 0x53ac1c4a1c762aca
.xword 0x86651a6faffc22ca
.xword 0x95cd89825ad20ff9
.xword 0x866c2858363d3726
.xword 0xac50616fbba1fc1a
.xword 0x09ea00135a20e073
.xword 0xd77c713e9637a1e0
.xword 0x5c5a4b5f8ff90b0e
.xword 0x777409eaeae078d6
.xword 0x441ad7628e89b081
_t1_hash_alignment_array:
.xword 0
.xword 3
.xword 10
.xword 7
.xword 1
.xword 3
.xword 11
.xword 3
.xword 9
.xword 14
.xword 3
.xword 5
.xword 15
.xword 2
.xword 6
.xword 7
.xword 4
.xword 7
.xword 5
.xword 8
.xword 10
.xword 10
.xword 0
.xword 4
.xword 3
.xword 8
.xword 2
.xword 13
.xword 13
.xword 2
.xword 9
.xword 7
.xword 11
.xword 4
.xword 8
.xword 3
.xword 5
.xword 3
.xword 14
.xword 4
.xword 3
.xword 4
.xword 1
.xword 2
.xword 13
.xword 7
.xword 0
.xword 13
.xword 12
.xword 10
.xword 7
.xword 15
.xword 2
.xword 2
.xword 3
.xword 0
.xword 10
.xword 13
.xword 0
.xword 4
.xword 14
.xword 7
.xword 8
.xword 5
.xword 1
.xword 7
.xword 14
.xword 6
.xword 3
.xword 8
.xword 5
.xword 7
.xword 2
.xword 13
.xword 9
.xword 9
.xword 4
.xword 15
.xword 14
.xword 4
.xword 4
.xword 13
.xword 5
.xword 7
.xword 8
.xword 9
.xword 14
.xword 5
.xword 7
.xword 3
.xword 7
.xword 10
.xword 4
.xword 10
.xword 12
.xword 4
.xword 4
.xword 3
.xword 12
.xword 14
.xword 11
.xword 14
.xword 10
.xword 4
.xword 8
_t1_hash_src:
.xword 0x256e4fdfd1e0995b
.xword 0x8ae6906e40e6dd1d
.xword 0x664c80be908c5d05
.xword 0x602fb763c595eaff
.xword 0x50cd97e028eb1c3f
.xword 0x86dcc49d3fe92a15
.xword 0xc25018c6e012c553
.xword 0x237ecdcecd8642f5
.xword 0xdda90f97a76fb839
.xword 0xd5f4593ba97cbd6b
.xword 0x23bd28e17a3a6af6
.xword 0x58ca465fafae947f
.xword 0x513abf1cd05c3d6d
.xword 0x5306ee251cb70506
.xword 0x79371c10c40b3076
.xword 0x808da9fe145d0858
.xword 0xcb4d6d9e6e9c4436
.xword 0x9c3527e2d2340743
.xword 0xe9daaaf1c43032c3
.xword 0xfeeddce3f8e23a43
.xword 0x576be4200fd86002
.xword 0x5a17652f5a4633d8
.xword 0x23368c891920b76d
.xword 0x2b980b39e7337261
.xword 0x2d2c4dbcaf9a1ca8
.xword 0xb7851060a4a429ac
.xword 0x85b8a904e27f435f
.xword 0x6a9dee3e1a78696e
.xword 0x59c84cf4dc0b58bd
.xword 0x5525f1e5c36cdb86
.xword 0x34bf58f14a47a505
.xword 0xffdf41694ab4bba6
.xword 0x9c21398cd56efef6
.xword 0xd203cdcf59c41f13
.xword 0x5ee57ee95ce721b7
.xword 0x1fb8b615dfbfc2ec
.xword 0x948aa1429520a9ac
.xword 0xcc72ded918e290d2
.xword 0x46013bfe6e2ab4c4
.xword 0x6af02c4930584bc3
.xword 0xf5fe4f9bd3d5fbf8
.xword 0xa043d8c02a5007a2
.xword 0xc03e0f5e9da89e9e
.xword 0x23950738896c5bc0
.xword 0xe0eb371bd808f098
.xword 0xbc4b2b67b331904b
.xword 0xe1b4b422871bd5a3
.xword 0xba216656cd9f7edf
.xword 0xfbfbbc97cdd8dfa9
.xword 0xcf0dda99fba365af
.xword 0x0a7b4954aecefdf5
.xword 0xfad2b3e1bd374022
.xword 0xb35d3f697a559c67
.xword 0x199462d3c65d687b
.xword 0xc492258d784525e7
.xword 0x0e6622db015f7ea0
.xword 0xef46caf7f6cc8f65
.xword 0x81970aa9277a5521
.xword 0x99be8fa2387965bf
.xword 0x8b5b5e62f6339803
.xword 0x1e9d82633ed9be92
.xword 0x2918027c9b300541
.xword 0xb9290ed643de724b
.xword 0xb0185af1c446aeb5
.xword 0xd550893b6be84d8e
.xword 0x18cbf277f3f84850
.xword 0x333517cfb02c441b
.xword 0xb43de10c291c9215
.xword 0x5f1dcc0b3fc4fed9
.xword 0x33ca8fa5152be0e2
.xword 0x7be39d4caeade3c7
.xword 0x4cbca805b1ac1dec
.xword 0xae36c8be0d1465ae
.xword 0x12df75d42f87a523
.xword 0x11e6c9ed557eb24a
.xword 0x300284c3f8573ad4
.xword 0x6a77d772b3213e73
.xword 0xb94a8ee7ecb3c5d4
.xword 0x7d47e75fe39911a3
.xword 0x637fb606dace7808
.xword 0xfb780d25f275fe3f
.xword 0xd3e77b5ded918b8c
.xword 0x9cf4836c357a7a25
.xword 0xc08645c1a74abb8d
.xword 0x20cedf3fdd06f013
.xword 0x2fd4900ab6e299f8
.xword 0x0df29d3ae763e870
.xword 0xa44b6882af20002d
.xword 0x262d081b548226cc
.xword 0xb4173e1485f4511f
.xword 0x3bcf8589922075a7
.xword 0xfc04536606ef5906
.xword 0x3939e19bd002b69a
.xword 0x1b2eb31bd27c6de3
.xword 0xc646b6a3e1cab67e
.xword 0xc5872ed13a311c56
.xword 0x4fc736b48efd4f44
.xword 0xca20b23119819320
.xword 0x355b78e5bde355ec
.xword 0x4554516247840e8a
.xword 0x9c8af643669da345
.xword 0x764cf577e8bb8d1f
.xword 0x4802aef54246ab60
.xword 0x45ac5c7aaf19c345
.xword 0x4b47f2c6bf04b9ad
.xword 0x6b07ca5dafa90bfb
.xword 0x41b9a0339bc7fd23
.xword 0x53e74f529f3c373a
.xword 0xdd4c1f77bd85e624
.xword 0x2e6114a2b28c3274
.xword 0xbb3068fc719306b7
.xword 0x8240fa5760f1561f
.xword 0x66fafc7c242d65c5
.xword 0x5d0ff8a5fb6bf9ab
.xword 0xb38f91fe5c5a69ec
.xword 0x88da99996909d00c
.xword 0xddc3c642db8ebb9d
.xword 0xe811a91403de0982
.xword 0x0f3c9c90cc0137fe
.xword 0x141e05ce1a14ff89
.xword 0x9155bb3e324fd334
.xword 0x12a82e0f944099b9
.xword 0x24ec5bdbfcb39c26
.xword 0x177ab047bf840f81
.xword 0x8d0bb4891626ed30
.xword 0xd56e0b2b966741b0
.xword 0x04f3ac1e59c44239
.xword 0xfce3897ffa1913d5
.xword 0xe6326e63e19c1935
.xword 0x1d4f526f91eb9ed0
.xword 0xfbef3c914c496fb4
.xword 0x61bee220cc46a60e
.xword 0x591a73b0d5ca4147
.xword 0x51392d070306e450
.xword 0xb95d5cabd1ce53d6
.xword 0x09d177cd489f4a22
.xword 0x733f4e31e4bb741e
.xword 0x4877efb7a9ce0e81
.xword 0x0ff2afa9891482f7
.xword 0x43186a71d5688766
.xword 0xf12cabfc6e8d5ae7
.xword 0x7213ce8fe2baf52a
.xword 0x6c163e6e2061f9eb
.xword 0x99a9a46e296fb101
.xword 0xfae8b1cde023d927
.xword 0x597c87ff2b083cfc
.xword 0xccbd3c6231c29571
.xword 0x212002801ee64b88
.xword 0x4297993826a97086
.xword 0x2dc900140b8be8c7
.xword 0x1ba7d749697d5302
.xword 0x6ab999c03dffe2ff
.xword 0x9dda1cd307113656
.xword 0x34ab2048c1a39f4b
.xword 0xd286ddf9c4b2ecce
.xword 0xab8b402fbcce2638
.xword 0x3c19206782dbf5bd
.xword 0xbe021ac69e8d7345
.xword 0xc8f00e38078594b5
.xword 0x364ffa190096cd03
.xword 0xe38d33271ea228b9
.xword 0x2f31dec5df854cc2
.xword 0xc508874785349e7c
.xword 0x47fc7931bd508c5d
.xword 0x8ff4ac828be672c3
.xword 0xa891f2e98cb8435d
.xword 0xec8b2a50097ecd11
.xword 0x2bec52f6bad2c19b
.xword 0xba4ecbb491a18d1e
.xword 0x69416d132164ba6f
.xword 0x9f9fd4da3caaa133
.xword 0xfa8fc187d71535e1
.xword 0xd15e2cb4e6ce946e
.xword 0x709c627ffbe5fc28
.xword 0x8799fff59c0bb151
.xword 0x57bffb471840667f
.xword 0xefa5486070f838ec
.xword 0x89613575e59a26ca
.xword 0x662a149fdbaffd18
.xword 0x4705db0936a3306b
.xword 0x2f6adab91a7c1ba9
.xword 0xf001744b4ae94778
.xword 0x644c42acf1b7ad89
.xword 0xa62b07686bc66e74
.xword 0x5ceba4c495dea920
.xword 0xb565898c38c86c4e
.xword 0x391cb4f3aebd6b16
.xword 0xdde63fe1677c0fa2
.xword 0x7e01a1590d0045ff
.xword 0xd8de69e7c81f7a59
.xword 0x40cf36065e61f54f
.xword 0x1d1d96280945a776
.xword 0x124d2d0ba8680eeb
.xword 0x0e7e6ab23f728bd6
.xword 0x6ecfb3a77d87916e
.xword 0xf326fada6aa72695
.xword 0xb0bc63765e424b2b
.xword 0xc81be37bfa1370bb
.xword 0xd06e32fdda73b7ed
.xword 0x810c06938ad40ef6
.xword 0xf0917320d3c28e1c
.xword 0x91cac057a355d743
.xword 0x4a30d59fc808741e
.xword 0x155a1709e2de7980
.xword 0xda47e4fd82fba302
.xword 0x003bbd7b1aa73424
.xword 0x701974a0f564a87e
.xword 0x5d2f3825f5c7bb0b
.xword 0x0864d1adec4c523a
.xword 0x85c6ea7257b900e7
.xword 0xdd4cf0ac1461905e
.xword 0x4f1bc28e475854bb
.xword 0x205fef65ae9671d4
.xword 0xedc401fdef4efa56
.xword 0x48f2b9e58a4e1965
.xword 0x2491339bf4872a39
.xword 0x12eaf58fa6139742
.xword 0x79be0038cd104bf3
.xword 0xc8e0e5f7ebb771a4
.xword 0x002f819bc451a52f
.xword 0xb74b94c0fbf6ba42
.xword 0x191c4ce55bd7c8f6
.xword 0x394a4ae932cc4c46
.xword 0xd5045b5ef7af5fea
.xword 0x8d46e4bc18bc83ea
.xword 0xae7f7c9458f1932b
.xword 0xf60c24f917f350ad
.xword 0x5852c5693b367a71
.xword 0x76d066dc6fa1335e
.xword 0x1c18cac1185d8cdf
.xword 0xde840ed81160365b
.xword 0x97731508f543c625
.xword 0xf4dfa21b0891021b
.xword 0x59f643992eb19a3a
.xword 0xb887a3dcf60ecc2b
.xword 0x84137293e6b9f9e9
.xword 0x60cf1874c443c997
.xword 0x6583b7e485801b75
.xword 0x8d82229b9415ecf5
.xword 0x31709b10b1398bd9
.xword 0x90ca589e999486d4
.xword 0x9a101ac6aa4b4b0c
.xword 0xa9fc5ff6869eb354
.xword 0xd67a95b90216a395
.xword 0x802af60eeb9c3c9b
.xword 0x64cdd8f0e155efa8
.xword 0x97c35887d1c0f47d
.xword 0x099ba1a186ddecbb
.xword 0x9090da76af223208
.xword 0x1b8a8f280ce24bd5
.xword 0x67c0ae59c86eb09b
.xword 0x278811802f879427
.xword 0x232fbe9d8b1ae55e
.xword 0xd9de2311ea36d473
.xword 0x301cd1cf3a96e613
.xword 0x33c2fbef3281f5f4
.xword 0x6ccf65aa8b7f4581
.xword 0xc8f3bb9d929d0e0a
.xword 0x55be2b61bcbf430b
.xword 0xc69e8b5598586c03
.xword 0x21a879c4c8afb06a
.xword 0x9e5a4555ff84b922
.xword 0x8e7f5614a417da5f
.xword 0xf32c880d4f146393
.xword 0x313326187a09308f
.xword 0xc35d4b36a2edee77
.xword 0x3f67109efac0a72c
.xword 0x0f9d35c7aecf1631
.xword 0x37ba6dc4e5764f78
.xword 0x4fcbc77ed431d9e2
.xword 0xc2c5a2e0fa940318
.xword 0x5688da059ba4e926
.xword 0x7934e105800e55a9
.xword 0x1c865ac99039c7ac
.xword 0x64ec7d0b7894a2cd
_t1_hash_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_auth_key:
.xword 0x6ea3ba0b66503a81
.xword 0x9a87799020cb00eb
.xword 0x3ed7bfbe8b336fa2
.xword 0x3cfa615d6baa514b
.xword 0x036118ecc08fd0b6
.xword 0x4601b9890f433980
.xword 0xddbee2034f7a6463
.xword 0x226eab763e088fd8
.xword 0x3edbcea56c37a56c
.xword 0x66b0f518b8bebf38
.xword 0x276edfaee8966c31
.xword 0x46b66109f836041e
.xword 0xf9c15080d1bc87bb
.xword 0x00ec939bf17cfe70
.xword 0x7601e9387fb8fb62
.xword 0xf53f41c41be7df11
.xword 0x78df75c0e9d5c831
.xword 0xf76cb434f526e461
.xword 0xfe56d84beb64b8bf
.xword 0xad86e0fc7f4d55be
.xword 0x5e751a5d416c829c
.xword 0x5a9927a64f8ad58e
.xword 0xa2e2034377ae1a35
_t1_hash_auth_iv:
.xword 0xf00ae8e952e7e071
.xword 0x2567ca468f329912
.xword 0x59f6233cadb88a0d
.xword 0x5cd8e39f20c7fa82
.xword 0xf5b52e3a423add78
.xword 0x45c50d694ddf839d
.xword 0x3fa18de34e44dd09
.xword 0xbab53ec5267b6137
.xword 0xeca1442855eaa8e7
.xword 0xfbd3b2f43a9524ae
.xword 0xed85deaf1e02838e
.xword 0xcccfc034340c3088
.xword 0xf1a3cc7804d9da05
.xword 0x84f8c0b1358dd098
.xword 0x57e0bf497064d639
.xword 0xa0d77321370ff610
.xword 0x5fa79239f1a5840c
.xword 0xa78a9b4f16128bb8
.xword 0x3b7d3b8ce69ef1a7
.xword 0xd3f59a8158a3f84e
.xword 0xdd2ee958ae61e001
.xword 0x4fb3ea64f0714803
.xword 0xfee9be21b4ebc7ab
_t1_hash_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_key_array:
.xword 0x7244549486357b38
.xword 0x519c02de377f72e8
.xword 0x96bdc94d02f68fda
.xword 0x5395c35311f1acd1
.xword 0x0d0de71da63b8f96
.xword 0x0d8ceb5579b20029
.xword 0xa7215ec149e8d8d2
.xword 0xe4ee58b0771d0c94
.xword 0x6814a2ef69f9cda1
.xword 0xa92f53f83031cda0
.xword 0xaa4bdbf677d5d642
.xword 0x3365c6d2c5f45167
.xword 0x532ea432761337ff
.xword 0xe0d2bb7dc3c43659
.xword 0x8002887cafbca797
.xword 0xd92df023cb95d2a9
.xword 0xc3349692fba1e4f2
.xword 0x67c7a8316f06e360
.xword 0xddca686b1599b4c6
.xword 0x98691f4dfc66bc17
.xword 0x28f2baf8d8498264
.xword 0xd10902053ac29ab1
.xword 0xde0b87425ec88dcb
.xword 0x1e2a4e7e0c2175ef
.xword 0xc322f2df30ded9a2
.xword 0x59dd825a17024f3d
.xword 0x96a26b30a9cabc86
.xword 0xcc59b71e544df079
.xword 0xc2e7d4d9f3a62082
.xword 0xa06fe583dd307ff9
.xword 0xc5019b78cdf96efe
.xword 0xd5ac71ace9188c49
.xword 0x53fa4f0f9c288d46
.xword 0x3e6ebdb38ac79092
.xword 0xb1e513fdd916d07b
.xword 0xd8932cab2eb8570b
.xword 0x931c8f28f802df30
.xword 0x5d2693b4ab8d43e9
.xword 0xb6d5d05dadcc25d7
.xword 0x7ddda46028514af2
.xword 0xa3b5c1a8f6308ff0
.xword 0xf7ad1189d77cf408
.xword 0x829e227f149cc740
.xword 0x15f94d1401e4c43e
.xword 0x0108a2745b8812b3
.xword 0xf5087b0025306768
.xword 0xe5f23162d1cde1eb
.xword 0x15e1af98d28bddd0
.xword 0x38ec590c977189f7
.xword 0xd7e14c4d93a7e8dc
.xword 0x62f4bbbe98f91c7c
_t1_hmac_iv_array:
.xword 0xb6321a347d523cea
.xword 0xd929e824ecec7dd0
.xword 0xb9a3ec874ae062b4
.xword 0x4227614977ff1ba8
.xword 0xfa98c3b71c59dbe1
.xword 0x118f77022e371e21
.xword 0x54d1ab8e9456e40c
.xword 0x655c00af4f4b8c6a
.xword 0x1cacca1db557f133
.xword 0x7a02564821fb967d
.xword 0xef8cacdeb5fd565c
.xword 0xb5f40e81e97b26f9
.xword 0xa6028b672d3b6523
.xword 0xf0726741c7bee4a3
.xword 0xbd47981246941035
.xword 0x67a9df796d347432
.xword 0xcd15ba7ece7c029b
.xword 0x6e133a369000856f
.xword 0x4e70cf4271df51f2
.xword 0xc2d750c233bdf51d
.xword 0x8a114c2694be2834
.xword 0x3d4d1842b0be880c
.xword 0x3b0369459b53c32e
.xword 0x1fda459748c4e349
.xword 0xb316e8cb1a5d9d8b
.xword 0x41722e0f407c9ea8
.xword 0xb08d62f699624732
.xword 0x392d3a7fd6c6c48d
.xword 0x3d7e7c8342854261
.xword 0xd6f50469c0ca93fd
.xword 0xc682c92248babc71
.xword 0xc9abbe955f3be807
.xword 0xcac2017e7f9aad1e
.xword 0x043f5c0f966313c2
.xword 0x65b46439e6934577
.xword 0xdc720f03a73b0db0
.xword 0x9211f5a14b6f35ee
.xword 0x65697c239ab3910b
.xword 0xb6d50d130a3b0734
.xword 0x3d1ece64b2826cde
.xword 0x6d7d57cddafa640c
.xword 0x68535808fafd2546
.xword 0x64cdc2c17db85da4
.xword 0xcd098816130ec0ae
.xword 0x1dd50de60513ced4
_t1_hmac_alignment_array:
.xword 2
.xword 10
.xword 15
.xword 9
.xword 4
.xword 6
.xword 13
.xword 4
.xword 3
.xword 1
.xword 1
.xword 13
.xword 9
.xword 12
.xword 1
.xword 10
.xword 9
.xword 1
.xword 0
.xword 12
.xword 5
.xword 2
.xword 10
.xword 8
.xword 0
.xword 11
.xword 1
.xword 14
.xword 4
.xword 13
.xword 7
.xword 5
.xword 2
.xword 7
.xword 9
.xword 0
.xword 4
.xword 2
.xword 4
.xword 3
.xword 2
.xword 15
.xword 15
.xword 14
.xword 5
.xword 2
.xword 8
.xword 2
.xword 7
.xword 14
.xword 12
.xword 2
.xword 8
.xword 7
.xword 8
.xword 2
.xword 2
.xword 0
.xword 5
.xword 10
.xword 0
.xword 1
.xword 14
.xword 6
.xword 7
.xword 2
.xword 3
.xword 8
.xword 9
.xword 2
.xword 5
.xword 11
.xword 1
.xword 3
.xword 1
.xword 6
.xword 11
.xword 3
.xword 4
.xword 15
.xword 2
.xword 8
.xword 8
.xword 5
.xword 9
.xword 5
.xword 6
.xword 2
.xword 3
.xword 6
.xword 15
.xword 1
.xword 12
.xword 9
.xword 15
.xword 3
.xword 12
.xword 13
.xword 3
.xword 9
.xword 11
.xword 13
.xword 14
.xword 13
.xword 11
_t1_hmac_src:
.xword 0x6c1290ffaff34934
.xword 0xde1f41dc7aad8bce
.xword 0x7d979f3ac9c83b13
.xword 0xef1603fb0e55486e
.xword 0x9d7c87d9b9421545
.xword 0x3939ad7b2bcbb806
.xword 0x16521ff7a7902a9e
.xword 0xc46b93de3813f2c6
.xword 0x33adb003946a0069
.xword 0xf76aa269cf0221e3
.xword 0xd7106d8fd91d93b6
.xword 0xfbdc2d6be7f132f7
.xword 0x11810602cdee5e94
.xword 0x7efaf78e109d5da8
.xword 0x4d8c34bdf965a22c
.xword 0x00012cf1e47dcba3
.xword 0x85ce08410f2fec7e
.xword 0xd63cc20d68489542
.xword 0x3dac47bc4b322cb9
.xword 0x3a1bd255b2649ee6
.xword 0xad42060a9206f27e
.xword 0x8b0b7e13f6370fca
.xword 0x3e8a924f0c2cbd69
.xword 0x97ccffc7d51a0c2c
.xword 0xf9bf7efd9bbb8c13
.xword 0xa891461c354d21ef
.xword 0x70403498deee0a66
.xword 0x052d1dec2a911ff0
.xword 0xafe21e1c62049d5a
.xword 0x4ca0696a5602f017
.xword 0x4fc30957ebf26df1
.xword 0xae0e7b37ce700e64
.xword 0x93ac93f2186d415e
.xword 0x1b669b00f5a50984
.xword 0xe0c38553446e4c49
.xword 0x9f5092a0d4239368
.xword 0xc8dde9ad7750b2ba
.xword 0x1e6abfb4edfdb477
.xword 0xafd03d930c7464f0
.xword 0x65a73a74baf9f0fb
.xword 0x842e1699d25374b9
.xword 0x0a2d4e49f2fa35fa
.xword 0xfcedd5a511221c1e
.xword 0xd224153008374b8a
.xword 0x7374f76152231f92
.xword 0x2d8fc260eb7d508f
.xword 0x0540e9675583892d
.xword 0x5f07c2193d2a2565
.xword 0x020477a5c473a5ea
.xword 0x0aaa6263338b33a2
.xword 0x76b9754027dea4e2
.xword 0x05b674cfe0daa471
.xword 0xe87fce9bf6c3af08
.xword 0x87d9e0a92d5118a2
.xword 0x5a132a2e0eafe716
.xword 0xc42668b1bc6156a6
.xword 0x187baf5147d35ac2
.xword 0xeec9466a203cf5da
.xword 0x6941b443cee34bbc
.xword 0x3e82ec9f422ca2ed
.xword 0x9ef1b58b763f028d
.xword 0xb3f8815fb2d99035
.xword 0xa6394aee3c87dfd4
.xword 0xd2ef81437dcbaccd
.xword 0x38f46ca6892be0a1
.xword 0x4acb5c8ba1674cab
.xword 0x905c65f631b61517
.xword 0x1da1e5b664815cac
.xword 0x6c07b3007a7fe46b
.xword 0xd78edbc47b1287eb
.xword 0x8f340d3cac42ca90
.xword 0x1e40bb2f77b93516
.xword 0xb31d5c4f8f3ff96f
.xword 0x8b8595a48ef46797
.xword 0x8283b824f6ecfaea
.xword 0xade720ef4bc183d8
.xword 0x90818f999044f9c0
.xword 0x851fc171f72d7a5f
.xword 0x44271f7acb45d1ab
.xword 0xcb429211377da441
.xword 0x7bb9f8edc3065ecd
.xword 0xb57248a4d130d2cf
.xword 0x10da392e9e2a8564
.xword 0xf6725943d3afff5b
.xword 0xba2722dad3f7aa84
.xword 0x7e3c66cafafb080c
.xword 0xd893cf4a7efa38c6
.xword 0xa84c89037817e6a5
.xword 0x5fd79bded203d8ae
.xword 0x6b42eaa2110b33d4
.xword 0x9eb7908c2f5ed358
.xword 0x461a6c4fa92c5032
.xword 0x7adc1024a672b226
.xword 0x7b79ad4ad8f44ac6
.xword 0xcab4ad81ffa49772
.xword 0x3827d9ef1f8f0274
.xword 0x264b0a2387b650cc
.xword 0x74e13d8d592d8721
.xword 0xc3fde4dde7b61c3a
.xword 0xd8dfab682fedb57c
.xword 0xddda3f389d4ca740
.xword 0x2428df75ab9e21b4
.xword 0xe347e87bf7ddb761
.xword 0xf2e15f969fffb3fc
.xword 0x56c5ef163120ab47
.xword 0xa203fbe2e9b555a7
.xword 0xfeca94ece4ef34ec
.xword 0xe3311ebd8eb21cd9
.xword 0x9f07cf0a8f91b7bc
.xword 0xc70d9441c73ad39b
.xword 0x1cf5abbed9ceb4e2
.xword 0x2455d1ea3d362d35
.xword 0x59a84b5f8b66a21c
.xword 0x6d40a8c0e1085875
.xword 0x5c7f51be41684ad5
.xword 0x56bb30f01c96037f
.xword 0x181adfd8fe07308f
.xword 0xcac77fcb8e3f0f1f
.xword 0xbd5ed76363d8180e
.xword 0x2587cd5cfced535c
.xword 0x5bb04e15cb428b96
.xword 0x703a957fc03a00c0
.xword 0xe6974eb3e4de09e4
.xword 0xe3c09c136021d170
.xword 0x245fe09172fddfc9
.xword 0x9cd633e9d58e9f77
.xword 0x7ea279e724f226ff
.xword 0xfdec2e9d49dcd1fc
.xword 0xa19fc680b61865fc
.xword 0x3dc8f278f2fc75fa
.xword 0xda593e646a97201c
.xword 0xda43f9c541cdf354
.xword 0x50a0aca5bac6a073
.xword 0xb909e50843e8975a
.xword 0x666c655371b3e0bb
.xword 0x3b80ca13ee6791f5
.xword 0xe067d0a83f448ebb
.xword 0x49499459fdcc2788
.xword 0xb6a4fd444c1c3e79
.xword 0x8a9d168bd78741bb
.xword 0x4f355f50c61c5c76
.xword 0xba0971d67c1a2093
.xword 0x1b57ae2eeb1ed847
.xword 0x275406268cc383b9
.xword 0xded7418a3a951cbf
.xword 0x2afc6faef946ff53
.xword 0x22160e8719dd2186
.xword 0x8a298a0b10aec13b
.xword 0xe0be80c2477b66c2
.xword 0x21cce3c121778145
.xword 0x4a815b8c7a101699
.xword 0xf3005c1dc44145ab
.xword 0xea456fcecaba0be5
.xword 0x955c2858948ceed1
.xword 0x9ab378aa95e4f791
.xword 0x0c344a44ed9e4863
.xword 0xd7f317d99b74912e
.xword 0x680329ed7e55f8ba
.xword 0x3e80cfa1c807f985
.xword 0xa63798d0bc24c317
.xword 0x03392e8227083bea
.xword 0xde915bf263194134
.xword 0x76d2452ce9f92dfb
.xword 0x6c476c0e50908a2a
.xword 0xc82920fe568a84bb
.xword 0x6493bca1075a1a41
.xword 0x6728fa516ada31f0
.xword 0x29b04daad0822724
.xword 0x9be3b89bebaa355c
.xword 0x0ca4ae6e2bd1d80a
.xword 0x348f61143c3903e2
.xword 0xb43ea6a7d4b27bd2
.xword 0xa2b1da4513fc302a
.xword 0x1b7416d329cfbfa5
.xword 0x8754cd20c6c91ed4
.xword 0xf02bea00bb074f6b
.xword 0x41f2ec53f8469227
.xword 0x1f67ea0cb58eec3d
.xword 0x8bd09c276c8f85a6
.xword 0xad0c47d9367e4616
.xword 0xf57dc56c5b55bc6b
.xword 0x96bb85745674f444
.xword 0xc902fb3f62b8309a
.xword 0xc46362498dacad7f
.xword 0x154e7ad29732eabd
.xword 0x580cf92a743c7dcf
.xword 0x9c7bef68d54ccdc9
.xword 0x04db4e25f44b99fe
.xword 0xe90fad7d31a1f5ed
.xword 0xa8e6a48ad88d6ab7
.xword 0xf509e579428fd108
.xword 0x712b343c973a4d86
.xword 0x1a7dd241782eee35
.xword 0x2b32368f2ae2ed58
.xword 0x3a855ee72e5ff152
.xword 0x6907db7854c39847
.xword 0xba45c25999c2ba0a
.xword 0xa914d03873124e48
.xword 0xf08d0f01bb8478b5
.xword 0xa63d1feb02137791
.xword 0x12c194472560d779
.xword 0x03d3d2039c2cccbb
.xword 0x8f4aa910187598c1
.xword 0xff5015ab193a3605
.xword 0x87240c5a182e87e9
.xword 0xbd74f8ceb73c97c3
.xword 0x08d431416fbe2a7e
.xword 0xfcaf7729d6e07c3f
.xword 0x82cd8f69e201afec
.xword 0x6f18fadd0051299e
.xword 0x96d270da386b50bd
.xword 0x143b565f983f5348
.xword 0xa4ed05d23379d744
.xword 0x28bcf6aeb40c6d04
.xword 0xf6984de59074d850
.xword 0xcc4c89a8a51b2448
.xword 0xfaf53d35d377a559
.xword 0xa1dce37a96e95229
.xword 0x3494611d68f8c607
.xword 0xb1febcfaa2c41c36
.xword 0xed914649ad7af278
.xword 0xaae4d3f5a93290da
.xword 0xc1c731b384bc712c
.xword 0xba953feb1665329e
.xword 0x90e43cf64face6da
.xword 0xa87db0afd220eaaa
.xword 0x58163c62720ab588
.xword 0xcf062385a49e85a6
.xword 0x89646dad5d4a3cf5
.xword 0x96d193ec5616c6e3
.xword 0xef077bd1a0165005
.xword 0x9b46c4a9de039dc0
.xword 0xbeb1852886377056
.xword 0xd153bd6c4d4708fd
.xword 0xc0d7b01f04d3998f
.xword 0x056132ec1dbc3a43
.xword 0x2c4e2f16f5e5d246
.xword 0x26cd674ac3d89d39
.xword 0xbf8172a3efb270b4
.xword 0xef01127bdc392ec9
.xword 0x71bd5f94b08fe43a
.xword 0x69a182c98e2dd5f6
.xword 0x223b59e12fa5f22d
.xword 0xf833e6381bf4634c
.xword 0x2669081b3abfc960
.xword 0xee0d6ef99de0de22
.xword 0xf2ad8fb8f64ccd58
.xword 0xba726b4914baffb2
.xword 0xb95c93d480303704
.xword 0xeb31dab869ab0885
.xword 0x3d00ecc44ceed875
.xword 0x617849beedd157d2
.xword 0x0d06bb335f67f025
.xword 0x0426617f6b825be5
.xword 0x8cfd42dc4bc72902
.xword 0x60386f50757fd1ea
.xword 0xb7b970ab7e29f61f
.xword 0x14a4fe19736dc9ef
.xword 0xb377e26a075afe33
.xword 0xdead131571306d8e
.xword 0xebc710dcce4d5fcf
.xword 0x8ce1b783e2bdf57f
.xword 0x988e21a7848b8a3a
.xword 0xee8b9b58bb2c2bc7
.xword 0xb79f3c795908033c
.xword 0x1013a673d92af9b3
.xword 0xea6d46325e6e76c3
.xword 0xf54d15a80827b5d8
.xword 0x596cfc2d285cd73e
.xword 0x7ac427d0279e74f7
.xword 0x3f89001d8f81d194
.xword 0xb97ce27e19ef7c15
.xword 0x108761ee5f0be252
.xword 0x7245c0e43f9f86f5
.xword 0xb606fea1f5ecfa3f
_t1_hmac_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_auth_key:
.xword 0xafe54a6370ba9d24
.xword 0x3247c7834171850c
.xword 0x4556dfb26b61d04e
.xword 0xf2d4b2a9a608c0a6
.xword 0xb0d0b403ced7476f
.xword 0x193342fea2dd3d22
.xword 0x088147f0bc53d242
.xword 0x7dec3902e9eedf05
.xword 0xf8b722777b625ff7
.xword 0x1235a94952ad426d
.xword 0x64752314170feacc
.xword 0x432a36198a874823
.xword 0x54490453aa3baf36
.xword 0xb5f615a8e17ed10a
.xword 0x54cc26b51165b65d
.xword 0xb0276dfa4caa7449
.xword 0xaa4aae4497106aff
.xword 0x9bad915a600fbd24
.xword 0x2360c89217333ed6
.xword 0x1e9e416b0429a844
.xword 0x5245f4466f45c4a8
.xword 0x601febffc3e0faf7
.xword 0xa1d5b9e184cfc6c3
_t1_hmac_auth_iv:
.xword 0x06c83c361a425dea
.xword 0x57fd23845ccd4a0d
.xword 0xc4d43010e32de32a
.xword 0x6d2ce7d41dbd777a
.xword 0x6c0937cc6c7535c2
.xword 0xdabc5d2534f54ef3
.xword 0xdd8e995d39766f63
.xword 0x14e0466bb1ebf060
.xword 0xe4280621622f2716
.xword 0x01be74ac4948600a
.xword 0x99e5136bcc22a8a4
.xword 0x705e76d21c81b643
.xword 0x85f58c4440d2a486
.xword 0xc3b3e701d1f2359f
.xword 0x7a9b1697d932ecaf
.xword 0x16686f303af03ca6
.xword 0x3522953b6d4cdf26
.xword 0xfcff4402411ad3a3
.xword 0xfa6b28afd716449c
.xword 0x838a47bc6f0e5a7f
.xword 0x38596793a07d3adc
.xword 0x85eb49f7329a4fa2
.xword 0x481a51a28772bda4
_t1_hmac_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_key_array:
.xword 0xc9b603a5e4c0e251
.xword 0x068bddee306fb664
.xword 0x5035f83cddf366dd
.xword 0x246f0d5da1d0820b
.xword 0xf60a9d254f7f2ed6
.xword 0xf63ecabdaeb4a4f5
.xword 0x6d732d40a0b95252
.xword 0x8d25c9966a655dc1
.xword 0xe4f184970c093908
.xword 0x40dbf225cbfa1f63
.xword 0xc3c691b1eb42a7f6
.xword 0x96b5a54a4b7df0a1
.xword 0xef926b935da1425a
.xword 0x70c1a4f49c69c9e2
.xword 0xdd11b2cae2de133c
.xword 0xb188adcabb4dad85
.xword 0x4b5f5fac003b6caa
.xword 0x14f1ea711378d810
.xword 0x32ab91f55a176996
.xword 0x722eab5dd7b1680a
.xword 0xdcced31ad8fb8d06
.xword 0x59ff49c5de218064
.xword 0xa8bf51c8734776ef
.xword 0x37091d97996a892b
.xword 0x501679a86ff1a2f8
.xword 0x745f7115dd1ca8c8
.xword 0xa6f8fd7dafd7efa4
.xword 0xe4ac734af5d09d53
.xword 0x40a216f9890169db
.xword 0x71745f10f2e9395a
.xword 0x45cc9534dc9313f4
.xword 0xd8772ec0c2e8b2c5
.xword 0x0cad3cf5347a3c46
.xword 0xb755de002e56ee9e
.xword 0xf33c6e9d4f9f25dc
.xword 0x546a2b48ef2bebbd
.xword 0x5b466b0bc4938ed8
.xword 0xd2f9f08e011b98fc
.xword 0x3ca12dd326120314
.xword 0x13223e6beb7959dc
.xword 0x7707ebb722611687
.xword 0xdc750ceb3b1ad29a
.xword 0xa165d563580b251c
.xword 0x3117376040ceb78e
.xword 0xc42e195855f80e54
.xword 0x0c22e0a9f2793cde
.xword 0x6ceaf2c7a8fa2445
.xword 0xfc838b13cde06098
.xword 0x8fd1c8974f773854
.xword 0xee638d1d1612978b
.xword 0xbbf96a496ab6490d
_t1_rc4_iv_array:
.xword 0x318e3fe98e3f80bd
.xword 0x608db7d8a09b7484
.xword 0x98ec3962525b2f54
.xword 0x1205113d8d67f056
.xword 0xa10f99f926be8f35
.xword 0x1318a02c31d5880a
.xword 0x6a528b3d627daba3
.xword 0xd6436ca1bd226038
.xword 0x093ab91387c6ec93
.xword 0x46d162c5e81dd8e4
.xword 0xf008cdac85f03dea
.xword 0xc4b44e690bdea850
.xword 0x2ceecb0c633bd50c
.xword 0x3eb38be06885b191
.xword 0x1c89a8db3cc9f33c
.xword 0xf97f5a3819c3ee1a
.xword 0x0358f7a335bf0bd1
.xword 0x1949c5adbdaa58f0
.xword 0xa98022468e733299
.xword 0xb97c7af9e04ca91a
.xword 0x252163e9dbaa8dd7
.xword 0xeca7afbc1f3b58d1
.xword 0x6f02848739552985
.xword 0x892e8a3959f6def9
.xword 0x8e26d73a191f8e2e
.xword 0xcaa162837c3a14ac
.xword 0x31f01e160b58360f
.xword 0x3e6baf567d3d900e
.xword 0x813affae71cd151e
.xword 0xec860dd87fc99a97
.xword 0x6900793a8b9fdb5f
.xword 0xc9b82620b859ee39
.xword 0x7c6a0affe2e1c5fa
.xword 0x48b6f5288db364ec
.xword 0x0b25117e739bd259
.xword 0x6c518db1d42d60ff
.xword 0x227878f94726d525
.xword 0x39b14286a7a8f34e
.xword 0x23dbc929802f2e87
.xword 0x910cf264150e65ce
.xword 0xf015526b947d8fa9
.xword 0xa811cfef06f242d8
.xword 0x1f0b87843ec1b279
.xword 0x041c1b174e3126c9
.xword 0x4f98dcb69b0955ae
_t1_rc4_alignment_array:
.xword 2
.xword 4
.xword 11
.xword 8
.xword 7
.xword 1
.xword 6
.xword 6
.xword 13
.xword 5
.xword 6
.xword 12
.xword 9
.xword 4
.xword 6
.xword 8
.xword 14
.xword 14
.xword 12
.xword 11
.xword 0
.xword 0
.xword 14
.xword 3
.xword 7
.xword 12
.xword 8
.xword 5
.xword 9
.xword 6
.xword 4
.xword 5
.xword 0
.xword 1
.xword 14
.xword 4
.xword 7
.xword 6
.xword 14
.xword 8
.xword 5
.xword 7
.xword 9
.xword 5
.xword 2
.xword 5
.xword 15
.xword 9
.xword 9
.xword 14
.xword 12
.xword 1
.xword 9
.xword 0
.xword 10
.xword 12
.xword 1
.xword 4
.xword 10
.xword 4
.xword 6
.xword 2
.xword 12
.xword 4
.xword 10
.xword 9
.xword 0
.xword 10
.xword 11
.xword 5
.xword 8
.xword 9
.xword 2
.xword 10
.xword 15
.xword 12
.xword 10
.xword 2
.xword 14
.xword 14
.xword 6
.xword 13
.xword 8
.xword 3
.xword 12
.xword 10
.xword 12
.xword 3
.xword 13
.xword 4
.xword 14
.xword 9
.xword 15
.xword 2
.xword 8
.xword 15
.xword 14
.xword 0
.xword 11
.xword 0
.xword 1
.xword 12
.xword 2
.xword 3
.xword 7
_t1_rc4_src:
.xword 0x0e3e99bb2dd31b47
.xword 0x1f5bab849dbd8e18
.xword 0x7794133579267189
.xword 0xfdf028424012eb3a
.xword 0x24de8fd184c1e41a
.xword 0x8721539c8195a944
.xword 0xc8741aac88825dad
.xword 0xd8c2d60d19b4c53d
.xword 0x3c0d4c3f75ef5097
.xword 0x175a3aed82983a1f
.xword 0x7fc422c6e6df5781
.xword 0xf5eb3e0a70e95c8b
.xword 0x9d234535b6840b50
.xword 0xdfbe32a688e9f43b
.xword 0xba45192672016031
.xword 0x442b1d2ba8d1d469
.xword 0x399b1675e32039a8
.xword 0x4663dc6f1ebe7549
.xword 0x2d29685fb383044a
.xword 0xa661a29a5ff43087
.xword 0x5cd6c863527fe3c5
.xword 0x80aec57c84134e08
.xword 0xfe6cbaa7d540ad23
.xword 0x48a212c1e8b1d8c7
.xword 0x785ec4e712505a32
.xword 0x280f20a72dbee485
.xword 0xde6a2a18ac1bf364
.xword 0xf77d59478bffdc0b
.xword 0x997f909c10d7669d
.xword 0x080510c1ad829686
.xword 0xd8c31f64f22129d2
.xword 0x0f9056159ddd4db0
.xword 0x2525864420e5b6ae
.xword 0x3fc8c0d459f27dc0
.xword 0x148fe37435ccb1bb
.xword 0xe681d7c0161743eb
.xword 0xd8a1865db817146b
.xword 0xbd2cc704f86ef777
.xword 0x525c5328f9267917
.xword 0x944159028a7d2663
.xword 0x81a11caeeaa9e48e
.xword 0x59b790198997fc63
.xword 0xa14c4282d14ba808
.xword 0x4ee2bf3390b34274
.xword 0xa635610f8abfd57c
.xword 0x1cb359c425123c49
.xword 0x50582ebe191c1277
.xword 0x31859980d5d16b68
.xword 0x4d8544c26d46c3f1
.xword 0xd88cfe75543ff3fd
.xword 0x76907efe831e54a0
.xword 0xda8d6ed564837933
.xword 0x0ea1e4cdaad83190
.xword 0x5c4406e6bdc03ee0
.xword 0xbbf9140cc734b260
.xword 0x5a1c39661dc678a7
.xword 0xd3c8f6df46c0828f
.xword 0x9becd739adc00626
.xword 0x87610594c1c428de
.xword 0xc698c453f494cae7
.xword 0x60d0c59b443322da
.xword 0xb14fc9fbf9da3f25
.xword 0xb64952d0b3a2ba08
.xword 0x72b84197d8e94e0c
.xword 0x20b208dfb90c2a4b
.xword 0xc378409a9a91e566
.xword 0xcc26dceb03e17f63
.xword 0x2cef7c8be94b70e8
.xword 0xde7c9359c7156e7e
.xword 0xa7f8e17f92e06ea3
.xword 0x922f82a6a1fefd90
.xword 0xbcae10636f5449a9
.xword 0x87648dbee22ab1bc
.xword 0x8cebf3b5b9e05a21
.xword 0x9965297372796974
.xword 0x55d70e99120a6055
.xword 0xb1b6388c0d78c950
.xword 0x386067d6bad4b470
.xword 0x8e7179eabaf9a891
.xword 0x20c4c6d65a1b0de5
.xword 0xbac9562e9da48446
.xword 0xe1279ae5245084b7
.xword 0x0e61baf0f3043e07
.xword 0x6768affd40775fd0
.xword 0x90564b1c41d83446
.xword 0x32415ee5a22cd8b7
.xword 0xaf851659c072e787
.xword 0x34a34a8ad726b770
.xword 0x6fd804f149e597b1
.xword 0x506d24039ddd784f
.xword 0x50a476adb8e06bae
.xword 0xb65c86cf62929378
.xword 0x7d7c5f5ddf739d0b
.xword 0x9308c97621dd98a1
.xword 0x98e3843c135647b6
.xword 0xca31cebfe9ac6e76
.xword 0xd0cc141adb131a90
.xword 0x54c0eba06d1e8dfb
.xword 0xf1ded3bb03044658
.xword 0x5ccd4d4776cdef16
.xword 0x93c484e618e6c52b
.xword 0x65656b3136e34e90
.xword 0x4d41107c87616cd4
.xword 0x13b82c72ff29f585
.xword 0xb04e376808017f7d
.xword 0x35e384dada00cc29
.xword 0xe36dd7716c2e193b
.xword 0x1bb71f0bce621d50
.xword 0x1aecc4d33c285884
.xword 0x4ed4e1ec3789d8f4
.xword 0xca0f9c0e74cbeeb8
.xword 0x479b351ce341a341
.xword 0xa9f810a24e2bc120
.xword 0x28b061bec886bbc8
.xword 0x2975addeb107ed46
.xword 0x8ac20704525f98f9
.xword 0x8fd2d9980af11546
.xword 0xf6679c192487d53b
.xword 0x2cee39063f38bde1
.xword 0x93739e8bc7dd8a2b
.xword 0xbac212b4242bfc16
.xword 0x39ac7e7346ddbed3
.xword 0x04b75074d07282d9
.xword 0x25e5b9d106a08d73
.xword 0x379684b5b92d9928
.xword 0x1a2e35fd293c4231
.xword 0xb571e4b5400871b6
.xword 0x8aefd63173a63295
.xword 0x8623a7b7fe085f50
.xword 0xee67acd873aa5faf
.xword 0x757ca6da3a07c9ec
.xword 0x7994e9f47ea3e45b
.xword 0x3bdc2f4cafbd18f3
.xword 0xa95ff8fe0efa962c
.xword 0x324055e817b96fdd
.xword 0x6d0c4270f4b5eaef
.xword 0xed2a36ad43fdb47d
.xword 0x8c84cbc3670f0082
.xword 0x4ab27ace24da9ec3
.xword 0x15c521d48ea149be
.xword 0x675b8bbf302acaa3
.xword 0x96c55fab6da46369
.xword 0x55fe9563ebf67b36
.xword 0x6350bedb4771ebe2
.xword 0xb5a8094759f99e4b
.xword 0x594ed64c08bd90f3
.xword 0x105e383a4b06dfff
.xword 0x2f42716812f2b802
.xword 0x2340b138bf921a9b
.xword 0xc0b28e3afbb9b8d3
.xword 0x89e7b07b88a451f7
.xword 0x90e5d0e58ef871cc
.xword 0xc84d0469eea8a33d
.xword 0x313bfbda05e7afce
.xword 0x46e64cf3fbeb6e74
.xword 0xceb79f2e15bc35be
.xword 0xa2cea3230fa2fe8c
.xword 0xa7851fb048247be0
.xword 0x9b45b4cb3c3253f2
.xword 0xd93ab054195870f5
.xword 0x13d28ee56ad99832
.xword 0x7ac2f805dd7cd3e9
.xword 0x45f7f9303a00c069
.xword 0x2e828baae791b229
.xword 0xda603d6fc30c0cba
.xword 0x890a39286c2954e9
.xword 0x6f4cf8038b4fe8e0
.xword 0x66a4a3731a11587e
.xword 0xe585be242be92a2d
.xword 0x76aa2087ff0e9da6
.xword 0x6a34d74a32531a3b
.xword 0x96324f3a28106740
.xword 0xa586e58303bb9172
.xword 0xed23092d309e47a4
.xword 0xf944c340a19cf1d7
.xword 0x23510137a1dbb89c
.xword 0x790dbb01d74b6fdb
.xword 0xc9cc904ab429b65c
.xword 0xf7d806b20f6fdf84
.xword 0x3614d0dda5539e75
.xword 0xd6551874a1eec6f5
.xword 0xe74170b9dd1649b2
.xword 0x7e849c42da8148fd
.xword 0x165ce1b71c8d1645
.xword 0xb48ed9183700e8fc
.xword 0xa2ad137a3a3dfde1
.xword 0x8dac718f4eef7888
.xword 0x809c6049b6d18f9b
.xword 0xb3d31a34ff78bc90
.xword 0x1b4356d0625a5590
.xword 0x9f51ad629d1dca0d
.xword 0x80798f70cdfdd1e9
.xword 0x5adde7f1a8decd78
.xword 0x3fa9686bdbef8e2e
.xword 0x5b2548ad3019c1f7
.xword 0xf0bb005cdd774037
.xword 0x2db91069072fbe6d
.xword 0x8b2c87584b69539c
.xword 0xa3c53e695f149980
.xword 0x8009b4f4ae9a3524
.xword 0xb8b096d00087d0e9
.xword 0x7908e75e3d7b3b74
.xword 0x63b97031d936bd91
.xword 0xc898b66e7d53ebef
.xword 0xcc0a39b18ffecee4
.xword 0x679b7aafbe864f85
.xword 0x30a24f6d2c4b5f53
.xword 0x29eac0f72ba51260
.xword 0xd4d4b007d5e492e5
.xword 0xa253147d11ba416b
.xword 0x0f882e317ed923f2
.xword 0x10dc36a31627222f
.xword 0x1c72dcc28274aa61
.xword 0x41f7a5ecf0926163
.xword 0x30bf301506419d98
.xword 0xfd4760efb7531bdf
.xword 0x4de1df6e23792287
.xword 0x4251bcc19277dc8a
.xword 0x924edfc3799f2249
.xword 0x97a6a686cf42d52e
.xword 0x579792e88886d9c1
.xword 0x8ce97aa6e9cfedd3
.xword 0xc7a79b8697170a46
.xword 0xb8228d917a4fb6f2
.xword 0x640a74cdf51cc46b
.xword 0xcd9860e1ec0a7a64
.xword 0xcf9ffcecc2675aaf
.xword 0x2fd1c3f26cbc4704
.xword 0x9bfcc40cb43061d2
.xword 0x41e29d00305a086a
.xword 0x30aaf914b827a9f0
.xword 0x26bcd0cb71d7dc74
.xword 0x6d7e35a108cbe14b
.xword 0x7f397952572323d2
.xword 0x0f527ed256df0a5c
.xword 0xf2b75d3d4675b38e
.xword 0xfc1a24d6accf83d6
.xword 0x7e66dc5c78fb0207
.xword 0x6a540a510052c999
.xword 0x7b0431afee069442
.xword 0x9f39c13cf32b3f4b
.xword 0xc6e0f3cc9d4c3019
.xword 0xea2c4b95ee5b3b4e
.xword 0x0bc3e6bdcb38ee4d
.xword 0xccbe4206c342c1bd
.xword 0xae8ab4717e11f73e
.xword 0xb01d4c87a2138a45
.xword 0xe713ebfea08b7633
.xword 0x1f4ba515e6945273
.xword 0x5c39674796ddd4a6
.xword 0x97d726e8f1d92272
.xword 0xbe1618434da0ff6a
.xword 0x31c8f9527028e283
.xword 0xb3ae1932e68b3d9b
.xword 0xc6e5ebfe76af834b
.xword 0xcba42fc6cfbb0759
.xword 0xb95051c320552a41
.xword 0x4a5a76d15bb07311
.xword 0x7658b5717fbf26f8
.xword 0x4e9f43412cdbec44
.xword 0x552fb464cb62b3ad
.xword 0x40e18f9e8a21d980
.xword 0x5b74757809cb2836
.xword 0xb242119dac3c04f3
.xword 0xeb6d9dca9557fd6d
.xword 0x43fd1ed2441ce9fe
.xword 0x3c94e7f8a842810f
.xword 0xaa681624fcd27733
.xword 0x40a5ce44a4ee0f06
.xword 0x5bdeda4be5484585
.xword 0x0fc7683993d9d6f8
.xword 0x3854c8e4aecf175e
.xword 0xdda18aca03ae6a62
.xword 0x10f60153dba99539
.xword 0x669a6ceeeb90fe97
_t1_rc4_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_auth_key:
.xword 0xf24e7e855a555fe9
.xword 0xbaecc82704562664
.xword 0x48daf298f3e58465
.xword 0xcd875ec3c12ad682
.xword 0xfa7b2b52319bcdf4
.xword 0xa79b18af35b555b2
.xword 0x237a669637a4f964
.xword 0x66178fb112c93d69
.xword 0x665526b7689064db
.xword 0x112bc751b422e837
.xword 0x46d30893483832c8
.xword 0xb6d4fb2690b7d17b
.xword 0x50f0c6ebe9feac6d
.xword 0x984041f22d108baf
.xword 0x45f84228d457a2bb
.xword 0x9c2d5ea924d3f9f2
.xword 0x2ad3ca193c0ffe19
.xword 0x230ee9cb47fed0e6
.xword 0xdb54bbdccea20910
.xword 0xd6e5f3d3b0b16dde
.xword 0xb45d86f5e0ec6946
.xword 0x006c491faad4f33a
.xword 0x463f25b39361f969
_t1_rc4_auth_iv:
.xword 0xb90246886da78e1f
.xword 0xf599a5e9127a06f8
.xword 0x5d622edf2c4eff9f
.xword 0x77b1a161ed6b1c06
.xword 0xa40c1a4449c3c2db
.xword 0xb0f0aa109815a56e
.xword 0x64a10395cacf7d0d
.xword 0x28dfbd5c72a84fea
.xword 0xff999f134f627b66
.xword 0xab3fcf95cb87a5a0
.xword 0x1dd3144d32462f26
.xword 0x95552f9296a0b9fb
.xword 0xb57cd53293710d32
.xword 0x278a7f167ef6c714
.xword 0x9ac84da9cd2bc322
.xword 0x637ea4bbdddc9b80
.xword 0xb1c1e8e5b3bd4dd4
.xword 0xb312712a915efffe
.xword 0x76c1813f67f1ce61
.xword 0x34fdb57edeb16504
.xword 0x6df682e0f96bd214
.xword 0x5f9642ee59d25ca5
.xword 0x5908f3b3746c26a4
_t1_rc4_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_key_array:
.xword 0x5f4f36944adee3d1
.xword 0x75e6ebb29e3093ed
.xword 0x9447a4b748f08ab2
.xword 0xc890ae8f1b579e64
.xword 0x6ff7d528a67e57de
.xword 0x5fabac7062826d0d
.xword 0xf7ceac563dda3194
.xword 0xd9807767a71fd683
.xword 0x71ce96f898a2e5a4
.xword 0x22880929a20159ce
.xword 0x283ab95d776b90ba
.xword 0x252c5d27c5c20d4c
.xword 0x6ae693b290cd50b5
.xword 0x4f01a2448a35cf44
.xword 0xaa181283eb6a4cae
.xword 0xca9d6272986a7ab8
.xword 0xf7e2435b07d88d00
.xword 0xd0f651c61c295bef
.xword 0x0e2c58070d3b954d
.xword 0xa8842e97be66180e
.xword 0xa1b5feddc2df85af
.xword 0x78d82cf0ad49cab4
.xword 0x935f22f8ff33e37d
.xword 0xce48e76ee5174038
.xword 0xfe5dbfa050aaf888
.xword 0x93a61514e61600c2
.xword 0x485f4b38f1118027
.xword 0x1e2b06171cbe57e6
.xword 0x49f658e75890b286
.xword 0x580c771c547898d1
.xword 0x739aa505a993f82c
.xword 0x410a0b205f6c87fc
.xword 0x9339b5751c71372e
.xword 0x2bc1126e3e0c8bab
.xword 0x8ca2442c289280fb
.xword 0xb73ec726d586712d
.xword 0x37d2c26ed5d68488
.xword 0x0861a2e5c1901d58
.xword 0x592788461094eb52
.xword 0x2aae53561f2e21a5
.xword 0xc604cbdca6010e64
.xword 0x3ca373b9cfff2858
.xword 0xb00cb6396cd2e25b
.xword 0xa1ed5a6b7992b022
.xword 0x29e8242985c593dc
.xword 0xb42dce32e379763a
.xword 0x504c03d1a32c3b60
.xword 0x70833d7bf928e453
.xword 0xbf514ab70ddd3eea
.xword 0x593cfdc025ba2025
.xword 0x15b015fe0bd28c89
_t1_sslkey_iv_array:
.xword 0xca44c96266a0c747
.xword 0xb690da6d792d1d97
.xword 0xd479bcf80dc28fa4
.xword 0x151c94dc819dd89e
.xword 0xc74095be5390ea70
.xword 0xadcab11526ea33e9
.xword 0xaf94ac9088383468
.xword 0x47992066c045ec9b
.xword 0x821817bf8a16c4dd
.xword 0x3682eea837cd2afb
.xword 0x7baa768cf02c6f23
.xword 0x14ba629aef94f102
.xword 0xa3fe534585e1dd87
.xword 0xdd4ce662bfe34972
.xword 0x21b62f25f50325da
.xword 0x14ef6e42bf40a310
.xword 0xbc885cc4ababa730
.xword 0xcb3f69ca7807a858
.xword 0x0afae9eb050e0d4f
.xword 0x7059168ce9e02118
.xword 0xc14cd85089e2bfe5
.xword 0xf5d6cfead33d5e98
.xword 0x4a4df2cd3fbedbbc
.xword 0x1dc3b5761b517304
.xword 0xca2ecc5a2632d516
.xword 0x1c28916f516e2f0b
.xword 0xdd53f059c82a142f
.xword 0xb34b609752033ba3
.xword 0xadd1b1a20cc5765e
.xword 0x0906b52a8808a1d7
.xword 0x6f07019d5afcf160
.xword 0xc92e3ad5c71bd002
.xword 0xde5b7e81f1119459
.xword 0x2dd8ec90982615bd
.xword 0x01b007407815e003
.xword 0xb1d48ed4cb0747c6
.xword 0xf1960d742b553e5d
.xword 0x2b273993eef55fe0
.xword 0xdd39ea6999513ba4
.xword 0x348858828001d55d
.xword 0x88ecb99ddd5063d3
.xword 0x830a952678434574
.xword 0x0684c7242c196b3c
.xword 0x355cc21965fc7061
.xword 0xfa813ff9a1d31a04
_t1_sslkey_alignment_array:
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
_t1_sslkey_src:
.xword 0xc6141216e4f5451d
.xword 0x07266f0d7b183e53
.xword 0x754eeef8f11f16da
.xword 0x57adc1a185e9e61a
.xword 0x9320970980549698
.xword 0xb31cdb8423e5b527
.xword 0x35680afc03676eb4
.xword 0x638b3c0ef5b6bb40
.xword 0x67d3b1d4f5ef1fba
.xword 0x1de3c869839b7ac8
.xword 0xdbffaa48bdea08bd
.xword 0xbfa5ba3e02a02fd9
.xword 0x1f16b4276d3cf68d
.xword 0x37f28b9cf5813ecd
.xword 0xfb908d02240f2927
.xword 0xaaac8e38a968a006
.xword 0xdb0afe0cbdf4f948
.xword 0x6c7c8eb8a3c03512
.xword 0xf580d4c2f937c883
.xword 0x4b592757923ddc39
.xword 0xdf3eda5c3bd67584
.xword 0xaf68afc13a0f5d4e
.xword 0xd5990c49ca74ee6e
.xword 0x9e53042e5957dae3
.xword 0x679834c6fe2b5bac
.xword 0x67f4802c10bf9ea4
.xword 0x1f62e1bacc573020
.xword 0xefcc2cd81084177c
.xword 0xdfa97d6289daec49
.xword 0x5108188f1c8fbb9d
.xword 0x328c50b637767373
.xword 0x299d150891aea39e
.xword 0x715c91e72673554c
.xword 0x7753256cf76ed161
.xword 0x5bc455c0efcb36ac
.xword 0xd798ca8496a1b75f
.xword 0x95acc7e5228d33cf
.xword 0xc9b9e528dccdec1b
.xword 0xc78e66214629514f
.xword 0xdb3390ff599ac290
.xword 0x93879f2196d1ddd5
.xword 0x9dcbfa58fc57704d
.xword 0xaaa14387a4f4bd6d
.xword 0x8b78746c361e44b3
.xword 0xf5a520f6988ede49
.xword 0x14ceead9b3fb3f52
.xword 0x84703a475f2abc50
.xword 0x9213f83d2b6a0906
.xword 0xf0e2e5df7551575e
.xword 0x9406d046afaaadb4
.xword 0x27e668e9c0cccfa1
.xword 0x10e6a45e4dee22ca
.xword 0x9280263aeb4be05e
.xword 0x28d05a45c852636a
.xword 0x7a7001b2c1356417
.xword 0xeb2f064da98e3754
.xword 0x88e9ac1f07af309e
.xword 0x5c6bd4399e9f595c
.xword 0x9736da64fcc78098
.xword 0xc4277a3827733f63
.xword 0xe9d3920a74a56c2a
.xword 0xe0f6f22cc8c2b7fb
.xword 0xd3df5205b0633a4a
.xword 0x45eb592aeeb5f7d3
.xword 0x45bea7f2476d3ee1
.xword 0xeb264f7fe657152a
.xword 0x8b3aae777e78778a
.xword 0x58ea4d4abf9a41a4
.xword 0x608f5079fac67d7a
.xword 0x44db9aeb80324c3f
.xword 0x829784f84c7fe75e
.xword 0xf46205793588dc26
.xword 0xad800b02d4b28754
.xword 0xc31b98d1e2b16b76
.xword 0xa95cb4bfe4226def
.xword 0xf4b8d54864295b64
.xword 0xec07b38b0ab831bc
.xword 0x82beaf1125ae6994
.xword 0x2893ba792a7e0ce9
.xword 0x8829bddfbb405da8
.xword 0x0eae177162299364
.xword 0x674d6deef3561d1a
.xword 0xa394fa85a53d68d4
.xword 0xf6edbf5a0c009f27
.xword 0xc808219fba7303ed
.xword 0x4d384050099465c3
.xword 0x65916b5dafeff8d5
.xword 0x60911a526b605e81
.xword 0x539a5bbc025b1a4d
.xword 0x68bf8eb93408d699
.xword 0x4b6cff05e38658ec
.xword 0x63cec9e95e65216e
.xword 0xc053f5c6c39e627f
.xword 0xec661327a21b1eec
.xword 0x25da9384221a5851
.xword 0x7e2af82aad5de303
.xword 0xfa923c75ed1c3288
.xword 0x7bce81a8a0cbb3dc
.xword 0xfe988dfc2c529321
.xword 0x17064025e76c45bf
.xword 0xbc0d31cc60ce756c
.xword 0xc5e393cdd5e33ed4
.xword 0xe2b65f8cde0a9c8a
.xword 0xe1a9cb03fd0ef7e5
.xword 0xe17bbddac09e7ba6
.xword 0x0182b5ca47dbd254
.xword 0x884b79289f00e204
.xword 0xadd4d747aaa0a2d7
.xword 0x729c146a653ab586
.xword 0x49201955dee0f6f9
.xword 0x5c9c2b5141927610
.xword 0x45f0d39476420a5a
.xword 0x5e8cd8b53ca9ee32
.xword 0x697a764f5a82b904
.xword 0x1a9dfeec51fbc995
.xword 0x52b70043d5d0eb55
.xword 0xbbf30cb4d7898762
.xword 0xe0af04e5b03368a0
.xword 0x477c7737554521ea
.xword 0xefb50932a2aae69d
.xword 0x0fc12e15e6b55377
.xword 0xd5aacfe103b8d3f4
.xword 0x9d3512679972ba43
.xword 0x97043503f8966bfd
.xword 0x645ec8dea534b142
.xword 0x7135e9feac8e08d2
.xword 0x0b7b0e3f4e11d685
.xword 0xeca6d900260d5eec
.xword 0x99675267756d53c4
.xword 0x77e22efd860d3908
.xword 0x79cf74a198149c37
.xword 0x61c78e049ff64bbf
.xword 0x26f3730cd2fe33a5
.xword 0x7c72e894192f5e62
.xword 0xfa5fb66fef4b78b9
.xword 0x667feede78a74633
.xword 0x113a2b9c2e071121
.xword 0x5d1affee0759cfec
.xword 0x0d91f3c77964f2f4
.xword 0x285afe4ceb5a15ff
.xword 0x4fe2935afeabc165
.xword 0xa6281212a952f51f
.xword 0xb509bc72f3478a46
.xword 0xc3553f445a6083ac
.xword 0x142507120cd2df95
.xword 0xdf1c11e045fed6dc
.xword 0xb86e5fbc81630e60
.xword 0x87dac39491c826f7
.xword 0x624b7a1dc970cabd
.xword 0x14e9d837f4944c5c
.xword 0x9c0f799fc0daa515
.xword 0x6f775304da3e2554
.xword 0x551e19ee3a75f60b
.xword 0xe4b29dc037e65aff
.xword 0xc66be7d231197745
.xword 0xf8f5deaf3091fffc
.xword 0x565d9b26771482ad
.xword 0xa15e42a3ca7e02f6
.xword 0x42cb103c89d37cd8
.xword 0x26badcc5870bfdc2
.xword 0x97b2d31363dd7631
.xword 0xfd9b3ca5909a1993
.xword 0x0c2e7f12a6dfe9f4
.xword 0x2af4ed1a72c02725
.xword 0x386f3567138c2d3e
.xword 0x07e97c42113a0f57
.xword 0x18c15348fcfd35f0
.xword 0xbea24315060c8726
.xword 0x79aab05283f409a6
.xword 0xac4e0fcadf19c6c9
.xword 0x2fed40a030f45fcd
.xword 0x3ee2a0c05f22f94f
.xword 0x766d1299a36cde09
.xword 0xeac5c0cbf5c1934e
.xword 0xaf541a1aa41463ef
.xword 0xb3c3b32b3c624996
.xword 0xa2dfd62d2efec9f6
.xword 0x7b9cbd864a3f8f16
.xword 0x722714a86c4e4760
.xword 0x54056fca618b4e3d
.xword 0x46be600bb29340b1
.xword 0x78480fffc8fecdc6
.xword 0x92fab4ca7e0df16f
.xword 0x0ed68044710d07c3
.xword 0x5ceb3b194d8b2587
.xword 0xa44c63f2b3bf6b8c
.xword 0x781eb69b49166097
.xword 0xa59d74609afd8ad5
.xword 0xa2988016826858dc
.xword 0x0c994399b3c944a2
.xword 0xd72017720cb256e3
.xword 0xf3f321aad475971d
.xword 0x2b88acc8101b4118
.xword 0x978f92291a90ed3a
.xword 0x6ede7ba7425180c7
.xword 0x501d2b7e9e54114e
.xword 0x6f84d0a8e982d32c
.xword 0xdb5ece079ce301ba
.xword 0x79af8c7ead7ecf90
.xword 0xe30cd75f34306601
.xword 0x544b0a73cc6a10e7
.xword 0xb58f2923c9d2a63f
.xword 0xc5a3aeced5c11a36
.xword 0xa44380b552d3c071
.xword 0x24dfb31a7fa410c4
.xword 0xcb5596c38e0dda67
.xword 0x47bddad23e425ff7
.xword 0xa30c14f0468d519c
.xword 0x2c9eba2dd7516547
.xword 0x3c8e420044556019
.xword 0x34f40b11816cc479
.xword 0x68f42cde2b11d71a
.xword 0x9ab3b343044b48a0
.xword 0x4963a24426607428
.xword 0x81cb97e09ff4dea8
.xword 0xadafbb5d71314c49
.xword 0xb96384fe46dc98d5
.xword 0x80db8ea3a104caae
.xword 0xf1cc1d8e84f65503
.xword 0x7a26e045a78a6e3b
.xword 0xd806ecc1af031eef
.xword 0xc81f3d32bfffb52d
.xword 0xdae581934ea43e36
.xword 0x595344ff7494a986
.xword 0xd0af8a9038a7c195
.xword 0x7299cee748c93edb
.xword 0xc01fba8af0bc3431
.xword 0x9b67e4d91f04fa5c
.xword 0xbf8743c601a824d2
.xword 0xc2509f3488252ba0
.xword 0x0e7d0a252b92d223
.xword 0x366ae0d0c1e3b1a8
.xword 0x50d030cfc021ce3d
.xword 0xad7403f86f1f5c6e
.xword 0x9a6d94ed129e42b2
.xword 0x9dc352d7524ead3b
.xword 0x1b48f7828f6461bf
.xword 0x470adfa84e517192
.xword 0x1a100559a3175ea2
.xword 0xc19a1549701eae6d
.xword 0x638c7e1f9d4ab9ca
.xword 0x0bd486787fa61f4d
.xword 0xb7d7f4154d7c1082
.xword 0x171157da49f87dd5
.xword 0x0f263b6db52912f9
.xword 0xd64d6108916adc6f
.xword 0x59d1f4a6e8272fdc
.xword 0x41bd7c5a4ed4d6b2
.xword 0xd7b1d20791b0f8d0
.xword 0xa07c758daec80efd
.xword 0x91dde5fcfc2c080a
.xword 0x2b65f084678c5c33
.xword 0x4bd4614099d6e895
.xword 0xb47350532a207e8b
.xword 0x7f0d72fd82179b60
.xword 0x998304d1a37e2123
.xword 0x8869eb8a8e4f7c20
.xword 0x96ce8ce51eac0705
.xword 0x1ab2264099f369c1
.xword 0x04c19b61d3164105
.xword 0x563a3a6416fbd9c7
.xword 0x42dcf7a237d6304d
.xword 0xb5d0e10b8cbe1f6a
.xword 0x6ee5d4886fed59eb
.xword 0x9ab56e58486e1df9
.xword 0xc3e72e5db436838d
.xword 0x5f5bd58ddce90026
.xword 0x72befd7991e439b3
.xword 0xef9673f318feeeae
.xword 0xadae0799df96b875
.xword 0xb83bf4bdfe57b76b
.xword 0xcaee2a96be2b0d99
.xword 0xb1721e0d3070bf8c
.xword 0xe22785942d4bb619
.xword 0xdb5de312e6f34690
_t1_sslkey_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_auth_key:
.xword 0x46b088492e6c7630
.xword 0x33668b48ca0f1c58
.xword 0xd405bb938776294f
.xword 0xb256c9b34264a526
.xword 0xf026d16e9b758871
.xword 0xd6597f877d454bd9
.xword 0xe8a6cbe91a57638f
.xword 0xc0a253fc3b3e64f1
.xword 0xadc0d3f838ade816
.xword 0x3841038a33301624
.xword 0x547749aea8d88ebf
.xword 0x350122902aca7142
.xword 0x6b3277e7b05cdabd
.xword 0x090cf786e6008f40
.xword 0x05bde8b13ae280bd
.xword 0xad1e361f1aeb9eeb
.xword 0x32423b46d67c0dbb
.xword 0x10ecb2e85ad58753
.xword 0x343060f97fea3ed6
.xword 0xcf6f32bb45bc19f6
.xword 0xd93e67fa46051d95
.xword 0xa4c885b03d88b277
.xword 0x393a68d84a155ec5
_t1_sslkey_auth_iv:
.xword 0x1979efce3e2a76e0
.xword 0x6654fec48e21a0a9
.xword 0xa1533fed886be902
.xword 0xda0499dd818891d8
.xword 0x2f4c3e66aa423d22
.xword 0xc19d3cd01b7773c7
.xword 0x32d2a86250281afb
.xword 0x48047a960b672ec7
.xword 0xd49b59f2f04e5b6f
.xword 0x344eabf1efeea292
.xword 0xaf1e43d4a9b9f00c
.xword 0xddca4944b7dca820
.xword 0xa9a6acb188453478
.xword 0x680aee79b168b5bc
.xword 0xb30ede6c717a367c
.xword 0x197ced089a5a0cf4
.xword 0xfe098f4e29259ea4
.xword 0x6939d1db400b0ae3
.xword 0xf945c6adb5bbe0d8
.xword 0xb8f63e7952b79a1a
.xword 0xbd2002af85d07695
.xword 0xd9292284d27992d7
.xword 0xd649bead34034faf
_t1_sslkey_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_toc:
.xword _t1_aes_cwd_array
.xword _t1_aes_src
.xword _t1_aes_auth_key
.xword _t1_aes_auth_iv
.xword _t1_aes_fas_result
.xword _t1_aes_key_array
.xword _t1_aes_iv_array
.xword _t1_aes_dest
.xword _t1_aes_alignment_array
_t1_des_toc:
.xword _t1_des_cwd_array
.xword _t1_des_src
.xword _t1_des_auth_key
.xword _t1_des_auth_iv
.xword _t1_des_fas_result
.xword _t1_des_key_array
.xword _t1_des_iv_array
.xword _t1_des_dest
.xword _t1_des_alignment_array
_t1_copy_toc:
.xword _t1_copy_cwd_array
.xword _t1_copy_src
.xword _t1_copy_auth_key
.xword _t1_copy_auth_iv
.xword _t1_copy_fas_result
.xword _t1_copy_key_array
.xword _t1_copy_iv_array
.xword _t1_copy_dest
.xword _t1_copy_alignment_array
_t1_crc_toc:
.xword _t1_crc_cwd_array
.xword _t1_crc_src
.xword _t1_crc_auth_key
.xword _t1_crc_auth_iv
.xword _t1_crc_fas_result
.xword _t1_crc_key_array
.xword _t1_crc_iv_array
.xword _t1_crc_dest
.xword _t1_crc_alignment_array
_t1_hash_toc:
.xword _t1_hash_cwd_array
.xword _t1_hash_src
.xword _t1_hash_auth_key
.xword _t1_hash_auth_iv
.xword _t1_hash_fas_result
.xword _t1_hash_key_array
.xword _t1_hash_iv_array
.xword _t1_hash_dest
.xword _t1_hash_alignment_array
_t1_hmac_toc:
.xword _t1_hmac_cwd_array
.xword _t1_hmac_src
.xword _t1_hmac_auth_key
.xword _t1_hmac_auth_iv
.xword _t1_hmac_fas_result
.xword _t1_hmac_key_array
.xword _t1_hmac_iv_array
.xword _t1_hmac_dest
.xword _t1_hmac_alignment_array
_t1_rc4_toc:
.xword _t1_rc4_cwd_array
.xword _t1_rc4_src
.xword _t1_rc4_auth_key
.xword _t1_rc4_auth_iv
.xword _t1_rc4_fas_result
.xword _t1_rc4_key_array
.xword _t1_rc4_iv_array
.xword _t1_rc4_dest
.xword _t1_rc4_alignment_array
_t1_sslkey_toc:
.xword _t1_sslkey_cwd_array
.xword _t1_sslkey_src
.xword _t1_sslkey_auth_key
.xword _t1_sslkey_auth_iv
.xword _t1_sslkey_fas_result
.xword _t1_sslkey_key_array
.xword _t1_sslkey_iv_array
.xword _t1_sslkey_dest
.xword _t1_sslkey_alignment_array
_t1_table_of_context5:
.xword _t1_aes_toc
.xword _t1_des_toc
.xword _t1_copy_toc
.xword _t1_crc_toc
.xword _t1_hash_toc
.xword _t1_hmac_toc
.xword _t1_rc4_toc
.xword _t1_sslkey_toc
!# CWQ data area, set aside 512 CW's worth
!# 512*8*8 = 32KB
.align 32*1024
_t1_cwq_base5:
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.align 32*1024
_t1_cwq_last5:
SECTION ._t1_T_CWQ_DATA6 DATA_VA=291504128
attr_data {
Name = ._t1_T_CWQ_DATA6
hypervisor
}
.data
_t1_user_data_start6:
_t1_scratch_area6:
.align 16
_t1_spu_op_array6:
.xword 2
.xword 1
.xword 3
.xword 1
.xword 1
.xword 3
.xword 0
.xword 4
.xword 7
.xword 5
.xword 1
.xword 1
.xword 2
.xword 2
.xword 2
_t1_aes_cwd_array6:
.xword 0xc0e100201b00000f
.xword 0xc0e100e01700001f
.xword 0xc0e100c01000002f
.xword 0x406100a01400000f
.xword 0x40e000a01500002f
.xword 0xc06100a01b00000f
.xword 0x40e100a01700001f
.xword 0xc06000601100001f
.xword 0xc06100601100002f
.xword 0x40e000401400003f
.xword 0xc06100601000002f
.xword 0x406100a01500003f
.xword 0x406000a01900003f
.xword 0x406100401300003f
.xword 0x40e000601300003f
_t1_des_cwd_array6:
.xword 0xc0e0008009000017
.xword 0xc0e100a00900001f
.xword 0x406000400d00001f
.xword 0x40e000a00e00000f
.xword 0x40e000000a000017
.xword 0x406100600d000007
.xword 0x40e100200e000017
.xword 0x406000c00c00000f
.xword 0xc06000800e000007
.xword 0xc0e100a00e000007
.xword 0xc06000a008000017
.xword 0xc06000600d000007
.xword 0x406000c00800000f
.xword 0xc0e100800d000007
.xword 0x406100000a000017
_t1_copy_cwd_array6:
.xword 0x206000a00000000f
.xword 0xa06100e000000007
.xword 0x206000e00000000b
.xword 0x206100c00000000b
.xword 0xa06100200000000d
.xword 0xa061006000000006
.xword 0xa060006000000004
.xword 0x206000a000000002
.xword 0xa06000400000000d
.xword 0x206100c000000002
.xword 0xa06100600000000c
.xword 0x2061008000000002
.xword 0x206000a000000007
.xword 0x206100c000000006
.xword 0x206100a000000000
_t1_crc_cwd_array6:
.xword 0x4163030400000005
.xword 0x416201c800000005
.xword 0x416103440000000e
.xword 0x4163012800000001
.xword 0x416103840000000a
.xword 0x416301080000000d
.xword 0x416103c400000000
.xword 0x4160016800000004
.xword 0xc16103a40000000d
.xword 0x416201c800000005
.xword 0xc16103c40000000a
.xword 0x4162010800000006
.xword 0x416103c400000006
.xword 0xc163018800000009
_t1_hash_cwd_array6:
.xword 0xc1621ac30000003b
.xword 0x416003e100000017
.xword 0x41620da100000023
.xword 0xc1620d210000000b
.xword 0x416100c10000000b
.xword 0xc16306610000000f
.xword 0x4162080100000015
.xword 0x416009410000003f
.xword 0xc16304a100000020
.xword 0xc1610f4100000034
.xword 0x41620b610000000d
.xword 0x416201a10000002d
.xword 0x416003c200000022
.xword 0x4160016200000033
.xword 0xc16306a100000010
_t1_hmac_cwd_array6:
.xword 0x41600985000f0025
.xword 0x41600c05000f002b
.xword 0xc1620085000f003a
.xword 0x41630729000f000c
.xword 0xc16309a5000f0017
.xword 0x41600429000f002c
.xword 0xc1610da5000f0010
.xword 0x416010e60013003b
.xword 0xc1600a8b001f001a
.xword 0x41610029000f003e
.xword 0xc16111c600130032
.xword 0x41620ea9000f0010
.xword 0x41620f85000f0006
.xword 0x41620889000f0003
.xword 0xc1610f09000f0031
_t1_rc4_cwd_array6:
.xword 0x40e100c00000000e
.xword 0x40e100200400000e
.xword 0x40e000400400000c
.xword 0x40e100200000000f
.xword 0xc0e000a00000000f
.xword 0xc0e100a004000007
.xword 0xc0e000400000000d
.xword 0xc0e100000400000d
.xword 0x40e100200400000e
.xword 0xc0e100e00400000f
.xword 0xc0e000400400000c
.xword 0xc0e000e000000002
.xword 0xc0e100e000000003
.xword 0x40e0000000000008
.xword 0xc0e1000004000004
_t1_sslkey_cwd_array6:
.xword 0x1060044000000000, 0
.xword 0x10602d8000000000, 0
.xword 0x90601c6000000000, 0
.xword 0x90603e4000000000, 0
.xword 0x10600b0000000000, 0
.xword 0x9060138000000000, 0
.xword 0x1060390000000000, 0
.xword 0x90601dc000000000, 0
.xword 0x10600ca000000000, 0
.xword 0x10600cc000000000, 0
.xword 0x90603a6000000000, 0
.xword 0x906035c000000000, 0
.xword 0x10600a6000000000, 0
.xword 0x90603ea000000000, 0
.xword 0x9060012000000000, 0
_t1_aes_key_array:
.xword 0x87b8e7093071b267
.xword 0x4d4bb7e1511d874c
.xword 0xb78f9d97009e24a7
.xword 0xb5b8cad65a045f6a
.xword 0x9f4046650759fc63
.xword 0x4be5ccacd0783df4
.xword 0xc00e5c063d66fecd
.xword 0x9ca1647e75842f2c
.xword 0x07744b9ba5315ba3
.xword 0xaf5488106d57e85f
.xword 0xc44f6d41a5b8bc32
.xword 0xb5938aaeff7ea3a0
.xword 0x6946847b7311f137
.xword 0x12f927b802ebd946
.xword 0x36f5847eafd9d80f
.xword 0x3f67ee9a0518858a
.xword 0x9d2a3918042c96a0
.xword 0x43df2d5f570cfb0d
.xword 0xc2b03f30034b484d
.xword 0x0b00678e3c140ca7
.xword 0xaeed99e11e3b3aa9
.xword 0xa3e8158678dcb2ea
.xword 0x0abe15bca111e681
.xword 0xb240591d7fc1810e
.xword 0x2749f482d48cab27
.xword 0xf111a59df8fb044f
.xword 0x7b7c7395a08a42f4
.xword 0x271eb6d767090be5
.xword 0x3b4aa3d076a9bfdf
.xword 0x876d4fba686bee8d
.xword 0x4979ee3789303ae6
.xword 0xc6afb66209c070da
.xword 0xdab20e6267c7c828
.xword 0x0ed4c0fd4328bad8
.xword 0xef7c78e71523317b
.xword 0xef131691bb2dd4b3
.xword 0xd59bb3892c258644
.xword 0x720b1b12a91195cd
.xword 0xbe93427e033746dc
.xword 0x6a655e46cf3cce5b
.xword 0x6a485ecd96d2240f
.xword 0xb99121c1dfb6e455
.xword 0x8dce0687be5494c5
.xword 0x93d20c2a64511a63
.xword 0xf49f0cf5b9fae75e
.xword 0x7e0f527fa58bf0f8
.xword 0xd65913226223fe4a
.xword 0xc13cea93c8ed820b
.xword 0xef34ae75854a98ec
.xword 0x7b6d73782ca3bf35
.xword 0x5e39e8e0fc721f07
_t1_aes_iv_array:
.xword 0x403b3ecc210b46fd
.xword 0xadb190dbb20974b2
.xword 0x2068fe8e381ac375
.xword 0x4f8169a7ca675967
.xword 0xf83dd80fb8a0329f
.xword 0x257dad0f3cb87648
.xword 0x5f7c76b0b12ef74e
.xword 0x9343a399c8fa214a
.xword 0x6b2f41d5dc7db500
.xword 0x1f6945a7ce534694
.xword 0x7f4347cd3bbc6897
.xword 0x0cb09ddb181578f2
.xword 0xae1767e028d9cf61
.xword 0x50f1cacb2d63fcb4
.xword 0xb42996a3b3d567e6
.xword 0xcd043b6625d2d498
.xword 0xdea284776be9b812
.xword 0x00aa74c9586a0e5f
.xword 0x588c17c5bac7fe3f
.xword 0xae154d67a5019ad2
.xword 0x7d4ee5514f7b4368
.xword 0xb46e40b1ee5356fc
.xword 0x7e747d4d08cbef0c
.xword 0x4ef17f3dd5ac478a
.xword 0x22b9ed9e99768fa9
.xword 0xe1451b8c40d80091
.xword 0x10a7457f73cb68da
.xword 0xf84ac6fa0d6811e5
.xword 0xcc9597b8503b1b0b
.xword 0x93dc077f487a0a62
.xword 0xe5cb552e08ce5969
.xword 0xeaa94a78580f4df8
.xword 0x9b003ab0193d2e44
.xword 0x8e46ef240fd709fa
.xword 0x27d2dc5a2d5c6e3b
.xword 0xa9f06446a77cf132
.xword 0x20a7934f85398e4c
.xword 0x65641658511db7aa
.xword 0x861cd74899b249ce
.xword 0x5cd6aa27fd6e9570
.xword 0x2450a47fea8471ff
.xword 0x774345479fcaebf0
.xword 0xc98caa0d9d1cc880
.xword 0x1418dddcc1b9c824
.xword 0x990f08f1d5439487
_t1_aes_alignment_array:
.xword 7
.xword 11
.xword 15
.xword 6
.xword 14
.xword 6
.xword 5
.xword 5
.xword 15
.xword 12
.xword 10
.xword 0
.xword 12
.xword 10
.xword 13
.xword 6
.xword 6
.xword 6
.xword 2
.xword 12
.xword 8
.xword 15
.xword 12
.xword 15
.xword 5
.xword 4
.xword 5
.xword 11
.xword 6
.xword 7
.xword 4
.xword 11
.xword 5
.xword 14
.xword 5
.xword 2
.xword 6
.xword 15
.xword 13
.xword 4
.xword 3
.xword 6
.xword 4
.xword 12
.xword 4
.xword 2
.xword 13
.xword 1
.xword 15
.xword 2
.xword 13
.xword 6
.xword 6
.xword 5
.xword 8
.xword 3
.xword 6
.xword 0
.xword 3
.xword 15
.xword 7
.xword 4
.xword 6
.xword 7
.xword 2
.xword 4
.xword 10
.xword 14
.xword 4
.xword 9
.xword 3
.xword 0
.xword 10
.xword 7
.xword 1
.xword 0
.xword 1
.xword 3
.xword 6
.xword 7
.xword 12
.xword 3
.xword 6
.xword 9
.xword 14
.xword 10
.xword 5
.xword 12
.xword 5
.xword 7
.xword 2
.xword 14
.xword 1
.xword 4
.xword 5
.xword 7
.xword 0
.xword 3
.xword 2
.xword 10
.xword 9
.xword 10
.xword 9
.xword 9
.xword 13
_t1_aes_src:
.xword 0x3e40076432c5b87b
.xword 0x2492bd289f76ebb1
.xword 0xc541af82138cf6e4
.xword 0x0c3a0633cff5d60a
.xword 0xa32e92e461dc65fb
.xword 0x97463050013701cf
.xword 0x78509eed1781667e
.xword 0xd36e5ce84a5f2cef
.xword 0x424f1f6ef84023c9
.xword 0x82b4a1065ab61b8d
.xword 0x5e5acd38a8053078
.xword 0x5b9d695f3247e127
.xword 0xea8f3cec8bfe52a9
.xword 0xea36c4ac2cbc6d9e
.xword 0x79fdfb9e8a23c17e
.xword 0x3346856c5abdbdb2
.xword 0x7e9f6acf20bfbf22
.xword 0x0a6eed383f8475d9
.xword 0x2cf124c98a28dc6e
.xword 0x836195c8bd3b2049
.xword 0xe2daff57df57b714
.xword 0x3540bae110d85f6a
.xword 0x0febec9df15af7a0
.xword 0x227a7742997c506c
.xword 0x702aa05fbee00aa9
.xword 0xf7b039c682a20e4f
.xword 0x70c518f2b6059c25
.xword 0xb1af939a90f1aab7
.xword 0xae5996b3dad2df32
.xword 0x9ae748cfcf7dfdd1
.xword 0xef2f843c714b1e15
.xword 0xecdaa86842ca6779
.xword 0x11d8ed18fc6f3bf6
.xword 0x192c20e6361a0133
.xword 0x092c88b9443cd81f
.xword 0xf1144d8aee3a400f
.xword 0x9255522c9a4c8767
.xword 0x6eaa62816be105e1
.xword 0xd796e6dfc917cb2b
.xword 0x9b3dcb805e542371
.xword 0x013c728034327767
.xword 0x16db30baa5b16174
.xword 0xe9d6e8b830812d7f
.xword 0x4281a6b3c94a2e54
.xword 0x046616fa72be9414
.xword 0x22059f9deac262c6
.xword 0x64d24993209bfff8
.xword 0xe93b73cd4434aa96
.xword 0x29ff8d66bfeff7fc
.xword 0xbb55538dcb839d94
.xword 0x89317cb9aeab037a
.xword 0x3c6d0eaeaded6330
.xword 0x4406dc70941ec442
.xword 0x0f84cb8ed98655d8
.xword 0x6ca7c51993520de4
.xword 0x9b30c5015a390bc3
.xword 0xf1f10dbac29f76bc
.xword 0x8018e32adcc3f6f7
.xword 0xcda0f2e9ac19ac03
.xword 0x67062d53e141f247
.xword 0x8bf6324435cbaa8d
.xword 0xd11cb21da2d4be94
.xword 0xc0e80f329a2c93e5
.xword 0xe5d4ca08299e0db3
.xword 0x7008b434383ea2fc
.xword 0x13f2f62cb1eb9ee4
.xword 0x8cb002114e017082
.xword 0xb57aad59975dddb5
.xword 0xe37ea8aed4de0d04
.xword 0x36c9c281dc1b9f20
.xword 0x27b8692b947b0099
.xword 0xac09fe08c0c4be68
.xword 0xf4131029cf7be37f
.xword 0x8cfebafc25db1968
.xword 0xd1ef607abcb67f39
.xword 0xf9c9bf49d3b736f9
.xword 0x9757dd53814e1a63
.xword 0x1638fb6ac4e54062
.xword 0xe5e5b772e077d89f
.xword 0x6a95185bdfe994e5
.xword 0x5933c2bc387f5413
.xword 0xbf849df93c89d94a
.xword 0xe950f0f6ff4b9390
.xword 0x2d6128eaf0d0f48f
.xword 0xba04ce77d80a444c
.xword 0xcd579ec2fa230ea8
.xword 0x8ac15485ea8d0ae2
.xword 0x4bbee0f76dc98b35
.xword 0x1329d22a47c42769
.xword 0xcaf52b7cefd6ae48
.xword 0xc946951028eab0c1
.xword 0x02d2db5cb4dfa83e
.xword 0x83bd31cdda8bdbf1
.xword 0x8fda5adb61fdaddc
.xword 0x62e5a0ba21b2de7b
.xword 0x2861a490f8238264
.xword 0x0cb23ff55e588a63
.xword 0x301ea0086888e798
.xword 0x8c3babd672abec89
.xword 0x3a785bc6f5429cdd
.xword 0xb1c02ed76a776bd6
.xword 0x5258a13a3036746a
.xword 0xe579fccbd2ce001e
.xword 0xc553bf346547dfb7
.xword 0x1598997a6778bde5
.xword 0x488be51f6a694fb5
.xword 0x653c31ca57144f4e
.xword 0x522047960a0251a2
.xword 0xe5e72c41d2aea018
.xword 0xc3fa55ae8ef77836
.xword 0x565958463639a98d
.xword 0x14e01d29ad58aafc
.xword 0xe87baf9fcd12974f
.xword 0x14c0adcf463263d4
.xword 0x9d0a94e1dfaeb1dc
.xword 0x36d46b50e44dfda8
.xword 0xbdab4ecb6b861706
.xword 0x31a3dd247f3e95b9
.xword 0x8341d11a973a7a82
.xword 0x664e0c3d52697671
.xword 0xb8cb5af245c76ba5
.xword 0x138d7005e7b26f4c
.xword 0x94905ab74dee0426
.xword 0x4f72beef88f1888d
.xword 0x3822d75ebaf70bea
.xword 0x1b6e4db90c028aaf
.xword 0x8bd82021711dc217
.xword 0x4c006f8647371d8f
.xword 0xfcfba1ad70754c1c
.xword 0xaf0b3d2a4bbca61e
.xword 0xb4b11e65c1eedafc
.xword 0x707a1c65dee5f564
.xword 0x73378c04238a302c
.xword 0x8f5b526b247ecc72
.xword 0x8025abcec67b7516
.xword 0x43265b2d7ef217fe
.xword 0x8f8860d231f0b43a
.xword 0x95fa9c96203cf50b
.xword 0x91eebe29eade1bd1
.xword 0x9974567bc746e32a
.xword 0x44372a95f6209a77
.xword 0x12d334dcfa89ef5d
.xword 0x0f3274ce9459a6a4
.xword 0x87a01b35d536a767
.xword 0x203ad949ace7b20e
.xword 0x532254c573b21206
.xword 0x1fd1ebb455afdcdd
.xword 0x1087e278d55f538d
.xword 0x782b4b70eb8dc948
.xword 0xf060c2b2870beff3
.xword 0x162cee80d7508ae4
.xword 0xbe590cb2d81917e0
.xword 0x13f5c27e1c333b6e
.xword 0xdc7f929e1996533f
.xword 0xa89dadf8f8aa2433
.xword 0xa6a75b607e5bba66
.xword 0xb7f9913046d3dddf
.xword 0x403bd7581cb55898
.xword 0x14f2d0539bbe9c44
.xword 0xcbbede20aaf82827
.xword 0x9fcee17803b7767c
.xword 0x928c650d08851c5b
.xword 0x62a596172bbc2e0e
.xword 0x2164ed28f1bf9659
.xword 0x50a560f96699b537
.xword 0x335111dfd48fb6a8
.xword 0x4fd1f65edd00fb76
.xword 0xad0d06d61b00edce
.xword 0x2e0143b50e56820e
.xword 0xe9e2e95ac3c44163
.xword 0xb7f80883f5cb2565
.xword 0x721a9906a77672a3
.xword 0xa8a3e7bf02996e49
.xword 0x73b20bb37847e546
.xword 0xe2de847623206e49
.xword 0x576ff89f55f9ebce
.xword 0x62a9107b2f5e73d8
.xword 0x73d1a24b5ebc87ff
.xword 0xcefb8c17a19287d3
.xword 0xdbe98a6f65c1f6d8
.xword 0xe7ac1a5343da47a8
.xword 0x36349f499d527915
.xword 0x01b9fb229a92a72b
.xword 0x803a442adcb36900
.xword 0x62d954f0de2b938b
.xword 0x0de8478e7fe94995
.xword 0xe3d889bdb70dc059
.xword 0x5736502e1417a399
.xword 0xa86fa61faae18a84
.xword 0xaebf45212cb6bd3c
.xword 0x34e14034262f8d55
.xword 0xe1d99a973283f0f6
.xword 0x6694d35ed5a18b53
.xword 0xfa920dbc00158392
.xword 0xdd59688ba5a77aa6
.xword 0x0a905bc1ef85e88c
.xword 0x9c518d8c75d0b981
.xword 0x5f65958235d85946
.xword 0x30a63f492a4916f1
.xword 0x4863e2a2a8c8aa0e
.xword 0x5c70c033554f009a
.xword 0x8821ff14beefd0cc
.xword 0xf9955cff4a1b1165
.xword 0x1f4146e673c92fe1
.xword 0x365a3a6ce86a408b
.xword 0x9d8c38f8bf16b391
.xword 0x717a6e5a6ffb9fdd
.xword 0x349bd6c78fafc7c0
.xword 0x3ac49b5b0c8f6eee
.xword 0x2476b346ab1c224f
.xword 0x2c4bc2100e205f68
.xword 0x52c2668068845ae4
.xword 0x82aa12636cee6258
.xword 0x6fc0d3fcf4b9206b
.xword 0x74e43d59be5b48c6
.xword 0x77e74c3ec3cb18d1
.xword 0x662ee4d88faaf50d
.xword 0xcfc779d7cfa7d653
.xword 0x8f4d111deef41633
.xword 0x0e4ddfabf7fe4f7d
.xword 0x905a45c3446a6419
.xword 0xaad01b4647c5c849
.xword 0x4eec833fa8827fc0
.xword 0xd13ee25bf2a4db8b
.xword 0xedfca75bd065241b
.xword 0xf9401434ba35312b
.xword 0x154ffc1145f189e6
.xword 0x615fdff26eebf61e
.xword 0xc087092acda97723
.xword 0xbbed030f1fd9b874
.xword 0x4157c77e0b70b6b0
.xword 0xbfa99dc3bc35438f
.xword 0x67b7a34eb0f66b5d
.xword 0xf498b969afe4ffd4
.xword 0x4332cefc6b0908f5
.xword 0x22c2cdac9750a24e
.xword 0x2982bcfb770a333d
.xword 0xac48d3ef19cf9049
.xword 0x4dc08772a76798e9
.xword 0x9fb533b716c32a3c
.xword 0x6a98f8486f5d0445
.xword 0x62ba4576af0ae372
.xword 0x31bf35982681c11b
.xword 0xbb306d0bc23e77ae
.xword 0x6065b4783d73de00
.xword 0x38b5413ac632b964
.xword 0x5e247fe07880db63
.xword 0x096d7a57a01fcdac
.xword 0xc842590a3dc3906a
.xword 0x861eaf95aad52cfb
.xword 0x62a24e0f692253ee
.xword 0xe09fc9141b674013
.xword 0xfb58db0df7c42091
.xword 0xa40a88e9360bbfef
.xword 0xac85dfb04e2c927e
.xword 0x840a02ee9f9a9b5d
.xword 0x66515640c853133c
.xword 0x67a3185a33ac806e
.xword 0x9c7906f90ce4995a
.xword 0x7b9801661f2d9ed5
.xword 0x591a66ecbba5e756
.xword 0xff961416e49d1698
.xword 0xc32a85c805990956
.xword 0xd1186d3c16b24634
.xword 0xa5fddbc786c94e97
.xword 0xc099e25d8eb9791c
.xword 0xac85d874ce5fde23
.xword 0x3bdbe722db0ef2d5
.xword 0x961ec0cac09dfb0b
.xword 0x4047f0d694181366
.xword 0x0ed8534e1c098ca3
.xword 0xbc685fd40b206209
.xword 0xc1960b603bc1e1e7
.xword 0x22f8a04105b14c05
.xword 0xf511d0265ea3e952
_t1_aes_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_auth_key:
.xword 0x61147cc898a63880
.xword 0x5961e601694304de
.xword 0x239eff4a4b39cee0
.xword 0x545810bfad796508
.xword 0x360a98b4a71cc550
.xword 0x67d0563c983dc2dc
.xword 0x00a9c4b89c1b4b48
.xword 0x643db026e28ef795
.xword 0x21f9685fc7df4c8f
.xword 0xd4ae49feee477eb9
.xword 0xe922fead7973313a
.xword 0xe3dbb4d7717cacef
.xword 0x7e0deb0938b8ad14
.xword 0x754ac837d82a1a02
.xword 0x71c5f200d502150a
.xword 0x161ca476ad76005a
.xword 0xaff56b435cca2b36
.xword 0xb276d2dd87d85646
.xword 0xfe3e4a25a3746dea
.xword 0xe43d69a89bdac93f
.xword 0x596b9d3bb26ccfca
.xword 0x2bbc40a0cf244007
.xword 0xa83c216034ccb356
_t1_aes_auth_iv:
.xword 0x38391a53b5b47c2d
.xword 0x9e73627a1bb2abe6
.xword 0x4cce8158091b76d5
.xword 0x9b147e3bdf7b5d12
.xword 0x7f521ccc210bab81
.xword 0x68c6e5ea81dc3ea7
.xword 0x0f825413df8e2710
.xword 0x87d38c2bcd8178e0
.xword 0xd65284605818ec86
.xword 0x518abc2fb4e20218
.xword 0xe705b6c4a0e4923b
.xword 0xe73ce811785ae182
.xword 0xb658f9fe2fcdfd6e
.xword 0x3a3253e5aa104e66
.xword 0x5fc247d2703ff6e1
.xword 0xcbba6783eab6354f
.xword 0xf10875be32eed85d
.xword 0x271247597dd3cb76
.xword 0xd3ce6df8a1f0af0d
.xword 0x9f8b02578dbdf8ba
.xword 0xc972dd6f32073d77
.xword 0xf1c253047c558b1c
.xword 0xac955df508a064d8
_t1_aes_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_key_array:
.xword 0xb0bf89ebf7c7018c
.xword 0xaa3d545eccffbb80
.xword 0xbafaf0c1122ec1ef
.xword 0xcc7c267fba783ebf
.xword 0xe53ab2f28302c52c
.xword 0xe4bbdb67cbb3419c
.xword 0x19bc3c59aab724e6
.xword 0x3b1f4e841ed638d3
.xword 0x3bed6a8f48e8bdec
.xword 0xd24ecb8893908695
.xword 0xd2e4511a52a457ef
.xword 0xa6f5effe23a526a7
.xword 0xb9f17aa731ea7937
.xword 0x14c3b1326e00ced2
.xword 0x4ae3c84e06391331
.xword 0xc49770da5c3c25d2
.xword 0x944f4c944001cba7
.xword 0x7fb5635eee227593
.xword 0xd94a54ad80449595
.xword 0x47f7533f63ba52d9
.xword 0x742f5c4f234a49ec
.xword 0x3aca6142d35be38c
.xword 0xf9bdeed8e8343fd5
.xword 0x0f06854e4a3e2761
.xword 0x02aadc01aacefaad
.xword 0x66300e57b9979d30
.xword 0x8cc8e307af6c4733
.xword 0xf59cdc4f082e75cd
.xword 0x51a8ec3c50df9279
.xword 0x72db4f159b65144a
.xword 0x36dd528bd66dcd63
.xword 0xf750574810574411
.xword 0xac12c8df5cbcfa61
.xword 0xe169ff57e18e2f40
.xword 0x9d5f4c2d1142cd2a
.xword 0x43a3452ec18e2f76
.xword 0x4c30b41a69f132cc
.xword 0xb608f12c4f854649
.xword 0xe535780fdbfd0d10
.xword 0x1f0b1112cc1fd86f
.xword 0x0964531233ff02e1
.xword 0xcbb7ab1143789279
.xword 0xe109358080ef6dbc
.xword 0xcb361579cd86b28f
.xword 0x47f006652ea7e697
.xword 0x41f4bba6d592a073
.xword 0x3605e1c07e99a844
.xword 0x4fbfdb57c7d8df94
.xword 0x96e7267a4a9f814b
.xword 0xdb2cd332c12669b6
.xword 0x7ec3f133c991af8c
_t1_des_iv_array:
.xword 0x1bf172734a4bfa64
.xword 0xec4b0472f5b4117a
.xword 0x74dcf2a5196a7d0a
.xword 0xd4d67971efa11752
.xword 0xd66b904dc5a50f08
.xword 0x47f52778f89c6fd3
.xword 0xf6aee2ea7fc9ed61
.xword 0x7db071830bb1b24b
.xword 0xe026530414cf721e
.xword 0x6fda0d548a87cc77
.xword 0x41b0d9ec0e70b109
.xword 0xb98f0752f46cb43f
.xword 0x8017528928a9af41
.xword 0xdc2094b432183903
.xword 0x785427da95abbd55
.xword 0x9c650966ba0d466b
.xword 0xc09871ae12f2311e
.xword 0x110ac189c8e32095
.xword 0x8a6ef77a1d4d735a
.xword 0x398ea93e445446f9
.xword 0xbec28fc8d34165fa
.xword 0x7d085660592f4a01
.xword 0x44c7b28a6cac2760
.xword 0x5254a39beaa32855
.xword 0xba62403fe9cb8004
.xword 0x52bf82d3af6c7577
.xword 0x7da2b5719c1def15
.xword 0xa00fd385e5cfe505
.xword 0x1adee54b2f1a643e
.xword 0x304d6df43b650d41
.xword 0xf799b6b1e819eb1c
.xword 0x5fc35c0410e725f7
.xword 0x9aac83bea564aba4
.xword 0x688746a66d5dc527
.xword 0x2379c9170907a481
.xword 0x2f72d0cde50e5f89
.xword 0x9b3d076c763cee2d
.xword 0xf4e51f4607e034fb
.xword 0x33e5009a18a5a30b
.xword 0x8581ce96d865134a
.xword 0xf7bf363a1ed8bb22
.xword 0xedb26ea8fda8621b
.xword 0x0927a68369b98781
.xword 0xe1e48261a86aa434
.xword 0x7cd967ee0b36bcb5
_t1_des_alignment_array:
.xword 10
.xword 0
.xword 7
.xword 1
.xword 9
.xword 12
.xword 5
.xword 3
.xword 15
.xword 3
.xword 14
.xword 12
.xword 7
.xword 8
.xword 13
.xword 8
.xword 1
.xword 13
.xword 8
.xword 15
.xword 12
.xword 3
.xword 15
.xword 3
.xword 7
.xword 3
.xword 11
.xword 1
.xword 5
.xword 0
.xword 10
.xword 15
.xword 2
.xword 3
.xword 10
.xword 2
.xword 12
.xword 6
.xword 0
.xword 13
.xword 3
.xword 14
.xword 9
.xword 5
.xword 5
.xword 2
.xword 5
.xword 13
.xword 10
.xword 1
.xword 14
.xword 14
.xword 1
.xword 10
.xword 3
.xword 2
.xword 5
.xword 6
.xword 3
.xword 3
.xword 4
.xword 7
.xword 5
.xword 1
.xword 1
.xword 14
.xword 0
.xword 11
.xword 13
.xword 1
.xword 7
.xword 6
.xword 9
.xword 2
.xword 0
.xword 5
.xword 4
.xword 12
.xword 9
.xword 15
.xword 0
.xword 10
.xword 10
.xword 2
.xword 13
.xword 7
.xword 11
.xword 11
.xword 2
.xword 15
.xword 11
.xword 5
.xword 1
.xword 2
.xword 2
.xword 11
.xword 14
.xword 12
.xword 11
.xword 8
.xword 11
.xword 12
.xword 3
.xword 11
.xword 15
_t1_des_src:
.xword 0xa5f64c079390bda8
.xword 0x811f0dc747c3306f
.xword 0x057e34e488c9679a
.xword 0x3766076b411eda27
.xword 0x3ec0f390090d393b
.xword 0xfd33967415c8ceb8
.xword 0x5d02e88605ed1c76
.xword 0x6537038c89182736
.xword 0x2f49f8092e7c3c86
.xword 0x103304b66604d64d
.xword 0x89b0a7e9c55df1d3
.xword 0xd391dbd8ae7ad95a
.xword 0x77c4258e5aeb1f81
.xword 0xf9a9be8cc34fe31f
.xword 0xb9dafac722258bf4
.xword 0xd23a3f2403aee89c
.xword 0x55533828023b0349
.xword 0xea9969ba72dfdcec
.xword 0x93f945e4e7683a34
.xword 0x3cc98d4a76bfe70e
.xword 0xf9338fa13652199b
.xword 0x2d56d420656d57ca
.xword 0x3b2a884b351713b3
.xword 0xd9bd8d925cb11fa6
.xword 0x40f80619f865027a
.xword 0xf3693c72c2bc496d
.xword 0x6d8892a19b22a301
.xword 0x914725bf4d28f4a9
.xword 0xe974ffa2bc4db5d3
.xword 0xd58f35e7936c8a4b
.xword 0xa0984d1b3b29de01
.xword 0x0660b50fe5685925
.xword 0x7af19690763e3715
.xword 0x0e9004799dee9e21
.xword 0x43460758bff15401
.xword 0xb92baddb0b194952
.xword 0xd39a6edbe507f696
.xword 0x9be10967f7a0947b
.xword 0xd49657985ebd163e
.xword 0x6e1b77148f691094
.xword 0x641298a10ff4fd00
.xword 0x5cea4045b4632fe7
.xword 0x05ae9125d90abfae
.xword 0xc3fe324d5d4c294d
.xword 0x3694e4c228cab91f
.xword 0x29f3e3dbd1071e9f
.xword 0xca7534d8081f1d97
.xword 0x33736804fd316bf4
.xword 0xc726efa421e2d96b
.xword 0x650f1635b79674a2
.xword 0x231e570063f7b847
.xword 0x1005feae10d614bc
.xword 0x343a98250627714b
.xword 0xcf1c66e985c7dac5
.xword 0xdeadbb723467de34
.xword 0x6516499b9fdbe331
.xword 0x36a35932ba1e9957
.xword 0xb14ac8c0077929b4
.xword 0x711cddae20e12c75
.xword 0x2fcaf23a988ff348
.xword 0xf28019d4297ce47e
.xword 0x24b0749957436d1a
.xword 0xbb51d4444b4246e9
.xword 0xa3251a40b2ff1166
.xword 0x6e2d7d38cb041c7a
.xword 0x9c5c47d8da1bb4fc
.xword 0xb6d9a43ee7a9a37a
.xword 0x9bb13299166d6689
.xword 0x22ed3d32ae36190c
.xword 0xc2343d2b774e7d86
.xword 0x603ea8e7e5399543
.xword 0x77aa9336d43bc862
.xword 0xd0ff5d6a68aff1b2
.xword 0xb535344ff7b219ee
.xword 0xf23f4141b3932b29
.xword 0x988a0f2eb153236e
.xword 0xa422e1e43d8a05b1
.xword 0xd12063e0bd981cef
.xword 0xad79a769dd2b8f91
.xword 0x75b8ecbcfd89596e
.xword 0xc2212ba0ada2ddd0
.xword 0x895f3722df6c5660
.xword 0x52a0ec1d90a94970
.xword 0x1199b6f3da539928
.xword 0x55dc645dffcb4eda
.xword 0xd9b00e82293921e8
.xword 0xf63e453a013b1db9
.xword 0xfa71bc7bea5a95af
.xword 0x30ed56ee1125c613
.xword 0x3a3396a644ba09f7
.xword 0x2d51b60066d961ca
.xword 0x51df789ff816eeb0
.xword 0xbe08206ba14baa67
.xword 0x4d13a2452e8f3f0e
.xword 0x46b7118ec1830450
.xword 0x198cc700a2cf764f
.xword 0x904838c643150312
.xword 0x6d8aeda5ee863cc4
.xword 0x0dd177e6a8f1c343
.xword 0x2800a12f028364d9
.xword 0x15148f18b8bfaf1f
.xword 0xebb9fbeac4308b86
.xword 0x5ccbeb5812350994
.xword 0xb1231096f47515ee
.xword 0xe32c3d21733517b4
.xword 0x9f758ea3cc4cfa53
.xword 0x45688951cc3a2a25
.xword 0xa1cf5d3950f6db0b
.xword 0xc51b6f973bd43204
.xword 0x3e5c44a300afa211
.xword 0x1279c97b61acd7cf
.xword 0xeb4649789872fb97
.xword 0x635fbdcaa4dd98bd
.xword 0x70f1d8be280cd52b
.xword 0xe3c82efe4b482fae
.xword 0x85fcd606e5603fad
.xword 0x22d68eb5b55e3b89
.xword 0xbc5baf66d60ac336
.xword 0x426004ae0411a86c
.xword 0xebc0e3edcefba3bf
.xword 0x8a477d826e814789
.xword 0x413454c559bb36e8
.xword 0x7cb4fabfaecb1b7c
.xword 0x0eba212e3978b404
.xword 0x4774af7f527b4702
.xword 0x92df184ff43d9874
.xword 0x9204204dd103ee05
.xword 0x880c847e08cf08ef
.xword 0x4a5a7ee6e5765d00
.xword 0xb14ae42dc697d955
.xword 0xdb4c8c56009fe1ed
.xword 0xb4755307b4112abd
.xword 0x5cd60795676fb914
.xword 0x6885b2f80b3875d4
.xword 0x7bce127e7c8d55d3
.xword 0x811e24bf39880986
.xword 0xcd920f93afeb06b6
.xword 0x50cfae1297929e2c
.xword 0xfee8e2c055da2028
.xword 0x3cea3f712484fcc6
.xword 0x84bf70a80672437b
.xword 0x3b3ed737bfbe65a0
.xword 0xe98b412d65ee24d1
.xword 0xce41dcf60594e1fb
.xword 0x4bb7523dda3389e1
.xword 0xec010e0a44e16c21
.xword 0x23973eac8c431137
.xword 0xca309d1643d75512
.xword 0x5ec0c9751391b396
.xword 0x3c6040f6b2b2475a
.xword 0x4097390df485cb13
.xword 0x5faf8cef5e046206
.xword 0x5c80dd48d00c4320
.xword 0xc39ad0704086b7d9
.xword 0x39cc836836526013
.xword 0x43998551cd16241f
.xword 0x5c81aa645ae5a50e
.xword 0x24e28d4f19842d70
.xword 0x93d9e5a161fed4c7
.xword 0xf2d9726d64978cf5
.xword 0x9febdfa504d6f770
.xword 0x93a1fc7641fd5922
.xword 0xaab70173aee1eee3
.xword 0x60aa61bf0a398e1a
.xword 0x3be408bb85115a48
.xword 0x791a7cdee370b866
.xword 0x81ebc21729450952
.xword 0x63702e00af36c84f
.xword 0xdfa71bdf7b3a46b9
.xword 0xad5d4d026046ff6e
.xword 0x358b7b2c10c27f3d
.xword 0x661109ef39a44eb7
.xword 0x7fb79a4f00f77d53
.xword 0x73fad374787d6ade
.xword 0xe98ea49715a0fafd
.xword 0xf90f1c2dd88ded96
.xword 0x98206fe47ece3951
.xword 0x640842c0f3c3f286
.xword 0x801eda3033d856e4
.xword 0xd555d4cb6b0db701
.xword 0x0bc10013c4c6b675
.xword 0x23b1c8fa47b8b960
.xword 0x1fdf4f647859de8f
.xword 0xb01a6d5328324291
.xword 0x1677c9679989fa8a
.xword 0xb5edd90b10aff783
.xword 0xdcbb3ef6a4fb4863
.xword 0x496837d1dc335f71
.xword 0xedc90e78b7655d96
.xword 0x8277bd62271d4063
.xword 0xfbc493e9cb2e603d
.xword 0xb08ef962d4f97bf9
.xword 0x82aeffd312ab404c
.xword 0xaa8768263b322a68
.xword 0xc8a63ca9ed5a8eaa
.xword 0xba8d39275e7c1fdd
.xword 0xa84e726aad686d63
.xword 0x7924b869f28280c4
.xword 0x1c5cf77e9d107e76
.xword 0x9fc0a90c3a0ceb21
.xword 0xf3071b2b9bcfd49a
.xword 0x57821c30bd83cf43
.xword 0xc5b82b2f9ed24e44
.xword 0x9f7c308945a0a71c
.xword 0x3edec9fce2d12ca5
.xword 0x9905b9c81c321d6c
.xword 0xf68852df31aacc65
.xword 0x936112c5b772889a
.xword 0x9bb1cf44a2b7c893
.xword 0x3da37834f88c31b9
.xword 0x8dc9a356fbe88d78
.xword 0x3b22a155597aeaec
.xword 0x34fc3486739f1a32
.xword 0x59a36d8cdfe5d8e0
.xword 0xdca038f92da35d69
.xword 0x8e0c88dc48380146
.xword 0x6b5027ff273a1512
.xword 0x25bf55c674c0ebbc
.xword 0xd049c8fadd78657d
.xword 0xc62899766dc165e8
.xword 0x7f079db8c9f0b21b
.xword 0x9dc7c28e5091b671
.xword 0x4ff3486cf9e0220d
.xword 0x94ef2927498b7cf9
.xword 0xe86d5d4a3edd91c4
.xword 0xc3398fd578041bc6
.xword 0xae48a5d7e4164afa
.xword 0xd15e618954793715
.xword 0x9cfc7bdbddd518ce
.xword 0xa60e2d7392ffcc99
.xword 0xb22ef3f7f329bac0
.xword 0xf33c8f5064ece084
.xword 0x4c1ad309a8919da4
.xword 0xd8b0515c581a2cc4
.xword 0x95effb675a507932
.xword 0xa2539675bd0d85c1
.xword 0xec75e571e8493eaa
.xword 0xfec2d0ec2c9a922f
.xword 0x7a252377c8e968de
.xword 0xffc0be9be587c9cb
.xword 0xa7f0633dac658ff8
.xword 0x5a619e0f1584f7d2
.xword 0x0082e39d5d7f1cfa
.xword 0x8f9500adba383c38
.xword 0x19f13969bf77a255
.xword 0x5a4734aebd364404
.xword 0xcab08d294bdc8bbc
.xword 0xb5c670c1e30a36ae
.xword 0x941a3a56754b4fc5
.xword 0x913e19782438d119
.xword 0x41bed20cd048acb2
.xword 0xe96cfb619af90cd3
.xword 0x15121370c5998673
.xword 0x88bf4cb67678cba8
.xword 0x4169a18017bc7f57
.xword 0x2c26f5de2b6d25e8
.xword 0x30db873be17f42e6
.xword 0xa2b8dd430c8cda68
.xword 0x01763c19e0a2a037
.xword 0x1c6e92cb1d30ca2e
.xword 0x63ed99bbfc2a228c
.xword 0xd65c83ac7a79cfa8
.xword 0xda69d7114453a68d
.xword 0x379843717ca55f8e
.xword 0xe369b234877de52b
.xword 0xb4e3e82946185ea8
.xword 0xce4fc2036a391121
.xword 0x5cc11ecb72db9823
.xword 0x74a8d3d554aa6844
.xword 0xfcee42330e55ac97
.xword 0x4f7fa07f05b1660b
.xword 0xa0a5eac7695873ed
.xword 0x6f1b932b72ed35a0
.xword 0x906b45c390dfba12
.xword 0x7dd2af0e2c416aa3
_t1_des_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_auth_key:
.xword 0x26f1cc5ef3bc59e8
.xword 0xd77cdeb6f9ec1955
.xword 0x03a1e5a177b6c85e
.xword 0xa27db629d122cd1f
.xword 0x6791dd6f093fb6f0
.xword 0xc58741b581233633
.xword 0xef233329839ef96a
.xword 0x51c206d9bfbb9d60
.xword 0x9b1d489cf7b77d54
.xword 0x9b03021e21c6c674
.xword 0x9f6871c43f938419
.xword 0xf924902fff5a403c
.xword 0x7ca7519de1429b1b
.xword 0xd05db0d762358343
.xword 0xf4b07b6184ccdf1f
.xword 0x6f367aa836bafec5
.xword 0xf311401b6df7002e
.xword 0xcdd80a77b3d921be
.xword 0xd92f3c9435171008
.xword 0x9a9d72f685b698ee
.xword 0x1ccd973e0c267269
.xword 0xdb16430f302ff5f3
.xword 0x12f56b8d8b226365
_t1_des_auth_iv:
.xword 0xca9b8fedc6cba538
.xword 0x321507044470dc86
.xword 0x78cd6c1a8d6276f7
.xword 0x71ea8aab5f3d2c46
.xword 0x8a5bc771b714e2f3
.xword 0xa62e4cb75b054c19
.xword 0x853ad2def40a188c
.xword 0x74ba5ab3b3e8e2f9
.xword 0xf9a1e45452883718
.xword 0x4eb6b12719424ede
.xword 0x136a3fc253ec692b
.xword 0x60585f118b7f7017
.xword 0x3454807f38abb2dc
.xword 0x875103efcabc26f3
.xword 0x38cdf377236db6b4
.xword 0x2486a48895b6009f
.xword 0x0c2e3dba62d3b5ee
.xword 0x6d03c1f17e96cfed
.xword 0xf7a19b3179e18736
.xword 0xdf3718e30fe3c43f
.xword 0x4da2161f65706317
.xword 0x84d31d873c2179e2
.xword 0xc541049d3d3fc2df
_t1_des_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_key_array:
.xword 0xa095b1b11e4e52bf
.xword 0xda77ec6829544a6e
.xword 0x6700dcdf70e684cb
.xword 0xe119543e37970254
.xword 0xb92f754fe0f183b7
.xword 0x0afdc3e23d546e4b
.xword 0xad34e960aaad2707
.xword 0xa2d319f573aa9b0a
.xword 0xbac39c0c1d4a41fa
.xword 0xc120e31788f9b890
.xword 0x25bf6ff1b7d6de38
.xword 0x3be7b88e87bffbcd
.xword 0x6a71b8e360a96ae7
.xword 0x9380609d2fd3f121
.xword 0xea7f92dac393faf9
.xword 0x081418ed0c29adeb
.xword 0x19b41f23f354d60d
.xword 0x5843f4a5b8cb8de1
.xword 0xd389bbd98639707c
.xword 0xcc9ce33d5a880971
.xword 0x3cd03f17489b9d87
.xword 0x5e51854390d3f10a
.xword 0xecb9100070940df7
.xword 0x35dcb7bf8729a6e5
.xword 0x730e9e25e2fb045e
.xword 0x2206f580cb34386c
.xword 0x1e434d18c71baef8
.xword 0x15bac18ff8a17c4a
.xword 0x10cb8ef06e20f1fb
.xword 0xcfdbf159ea49337c
.xword 0x85acc4eab2ec559e
.xword 0xf04ebdcb7f3da64d
.xword 0x4757cbe346b42450
.xword 0x8fd26a703bcc7cff
.xword 0xa9b2b0a9a7d70b0d
.xword 0xea8fabe1f7832ac5
.xword 0x3f289e3cc910b95a
.xword 0x5e8d52b4ecfc3b05
.xword 0x33cc37497536ef6c
.xword 0x0c174b183034c887
.xword 0xd5db443e6df8a7b5
.xword 0x99802e8941a1145d
.xword 0xab05d6a79f61f0d7
.xword 0xda95ec39240d705a
.xword 0xa210a760089e384a
.xword 0xef5457ab1d026606
.xword 0x9cb757df27e99019
.xword 0x721b5bacc64ee0df
.xword 0x067118765fe900a4
.xword 0xbdaa0084b9e13dcd
.xword 0xd82da421194d192b
_t1_copy_iv_array:
.xword 0x3223dcbf0388fbeb
.xword 0x7bc633819acaa4fe
.xword 0xa7315c078cd6d6d4
.xword 0xc0bc39bd61e57050
.xword 0x6d385f1a5788656c
.xword 0x8bf3170a751fe1d4
.xword 0x2b694c6364a72171
.xword 0x772d87b5cf067dbe
.xword 0x51aad6d39beaf7f9
.xword 0xe0798974891c1695
.xword 0xcfd0ff8ddc0b9fea
.xword 0x2f8436bcebae8b35
.xword 0xe9736c7d749567e3
.xword 0xbb6186abc578d7cb
.xword 0xa211bdfb85ed0622
.xword 0x8d609165b663ff24
.xword 0x023079080c511c1e
.xword 0xe8396a3b2d165e11
.xword 0xb17cb0409c391d0b
.xword 0xd2a710a6c5517d24
.xword 0xbf2f60f129bfbaa7
.xword 0x57ab96b0c578208c
.xword 0x5288ab07bd57a4ee
.xword 0x02bfff3b872e02e4
.xword 0x20cc4c44f59df624
.xword 0x6deb8384c2e71b9a
.xword 0x7bb99f679d49a4bf
.xword 0x01e92e2b12f0bf70
.xword 0xccb472269ab90f31
.xword 0x5d4642c157a384f4
.xword 0xea6c7c7bf1bcc429
.xword 0x1fd11ef9dbeeb3ce
.xword 0xe612b13866f5c8f7
.xword 0xdb3fe21c0561d367
.xword 0x4fb03c126da3da50
.xword 0x790f2296a2fc627a
.xword 0x8cf0386a9c7331bf
.xword 0x5e1768d0ab6f1e39
.xword 0xaf8b4c868d62569c
.xword 0xe366b4fe2613a59d
.xword 0x6d551bfc32eced1e
.xword 0x8e580937ddfe521c
.xword 0x0d6834072ca026ba
.xword 0x17f68265b00afce0
.xword 0xd326d6853466d621
_t1_copy_alignment_array:
.xword 6
.xword 2
.xword 4
.xword 5
.xword 14
.xword 13
.xword 7
.xword 7
.xword 14
.xword 11
.xword 11
.xword 14
.xword 11
.xword 4
.xword 5
.xword 11
.xword 11
.xword 1
.xword 10
.xword 14
.xword 2
.xword 3
.xword 5
.xword 8
.xword 10
.xword 0
.xword 4
.xword 4
.xword 15
.xword 7
.xword 6
.xword 14
.xword 0
.xword 15
.xword 11
.xword 3
.xword 1
.xword 2
.xword 6
.xword 11
.xword 2
.xword 12
.xword 5
.xword 4
.xword 11
.xword 9
.xword 3
.xword 13
.xword 15
.xword 5
.xword 6
.xword 4
.xword 0
.xword 13
.xword 3
.xword 11
.xword 10
.xword 4
.xword 7
.xword 8
.xword 1
.xword 5
.xword 14
.xword 12
.xword 0
.xword 0
.xword 9
.xword 0
.xword 14
.xword 9
.xword 6
.xword 2
.xword 7
.xword 9
.xword 15
.xword 5
.xword 15
.xword 15
.xword 8
.xword 7
.xword 13
.xword 13
.xword 13
.xword 7
.xword 0
.xword 6
.xword 10
.xword 2
.xword 0
.xword 1
.xword 15
.xword 11
.xword 15
.xword 5
.xword 0
.xword 7
.xword 14
.xword 1
.xword 10
.xword 11
.xword 15
.xword 1
.xword 7
.xword 14
.xword 11
_t1_copy_src:
.xword 0x81a3cc84c39b0095
.xword 0xda0e8ff4e4e3c750
.xword 0x46acc0df0c4c6a42
.xword 0x4c979625b608be5b
.xword 0x4d2dbb8a34b08907
.xword 0x0c6f7e02a6da43d0
.xword 0x4daa0a9b1782b08f
.xword 0x4c5edbf6c8acf34a
.xword 0xc6fb20fbb83f5341
.xword 0x84a6746ea8a566f2
.xword 0x031d36c24c7aeb13
.xword 0x631ce46b9980ed9f
.xword 0xe5589740892f54db
.xword 0x12324dbd7f3dac4b
.xword 0xec9f68edb01993d8
.xword 0xca2c9583273e44d1
.xword 0xa251f71ffa19c5e3
.xword 0x62a67929b258d313
.xword 0x0c6dc265cd7f1776
.xword 0x3a05843db4f35433
.xword 0x6d2e0f728702d639
.xword 0xef27abb4888bc98d
.xword 0x62a1821bf9e7def0
.xword 0xd58f9cecc64a43fe
.xword 0xf8a0a5c96000d1e4
.xword 0x28e30c2516f762a0
.xword 0x7bbaf992d7dd2f11
.xword 0xd534c865946c0fd6
.xword 0x5c42e35e1ae2f7f3
.xword 0x652af86e4172efcc
.xword 0xfd60d730b1d65732
.xword 0x9efceb70f6285d5b
.xword 0x26539069ba149ada
.xword 0x37601f230e22a562
.xword 0x941c59a8a094759e
.xword 0xfe4144160c5c4e27
.xword 0xc33eb3de4719d42c
.xword 0x8335bd6184c908bd
.xword 0x503b1412ce7fb84a
.xword 0xcf654f278391568c
.xword 0x1eb94f9d35c6f35c
.xword 0xda4a4b16d5124913
.xword 0xd8e922fc51934e93
.xword 0x88d727ee543332ea
.xword 0x75577ad9d0c464e3
.xword 0xc7c61effba1eb56f
.xword 0x04560bb35555b158
.xword 0x900125ff8b8361af
.xword 0x87041a3fd87773e4
.xword 0xd4d69be84237c182
.xword 0xe6b8572f56325f39
.xword 0xfbb037a74908470f
.xword 0x49c34d181ed0a06c
.xword 0x6896c0ed8fcfc722
.xword 0xd5cf0d9eb04f2341
.xword 0x45b6bee2e2cfa486
.xword 0xa5049570755a2f6d
.xword 0xf37be7187ca500f2
.xword 0xd390c9debafd6ad0
.xword 0x15e140d888b335e0
.xword 0x41964f6cbc38b69e
.xword 0xabbbf78053579651
.xword 0xc6cd75617f7c9d1b
.xword 0xfcfaeed9481e692c
.xword 0x770d504c2eb63408
.xword 0x9099649334829f44
.xword 0x30ca4724bd4b5397
.xword 0x5acc58279380f954
.xword 0x8dc580d5f4f358c0
.xword 0x5105bbabef0e7ca2
.xword 0xf0fa8eb6e7e1382d
.xword 0xcab39c494dffcc38
.xword 0x983956ce2f34b670
.xword 0xb0727d52c1fcf6ed
.xword 0xa1f78cdd0b734c60
.xword 0xc0ddd44440f7ab47
.xword 0x3642040795ff61a9
.xword 0x77ca55459024a99e
.xword 0x5ca272a449f5f6fd
.xword 0xfa3208e552b8ad73
.xword 0x614282aab1e0e5ea
.xword 0xf8e0c95b57ed846b
.xword 0x5de202518d737182
.xword 0x2cc12587374854f3
.xword 0x83edb30cbec9d84e
.xword 0xf3a07b6727e13d07
.xword 0x70c2d5a3117de426
.xword 0x8e651589c41bbdce
.xword 0x62955eed9e93214b
.xword 0xce502836a6503a15
.xword 0x366f5a6f208e1c77
.xword 0xd450b84458631577
.xword 0x9398c5fab9059389
.xword 0xe26353380a97c6c8
.xword 0xcf4147992006eb13
.xword 0xa51c5178bb3a3bd8
.xword 0x2fb77274df935ce8
.xword 0x23dbb9804f450512
.xword 0xace4b9e6ef900d6f
.xword 0x39e3d5341bbf4554
.xword 0x7c7aa9e4a0ff49e3
.xword 0x1aaf128fd6eb924d
.xword 0xdaf2f403f6b77cdb
.xword 0x699967fdcd2bafb7
.xword 0x7cea57c0c09584c1
.xword 0xa30c9eaaf1544c58
.xword 0x121e57fed84f2456
.xword 0x3fc2efbaa4887aa4
.xword 0x7dd0176a0f07e59f
.xword 0xdb55d7810af5a6c6
.xword 0x4ff4a3093a50ea55
.xword 0x1b0be68e1ab2b194
.xword 0xa2d6dac4d45d17a9
.xword 0xfcb1c3e55e60716b
.xword 0x14da5737b5f156c1
.xword 0xde3dc5fe7bf4c086
.xword 0x17036c612123d12c
.xword 0x67ac2b195741432d
.xword 0xcfc91316aa57ced3
.xword 0xbcea829b41cb34c2
.xword 0xb5c4b54fc213fc95
.xword 0xf9ca81ec70d52fa1
.xword 0x2b0dcfeda503ff4c
.xword 0x333ddbb17a32c053
.xword 0xed76924bb8cefe68
.xword 0x2c19d16f591d82c6
.xword 0x12705ffa7245ed10
.xword 0xf8a8b8db2c3dc543
.xword 0xe33682d15cb787f8
.xword 0xe79c58594e6dfe1f
.xword 0x3923f6e3f0ed23f9
.xword 0x27a8dcc26d2fb937
.xword 0xa960d4ed68461999
.xword 0xb2631c831f58ea09
.xword 0xf3bf25e59a98ba9c
.xword 0xb6ff208c097fb30d
.xword 0xd12da59a639931b8
.xword 0x3a3277a8f6b60ae9
.xword 0x81eb4eca6aa8b521
.xword 0x31838f6d4e3cd724
.xword 0x969796e3aec3bf85
.xword 0xb4bc1c08b8412da8
.xword 0x0ba999c3b1b7fb28
.xword 0x5b3c0f25467bf4f2
.xword 0x4491bbdda694a03a
.xword 0x001038cf48a190a2
.xword 0x64eea4ac231adab3
.xword 0xa6494ced453c4aac
.xword 0x55e495e9bae23c95
.xword 0xc93488b63e3af78b
.xword 0xf416faa4ab8cf4b7
.xword 0x1526132d3bbf0e03
.xword 0x915bf5e1d905ab69
.xword 0x311aa9c965ffe04d
.xword 0x50cfbd4c7131b6d8
.xword 0xc34470a3a3143b77
.xword 0x7a44d345befa0226
.xword 0x9d993b7879451149
.xword 0xdf49dde66b4c8d37
.xword 0x69026cbb5e56dc43
.xword 0xd954acc5707ef599
.xword 0xe234c8ad7e87149e
.xword 0x92186863fe402c2e
.xword 0xcb5e8a3dc4a98d22
.xword 0x6ed54b82aaade3ee
.xword 0x741fac776ff20e86
.xword 0x94967a935770afe5
.xword 0x81d4d156c7271ef0
.xword 0x67f548eef305342e
.xword 0x05a88f76eeb4b765
.xword 0xc920a313e9a3ab3a
.xword 0x9760b576efcb81e8
.xword 0x261e43179b5d3d34
.xword 0x90cb4341d2c941a5
.xword 0x59cf0a4c97123e68
.xword 0x3f12a6e9f22daa24
.xword 0x2e318a2c129e5c43
.xword 0x5baf8cd99ed9ceb3
.xword 0x1258db649355700a
.xword 0xd30ebe58c7428459
.xword 0x9612b34db35a811c
.xword 0x037f348fe2b6e152
.xword 0xbadc3f562c35fbb4
.xword 0xdcf059cea9948ac2
.xword 0x783e27d23af469b4
.xword 0x8f438b84615e6af8
.xword 0xfcc26fac5847b8d9
.xword 0x52ef1f063ab04300
.xword 0x00704b1ceae85eb9
.xword 0xf6a4521f5894fa25
.xword 0x179bb2261614fa45
.xword 0x82caf4e60a340763
.xword 0xa8a5bb473f726b80
.xword 0x397706d3f02b86f7
.xword 0x323a7a6261726709
.xword 0x98d72f38513b9805
.xword 0x90545ddacc55928e
.xword 0x4013ce7188895c03
.xword 0x1ba49dd3aae884dd
.xword 0x588ad9e922f5c5d8
.xword 0x24ed15edf6c4abbb
.xword 0x57a4eacca5e3cb86
.xword 0xde4d82495ce33f09
.xword 0xf9125e6148b5ca9c
.xword 0xeca25187b5e682fd
.xword 0xf515979a52c1c2db
.xword 0x0724f444a4da8f6b
.xword 0x2c7be1c5addac046
.xword 0x8e07452f2c479b78
.xword 0x2d9b018dfea8f7b5
.xword 0x062f081046938ec5
.xword 0xa97f86a1ec8dee53
.xword 0x002696cbb8d3be37
.xword 0x600003f7910f0acd
.xword 0xbe0f76366aef62f6
.xword 0xe9dd3365f14f7651
.xword 0xbd90813faca769fe
.xword 0xddfa53227b92efcd
.xword 0x61b64727f3a00044
.xword 0x187b0e04e00bb7e8
.xword 0x1330c752275a5a67
.xword 0xb4b9c22f203320dc
.xword 0x49496a48c8d43ba4
.xword 0x9debcadfa7b276d1
.xword 0xb4cdbbec00fdea96
.xword 0xde0df104ac8cb96c
.xword 0xd1ab506aa918101d
.xword 0xf1923486a5168cc4
.xword 0x7d18a5d2c7bc8a3c
.xword 0xb3f6bc912a619170
.xword 0x6bcd952a289e7d10
.xword 0xbd37c980d45b8597
.xword 0x25f1391691a29800
.xword 0x18b3dffa76fa7136
.xword 0xf44ecb4d0dfc4697
.xword 0xd343fb2007762bd5
.xword 0x5aeff758638e1ede
.xword 0xd927f3f77b0d37e3
.xword 0x3eff852bd1c77319
.xword 0x7b8986c2a2905abb
.xword 0x8d4550b201412c2d
.xword 0x01acabadc86c1aa6
.xword 0x1ad30bd9e705c493
.xword 0x671f5c6644b1a1a8
.xword 0x435e570434333e50
.xword 0x02b359638e0f08a4
.xword 0x661b187e9ee29278
.xword 0xbb1b37e8012be900
.xword 0xb68ab55e52b47cf1
.xword 0x2d87759df99e488c
.xword 0x4ebb6df0bffba51f
.xword 0x94528c817a59c95c
.xword 0x63985cebf0a92259
.xword 0xfc44e3e02c61de73
.xword 0xa1c68fe825d9a757
.xword 0x81dd90d06450c56a
.xword 0x194a806db91a435c
.xword 0xcbd3b705b8e965da
.xword 0x780413eb941e606e
.xword 0x4a863ca9300d028b
.xword 0x128844476a76374c
.xword 0x49b253a2ef986560
.xword 0x7cae62ca28707274
.xword 0xa1f753c29adb1c24
.xword 0x499143badae76249
.xword 0x6137fa2995b5d4d1
.xword 0xc32354ff061fa9b9
.xword 0x0f432991a241f078
.xword 0x5278580af1351c78
.xword 0x58114cbbf1a073e7
.xword 0x51d51b423aee0515
.xword 0x8ef6faa308f657c5
.xword 0x5932340c3bbc2090
.xword 0xde691989d22906b7
.xword 0x25f60838bd48f382
_t1_copy_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_auth_key:
.xword 0x883aecb1e191fb6a
.xword 0x7d1846b2fc25d5ce
.xword 0x957b4919a750f093
.xword 0xbfa9073861e765a9
.xword 0x383e9d940dfe46bc
.xword 0xe3e451aa0730b05d
.xword 0xa37bb97c141bf17d
.xword 0x0f5bfa9cf1ef245f
.xword 0x7e47759c539329af
.xword 0xfb5539571f8446d6
.xword 0x7e6201c556117813
.xword 0xbb6163f995a49590
.xword 0x49491bc5eb2469e2
.xword 0x29fa4f7d9b0a468a
.xword 0x59ed943d1c9fdae0
.xword 0x35b3e9cecde69b3b
.xword 0xf37ee744aef281c3
.xword 0x02c6c498c128bb8b
.xword 0x5590b6bbbbe798c3
.xword 0xf93ace9e169c0947
.xword 0xd8944fab8342e594
.xword 0x73fad5f8456dc22f
.xword 0x6facaff26ab33aa9
_t1_copy_auth_iv:
.xword 0x5316027e9d872782
.xword 0xb05a8b5c7d71d876
.xword 0xaf656f9476c32fb5
.xword 0x0df99f0e96d5027d
.xword 0x46ef1ee9f44c0b99
.xword 0xde46dd1bdf6a0422
.xword 0xaa71b080a02e81ac
.xword 0xbc3cfa0f6f571b92
.xword 0x443e7dbd81dce463
.xword 0xfb786342ced5de7e
.xword 0xf17045da063c7285
.xword 0x85d5168fd7a360bc
.xword 0xcb728a0f1112aeb0
.xword 0x07fb2d8152643f11
.xword 0xa84a1a143903137d
.xword 0x89ae120d26b2ae26
.xword 0xa079d714320d8d29
.xword 0xea2ad59f09aa2462
.xword 0x5037dedfa07e38ab
.xword 0x9d62e1182a2348f2
.xword 0x14a24f133edb97a9
.xword 0xe6449e89e91c8f04
.xword 0x9468aa99386c29d7
_t1_copy_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_key_array:
.xword 0x4fdcc2209a2abe50
.xword 0x7e2d5ad5dfc67055
.xword 0x89284db4a6bd7218
.xword 0x850929e50a9d2262
.xword 0xf39c297b1c415798
.xword 0x15beb964645995c6
.xword 0x10086d90f36048df
.xword 0x4206ccbab95c179c
.xword 0xbd3606ec464d167e
.xword 0xa0e16c1fd0292f00
.xword 0xab015958c2cce3f9
.xword 0x2f993a110f073f46
.xword 0x456790b9b900355a
.xword 0xacbb038e84c9bf03
.xword 0x87bf0c08a477bc09
.xword 0x5da8e7914648e5d3
.xword 0x883a3e03950efcc4
.xword 0x46e343f8b760f348
.xword 0xaae1dc1458788e0b
.xword 0x4e7426a969d5aff7
.xword 0x6e4ec9005d1328ee
.xword 0xf5aa02802f04a0ee
.xword 0xeb85bb69751a7fa6
.xword 0x1e2133db309c265e
.xword 0xe9723f71b53a2431
.xword 0x8b67eb3b4c4d0320
.xword 0x6fb02481e06cd47c
.xword 0x3e60c6bd8892dbc0
.xword 0xaf35f42cab9f580f
.xword 0xe4adaa50061161e0
.xword 0x1982bb91fa16fc32
.xword 0x22bf362ed5b013c9
.xword 0x2cc7e6fad2aa2afa
.xword 0x1258f875a2660f32
.xword 0xbb8dcb5897464554
.xword 0xcbd8a331aba7f6a9
.xword 0xd6a59c90948f30ca
.xword 0xc4818667295e4820
.xword 0x6c6a4a6ea1e748a3
.xword 0x68b308c6a8274a70
.xword 0xc810ef8a0254684c
.xword 0x322b4c3991c91bdc
.xword 0xb745ceea504d0957
.xword 0x9656e258e229b419
.xword 0xd7332b87be1df2c3
.xword 0x17519f64810034d5
.xword 0x85894e3fa51b337b
.xword 0x7943559b5449865a
.xword 0x257b573bf9a6e9dc
.xword 0x0ac16a0f4aee8d50
.xword 0xd3a3fae15b695191
_t1_crc_iv_array:
.xword 0x2e104d12343dbffb
.xword 0x1c19a4777c32eb86
.xword 0xd6969153e64df8b2
.xword 0xb774112b6cc85603
.xword 0xeeb54156a51b7000
.xword 0xf89d6f8b8f10c933
.xword 0x2879a0bd4390fae0
.xword 0xf261d6ed195c8550
.xword 0x43e26c6efb20949f
.xword 0xa876f511cb44ca9a
.xword 0x3aeeae79d395f815
.xword 0x658a363e612d2c40
.xword 0xc5d8570ef343aef6
.xword 0x497b078180789723
.xword 0x7a52de862ddeecc5
.xword 0x20d2ec7177e079bc
.xword 0x3ce3e129c2995b35
.xword 0x06a942562223d67a
.xword 0x2a0b7f34b02ab9e2
.xword 0x86215e13015e0e25
.xword 0x910467319c351bb5
.xword 0xbeea881e3ac1aa5f
.xword 0xedf5914f8003ed4a
.xword 0x566fef0ed9a3bffe
.xword 0x475024d9347f2e52
.xword 0x94c51ea2d26b23ee
.xword 0xf2ce74f7a83d23d8
.xword 0xa341dd595e63450c
.xword 0xa82ef8a919d0f13a
.xword 0x8a44676e2772446e
.xword 0x4ff0008f13349971
.xword 0x9507a7a3504b42a2
.xword 0x0bad7a64e5c52163
.xword 0x990bb6ff6ca6506e
.xword 0xaab98a1ee44b9d10
.xword 0xc302a9314b784925
.xword 0xd892946fb5fbb020
.xword 0xba34f968808fb7c7
.xword 0x89b1d14cdf60458d
.xword 0x3ca4af6c6334f67d
.xword 0x0ebf1465f26f8d2d
.xword 0xb506273395904e1d
.xword 0x34b0b5d251d1c528
.xword 0xa52388c53148d2c1
.xword 0xe74e7c25729792bc
_t1_crc_alignment_array:
.xword 8
.xword 2
.xword 9
.xword 2
.xword 0
.xword 7
.xword 6
.xword 11
.xword 8
.xword 13
.xword 9
.xword 10
.xword 1
.xword 7
.xword 7
.xword 2
.xword 0
.xword 15
.xword 13
.xword 2
.xword 9
.xword 4
.xword 15
.xword 12
.xword 9
.xword 12
.xword 12
.xword 0
.xword 2
.xword 13
.xword 8
.xword 11
.xword 13
.xword 5
.xword 9
.xword 6
.xword 4
.xword 11
.xword 3
.xword 1
.xword 13
.xword 4
.xword 8
.xword 4
.xword 8
.xword 6
.xword 14
.xword 9
.xword 4
.xword 11
.xword 0
.xword 3
.xword 3
.xword 8
.xword 10
.xword 1
.xword 2
.xword 6
.xword 12
.xword 2
.xword 15
.xword 11
.xword 5
.xword 14
.xword 0
.xword 0
.xword 13
.xword 13
.xword 2
.xword 9
.xword 14
.xword 12
.xword 3
.xword 4
.xword 11
.xword 0
.xword 3
.xword 14
.xword 13
.xword 12
.xword 8
.xword 13
.xword 1
.xword 12
.xword 3
.xword 6
.xword 1
.xword 6
.xword 1
.xword 8
.xword 9
.xword 4
.xword 0
.xword 7
.xword 12
.xword 13
.xword 7
.xword 8
.xword 2
.xword 4
.xword 15
.xword 6
.xword 5
.xword 9
.xword 14
_t1_crc_src:
.xword 0x722062ed67e28b92
.xword 0x24cc1f9751461804
.xword 0xee79fb7aad82c731
.xword 0x55a4e702e0692763
.xword 0x7ff241cce5e1fcb4
.xword 0xb57bf6a0dce10bf2
.xword 0x85e230b83f750af3
.xword 0x6149b73c8427d525
.xword 0x0249214dc617d9f5
.xword 0x3b282b82130cf114
.xword 0x1897cb8e84b95b47
.xword 0x4fb704163071b1e5
.xword 0x1c55cbc2e59af4de
.xword 0xb9eaf83102293ee4
.xword 0x78320459a8f51313
.xword 0xca6a9bacee8f67ab
.xword 0x4ba06cf8299d3b95
.xword 0xc8669835affabb97
.xword 0xd429dc74cc722423
.xword 0x61a7fd7fec2699a6
.xword 0x86a8949f90f619ff
.xword 0x8c2374eb7880fdd4
.xword 0xda4a339372d5f76a
.xword 0x6dd648dca919214a
.xword 0x56c88aef4e1daaa4
.xword 0x854edfafb53fc270
.xword 0x512f30e9fce5b816
.xword 0x2a940ae938650fcc
.xword 0x3f443d3cc2648b35
.xword 0xb23006dc9f6ba671
.xword 0xdb761dfe690a629b
.xword 0x8ec9d84e42251cde
.xword 0xb52581fd03237e9b
.xword 0x06d35e7a7652d896
.xword 0x21aa659e245400c6
.xword 0xa0ac61f3d07f0230
.xword 0x4df3be421b6ed561
.xword 0x34e675f408e8b952
.xword 0x7fa54ba78f6d7b02
.xword 0xfe530f62f2d749c4
.xword 0xb6873baa583641da
.xword 0x1d0ab36ad1471c75
.xword 0x16f48a8048b410b2
.xword 0xb93fd37a524c5f9a
.xword 0xc7a7d8b915f9a8e2
.xword 0x4cb3bd8090f269b4
.xword 0xe738c320ef621871
.xword 0x610c568dca228794
.xword 0xa11bdc60a5bfb304
.xword 0x5f38431a3371faaa
.xword 0x595518b132d42424
.xword 0x5611d657d62cced1
.xword 0x538689399216c00b
.xword 0x327a9a1870466246
.xword 0xa1a49ec8bf253e6f
.xword 0x702ee88f98d94cb6
.xword 0xed26c564251a753a
.xword 0x4b3821dbe1690666
.xword 0xe5fb5c50dc786e0c
.xword 0x94a48ed4cd6d6613
.xword 0x5937a8d8fa0b57b5
.xword 0x3ff8981d99205b88
.xword 0x5a191cf57f2c5e6a
.xword 0x66a3d3fba008d438
.xword 0xabaf46465b23d8c3
.xword 0x512fe0d5c39b033d
.xword 0xbc6638562f0b7774
.xword 0xecb26c36b2426c81
.xword 0x76e625f1e24145e2
.xword 0x9469dd951c4622b4
.xword 0xaa32dc0dafe2134e
.xword 0xc83618192eaa8c3b
.xword 0xb38660d8046982da
.xword 0x95ebca937caa80a8
.xword 0x6973c595851724a0
.xword 0x564ed6f6b3d97df8
.xword 0xa77ca46b127f648c
.xword 0x46856519d70d4bc0
.xword 0xb1c88af129b09108
.xword 0x69d7305e2505e032
.xword 0xb386680f77f3c3e1
.xword 0xc1e184f6b96606a2
.xword 0x3ed02dbb045c504a
.xword 0x33d6e31a016f832c
.xword 0xbfdb8ba3ddc941e7
.xword 0x629ce28faac98fa2
.xword 0x72787ebb8bd2306e
.xword 0x47f040694c919570
.xword 0x026d87e9fb074a87
.xword 0x8078823430faef51
.xword 0xc1303f73f5172142
.xword 0xb78e2b28ffaecbf8
.xword 0x4e99683433843f87
.xword 0x3f3167efbaa6e175
.xword 0x7853972acf24fd16
.xword 0xa9b234c0185b8e96
.xword 0x0b4c8550f5bcc9da
.xword 0x2af31e1dd79bf54d
.xword 0x4e24c401dacf4dfb
.xword 0xa9abfd5f3575b9ab
.xword 0x114d940c730a9d90
.xword 0x8175b61d4187d513
.xword 0x8b9f01113692a108
.xword 0xb6a7b6c6dc06235f
.xword 0xa3a696b7f7affbdb
.xword 0x3693d832fcdbb86c
.xword 0x7813cfef0b759c56
.xword 0xfafb5e725976d225
.xword 0x73da78b7cfdaa4ac
.xword 0x2e1e00e4e1b7a608
.xword 0x61109fcb9664caea
.xword 0xf8faec5a804bc0b5
.xword 0x6e90e377793ae346
.xword 0x780777dda7cb60c4
.xword 0x94076123e4669d0d
.xword 0x30c8fdae1b763acb
.xword 0x263e076d14df1311
.xword 0x435318dc973c5fc2
.xword 0xd4b414ac98c9afdb
.xword 0x0269943180008929
.xword 0x8b3c9893f3239d93
.xword 0xc958153512066159
.xword 0xbfc70352531956f7
.xword 0xd830234e5193a76b
.xword 0x082cd48ddbe0bd85
.xword 0xe837eae16ccc05fc
.xword 0xb86111bcf72975d6
.xword 0xd0d81c090ced0362
.xword 0xa78afc5f15df805a
.xword 0xbf08de1914afa2ac
.xword 0x2d2b923cd6d2fece
.xword 0xb324d9d8443e663f
.xword 0xf0d36822f168be7e
.xword 0x59219586c98ae46a
.xword 0x1e14ffdc617e5a67
.xword 0x76ba68a501f86cc3
.xword 0x80ec29e7089b91be
.xword 0xc17fd433367dfaa1
.xword 0x5ae08d61a51d5221
.xword 0xa651ba220a2732a2
.xword 0x0cfcd8eeada9de02
.xword 0xfa923ba1a7075df1
.xword 0x46287265b7d459ae
.xword 0xf73c8bb5d8406f94
.xword 0x94e47c0bd8e5bda5
.xword 0x8291d6f8c5f1cb9f
.xword 0x32f8d3b50c354e98
.xword 0x70c38018e0def60e
.xword 0x914515cd0dd0c6f5
.xword 0x58149779d18fdd05
.xword 0x077b6757c5aac4d6
.xword 0xe8d7ca707e2d0dea
.xword 0x7ad99e43d414ba4c
.xword 0x3c2a72fa9026d3f9
.xword 0x7b5e81d1276ac309
.xword 0x9e99429fdb8d18c1
.xword 0xe6f518ce1c794527
.xword 0xe1ae8f80b88d6cf5
.xword 0xbad15c373684ee01
.xword 0x3dee0b546a313149
.xword 0xff6dc1c77c074ff4
.xword 0xe459c8658a37100c
.xword 0x19801332848a5eef
.xword 0x9153d33d85fcf279
.xword 0x5b1df9aebc6e46b9
.xword 0x71bb98ba50afb541
.xword 0xdaaa26c793cb4f09
.xword 0x86328ec72dfdf400
.xword 0xa366aee4eb32e393
.xword 0x8068a63afd1f2a7a
.xword 0xb06e8a2307d4883c
.xword 0xd7a1d6e1f2e69e8a
.xword 0x0b49152b3c544188
.xword 0x03154492d96fbdb1
.xword 0x88745c952b453eea
.xword 0xa05d157c55ef203b
.xword 0xdafb5b1fdb49035e
.xword 0x9b0165180658ab9d
.xword 0x7c795d55c577a41c
.xword 0xa634986f73ae46b0
.xword 0x2043c587fb2ed468
.xword 0xfb9b92bc1e89b5fe
.xword 0xa8cfdcbb3da6e0da
.xword 0x713986aa456a41a4
.xword 0x027dc11059f47253
.xword 0x5530732ffa500e66
.xword 0x7a3ab7bca95a8d8e
.xword 0xbb7aea5c04ded07a
.xword 0xf79cda1825825565
.xword 0xd1f1dc3b613c4048
.xword 0x585dd0ff82fb4739
.xword 0x620ee3d588074de6
.xword 0x7f8bf62abe996471
.xword 0xd3795dd39d91888f
.xword 0xf04565e06ccb9e94
.xword 0xd305e31acf0ed824
.xword 0x7c675c96d18aaebb
.xword 0xe4b0946e3cd684e1
.xword 0xf635f82f8bf99261
.xword 0xb3dc21f37c865cf2
.xword 0xf24c6aec2107f41e
.xword 0x0c11924a343af9ab
.xword 0xed5ff3c54d392c71
.xword 0x54d2cde53fbbc143
.xword 0x969becfab0f3c502
.xword 0x61a983c74c753d23
.xword 0x1900cd5d33bf2923
.xword 0xdf3aef9891f74629
.xword 0xde2f16865e727c77
.xword 0x81211063fcd4d6c8
.xword 0x24110954b796cefe
.xword 0x374547d947981f05
.xword 0x076201a613b41af8
.xword 0x24e085aabff0e3fc
.xword 0xf27159a43a1379db
.xword 0xd48df61e206f2e71
.xword 0x2083c0395f2dd649
.xword 0x49d4496efe948d81
.xword 0xe78ac8cd95c191ce
.xword 0x227ed3a7c93528aa
.xword 0xc8a6b369942ea43c
.xword 0xf8319711961a0434
.xword 0xa504b1c36fb0619e
.xword 0xb89c9b538b7ebeff
.xword 0x92f5d00cccd5174b
.xword 0xddcaae60e1553769
.xword 0x104e97f0a732622f
.xword 0x56f75f4be1bd6d01
.xword 0x000234619679fcf6
.xword 0xf6fc13fc7f5cedd4
.xword 0x695770a9b912c172
.xword 0x93951b081f37ddab
.xword 0xd105c22999f8dd9b
.xword 0x19f5def41a98aae9
.xword 0x3a8f47546fc38158
.xword 0x27b922c8abdb4633
.xword 0xceb982c4b9c64719
.xword 0x1ed568685121361c
.xword 0xcc26b1a185120ff3
.xword 0x6eb97b4398cba074
.xword 0x6c31f9472ee82845
.xword 0x31e95a58f3e97bf1
.xword 0xef8f00310ce3377c
.xword 0x9b1b6b6e7ea8e6a8
.xword 0xd649e99091b3a827
.xword 0xcb49225bebd099d1
.xword 0x9b54173041ff1add
.xword 0x28096ec9e385be82
.xword 0xbdb21fda7d0c95b1
.xword 0xab4f3c2be78ff2ae
.xword 0x9d7a17ea6aae4ddb
.xword 0x615cbd9a4bc8c684
.xword 0x433543c6c01a097a
.xword 0xc01409836d6e5b61
.xword 0x23f911625313af24
.xword 0x3f124a116bd41481
.xword 0x660306d682938bac
.xword 0x3fdb8e58d8eac301
.xword 0xaee2d403222cb724
.xword 0x2395a47f0b92c1ad
.xword 0xa2a9ca0acb08582a
.xword 0xfc2bd05aa499bd6e
.xword 0x79268d8bc1754546
.xword 0xa907bb05e9a05288
.xword 0x1d07ad33cac5eb38
.xword 0x4d87d978f6642d26
.xword 0xcf913c6a76668d43
.xword 0xf8367e07a9d51459
.xword 0x385b9c9a2a7637d5
.xword 0x322bed818aee9a16
.xword 0xf265d1af943f2a6e
.xword 0xfde3734ba0f0d6e5
.xword 0x68f14720d525c99d
.xword 0x6aff5c461e468726
.xword 0xd1931720078d867a
_t1_crc_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_auth_key:
.xword 0x232b4f517544c87b
.xword 0x337112bade5cab62
.xword 0x2f2f71b5a466fd36
.xword 0x6fa8c04791e6e0ea
.xword 0x986aef3fd26f84db
.xword 0x9cdf7fe97f64503f
.xword 0x07fa008107549c44
.xword 0xca9dccd9e6f53c8e
.xword 0x53d54aeff5fc0aa9
.xword 0x5a89e98006d23b82
.xword 0xcb1044f814546f1b
.xword 0xb0fa0ede57972d58
.xword 0x21748ec732a5c8d1
.xword 0x9275258f3386b40e
.xword 0x22a5cc1423d6e847
.xword 0x96300f3d5b689d08
.xword 0x5b258cd8f720877e
.xword 0x95638f9950d4d4d5
.xword 0x2943fbb568e53db6
.xword 0x91dcbd049b15c0f4
.xword 0xa150e4992ec30996
.xword 0xfe7c150b37921bc0
.xword 0xaf860224c7a124cb
_t1_crc_auth_iv:
.xword 0x93e0f1490447d9cd
.xword 0xd5da22d60bb80c6c
.xword 0xf4565958060b1394
.xword 0xd781283235d9b0c2
.xword 0xb168c05ff809e7a5
.xword 0x949d4140b3cdf9af
.xword 0xa5b2871e4999d6bf
.xword 0x11411ec6745399a1
.xword 0xb1e72eeba39d6311
.xword 0x46d82c2b9f250623
.xword 0xcc91dce8855dd6d7
.xword 0x43a7029f39b81471
.xword 0x6a11ff7a24ee83ef
.xword 0x4d1d602d87e66221
.xword 0x15a58319606a4455
.xword 0xac374b3df0cf947b
.xword 0xc7e2d0cab13c39d7
.xword 0x46533ca7d6b91aea
.xword 0x4c76fa8670c9b7fd
.xword 0x0f81b29996bedf93
.xword 0x9920cc3cf14ce956
.xword 0xdcc3e2e8fc9212e5
.xword 0x6b037d467ed225b3
_t1_crc_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_key_array:
.xword 0x5fe25dc6ca7836fa
.xword 0x56f69d5c707633ad
.xword 0xb6f1b05490ecf9d5
.xword 0x5e35caa5e1785f23
.xword 0xb112fb4fed8a97e6
.xword 0x4ec0d8741a92d568
.xword 0x25f2e1debc38e898
.xword 0x64e051602827cf63
.xword 0x7856cd8af5ee1431
.xword 0x5604f670069c68b2
.xword 0x967346e6eec365f5
.xword 0x6dc35dcfc79485ab
.xword 0xe7efd2674510ab8a
.xword 0xb738e6267ba6e3e6
.xword 0x51f8635b234cc01d
.xword 0x3556cc6bca4631a3
.xword 0x5507130a656441b2
.xword 0xc2e27c9e30179f5e
.xword 0xf62322f04cf3a6f0
.xword 0x98c762e31a77341c
.xword 0xc3114b7f4470fd7c
.xword 0x3ad2663b6210818b
.xword 0xf31e39f51b8128e9
.xword 0x27304c0173c2433e
.xword 0xc33aeb35fea6ce68
.xword 0xce7d0d8414b9958f
.xword 0x17ac9eae6de04dc4
.xword 0x74c94352c09001d5
.xword 0x9651133b360ce0c1
.xword 0x1c2b8e7e0f77a2a1
.xword 0x50d85997d1f04143
.xword 0xaba6c39b858df936
.xword 0xd3c4056f01b7983f
.xword 0x4f54e185292d54b8
.xword 0x1f39299be7f2a27c
.xword 0x3303b4ef40be7722
.xword 0xe5ffdc9473cb2a2a
.xword 0x973ae26a010371da
.xword 0xfb6d6d347514f02b
.xword 0x85ea2ca845092dad
.xword 0x855c99e8de34b085
.xword 0x59fc5f6b407e69f8
.xword 0xadbea5cfb4da4304
.xword 0x7abe2b1c526fd0b2
.xword 0x0862a308d030c281
.xword 0x51b5532652c63899
.xword 0x0d84a83cdb75fb23
.xword 0x61626d823229709e
.xword 0x3656b31afd60ebf6
.xword 0x83747d03de22d208
.xword 0x37d3f29807372692
_t1_hash_iv_array:
.xword 0x2fd094c8e25ff11a
.xword 0x0b1e9fd1d5649113
.xword 0x3b5c3f36561ed226
.xword 0xc2b6691964f926d0
.xword 0xcf7d38a60eba158d
.xword 0x155c8a7416b87b72
.xword 0x12e1c9bbe9b18c90
.xword 0x934a8c57d67287c9
.xword 0x008ce6f4ca398374
.xword 0x0ba1ee61f90585e3
.xword 0xe863c733556b8645
.xword 0x3ec531b217d45ad7
.xword 0x05c80d344079be9d
.xword 0x5a71ac0fd0fe6362
.xword 0x7de52f4df1f3506a
.xword 0xe5f763485d1c745e
.xword 0xebb8ce79fc566a7c
.xword 0xb8ae4a4dfe5df017
.xword 0x79aee5a3786a6e2a
.xword 0xede101c3ec3cfed9
.xword 0xacfd6e2e8e7a169f
.xword 0x29e2d4bdd2024c5e
.xword 0x8075d2f405f59d87
.xword 0x925410b23d83969a
.xword 0xfb980ba3876f1f63
.xword 0x6aa5130e4694acef
.xword 0xf16d34a146d6b00c
.xword 0xcc83d5b4f76df402
.xword 0x2ddc4968d250a075
.xword 0xeb9fcc1f3afc48c0
.xword 0xcc2e4b940771747b
.xword 0xc5fb0f92c7313ed8
.xword 0x64f350332306ad11
.xword 0x7f85d308e93bbfc7
.xword 0xb6ba4c7d15348076
.xword 0x3f7c24b370aeda4a
.xword 0x42f06f1ddc79dacf
.xword 0xd194fdb948d15683
.xword 0x3165030975ce0633
.xword 0xc695c2347ff814aa
.xword 0xbec0959d0b6701a5
.xword 0x1a19c4f2b1338577
.xword 0xe1ee9d8f6ffcc4bc
.xword 0xf902faef5c0316a5
.xword 0x03b45f596a778064
_t1_hash_alignment_array:
.xword 10
.xword 1
.xword 6
.xword 15
.xword 13
.xword 4
.xword 13
.xword 7
.xword 14
.xword 9
.xword 10
.xword 0
.xword 15
.xword 13
.xword 8
.xword 4
.xword 0
.xword 4
.xword 7
.xword 13
.xword 0
.xword 3
.xword 9
.xword 6
.xword 12
.xword 1
.xword 14
.xword 11
.xword 0
.xword 9
.xword 5
.xword 11
.xword 7
.xword 10
.xword 4
.xword 13
.xword 2
.xword 12
.xword 3
.xword 9
.xword 9
.xword 7
.xword 0
.xword 10
.xword 1
.xword 13
.xword 15
.xword 10
.xword 12
.xword 13
.xword 15
.xword 4
.xword 15
.xword 12
.xword 2
.xword 8
.xword 4
.xword 14
.xword 5
.xword 12
.xword 10
.xword 2
.xword 12
.xword 13
.xword 1
.xword 0
.xword 4
.xword 9
.xword 9
.xword 4
.xword 9
.xword 9
.xword 15
.xword 15
.xword 12
.xword 4
.xword 6
.xword 0
.xword 10
.xword 6
.xword 3
.xword 1
.xword 9
.xword 13
.xword 9
.xword 6
.xword 14
.xword 7
.xword 14
.xword 5
.xword 15
.xword 15
.xword 14
.xword 5
.xword 9
.xword 15
.xword 1
.xword 6
.xword 6
.xword 4
.xword 6
.xword 10
.xword 0
.xword 2
.xword 8
_t1_hash_src:
.xword 0x8b0de71d9ce64dc7
.xword 0x5961505664fdb460
.xword 0xb723e3acb6a492ba
.xword 0x125f987a3913be70
.xword 0xeae9f2b9e2c68e2d
.xword 0x7569321e6a55220c
.xword 0xdda8d3cdd50be0fb
.xword 0x40d2ede4b74f75e6
.xword 0xfa6c04efde3d4f52
.xword 0x9e0a890b379482e9
.xword 0xe9e8f39c27153d55
.xword 0xb4f1541f55547b65
.xword 0x6b220f6cd54218b1
.xword 0xffc4e62c162fbde4
.xword 0x6ca8a8449ccd38d4
.xword 0x2aca0bc91bfc9e5b
.xword 0x6fd423801109909a
.xword 0x096ea0a1e3ce6a46
.xword 0x8d0361f79d391553
.xword 0x4a718716a0c6711c
.xword 0x511f867b6130933a
.xword 0x85544cc93a73d19b
.xword 0x3531d0184bc3bc23
.xword 0xba84716ef2a216e1
.xword 0x70e9c67f6d3f3378
.xword 0xb4083e6b1f6bcca2
.xword 0x25f4832ab935765b
.xword 0x475775ed09c11f8e
.xword 0x499b7cdc4edcb1a2
.xword 0xd9422b0bdb250482
.xword 0x62fe1a084ebea613
.xword 0x14e3340568b0d381
.xword 0x7f50a13ff20f681c
.xword 0x9e0320e0d97dd57e
.xword 0xd07e9c9fbf8e1fee
.xword 0xb9f03841e8776b0d
.xword 0x7029944cbe344655
.xword 0xd29f9714fe60c56c
.xword 0x29d23b6eeb921565
.xword 0x01886faca911c056
.xword 0xf2d9b6d4cae88dcb
.xword 0x1f97599c8dc06dd2
.xword 0x1855305ff66a29e6
.xword 0x43197cb316ad8ab9
.xword 0x91086fe50d2ea1da
.xword 0x41dbf0a0fb008978
.xword 0x8d91b4e58deb82e4
.xword 0x260fe0be8a8a82be
.xword 0xb969edc8ad99f8a7
.xword 0xb490b4c9b060e88c
.xword 0xcfbb2df387bb20ee
.xword 0x86b3064ca7d279be
.xword 0x28495385522d0332
.xword 0x912d1902d26f936d
.xword 0xbc71571a2e03e547
.xword 0x32ec618debb89e25
.xword 0xd23a47b888189dc5
.xword 0xf6d886e07c392cac
.xword 0x01e5c035d10eb44e
.xword 0x3c753a18fc711ca3
.xword 0x999833ae587bf14d
.xword 0xc0a45cb319be7434
.xword 0xd82df28d29a6bc89
.xword 0x58b91413070c9347
.xword 0x96be09c0b7f23398
.xword 0xebfebe04873e63d4
.xword 0x59a13d006e3e0260
.xword 0x7840230b2de8c8d3
.xword 0x3fd533877b9ed8a0
.xword 0xd744388a13a9ac6e
.xword 0x7871db06e14b0b44
.xword 0xc617749e77f9cebb
.xword 0xa9fb9ec28c69a9bd
.xword 0x205ff4c58de1b25a
.xword 0x69cc1767b76bda13
.xword 0x89defd9c7e23b201
.xword 0xb003874bac506809
.xword 0x23576bf1b38a30e9
.xword 0x18be17adb41aafad
.xword 0xf0b14e26435035bb
.xword 0xb17fd43db59902ed
.xword 0xc70b7e19b776d8b6
.xword 0x895c3a41ab01ea2d
.xword 0x738997d5f52c067e
.xword 0xb4deefdf88960407
.xword 0xfea9f4831cfc294a
.xword 0x5c54724fbf4ea5ff
.xword 0xd32911d12e91053f
.xword 0x34e16b7ea7663729
.xword 0xa2f4fc35c2049e44
.xword 0xdaf5361d83fc498f
.xword 0xab8632eb3cac3c75
.xword 0xbf792356910a1098
.xword 0xc8065401b54cb44a
.xword 0xd3516eedc0c174de
.xword 0x612eea80f96786fd
.xword 0x9934e172ab488d2b
.xword 0x9f7196eb7bf7fe78
.xword 0x5cb094b8ecb20e77
.xword 0xf778a0d3b769e11f
.xword 0x90aa8733608c4c24
.xword 0x03a0e33015b5cd6d
.xword 0xa569b15c6b31c2cd
.xword 0x681401a5aa6ec209
.xword 0x5cb0f181187dc43a
.xword 0x0ccec21025502415
.xword 0x0737ec868fafb350
.xword 0x6b0d8431b07d92bb
.xword 0x6ee6964cb6d51afe
.xword 0xafd7c534fc01091f
.xword 0x9df17181a8daeae4
.xword 0x068ba83b4f40269a
.xword 0xde7dd9c88bf103d7
.xword 0x043954965db965b5
.xword 0xbc68099f891ddad9
.xword 0x1e7f17f3050eb347
.xword 0x0090edab43eb9d11
.xword 0xc6f27c9ab3cf8770
.xword 0xe0ae49645e7033e7
.xword 0x0716b54e68be25d7
.xword 0xa4b141e9da6598cb
.xword 0x9801ebbe9b872b02
.xword 0x7b02a14afee3a29a
.xword 0xe0e8d610ee7d76a8
.xword 0x910599c3527fd7b3
.xword 0xdb91b1a7a9827b97
.xword 0xbabde826839c7d91
.xword 0x78037d6c62b50210
.xword 0xd4998719d866be8a
.xword 0xd22a049090f451e1
.xword 0xe494fc72378f3a81
.xword 0x012897bfc3c5faec
.xword 0xf702749beb953dcf
.xword 0xf54a049e53c6bd79
.xword 0x0c5cb02d618e7075
.xword 0x47f55e2836ad19ca
.xword 0x76ac8bcc2ebadcb6
.xword 0x5966d1531af3544f
.xword 0x30e6169634ca432d
.xword 0x28b4ffb867f6f767
.xword 0x5c84dec461b30014
.xword 0x05c41d84d006eccf
.xword 0xdd189d22c5ea3873
.xword 0xc5fd7253e05319f1
.xword 0x2d3d9ffc3585fa5e
.xword 0xe012c2099113b2b4
.xword 0xef75e99aa91bec4a
.xword 0x963534ead1eb9a6f
.xword 0x33ccfc94a3ee59e1
.xword 0x200790cca479827c
.xword 0xc058e06b5a51a45b
.xword 0x9a3c3b7bac837139
.xword 0xdcce123b1c08b490
.xword 0x4d3dd428291821d1
.xword 0x056a4a244e1e295b
.xword 0xc1f0a5bf4dd32005
.xword 0x2e26a37bde941966
.xword 0x6045f3858e39463c
.xword 0xea57dc2203111e8b
.xword 0xcf2c1f3d0e9c27bd
.xword 0x9016f186d0e42b04
.xword 0x5be1ba09fb9c1c8d
.xword 0xeb3f4bf7f26786fb
.xword 0xb1f9acdc04ed5769
.xword 0x3c4cb34ba49880e1
.xword 0x0886d636456fc8f5
.xword 0x419924b67cabaa45
.xword 0x7a577d61e6807f2f
.xword 0xd6ab088712ac0e37
.xword 0x0523200cb4320b15
.xword 0x21ca86b4d1ca9a40
.xword 0xa5cf5845b3f62d46
.xword 0x91f552b8e05a8244
.xword 0x9efc1a8cdb1ba986
.xword 0xa7f7d5a13ff143b1
.xword 0xe8ea0f9be7c4ef06
.xword 0x2cedc6d003577d35
.xword 0xd4a757a75d9188d0
.xword 0x17647901265a04a5
.xword 0x5cc61f991ac64c64
.xword 0x42aa67fe7fe718e9
.xword 0xc2878f386a52ffac
.xword 0x3ae395056d010afa
.xword 0x697a402ed91528fe
.xword 0x54fd1bbffd52441a
.xword 0x618e1f14612896ea
.xword 0xa8f7f8bf84bdd84f
.xword 0x449e1cd67649703c
.xword 0x9e973410788419d6
.xword 0x14e39d0816cd9fdc
.xword 0x7262398d22ebad2d
.xword 0xd4f8504ba9ed628c
.xword 0x8bcaa7eba35d72b3
.xword 0xd33c3468205ffd06
.xword 0x4c02f10c3658e095
.xword 0xa3d1bd85b1ec5b46
.xword 0xd7a889af8118b792
.xword 0x9d12f1bd3557c524
.xword 0x07693e5492da48ef
.xword 0x549a24e3a6d95237
.xword 0xb433750730fb4d04
.xword 0x2da9c3e265827c33
.xword 0xeac95d4b87e69f02
.xword 0x057a8c9b800fdac2
.xword 0xf7777c8a1a0761ed
.xword 0xef4cf6c4cac7c643
.xword 0x8353a15deef884e2
.xword 0x36d934635f8f13d5
.xword 0xf33e0ac5c15654e5
.xword 0xee50e43a10a392d9
.xword 0x52a247f7e451814a
.xword 0x2081c95b529a00ee
.xword 0x1744ac876f88f1c6
.xword 0xe2fff2409c6dadb0
.xword 0x3fd3e77767f86974
.xword 0x0641bb19911f872e
.xword 0xb30548de3f139017
.xword 0xd4783e1f17603133
.xword 0x35c36f781221bf8f
.xword 0x46e70b44b2bbcfed
.xword 0x788ea7acae2907ba
.xword 0x1dff7478d8acdab6
.xword 0xc91e2278e144156c
.xword 0x609906289213e73d
.xword 0x8ee97985fff9e01c
.xword 0xbebc759efe144c85
.xword 0x654fc29b8399db5c
.xword 0x27f4c37b5d575b2f
.xword 0x3f6071382f470c1a
.xword 0xed5d4bcafb1538ed
.xword 0xec39c27b16e233f9
.xword 0x34181b13f34d745b
.xword 0xe2dcafaff43cc41b
.xword 0xef3ae7c026177d97
.xword 0x75437fddd477f5e2
.xword 0xda9c348b3bb18d0a
.xword 0xeeb750da8893343e
.xword 0xaec975746e343606
.xword 0x533a4c3ba2349f50
.xword 0x2831a4818ccb57a4
.xword 0xdf6a31924d7a00ad
.xword 0x04f94140269bf160
.xword 0x15625c38fec31a7e
.xword 0xc9b04d22ae15a8de
.xword 0xaa9060d4e2591de9
.xword 0x6692aa8e3964e752
.xword 0xa33e043454e0263c
.xword 0x469f34dcfa705c8f
.xword 0x4a8f5e400e7e2c27
.xword 0xb00669d962d05398
.xword 0xe3deb6cd3221266a
.xword 0x366b8d2d5449bbe2
.xword 0x98440ef9c2fc6168
.xword 0xa59254450a1f48e9
.xword 0x4d7aab22d567df14
.xword 0xd62e2a50c9917e59
.xword 0x013bbefea7614e46
.xword 0x6067ba6bd98465b2
.xword 0x0c5cd22db1894083
.xword 0x3bb3fdbba95a60ab
.xword 0xa06094caf37fd7e2
.xword 0x6fc23b2bf34312cc
.xword 0x68f8d0b471897013
.xword 0x14d52a3e29e1bc1c
.xword 0x3355d14a18c816de
.xword 0xec36857a73078ec8
.xword 0xb2423d088cdd3086
.xword 0x842f60aaaf5eb520
.xword 0xeb84e7ec78abd804
.xword 0x00db2d833da1fc83
.xword 0x8984795b8fc78e74
.xword 0x1deccd4dc941a066
.xword 0xc762b03e19ce8d50
.xword 0x9c933fd1efb4a4fc
.xword 0xa0b9c409e52acaba
_t1_hash_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_auth_key:
.xword 0x7baa99b63548934c
.xword 0xe842fc808d67ab5f
.xword 0x33459cc0ad59183e
.xword 0x7711aa7d933d142b
.xword 0x97da47d500760b64
.xword 0x9d788ca1fb392e07
.xword 0x42c8b118d67ad2b0
.xword 0xfe4afe23395eba9d
.xword 0x66835e5bcea26cbf
.xword 0x70733c62a5a5d463
.xword 0xc9b48a59bfbe3ecd
.xword 0x2b9cfb2c23a56eeb
.xword 0x80635f27464a6b5b
.xword 0x71b9f406d6269c85
.xword 0x633e9011cc53d4e7
.xword 0x796197e4c274e5c4
.xword 0x0796d256e6a13412
.xword 0x749099661d8fb6b5
.xword 0xb59ee6bf45a9c1d2
.xword 0x9c2dd01aba4f6c85
.xword 0x4d0aa6c5661b455e
.xword 0x89b3e86d9707e986
.xword 0xd7324a3ac80993bf
_t1_hash_auth_iv:
.xword 0x46a4542c6c2b9784
.xword 0x6a009516b0d735f9
.xword 0x5a1732c334448f8a
.xword 0x6d1b95c3967473a0
.xword 0xf8905e6953991728
.xword 0x93e8489b4f85e5dd
.xword 0xe770e4e29913b340
.xword 0xe1e01a1bd946262c
.xword 0xfff0b29994cce6f5
.xword 0x77e2a252c7809c08
.xword 0x6bac3d75400541e6
.xword 0x826865c12d10aef8
.xword 0x32cd000c203f5741
.xword 0x8cd725532f9e1cb5
.xword 0x4584cf29f967c4c7
.xword 0x731182e616481b5a
.xword 0xb52f5e0b1d6741fa
.xword 0x60714f9118bc4d86
.xword 0x2040f0869d8a3c12
.xword 0xeb59a9962cabdb8a
.xword 0x36627cbf3afaa4ff
.xword 0x40620c2ff454fa5a
.xword 0x1cf1da16f5ffabcc
_t1_hash_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_key_array:
.xword 0x14c44197b146dcd3
.xword 0xdf29dd0154b5fe6d
.xword 0xb5561744301f6152
.xword 0x8349915f52e211cd
.xword 0x51fdca52bee703a0
.xword 0x4c4d170ca9d6fc7c
.xword 0x55a8d866c7aba604
.xword 0xfda0ad161e3655a3
.xword 0x43a151fea463dfd6
.xword 0x5ff47ba1ee499acd
.xword 0xe2776ab2959c190c
.xword 0xd0702e5d9d88b678
.xword 0xe3b01e044c21c626
.xword 0xb287e6323e062b2a
.xword 0x35b812c460e7b276
.xword 0x5d8abcd66583e1b6
.xword 0xcb14533715fb4140
.xword 0x8d868c21ff00665c
.xword 0xf5b39e0f4db44e8b
.xword 0x0f4ad82bfa705fa0
.xword 0x8de950fecb34fe5c
.xword 0x1a52f1e7643f167b
.xword 0x0187f9afa2b31620
.xword 0xdc42deb2d88fd662
.xword 0xd0bba73585aa72a1
.xword 0xabf250ffb5ac2d5e
.xword 0xdfe3234aea9345f0
.xword 0x5906d8171cbbfd53
.xword 0xc87cf0e984950a42
.xword 0x8fd26189f32bc346
.xword 0xd957b4b01f1e7580
.xword 0x175fcec72d1b4f9e
.xword 0x01db112f12cb49f0
.xword 0x1009d6e04fb893a5
.xword 0x4ba33e92134216e3
.xword 0x3a8db72e4523ebce
.xword 0xf12c5654ce83c01e
.xword 0xada0824c46973010
.xword 0x0865d4422e556a0a
.xword 0x6b620fd2e7d62bbf
.xword 0xe8c2ae50343150c0
.xword 0x931d6aab3b7c2ee5
.xword 0x963fbabe23f8320f
.xword 0x53996f029200ca25
.xword 0x1fbceb0cbe3cd5a6
.xword 0x4da778d7606c76cd
.xword 0xadab305d0552eccc
.xword 0xf875385c985310a2
.xword 0xf827e704898c0f76
.xword 0xd796b242d3d6a5d3
.xword 0x15a633516e03fdc7
_t1_hmac_iv_array:
.xword 0xc8972e08726c9eeb
.xword 0x63eae959a2d7ec17
.xword 0x4f18e97630bff9ac
.xword 0x06ee3af00c7e6c6f
.xword 0x2c25a9dc15c97897
.xword 0x7d6eab63529f715b
.xword 0x649241732127b2c4
.xword 0xcc0d5c6bf4fed632
.xword 0x7ad0c2197d35920a
.xword 0xa3fe726b72f1f2ab
.xword 0xfda0be811ce02a31
.xword 0x95a02b722b31cf18
.xword 0x0b9340ba4863e46b
.xword 0x30c70b579a5fb392
.xword 0x3a53eeca1f9e9d3f
.xword 0xf84a196abece5177
.xword 0x8f8fcbbce3918b76
.xword 0x7442e80dcaa0f078
.xword 0xa2045f3646caf22e
.xword 0x59cf0b0ed19afa1f
.xword 0x85f0562bf2317f0f
.xword 0x892d9dbb0437e784
.xword 0xb009f7ba653b0980
.xword 0x8984ef30fa13b707
.xword 0xb0d0e4bc8304ecfa
.xword 0x1fccd6cf0077407a
.xword 0xd7929ce6df919629
.xword 0xfa9eea49dcfd4fa3
.xword 0x4d5fa2108ce09072
.xword 0x816c928a81981c98
.xword 0x230f1dc2a2296f86
.xword 0xb758ece6afb53803
.xword 0x9e309e01379c959c
.xword 0x88f1d9c3eab7c7fc
.xword 0x993d5035b9da681a
.xword 0x7bc2df1b4471ab84
.xword 0xd8be9e6106e837e9
.xword 0xb2bb6aa088c37b9e
.xword 0xfe33589a02bbecbd
.xword 0x1ae5949fa7938d85
.xword 0x5a1104e4cd465e20
.xword 0xa754ab12609d2f3b
.xword 0x7e5b4ca41d0248ab
.xword 0x25b6db1095f90f7d
.xword 0xc37f4fbbb760d9c8
_t1_hmac_alignment_array:
.xword 15
.xword 5
.xword 10
.xword 5
.xword 7
.xword 9
.xword 8
.xword 1
.xword 11
.xword 0
.xword 9
.xword 2
.xword 2
.xword 14
.xword 7
.xword 4
.xword 7
.xword 5
.xword 5
.xword 5
.xword 5
.xword 12
.xword 15
.xword 0
.xword 13
.xword 13
.xword 13
.xword 11
.xword 0
.xword 11
.xword 13
.xword 12
.xword 11
.xword 3
.xword 2
.xword 11
.xword 7
.xword 10
.xword 15
.xword 10
.xword 10
.xword 14
.xword 3
.xword 12
.xword 4
.xword 8
.xword 10
.xword 1
.xword 13
.xword 13
.xword 7
.xword 1
.xword 8
.xword 4
.xword 8
.xword 6
.xword 11
.xword 4
.xword 6
.xword 10
.xword 13
.xword 13
.xword 0
.xword 12
.xword 4
.xword 3
.xword 0
.xword 11
.xword 14
.xword 12
.xword 10
.xword 14
.xword 9
.xword 7
.xword 11
.xword 10
.xword 3
.xword 0
.xword 0
.xword 14
.xword 9
.xword 8
.xword 3
.xword 0
.xword 14
.xword 8
.xword 4
.xword 15
.xword 12
.xword 9
.xword 13
.xword 10
.xword 3
.xword 0
.xword 7
.xword 12
.xword 12
.xword 13
.xword 9
.xword 11
.xword 3
.xword 6
.xword 13
.xword 6
.xword 3
_t1_hmac_src:
.xword 0xdde12cd10a46fa74
.xword 0x9dd88386e6799938
.xword 0x0bc135b665e53aa2
.xword 0x438aee260f722933
.xword 0x2b23291a8f7c404b
.xword 0x658a9be34836dc57
.xword 0xd28ab45a9aded569
.xword 0x7c94e4c0d67e1a2c
.xword 0xe8f7642c51206b26
.xword 0xf81cc2fcf528c17c
.xword 0xce19b87100d03f3d
.xword 0x8a09d7188ec4cf1d
.xword 0x0f44660c1bb13038
.xword 0x8f169c3cf16d0bbc
.xword 0x61ab808fee6c7f0a
.xword 0x9b80d0a7c19c89fd
.xword 0x9ebe29bbfb94e3d7
.xword 0x67196d411311ebe2
.xword 0xcef9a908e5b4fc17
.xword 0x445d8fefdb55f532
.xword 0x4051ed59d613ddf7
.xword 0x85d35d1e7652dca8
.xword 0x4644a08d4409a672
.xword 0x87950bc2ce7f1c84
.xword 0x214c518e384ac819
.xword 0xba10b34fe3d5172f
.xword 0x8d41f3decb1b73c8
.xword 0xe3e73ac5b01e210b
.xword 0x75167d26fb684736
.xword 0xf953e8cdacfdd65d
.xword 0x955cb38a2020cca5
.xword 0x48bae239e0a66f74
.xword 0x10b8f7fe34bb641d
.xword 0x17f048af7c60e860
.xword 0xe683a188c88ab043
.xword 0xe4a931fecad86264
.xword 0x2df2fe296f0ec8a8
.xword 0x98cefe71ba9e40a2
.xword 0x01752553bfafaf1b
.xword 0xc7675191e78a49e9
.xword 0xe05fa74b844e45f3
.xword 0x7259a462ad7717e3
.xword 0x5e8ac399b1971d60
.xword 0x75f0d0d834e7cfca
.xword 0xa37cdb3d07df4d97
.xword 0x00490d1806e8bfbc
.xword 0x3658fedcf64cc9cc
.xword 0xb794cac3d2ee0b57
.xword 0x9f30e2347dcb3b27
.xword 0x288b4ac45c180080
.xword 0x7b112cd6a366aff7
.xword 0xb6faaecf9d7b7a72
.xword 0x90b343c0cff91685
.xword 0xb9487ce734829fea
.xword 0xf04f3a8970632d84
.xword 0xd16ee1d2cc9f8725
.xword 0xb3b3f63c076db244
.xword 0x5d09822049aee14c
.xword 0x0435d2f36cfd30f6
.xword 0x2e68ba754f2d2eca
.xword 0x50d86503205c321a
.xword 0x0cdb3d7b043ba22a
.xword 0xa8639c3afe770962
.xword 0x0f4e7c533d20d0e7
.xword 0x96018373bd93f7dc
.xword 0xb521a17b9c9eea98
.xword 0xcb128af3d30cbc55
.xword 0x9b9eb06b6582a7aa
.xword 0x8026f8c5e77e50ba
.xword 0x6be09fad309b71f3
.xword 0x866de5ab8ccde5fe
.xword 0xad97e26af8809619
.xword 0x99285c95d0f9ab44
.xword 0x51d0a1659e789155
.xword 0xf5745104c5eeacdb
.xword 0x05d40fa1053ec0cb
.xword 0x474427481c8daad0
.xword 0x2512f12330a89251
.xword 0xc1126376ba4f0b4d
.xword 0xe3fad1fb45e7ad73
.xword 0x9a983ac8dc693ec7
.xword 0x7644b4ceba54bda2
.xword 0x8c959bbfeab698b5
.xword 0x74ed55b61d2d45e0
.xword 0xcee26c41a1844a21
.xword 0x74d02be596f2fe49
.xword 0x42818ac69261ac23
.xword 0x4758db8f1d425526
.xword 0x3611375df54d735a
.xword 0xe604ad71f88dac5f
.xword 0x6b8f44e737444977
.xword 0x5e839f944841c545
.xword 0x889a36ff586226ee
.xword 0x20ae9ddb1badb4c2
.xword 0xfe1bb3329e2f984e
.xword 0x95e4b1ed98fa7fc0
.xword 0x7ca95f8e095cd3b5
.xword 0xeb414cad805ea976
.xword 0x6606a10288302737
.xword 0x8195b138ebcc61a0
.xword 0x3d3adc6cc0a43d97
.xword 0x48f044c33fa61d63
.xword 0xac47920c789af51a
.xword 0x0e57317a9085f511
.xword 0xa19a91f4624cf338
.xword 0x0ce41228ddd37173
.xword 0x35365726259183a0
.xword 0x8077aaead2582e9d
.xword 0x910d0e5542aebc4f
.xword 0xdadf37ee3f8c9c44
.xword 0x9eb9006316505c66
.xword 0x95ad50601aa6e8f0
.xword 0x025c0f3fb20813b2
.xword 0x888d0d307186b86c
.xword 0x21495538e2d1fafd
.xword 0x744a4d5f87d9997d
.xword 0x53f2bcf06d0b6bf6
.xword 0xdd4cd40b20110a49
.xword 0x8cf2dbedff447184
.xword 0xa035ebc6de33d6aa
.xword 0x7b5bf9edf677c1c2
.xword 0xc2d4dbe709e52ea9
.xword 0x6c8a38dfa2a1e27c
.xword 0x145efd7eb483f934
.xword 0xff1fad498713b443
.xword 0x74c9797e86d3687c
.xword 0x85f372083eeb9cb4
.xword 0x400c5a85839ad230
.xword 0x937489d74fdb1532
.xword 0xf6216593ecb41568
.xword 0x01e48be43256e9c7
.xword 0xec3ee4b52e28bd5f
.xword 0x3ab25a8145464677
.xword 0xfcd3d6a41c02dbf4
.xword 0xdd7a15d868ba45a5
.xword 0xe466c597ef71bdc6
.xword 0x36b580c362cc59e3
.xword 0x48bbb688a4c81e7f
.xword 0xb68ab8d0b6d184ad
.xword 0x7c2a8206571f65fb
.xword 0x9f4e3892c203622e
.xword 0xe0005e7d29bfca9d
.xword 0x8755d02fa4d36511
.xword 0xd0d151d516c77616
.xword 0x9475247855f98d05
.xword 0x6158e5a2c9e7c83e
.xword 0x5625c78a279aa4f6
.xword 0xceebc0ff44478a55
.xword 0x0d997d1d96626089
.xword 0xd0f371f3f8fb4933
.xword 0x141df409f42629e5
.xword 0xb36abc16db03e297
.xword 0x4c61f436eb1caf72
.xword 0x7b5a195e90e08d52
.xword 0xa0868c59234ff823
.xword 0x0e21f385503295b8
.xword 0x17e6d048bb136c4c
.xword 0xeee78b71f50f8438
.xword 0x4cbb53b00b6a9e43
.xword 0x8c1944937d52ae74
.xword 0x33341e70a48b2347
.xword 0x570f44de5af2c94c
.xword 0xf98259a4cf8e5f61
.xword 0x89f35c0fc0aa82d5
.xword 0xa46548f996533c06
.xword 0x32908ec61f6b1f05
.xword 0xabffbc90027440c2
.xword 0x27afe187b8613279
.xword 0xa0d08773eed1f1fb
.xword 0x45e67dde8913c354
.xword 0x00cb158b1425c2ed
.xword 0x37cb34847e512e5b
.xword 0xe44743b287cfa4df
.xword 0x11e6e82a2835e34b
.xword 0x74c3921f814036a4
.xword 0xf77fb6cc69feabf7
.xword 0x1290f9cc5f8c2efe
.xword 0x7c6beaad00c82abc
.xword 0xc76510ff8856dae2
.xword 0xa40e824e99df46d7
.xword 0xdf4c76157383127d
.xword 0x42def7c0252e9cf0
.xword 0x120fdbaf522a744b
.xword 0x1b7420512d5dd869
.xword 0x9cb6720e7a850f13
.xword 0xf251f52ff2ac7948
.xword 0x33ae05beab867e52
.xword 0xf352b0d7c8de5d60
.xword 0xbb3e31f5befd7618
.xword 0x1b30a65fe780e4b1
.xword 0x0fcddb9bf7b3a0a8
.xword 0x45ae565830ea8373
.xword 0x0d2c03640b14bfd2
.xword 0x17a23bb598589b19
.xword 0x06d62c805a51c671
.xword 0x8c68b78605810ea0
.xword 0x4562b6e75279203a
.xword 0x29ce69b830cde957
.xword 0xb7b15ad4f3f05a51
.xword 0x5fcdbb3c679084e1
.xword 0x581aeced32ad0118
.xword 0xcdf59109dbbc1f4d
.xword 0x5c2161725d763102
.xword 0x2dc0b5cf14d4ede6
.xword 0x46d261e7667842d0
.xword 0x9edd59f6f3e17973
.xword 0x546d5738fe983b51
.xword 0x4f92f2e11562c0b9
.xword 0x152a7008ccdb9ed7
.xword 0x676f3cfc5c5e035a
.xword 0xc2bb7f41c77e05e3
.xword 0x6e2391255beb2e33
.xword 0x5c5f028db8ce18ec
.xword 0x21ba99c761cf9b96
.xword 0x0973a72aac903904
.xword 0xd6c61e94d5650274
.xword 0x2457e8c51e2c14d0
.xword 0xb0c34a1047cec4db
.xword 0xe43edfa7d20efc3d
.xword 0x7039e5b67f1f6aa7
.xword 0x768bfefa31ed6c1f
.xword 0x6ca7f614b1a990b9
.xword 0xfb0760902b0ff294
.xword 0x5fd7b4fc3b551693
.xword 0x6349aa93648b6888
.xword 0xb4973172f2aa6cfc
.xword 0x7a8834732b8a9430
.xword 0x7367a5f456d64222
.xword 0x91f37930d78b3571
.xword 0xf0eb6d87bc77fb49
.xword 0xa16f5f139aa31fe5
.xword 0x344caaa38e081cc6
.xword 0xa4d62878aff45ea6
.xword 0x1d04881e6eb56c1d
.xword 0xd93e4bd180480d5b
.xword 0x3c412cff6597f3ac
.xword 0x558b8fb80924aa61
.xword 0xeefe427e9653d192
.xword 0xdc8730b56815f28b
.xword 0xb6eb14e27d53d629
.xword 0x37c8cc39496e35c6
.xword 0x38f0e416874b8451
.xword 0x1416e9631fa8823f
.xword 0x4769115252af7ce4
.xword 0x5921183ba4af95b1
.xword 0x8ed7d4e75b8167b5
.xword 0xeef9d56b019d062d
.xword 0xaec3d7f35064100f
.xword 0xd448ba4edf883776
.xword 0x0bee8d2b72529945
.xword 0x4c85295e237b8ac1
.xword 0x54c68c49fc8ad8b7
.xword 0xd0f1b3115136f6cc
.xword 0xdedf43baecbf6cf0
.xword 0x7515aa5d8a5733ff
.xword 0x6984c97e7ab6862f
.xword 0x9dfb8e897a71fe7b
.xword 0x81cc0ac2284d2031
.xword 0xcbef8d64a59c1b98
.xword 0x857315f40f974e1a
.xword 0x6a25e546702000ac
.xword 0x8daaca25a36cde57
.xword 0xfe0296fd8256f47b
.xword 0xe7798c084c6ac0ea
.xword 0x6d990b87968a269d
.xword 0x022918c1284f14ae
.xword 0xe6ef615b37cb092a
.xword 0x3b6e7f5245c04d33
.xword 0x38be5a37c3ad4e42
.xword 0xf6ec18266c869a6d
.xword 0xd918b55528debdf2
.xword 0x81d5dceb4da4e451
.xword 0xce3ec777fbedc7df
.xword 0x27c212c2ab565c6e
.xword 0xce2934ec08a6213d
_t1_hmac_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_auth_key:
.xword 0x078bf302d18c9fd2
.xword 0x1f0692c9ffc7e6d1
.xword 0xdad121f5b8f6e199
.xword 0xff963ed535b7dc85
.xword 0x64aa89a551410ad2
.xword 0xb66ae5e9d9810506
.xword 0x470acaa59058f3e2
.xword 0x0062f77634c60d42
.xword 0x8aeb33d09d95d04b
.xword 0xce4cc102932bc93a
.xword 0x887c45af97e7a609
.xword 0xbaa4fdfae791932a
.xword 0x26faeff2a9c845f2
.xword 0x7ad5f9c433cbc41b
.xword 0x0b90a55a76e1dfa4
.xword 0x976f62f7c2d81054
.xword 0x9b8bb85a85e999a4
.xword 0x5ff625862b352bbc
.xword 0xb728c858f5f5c1d9
.xword 0x5b7016ff3c7eea1c
.xword 0x81fcb4edaf81f6d1
.xword 0x36de3fde3c5ff5f4
.xword 0x05c2699dac83060a
_t1_hmac_auth_iv:
.xword 0xe3c4cb75f3aa5c0c
.xword 0x37508b78795d9d88
.xword 0x4e4747c058edd36c
.xword 0x8fd357bf1865536a
.xword 0xae89ee9bc7344654
.xword 0xd8dbe59770d2db8d
.xword 0xe86d2af906e90f9a
.xword 0xba751370ad7c70db
.xword 0x8578a307b2d2e3ca
.xword 0xeb3789a9c16ec566
.xword 0xfed921b252744c1e
.xword 0x9909ad7e1def03a1
.xword 0x7825de970e9ba31f
.xword 0x1ae148e95591aca8
.xword 0xc558a46b9640c630
.xword 0x787b68db61f76c06
.xword 0xe7c6251d4c779713
.xword 0x7eb22e5df06a3877
.xword 0x38aa46c563bc88b2
.xword 0xefcc9e63d62e0db8
.xword 0x557115b9e54c83c5
.xword 0x885a093533469631
.xword 0x2a3a30c542f830f7
_t1_hmac_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_key_array:
.xword 0x556cc201fa2f5b9f
.xword 0xfddde859c87f3ddf
.xword 0x9fcaff61679f7de2
.xword 0x1f3f4748c679e231
.xword 0x15dce589b709ea92
.xword 0x68495f2ecf20c87e
.xword 0x696244deac2f089a
.xword 0xd575c97ab4707957
.xword 0xd4e415c7f99846af
.xword 0x9408e40250f02ed5
.xword 0xf44a4a9a0f7d43a9
.xword 0x0f316828dc55e701
.xword 0xa91901c9bddcd192
.xword 0xb59f42d67141211b
.xword 0x7608c9df06ac87ea
.xword 0x1974a89bff38d0d9
.xword 0x9125f4a75b736325
.xword 0x8616060b217b0402
.xword 0x8d7bbe84ed41ceff
.xword 0xef6f8fbe46d85086
.xword 0xedc176b7fb7b67ca
.xword 0x99fb98ea99533410
.xword 0x9b8368674bd73198
.xword 0xa548f61ab33c499a
.xword 0x0ffbe8541b92dfc2
.xword 0x371fdf8787f2ba4b
.xword 0xbab66c8b902dd023
.xword 0xe59295de7685701e
.xword 0xceda4cbdc761e713
.xword 0x67d360fb834e096f
.xword 0xd981b88b5e5bd44a
.xword 0x33d2f0603948d241
.xword 0x2079de10a9d2c3c3
.xword 0x71430a79e94dcf3e
.xword 0x4dc991524cc2fc96
.xword 0x343c78a14f169531
.xword 0x41a41d5beb62985c
.xword 0x68fbfc72b8dcfe46
.xword 0x126ef9177a6dc21f
.xword 0x53a0fe8242038d45
.xword 0xe9fdb5bac0dd4f7c
.xword 0xa7b2475ae5618863
.xword 0x4bd385e7fb91d246
.xword 0x957db9bb111a619f
.xword 0xed905905ce821c1b
.xword 0x01e36a962b485c16
.xword 0xf97f4358f2597da8
.xword 0x34e3903b9bbe6859
.xword 0x999d1c72bb4103af
.xword 0xd153c79665461e1e
.xword 0xde11ae0abd58e8b0
_t1_rc4_iv_array:
.xword 0xac1fece472a8a114
.xword 0x2dd9bff337850875
.xword 0xfcdd4a3eca723ab1
.xword 0x46e8f15c77e9ab23
.xword 0x9d79e3a70ef5259f
.xword 0xa258c96d6524eaf9
.xword 0x58b82e0c186a126c
.xword 0xd204ef5661becfb3
.xword 0x8b307233a30f7eb4
.xword 0xb78a060ca68db699
.xword 0xbc47d615f89fc4f4
.xword 0x1901cbb9f5b0382e
.xword 0x56ad34e66ba46468
.xword 0x2d6fee0f81eb59d8
.xword 0x1867c71b3d90ad51
.xword 0x84d600a953a9755e
.xword 0x43b1a40b4b8d026d
.xword 0x03ac728d3650d47e
.xword 0x63d2bcdacdd7cd3b
.xword 0xb82e5b3004a54c8d
.xword 0x5315b5880365c55b
.xword 0xc60073829f3d1657
.xword 0x377b91d1c5978a6f
.xword 0x0d790e9ca4e29f2d
.xword 0x2ffdcea57a7892a7
.xword 0xb4c152cce5fdf53d
.xword 0x629a5d8dd6d4f093
.xword 0xffc7b959b35b33ca
.xword 0x6b8cf207088974e9
.xword 0x7dc9322cb7438c9d
.xword 0x408ecfee9c4d7d70
.xword 0x53d5c0848749732f
.xword 0x5375f3c43393a9c1
.xword 0x3e5809a4c6af4272
.xword 0x08a6d79fee87c896
.xword 0xdb8e5957258f971e
.xword 0x49fadd3add00faea
.xword 0x687098a852261710
.xword 0xcd2728edaedad534
.xword 0x5a90f04c0054ea13
.xword 0xa05fbb270f56320e
.xword 0xad6469ecb6c38ed9
.xword 0x805233f3d9e98dd4
.xword 0x63ff02a9560bf821
.xword 0x0137cfcd824f13e4
_t1_rc4_alignment_array:
.xword 14
.xword 12
.xword 14
.xword 11
.xword 9
.xword 4
.xword 3
.xword 11
.xword 5
.xword 5
.xword 7
.xword 4
.xword 7
.xword 9
.xword 7
.xword 9
.xword 13
.xword 4
.xword 13
.xword 13
.xword 6
.xword 15
.xword 14
.xword 10
.xword 4
.xword 0
.xword 15
.xword 3
.xword 15
.xword 10
.xword 0
.xword 4
.xword 9
.xword 1
.xword 0
.xword 5
.xword 0
.xword 13
.xword 0
.xword 2
.xword 13
.xword 8
.xword 4
.xword 1
.xword 10
.xword 7
.xword 5
.xword 11
.xword 12
.xword 11
.xword 7
.xword 13
.xword 13
.xword 4
.xword 12
.xword 6
.xword 0
.xword 10
.xword 9
.xword 2
.xword 9
.xword 5
.xword 4
.xword 3
.xword 11
.xword 9
.xword 1
.xword 13
.xword 9
.xword 5
.xword 0
.xword 14
.xword 7
.xword 3
.xword 2
.xword 1
.xword 6
.xword 15
.xword 2
.xword 13
.xword 11
.xword 1
.xword 4
.xword 7
.xword 15
.xword 11
.xword 12
.xword 10
.xword 3
.xword 2
.xword 0
.xword 2
.xword 0
.xword 4
.xword 1
.xword 0
.xword 15
.xword 15
.xword 7
.xword 9
.xword 1
.xword 12
.xword 15
.xword 0
.xword 13
_t1_rc4_src:
.xword 0x4dc571035321ce54
.xword 0xc276db8ecf61310f
.xword 0xd6ecb2685e9b52b8
.xword 0xe45e3c09bff25bc9
.xword 0x2269aeee6ee0c5c5
.xword 0x8bb77e36286571c3
.xword 0x78d7002901d7da9c
.xword 0x27603a10b43e4ff3
.xword 0xceaf3c2ae597f01b
.xword 0x594991dfdefb1016
.xword 0xfaec99f2856ed6c3
.xword 0x3c20027d5651fd7a
.xword 0x9a730ae88b8cdefb
.xword 0x3a344af65e2605e1
.xword 0x4b74e82c56ff13fb
.xword 0x900e47d1d3f2be0c
.xword 0x6e46f9413fb8735e
.xword 0x16826e7e76064a8b
.xword 0x987dcee2c386e02d
.xword 0x3e53ac79a9aba495
.xword 0x287f3f30622aaa88
.xword 0x707552416c7f7463
.xword 0x4485626b6fd56190
.xword 0xe066ecadc46baff0
.xword 0xdcfa23a81738e42e
.xword 0xa9a19a83bbda5ca6
.xword 0x973ca04765bed7a4
.xword 0x1fa76288edc5ecca
.xword 0x605e08a0e88d7bf1
.xword 0xf767acd42b5472b1
.xword 0xc55196e9c74e2d55
.xword 0xc9d860da4939dbd0
.xword 0x865148aeae8fe359
.xword 0x4241c89208cb846b
.xword 0xe61682ba75722f2b
.xword 0x111994c889925e98
.xword 0xc3d7c11de4e613fa
.xword 0x86e2942b6fb16bf9
.xword 0x1e5e80c05ab29027
.xword 0x64b8469a3acc6eb4
.xword 0x6c54a29ae81fdcb0
.xword 0x314e6b379ed54fed
.xword 0x4000badf222873c1
.xword 0x410d038bce5a6568
.xword 0x7c3af36ec0fc7d4f
.xword 0xb9c170b7e5195369
.xword 0x5ee932a129ee0e17
.xword 0xca2220dfd3d04c90
.xword 0x69a19e11fb8e41d1
.xword 0x0b737d982866da22
.xword 0x58a0120b4ca1a3d8
.xword 0x6c294c69140d6ee5
.xword 0x5ff493373f9ab179
.xword 0x2c3ebd9ec4f73f38
.xword 0x04406a70643cf48f
.xword 0xe95d2823edcbc8ca
.xword 0xb1a1fd652f89ee01
.xword 0xeca9b13d32db1e9e
.xword 0x989423d0d6472f38
.xword 0x7c84e6bbab881f0c
.xword 0xa19e1edd5ac247ca
.xword 0x81aeca593918815d
.xword 0x3a75cd0e7990b4e4
.xword 0xcb641721d8575e39
.xword 0x550d22ca130fbf90
.xword 0x06c7455318060e25
.xword 0x5d6259ded9b09c14
.xword 0x675e51df07f83099
.xword 0xea4eeab3891fcb7f
.xword 0x7a22a4258441d021
.xword 0x3d7869c38931db5f
.xword 0x4d8bfe6d2cd89eb4
.xword 0xeb8a71b8f0ad4bd8
.xword 0x18a2b89b51aea9b1
.xword 0xabf854acd874c534
.xword 0x6b22449c9028edb4
.xword 0x495825201fe73aaf
.xword 0x8bebd7a72c666561
.xword 0xaa894d155d0a4cef
.xword 0x6d2b2974cfdbdd43
.xword 0xc41a9a252895c0f9
.xword 0x4bd5de87bc266a19
.xword 0x0908c8f01b99facd
.xword 0x5b85a195592958a0
.xword 0x70e7cfa27c6a57d3
.xword 0x1c9ad9e3946b3a8e
.xword 0xe93cc8e3a479f815
.xword 0xa02da8179db9b987
.xword 0x9e0ce3d867946974
.xword 0x12db70ecd6d888e8
.xword 0x269a34ce7ae72c6a
.xword 0x6503359979689555
.xword 0xfd4351ab1e2410ad
.xword 0x569c715866f42475
.xword 0x0bbc410d7d84e64d
.xword 0x1cc5ef9072331719
.xword 0x5dcd1ca007dec9c2
.xword 0xb65dab61ad47b392
.xword 0xc76a06d4ed388c68
.xword 0x131172d86f9f1ef5
.xword 0x013c730c8036be9e
.xword 0xf97fbc55e2dd956f
.xword 0xc588ffb25309e95d
.xword 0x3beb2b5533b563a9
.xword 0x842f4e8fe6763ff4
.xword 0xe92b6cc28ee08970
.xword 0x97c195e1a84b861a
.xword 0x9f917a94b6a16295
.xword 0x8fda748c56b6f080
.xword 0x65f5a63f33f06c55
.xword 0x27fdb87e4fb75cbd
.xword 0xaeaf9c4d709793ab
.xword 0x646798e99e26ef8b
.xword 0x929924f1bd0554ac
.xword 0x4ff0c10f452dbb67
.xword 0x296e70575b07bb6e
.xword 0x4802be5c9b795107
.xword 0xe1664a2df11a464b
.xword 0x2fe4c4ef14088d8c
.xword 0xb8d0a61bb8da6864
.xword 0xe9cd11af87295825
.xword 0xc021d473465bd7bb
.xword 0xe46b271424450163
.xword 0x333e4cfd2a4e4887
.xword 0xf4be48c627937038
.xword 0x7b06f0cc60ae2e14
.xword 0x82dbe2219427d674
.xword 0x72e86d2fc73fc9df
.xword 0xbe99a266e8e279be
.xword 0x92971823ae7b73a3
.xword 0x2d091eddbd1e29f4
.xword 0x2ba4e334bf929d19
.xword 0xcd249872531b05a9
.xword 0x95daf7cf4c52316f
.xword 0xb820f653640aa7d0
.xword 0x8b4ddc9adedd653a
.xword 0xac1f39cf3f8aac53
.xword 0xf1afe52490695581
.xword 0x799688050ae59ab9
.xword 0x4acf28beabd870b5
.xword 0xd270658609135f8e
.xword 0x198e0f5f2b99fd22
.xword 0xb1da2f1d0caf8cc2
.xword 0xfe960651e9322dd6
.xword 0x423f8539cf9d0baf
.xword 0x99b41660fde301df
.xword 0x28291dbe51cd1781
.xword 0x48a9487ac08701d4
.xword 0xc457c4a7c1ecd6a0
.xword 0x5e9383fb14675aeb
.xword 0xebca81972e0b0178
.xword 0x1ae4b14de5256ec5
.xword 0xca7ab0dd253f1d11
.xword 0xd0e2ace167203c48
.xword 0x6b8ebf14cf2daa94
.xword 0xe0f91c059a9d0e55
.xword 0xeda95a131bdb569a
.xword 0x163ee17937a4c07c
.xword 0xe56a85c9ab3c2a69
.xword 0xfd2710d4dfad8841
.xword 0xb1850a337628d931
.xword 0x9188d65a948f9ca4
.xword 0x9648b58b0b2fa5ad
.xword 0x02c96c25574a5cd8
.xword 0xef564511d95dd71c
.xword 0xcd6fcb89c34401f7
.xword 0x0edfbbec9aa0e9f5
.xword 0xb531d2c09a2d8f26
.xword 0xc7f4bfbd10663fda
.xword 0x0a2d96b7c148c807
.xword 0x45b4895fe0ebac1b
.xword 0x0e73635163c865c4
.xword 0xf72c1185ef37a9b1
.xword 0x80d870bb85c54f4d
.xword 0x92fcedcce6b71476
.xword 0x388d33d1e6af3e12
.xword 0xbd0ef9b3db3e236e
.xword 0x34fe7727bf8f1bd2
.xword 0xbd16f9e667d2ec98
.xword 0xc58f8056d08e0ba9
.xword 0x87b009615c49503a
.xword 0x322d6d2ce36afd29
.xword 0x1da7ed9960dbe2bd
.xword 0x3a8132c94e30c160
.xword 0x52e1e8a1d67700b9
.xword 0x8afd98f92329f308
.xword 0x8f9ec3dad8290f66
.xword 0xc55f53d039b0067d
.xword 0x7ea6ed18a2794d71
.xword 0xb135e01718005327
.xword 0x1e36acddd10ede78
.xword 0xa621f4409c395d9b
.xword 0xb448bbd733933362
.xword 0xd7f83a6f4fe06a58
.xword 0x77edd5ea692a626e
.xword 0xfa3bbd069ba28bfb
.xword 0x4701a5ab7f66e1e9
.xword 0xcc50adfeed899757
.xword 0x09d73283940a646a
.xword 0x2f5217fe8facd76d
.xword 0xea358ee0cc200755
.xword 0x18396f501deb0f11
.xword 0x2162a285b6cc62cf
.xword 0x3513f761235427a9
.xword 0x5f3c58b7f21324d6
.xword 0x6d2c9cc3a83675d9
.xword 0xb8cae0205836455b
.xword 0xcafbc63506ccd102
.xword 0x49c94c146b2060a6
.xword 0x9f10445d3ace279a
.xword 0x3e9a567bbeb8b3e0
.xword 0x8ba1673f83fd63db
.xword 0x3c422b96ed527f3f
.xword 0x0ba26f57fcb63101
.xword 0xd79c58d343bf9049
.xword 0x49fd910b81692271
.xword 0xbcb01e90ebdb6237
.xword 0xe95c364723aa68aa
.xword 0x34949d0093ae94a9
.xword 0x2ece04973e2df7f6
.xword 0x2ff29cf1cf44c1f0
.xword 0xde70180f5e1f1ddd
.xword 0xdfa473704e2a32af
.xword 0x5e778a59b83e0162
.xword 0xd716c14635f71df4
.xword 0xd9bc029875d00a59
.xword 0x3fd689204b3f36a7
.xword 0xd1a06c12d2959282
.xword 0x58ca5bed0bc3b09f
.xword 0xf288f39ce6ac12c0
.xword 0x425bd8f20873e2cd
.xword 0x4ce51977ddf80a5b
.xword 0x0ad88f6368e153a0
.xword 0x924a1166e710de3f
.xword 0x68aeb86139eb9fcd
.xword 0xa584e49f47c733f9
.xword 0xd59df0fc6692d6e4
.xword 0xc136acf8b06fca27
.xword 0x92e479556854bc55
.xword 0x9799eda3d92e5652
.xword 0x85d418c314f2a1df
.xword 0xde95f1ef248c634e
.xword 0xb6c4c617ce21af1b
.xword 0x5c43bdc352e085d2
.xword 0xa6f717df677f4bd9
.xword 0x5402a556dc79078a
.xword 0x187ad1baf3558bca
.xword 0x71ef708e9aadc918
.xword 0x54e579de477accc4
.xword 0x49b1dc7ca39b26b1
.xword 0x43e1704675c9c919
.xword 0x986f375d9a12bb72
.xword 0x15566a4cff16588d
.xword 0x1148acb447a0c05e
.xword 0x51332f5f8a6c76d3
.xword 0xf87524a56c15bb3f
.xword 0x23c7e989f6a71fe8
.xword 0x31bd8d410b1fb2fe
.xword 0xea08f138a6618685
.xword 0xa455378a023b4f07
.xword 0x69eaa098941bc15f
.xword 0x2b5e821ed1e5ec50
.xword 0x04352ea1eb33f8c8
.xword 0xb2d9b17b4005938c
.xword 0xd1e5fba7f5113468
.xword 0xac722a6694301f75
.xword 0xbf8f40cc9670a4f5
.xword 0xc41bfdadc8b20f01
.xword 0xcbb9ac1c03b0298a
.xword 0xf31a662b953d89ef
.xword 0x4cf81e5afa8a0f1d
.xword 0xf8122e012c1ce75a
.xword 0x5017435c5cdf5d2e
.xword 0x199e7209067e3652
.xword 0x4663cc85a94ee131
_t1_rc4_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_auth_key:
.xword 0xba09bb77f09c7ae8
.xword 0xc9866be9c6c518c9
.xword 0x35ef91c8ca592cde
.xword 0x8b3af5fb8236df44
.xword 0x956820678dce674c
.xword 0x2b31f5a73c5618f7
.xword 0xb33f5976ffcc3091
.xword 0x1c2c4589f23a855f
.xword 0xa89ff3c965647dd0
.xword 0x5014a540e8c304bb
.xword 0x6d6b194f1c75b169
.xword 0x48193f979379bbda
.xword 0xbf8796dfbb7bf95e
.xword 0x721f8882a996dd5c
.xword 0xd1315ce8a53162ce
.xword 0x10195275cff451fc
.xword 0x659784bb1ca773fe
.xword 0x0f5c6f32c3f927b4
.xword 0x74bf42ec2e67edfb
.xword 0xe0f2003b9777c0cb
.xword 0x546cef1b7ba851a5
.xword 0x35b2c345d25680f6
.xword 0xc71c30b2cff42761
_t1_rc4_auth_iv:
.xword 0x8c225acda1051ec2
.xword 0x886efc78b1b4e88a
.xword 0xdbec64e0c3cc8b73
.xword 0xdf0f9b436b8ae6c9
.xword 0x3651c923bb89e784
.xword 0xa2b49b496d81b41a
.xword 0x301c227bb15cf296
.xword 0x3acdf97955b59292
.xword 0x548ee7e1c1580841
.xword 0x0ffbf8d2885535be
.xword 0x82a3f7f0186a16ab
.xword 0x0dff9321645cc45d
.xword 0x44a7eed83f1fe59a
.xword 0x509105c7b9a6755c
.xword 0x8b39d6fad3a8579d
.xword 0x8d9a75773e4ecf44
.xword 0x66db69f2b9f0fa95
.xword 0x7271b65b7bc752bd
.xword 0xff97559900e243c4
.xword 0x1cdb80069e621b69
.xword 0xedfb810c551d85ee
.xword 0xdf7000f1cf722e5a
.xword 0x8609c24c0415dbd8
_t1_rc4_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_key_array:
.xword 0x9957273e90c85e77
.xword 0xe27f86df312e5d48
.xword 0x3672a87162a9d59a
.xword 0xc76dd624acfa9862
.xword 0xc39d22f63e9a0a31
.xword 0xad9e9acbb531b75a
.xword 0x7434621b315c3233
.xword 0x20665f2f42e47db4
.xword 0x212c13ab683f0df3
.xword 0x8408edf3e03c0cbf
.xword 0x023851f7edbbbb2e
.xword 0x05c1eadfe32f95c9
.xword 0x4d0a40ed753e9e06
.xword 0x6448e7b87d485111
.xword 0xc18552a9ed27a3c1
.xword 0x908b80381c815fca
.xword 0xfcc8b30cc0b95938
.xword 0xa650e4098a2c6d7c
.xword 0xcc49f4a1abae30a0
.xword 0x89d20f66bbfd431f
.xword 0x27c51e758169caaf
.xword 0xf3a3d6683a36fd74
.xword 0x497a523ce7c8ad61
.xword 0x26f13d4292a420c3
.xword 0x5cea92deb0f3da6c
.xword 0x6ddae6c98e979fde
.xword 0x4a2d367e4d1761f0
.xword 0x72b488d18bee1da0
.xword 0x49247a2fbcf6a026
.xword 0x28048b9e89d47538
.xword 0x4f6eef1094a93c5e
.xword 0x9e9657b0089ef7e0
.xword 0x7d4b9789b2fe748d
.xword 0x85a423d9f18b1784
.xword 0xda20b70da8d756da
.xword 0x326ba99c66331bcd
.xword 0x358e0431f4ce9aaf
.xword 0xfbc93ede80c8d864
.xword 0xcf4848d4dc5a81c2
.xword 0x7491bc00c886c2ea
.xword 0xed422aadfbafb8f1
.xword 0x9b90008f90abc157
.xword 0x604b676baefef500
.xword 0xd175a71b27853940
.xword 0xe26385d97ae6dfba
.xword 0x718c82f808b9232f
.xword 0x4c2bd0883d75d14e
.xword 0xffb9463952ba149f
.xword 0xe67497b56e6c6637
.xword 0xb70942e76b8bd962
.xword 0x72df37fd87a98536
_t1_sslkey_iv_array:
.xword 0xdc900322e9af621c
.xword 0x29809aa62a6bdda3
.xword 0x127a20f33d3ca59c
.xword 0x7f3e93f775a0d5c3
.xword 0x2d1dfde6f256000b
.xword 0x329e6caf568ad803
.xword 0x9d4c0c65ace9e29c
.xword 0xb532f12d084d2965
.xword 0x0c6a09e5f936710b
.xword 0x1e403a71d0b39f25
.xword 0xbf7a00ad94e94586
.xword 0x09aeda5ca6cc130e
.xword 0x865d73b6090a8b45
.xword 0x4890ac7f78a1d546
.xword 0xd3d028564d5546f0
.xword 0xe81b1e76870499b1
.xword 0xa203752af5cbfecb
.xword 0x4bb0394b782a413a
.xword 0x554f3c3f419d5ed4
.xword 0xeb41f3a31f40c235
.xword 0xeccf7e14381162b2
.xword 0x6ab4ab3d24306d8b
.xword 0x659d9931de465b07
.xword 0xd4b408e31b3d16fa
.xword 0x1acfe2103e7f03c6
.xword 0x161ee9d80b633337
.xword 0x8647294f4708e4c6
.xword 0x591db634447d7506
.xword 0x8ec86ead20199df1
.xword 0x1ad782bbb28117a4
.xword 0x64a063652b5e48ce
.xword 0x937b28f3fa110c39
.xword 0xf7e123edfc0b88e7
.xword 0x11c4e8d72bd99cd6
.xword 0x57a959eeeaa8b1cb
.xword 0x99bb28a4a3f6f95f
.xword 0x7ebd488acd80b083
.xword 0x5d86fd94a013ccf8
.xword 0xcd3107b1f3584d10
.xword 0x0982d0565d47a10d
.xword 0x96d130beb1f4f703
.xword 0x7c052cfd68323dcc
.xword 0xdfe20424503109a3
.xword 0x87438aca3875e291
.xword 0x60a984346cf8ad0d
_t1_sslkey_alignment_array:
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
_t1_sslkey_src:
.xword 0x1f0344a8dae5a0a6
.xword 0x539e6df09dd7e634
.xword 0x79b8b7261ba8e961
.xword 0xafc5356e8fec37e4
.xword 0xb3b401e2e96d73b8
.xword 0xc006b0e001755daa
.xword 0x18f3dd5cb499b612
.xword 0xe7b1ba97eaf634f4
.xword 0xbfbb80d5a0759df2
.xword 0xc1eb2f9680687492
.xword 0x9a98feac9ea8a276
.xword 0xa61c8d10a797e8c5
.xword 0x2ea8177c4af8b917
.xword 0x8490a6599765b5bd
.xword 0x555b074f01fe05a0
.xword 0x00ff5f3301b2b96b
.xword 0xbb37eb87f7581302
.xword 0x65d76b173eecf023
.xword 0xc4fea871c48e8c0d
.xword 0x1c9617195e98c524
.xword 0x29abe10519b7362e
.xword 0xfc91eb385284dab2
.xword 0x018e7c4728a20c41
.xword 0xdf4412f533e40947
.xword 0x2c32e532de84db54
.xword 0x8e1e52d467dfc7b5
.xword 0xfc81a57eb1b69c61
.xword 0x62defa6f41e56ad9
.xword 0x4279466529079724
.xword 0x341658ecea588074
.xword 0xc92d579275315f62
.xword 0x5b89d16d2ba37d9d
.xword 0xe92ee104560d41a9
.xword 0xf1f5896e49af2686
.xword 0x5b2f1b1c9dcc8812
.xword 0xfaa77ffdf0bf7046
.xword 0xb6835d4b9ae4c1fb
.xword 0x2d3e7ca6f0ed1a32
.xword 0x91da6150936830ac
.xword 0x787d7b54f1c49dcf
.xword 0xcca12aca1cb3c69d
.xword 0x2565bb10385bbf65
.xword 0x901a2dc08a09d426
.xword 0xc211da272d6b99dc
.xword 0x83a33b94000960dc
.xword 0xbaec9473fc6d67e0
.xword 0xbd624bb5eb8ce344
.xword 0x359fbaf7754d1493
.xword 0x8f8e5dc6651ef2cf
.xword 0x56053cb5460be110
.xword 0x0670f6b42ef741f3
.xword 0xdd2748dab6139079
.xword 0xb37f594594c4c59b
.xword 0x7f66934db1359250
.xword 0x8b3eb27c3b45c298
.xword 0x586b029cc31ad108
.xword 0x0765114a35b1a538
.xword 0xf708f03270ef84b0
.xword 0x8cbf30e02fa96d16
.xword 0xf81d338ae75449ac
.xword 0x2405cc557b69d21f
.xword 0xebf62dfbf35c49bf
.xword 0xbd305e9fa9b67199
.xword 0xad75c8bd4a700d0d
.xword 0xb6d82479f2a6791d
.xword 0x16f5b3ed3523cf33
.xword 0xd1c9d7773c6a1f10
.xword 0x5fe45ca6f0620f91
.xword 0x9d3d5c97f7bd166f
.xword 0xef4eb0f8dcf8badc
.xword 0x996d9fd80a6cedb3
.xword 0xc6279986a8c7042e
.xword 0x6f9e631629304f0c
.xword 0xe03df841d26b7c58
.xword 0x686011d97b96f387
.xword 0x567b3c280221fc32
.xword 0x3d658a35f05d0d5a
.xword 0x254846912904d0e5
.xword 0xb69549ee0f03089f
.xword 0x1f14d14f9bad075a
.xword 0x9a97aa07328181b3
.xword 0xab8f6654cd82f848
.xword 0x7f3f2b50e420551f
.xword 0x4cdd1312fb612e82
.xword 0xce29b89d97e65660
.xword 0x5eb92164b686726d
.xword 0x593fc5f44971ca9b
.xword 0x5f4cb40267ffd5d6
.xword 0xad37afaa5596d622
.xword 0x18870dc4d5ee3345
.xword 0x56756bf1c8cb0faa
.xword 0x36d95c38216b0e5e
.xword 0x5c776f1c286c1562
.xword 0xa0ccbfd10842031e
.xword 0xa8b7c9773c667ba0
.xword 0xda2c9b29f7adcfab
.xword 0x4f28bdb63306bc8d
.xword 0x13e8266bb9f55aca
.xword 0x767f0daa86fb74ec
.xword 0x41eac495a332aeeb
.xword 0x45d8c3a6b76e17bd
.xword 0xe9ff2453d932b228
.xword 0xdbe2c62ebc34d872
.xword 0xa0ebcba8b1228c26
.xword 0x516229df9fd926f7
.xword 0xd12f29d4c94803e9
.xword 0x4549e45a916e5c67
.xword 0x127f2f14eaf685ab
.xword 0x9a9a70b4ec70e9d5
.xword 0x7129e8b30962797d
.xword 0x67110cafb15c388a
.xword 0xc13d5141fa2e931b
.xword 0xe914042c12d216b3
.xword 0xa72d92ca29741cf6
.xword 0x2f95604294980863
.xword 0x6e8b63fbf06350c9
.xword 0x331b8a7c13734f23
.xword 0x193baaffc8b393d4
.xword 0x80a4d0bf4688c5d9
.xword 0x1b8516e816458e59
.xword 0x36344ee4e26c62ba
.xword 0xefd8801c439ca69b
.xword 0x17f10c7bd1d9f586
.xword 0x50a75ea161f10978
.xword 0x0fae0ccec9bb262a
.xword 0x4972206653689908
.xword 0xcbf694f7bd74090a
.xword 0xb4310404eabd5157
.xword 0xb758e93ead91f83c
.xword 0xe0cb295dbcdba402
.xword 0x6348e97eb6b975aa
.xword 0x1459ff6111588563
.xword 0xe61279eafdc70299
.xword 0x0f60beefa68f2d86
.xword 0xe09ec51b8edf71fe
.xword 0xe44e53df5232a7f1
.xword 0xdd3bc1ea221a421a
.xword 0x73e98b6fe61635a6
.xword 0xc488decc7d338cfb
.xword 0x46c6b004d7e002f5
.xword 0x25b4badd913ca9b1
.xword 0x5fc08f6da92968fd
.xword 0x21a61c901e5c3872
.xword 0x11fc1cd5f65055ef
.xword 0xae1c209ebb824714
.xword 0xd5ce54e251f19d73
.xword 0xcbfc7a60849ecb41
.xword 0x6dd9514029e78556
.xword 0xbbca5d7c22495a1a
.xword 0x51703535748c19c4
.xword 0xd726b6c132eca55c
.xword 0xa4babd310ee3e5c6
.xword 0x0966f4999045365b
.xword 0x6c639b0b00b43602
.xword 0x49a37ba870cdc336
.xword 0x114fb5f2f257250a
.xword 0x6e1296d62c0926e7
.xword 0x5959f8f73fc706b2
.xword 0x846b538d5ef29f53
.xword 0x2e37e2c660c91dce
.xword 0xe564c85f4767fc5a
.xword 0x5b5c1d922b514bdb
.xword 0x2127e2a99e35064f
.xword 0x0be75f2b77f2b2b3
.xword 0xa10f6cd88924d2e6
.xword 0x25a99bb4b6c5c14b
.xword 0x0ed687f1c590d8b1
.xword 0xaf28c348685b7953
.xword 0x182ce2ab68c903f1
.xword 0x11ea5d6e9aabe95a
.xword 0x8e0f9f01c07270d7
.xword 0xcd726276c43e40ce
.xword 0xf3e539717c7fb4d2
.xword 0x68a4f3c0dc2dd31a
.xword 0xd8b27360722981bf
.xword 0xc44492759ac11508
.xword 0xcbda61ee419041f6
.xword 0x7036aeb2239cf379
.xword 0x830742cf9809953d
.xword 0xc2c4e80a4c3308f7
.xword 0x2cc5bce1fe0ed2d6
.xword 0xd56f7a308dbeddc5
.xword 0x9a40b0575de5e37d
.xword 0xbb910a299f6dc74c
.xword 0xf219ddcc2eef8a2a
.xword 0x82399d2969a7d5db
.xword 0x0348641c12c76e20
.xword 0xc210fa310c839939
.xword 0x0c1d057eac332ed5
.xword 0xb2c9019b30c03f64
.xword 0x7aa2a5a144de4b09
.xword 0x9c2adce0fa6866bc
.xword 0xdba774dd14565d9c
.xword 0xd99092d025a23ba8
.xword 0x60a5584c06971dd8
.xword 0x9ec92ec863c0ffd2
.xword 0x5b3f5ab74ba7a61c
.xword 0x9ff2ac7066e92e14
.xword 0xb5e2ecd9468345a6
.xword 0x39710669e1329093
.xword 0xe2a836d98fc32353
.xword 0x5ea5d318bd11a6c5
.xword 0xb9e513494638716e
.xword 0x5d304c8b41669fc5
.xword 0x13af611adc1b4a16
.xword 0x5a3f8fe59e94d1f0
.xword 0x4917484ed670f683
.xword 0xbf50d938e181a67c
.xword 0x00c0d31712fd06fc
.xword 0x05cc95b6199653b6
.xword 0x13ceb4f6c982a385
.xword 0x8791bd56342ddfbd
.xword 0x2adebc1a78934a8b
.xword 0x9cd3d82cd1e03d92
.xword 0x38c7c855f2de5f95
.xword 0x8196f545e384302a
.xword 0x5bd8cd76b873e681
.xword 0x3c3547fe0cca36b7
.xword 0x1282d9e21028beff
.xword 0x922be4613d963d79
.xword 0x72f468ddfbaf728f
.xword 0xbdfda20b1397d5ba
.xword 0xd61b5d862db41e62
.xword 0x06cdb3df26a3be44
.xword 0x664dbc380d3d7a70
.xword 0xaa6be0f8b6f67ccf
.xword 0x01d76bccbf688372
.xword 0x5b706c2acf5349da
.xword 0xe1d15796f16e94c8
.xword 0x7b906b025d48b08a
.xword 0xd193055cced1046b
.xword 0x0bd8743691ac32fd
.xword 0x3dcb9ce45561b07d
.xword 0xc4dac7d46ba2de08
.xword 0x4c80c2c9bcbe8805
.xword 0xe68e7c467a03bf37
.xword 0xbf5775a44188bf7e
.xword 0x23b8f959a4279dca
.xword 0x37f484a8d8a9c372
.xword 0xee3187707c3fab7a
.xword 0xb4727f26ba3dd02e
.xword 0x00b36fe02e890813
.xword 0x85d332184101ce56
.xword 0x098eff52187f100b
.xword 0xfe5cd03cbe7958dc
.xword 0x38c5728545f1eb3b
.xword 0xaf1ca9fec5f5d28f
.xword 0x108148bebf842afd
.xword 0x96b222f9ec7a57e9
.xword 0x70f45c556d8d95e3
.xword 0x03bb9ac01eb29141
.xword 0x8ca5f8524ea564ed
.xword 0x7e6e1eaf9069639b
.xword 0x40dc5b14f939849b
.xword 0x71035f25943ca727
.xword 0xe23002de0fce9f3f
.xword 0x270bf153bc8a961a
.xword 0x1ae0f1926ba42b66
.xword 0xc7457172b728a754
.xword 0x4874a47d0695f4a5
.xword 0x3ecdd6f74afa2cac
.xword 0x78022bfc67e27f89
.xword 0xfcd8568a45751a40
.xword 0x345843219c0200d3
.xword 0x8f5b326c0c4ce2f9
.xword 0xc975cd8c7b15c8c5
.xword 0x2eb80aa7f7526031
.xword 0x0bc65eab1a39d802
.xword 0xf6f992153ef5b51c
.xword 0x808ba51fb94d0000
.xword 0x38e342bfa739e09c
.xword 0x63d6b45f86d63ec7
.xword 0xadce051568b4da0d
.xword 0x5f0b28d82eb6703e
.xword 0x77e1943274a7158a
_t1_sslkey_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_auth_key:
.xword 0xcc3c171dc3a2dac1
.xword 0x4e27e6ea6d71846f
.xword 0xc4a05391d34150b5
.xword 0x2e3454f95c7500ef
.xword 0x7445f50b9ad7e95a
.xword 0x749b1296ea3be1a5
.xword 0x52d4a348496401c7
.xword 0xe7de01903d4656fa
.xword 0xeedf7776b0622ed3
.xword 0x9acacd17279e6770
.xword 0x8d1f32c6ff127553
.xword 0x20dc69bdef04eec8
.xword 0xc2df3e99d9978fa1
.xword 0x42a5e64f51260e84
.xword 0xfb0ccff48275eb04
.xword 0xdb814609fdd19091
.xword 0xfdef7e5429b461a0
.xword 0x75ab7d32381c425f
.xword 0x3c2a6469d62d8abb
.xword 0xebcb7da4de56dd95
.xword 0x31542554d3cbb23b
.xword 0x521a9dc8b18d5c0e
.xword 0x553a1fc7704539d2
_t1_sslkey_auth_iv:
.xword 0xddf80df49c34efd4
.xword 0x1e08e725347095b2
.xword 0x5e82c82a10e0d9f1
.xword 0x7d81da5c7a837efc
.xword 0x45785ea36a969cc1
.xword 0x61b58b43774ed7e1
.xword 0x2a44eb24d4f5d37a
.xword 0xe058fd1c90d5323e
.xword 0x99ef3ac4beb1fd92
.xword 0x3853a718272f396a
.xword 0xdc1596936e5b0746
.xword 0xc99aadb400c0e3b6
.xword 0x6e566f899f9771a3
.xword 0x657bf15e4e3a7a81
.xword 0xfc7470c1a7b47114
.xword 0x1770304bd151e16c
.xword 0xdd81f32658de5dd7
.xword 0x35a3a3169c9e5a94
.xword 0x2d8a59ae35b8332c
.xword 0xf924bc63b97cf3b9
.xword 0xa9153e347d0b419e
.xword 0x9df603a17e25ef1a
.xword 0x65c146f16d8d38ae
_t1_sslkey_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_toc:
.xword _t1_aes_cwd_array
.xword _t1_aes_src
.xword _t1_aes_auth_key
.xword _t1_aes_auth_iv
.xword _t1_aes_fas_result
.xword _t1_aes_key_array
.xword _t1_aes_iv_array
.xword _t1_aes_dest
.xword _t1_aes_alignment_array
_t1_des_toc:
.xword _t1_des_cwd_array
.xword _t1_des_src
.xword _t1_des_auth_key
.xword _t1_des_auth_iv
.xword _t1_des_fas_result
.xword _t1_des_key_array
.xword _t1_des_iv_array
.xword _t1_des_dest
.xword _t1_des_alignment_array
_t1_copy_toc:
.xword _t1_copy_cwd_array
.xword _t1_copy_src
.xword _t1_copy_auth_key
.xword _t1_copy_auth_iv
.xword _t1_copy_fas_result
.xword _t1_copy_key_array
.xword _t1_copy_iv_array
.xword _t1_copy_dest
.xword _t1_copy_alignment_array
_t1_crc_toc:
.xword _t1_crc_cwd_array
.xword _t1_crc_src
.xword _t1_crc_auth_key
.xword _t1_crc_auth_iv
.xword _t1_crc_fas_result
.xword _t1_crc_key_array
.xword _t1_crc_iv_array
.xword _t1_crc_dest
.xword _t1_crc_alignment_array
_t1_hash_toc:
.xword _t1_hash_cwd_array
.xword _t1_hash_src
.xword _t1_hash_auth_key
.xword _t1_hash_auth_iv
.xword _t1_hash_fas_result
.xword _t1_hash_key_array
.xword _t1_hash_iv_array
.xword _t1_hash_dest
.xword _t1_hash_alignment_array
_t1_hmac_toc:
.xword _t1_hmac_cwd_array
.xword _t1_hmac_src
.xword _t1_hmac_auth_key
.xword _t1_hmac_auth_iv
.xword _t1_hmac_fas_result
.xword _t1_hmac_key_array
.xword _t1_hmac_iv_array
.xword _t1_hmac_dest
.xword _t1_hmac_alignment_array
_t1_rc4_toc:
.xword _t1_rc4_cwd_array
.xword _t1_rc4_src
.xword _t1_rc4_auth_key
.xword _t1_rc4_auth_iv
.xword _t1_rc4_fas_result
.xword _t1_rc4_key_array
.xword _t1_rc4_iv_array
.xword _t1_rc4_dest
.xword _t1_rc4_alignment_array
_t1_sslkey_toc:
.xword _t1_sslkey_cwd_array
.xword _t1_sslkey_src
.xword _t1_sslkey_auth_key
.xword _t1_sslkey_auth_iv
.xword _t1_sslkey_fas_result
.xword _t1_sslkey_key_array
.xword _t1_sslkey_iv_array
.xword _t1_sslkey_dest
.xword _t1_sslkey_alignment_array
_t1_table_of_context6:
.xword _t1_aes_toc
.xword _t1_des_toc
.xword _t1_copy_toc
.xword _t1_crc_toc
.xword _t1_hash_toc
.xword _t1_hmac_toc
.xword _t1_rc4_toc
.xword _t1_sslkey_toc
!# CWQ data area, set aside 512 CW's worth
!# 512*8*8 = 32KB
.align 32*1024
_t1_cwq_base6:
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.align 32*1024
_t1_cwq_last6:
SECTION ._t1_T_CWQ_DATA7 DATA_VA=292552704
attr_data {
Name = ._t1_T_CWQ_DATA7
hypervisor
}
.data
_t1_user_data_start7:
_t1_scratch_area7:
.align 16
_t1_spu_op_array7:
.xword 0
.xword 0
.xword 4
.xword 7
.xword 7
.xword 0
.xword 0
.xword 6
.xword 4
.xword 0
.xword 0
.xword 4
.xword 5
.xword 4
.xword 6
_t1_aes_cwd_array7:
.xword 0xc06000c01300002f
.xword 0xc0e000e01800000f
.xword 0xc06100001500003f
.xword 0x40e100e01500000f
.xword 0xc06100801900003f
.xword 0x40e100801b00000f
.xword 0x406100a01100003f
.xword 0x406100801300003f
.xword 0x406000e01700003f
.xword 0x406000e01100001f
.xword 0x40e100201300001f
.xword 0x40e000a01900003f
.xword 0x406100401800001f
.xword 0x406100a01800001f
.xword 0x40e100601500000f
_t1_des_cwd_array7:
.xword 0x40e100800e00000f
.xword 0x406100400e000007
.xword 0x406100e00900000f
.xword 0xc06000a00e00001f
.xword 0x40e100c00d000007
.xword 0xc06000a00d000017
.xword 0x40e100800e000007
.xword 0x4060006008000007
.xword 0x406100200e00001f
.xword 0x40e000600a000007
.xword 0xc0e100200c000007
.xword 0x40e100200c00000f
.xword 0xc0e100800e00001f
.xword 0x406100a00d00000f
.xword 0x406000c00e000007
_t1_copy_cwd_array7:
.xword 0x2060008000000002
.xword 0x2060002000000003
.xword 0x2060002000000002
.xword 0xa06100e000000002
.xword 0xa060008000000009
.xword 0x206000600000000a
.xword 0xa06000400000000c
.xword 0x206100a000000009
.xword 0xa060002000000001
.xword 0xa060006000000007
.xword 0xa061002000000009
.xword 0x206000a000000009
.xword 0x2061008000000000
.xword 0x206000e00000000c
.xword 0xa06100c000000008
_t1_crc_cwd_array7:
.xword 0x416203c40000000e
.xword 0x416101c800000002
.xword 0x416003a400000003
.xword 0x416001e80000000c
.xword 0x416203840000000f
.xword 0x4161010800000001
.xword 0xc16303e40000000b
.xword 0x416101c80000000c
.xword 0x416103840000000f
.xword 0x416001a80000000e
.xword 0x416303a400000009
.xword 0x4161016800000000
.xword 0x416103c400000006
.xword 0xc16201a800000000
_t1_hash_cwd_array7:
.xword 0xc1630d4300000012
.xword 0xc1630be300000011
.xword 0x416305e10000000c
.xword 0x4161052100000040
.xword 0x416005e100000037
.xword 0xc16003e100000033
.xword 0xc1630f4100000038
.xword 0x416219a300000024
.xword 0xc1630ba100000026
.xword 0x416106e100000038
.xword 0xc160082200000039
.xword 0xc16102c200000034
.xword 0x416009c20000003b
.xword 0x4160100200000033
.xword 0xc1620ec100000029
_t1_hmac_cwd_array7:
.xword 0x416101cb001f0028
.xword 0xc1630e45000f000a
.xword 0x416104e5000f001c
.xword 0x41630129000f0003
.xword 0xc16201c5000f0020
.xword 0x41630fc60013003f
.xword 0x41630c45000f0028
.xword 0xc1610229000f0003
.xword 0xc1630f09000f001f
.xword 0xc1600c65000f001c
.xword 0x41620685000f0013
.xword 0xc1600049000f0011
.xword 0xc1620705000f0008
.xword 0x41610fa600130030
.xword 0x4161144b001f0025
_t1_rc4_cwd_array7:
.xword 0x40e000c000000008
.xword 0xc0e000000400000f
.xword 0xc0e000e00400000c
.xword 0xc0e1000000000005
.xword 0x40e000e00400000f
.xword 0xc0e000a004000006
.xword 0xc0e0000000000009
.xword 0x40e0002004000007
.xword 0x40e0008004000004
.xword 0x40e1004000000005
.xword 0xc0e0004000000009
.xword 0x40e100a000000006
.xword 0x40e000c00400000f
.xword 0x40e000a00400000b
.xword 0x40e1002000000001
_t1_sslkey_cwd_array7:
.xword 0x90600ea000000000, 0
.xword 0x9060200000000000, 0
.xword 0x106024a000000000, 0
.xword 0x10603a2000000000, 0
.xword 0x1060012000000000, 0
.xword 0x9060264000000000, 0
.xword 0x9060118000000000, 0
.xword 0x10602ee000000000, 0
.xword 0x10601d2000000000, 0
.xword 0x1060132000000000, 0
.xword 0x10603ae000000000, 0
.xword 0x906005c000000000, 0
.xword 0x10600e2000000000, 0
.xword 0x9060346000000000, 0
.xword 0x1060048000000000, 0
_t1_aes_key_array:
.xword 0x2bbc26745e5c8ce3
.xword 0xd62c3c52734ce32c
.xword 0x1a9ca3a2da898a38
.xword 0xc4467a9ab76fc5f4
.xword 0x2e533eeac12c3710
.xword 0x9dad01766cacbad7
.xword 0x611106e93683b6a0
.xword 0x46d5ce8bfa8f1076
.xword 0xbfa73206088d3741
.xword 0x85d90c50df91bb05
.xword 0xc6ab06bdadf43d39
.xword 0x6b6480af0fef5f2c
.xword 0x8008eed5d1228b3b
.xword 0x800e1d88a161049a
.xword 0x80d6d1923d5ebb28
.xword 0x3911e9650eceeac6
.xword 0x64f464b617f90105
.xword 0x0b63c7d7a5526732
.xword 0xd80fa365dd6201b5
.xword 0x1d2cebf5c114c6f5
.xword 0x181678295e648e5e
.xword 0x376508007202a80b
.xword 0xf8a51fe085e62083
.xword 0xdcef2036c0cb240f
.xword 0xa15098bed2310eb3
.xword 0x5be6e11a77d09862
.xword 0x47829bd24d0a9370
.xword 0x7705ac609547a552
.xword 0x1c7969cbd192f7ec
.xword 0xebc89acffa47f405
.xword 0xffe8c62683e371e1
.xword 0x2a26354ac90f2c51
.xword 0xd8a1985c8665370d
.xword 0x996a814d78cdf7ff
.xword 0xf1dbf6c7641af7b2
.xword 0x7e50fbe3ae603935
.xword 0xe586ac2bde5f1c26
.xword 0xbd0d5c097139527e
.xword 0x22e47cb12dc0eae2
.xword 0x10ef23018e8b546f
.xword 0xd335ca5ade0837d9
.xword 0x06ad6e832ca42e44
.xword 0xc3852d759164f8b5
.xword 0x16d74805f178d16b
.xword 0x6ba88784271be136
.xword 0x9cb6a7aa12dfb431
.xword 0x0baff7d4982be3ae
.xword 0x7a3ac3f112155eb1
.xword 0x816c960e0a8dc38b
.xword 0xf49805f8bec2db54
.xword 0x8b4329dbeb68fe52
_t1_aes_iv_array:
.xword 0x3dfcb5d54c19f1d0
.xword 0x3e086a347a6d8e14
.xword 0x4d0370726f2774f4
.xword 0xfe5e9c791847e3cc
.xword 0x3af5b9d78df185fb
.xword 0xd949db37e32f4033
.xword 0x7f3434cdc0de6600
.xword 0xe17e5fb82c54c812
.xword 0x77e9d3811732c93c
.xword 0x9f2b8def75d88c6c
.xword 0xcdc3aa066e1dfb8e
.xword 0x4f640c8a55418e79
.xword 0x2ac13e9934476dca
.xword 0xd8e56850743ea0b9
.xword 0x1e50d9c3f2257c8e
.xword 0xf05b029cfb4d2cb1
.xword 0x75c6c2927fcaa08e
.xword 0x0418df2dd980c6af
.xword 0x4fae1fa1dc9f150e
.xword 0x00547feb3dc75ac4
.xword 0x974ce26aba11d1ea
.xword 0xa2e2c756f6885a19
.xword 0x9b4f88c7f963a9ed
.xword 0xa59b2d63b797c4c3
.xword 0x0c29c93a358e8d43
.xword 0xaa643024f63b7334
.xword 0xdee6eb53e1a5ac5e
.xword 0xe9d2392c3adf395a
.xword 0x591d2092b14f0426
.xword 0x99c07a6def5755a0
.xword 0x557d0d89d2f8457e
.xword 0xa083024fe3dac2fb
.xword 0xeea7c46d8a37f1e5
.xword 0xac0b4fde9ed53346
.xword 0x0549e741b777ecfe
.xword 0xa8b2fb081abe70a7
.xword 0xa42c10e9d13b3900
.xword 0xbead2a322b7522e1
.xword 0x9eb89716190eff2e
.xword 0x77bcf744e7fdcf70
.xword 0xf8cc9380937350f5
.xword 0x98487fd1438b2a5c
.xword 0x8686a28d56482896
.xword 0x98799bc79ef4a1a6
.xword 0x25dbf89e93e21042
_t1_aes_alignment_array:
.xword 15
.xword 6
.xword 7
.xword 10
.xword 11
.xword 2
.xword 11
.xword 12
.xword 5
.xword 5
.xword 6
.xword 13
.xword 11
.xword 9
.xword 10
.xword 1
.xword 8
.xword 8
.xword 5
.xword 14
.xword 8
.xword 7
.xword 10
.xword 12
.xword 4
.xword 5
.xword 14
.xword 5
.xword 9
.xword 12
.xword 11
.xword 8
.xword 4
.xword 2
.xword 5
.xword 6
.xword 11
.xword 14
.xword 13
.xword 0
.xword 13
.xword 4
.xword 6
.xword 14
.xword 5
.xword 13
.xword 6
.xword 12
.xword 8
.xword 5
.xword 11
.xword 6
.xword 2
.xword 14
.xword 5
.xword 13
.xword 11
.xword 8
.xword 8
.xword 14
.xword 12
.xword 10
.xword 8
.xword 4
.xword 3
.xword 3
.xword 13
.xword 14
.xword 11
.xword 3
.xword 4
.xword 14
.xword 11
.xword 14
.xword 13
.xword 14
.xword 1
.xword 3
.xword 7
.xword 7
.xword 5
.xword 10
.xword 6
.xword 12
.xword 4
.xword 6
.xword 13
.xword 3
.xword 8
.xword 12
.xword 10
.xword 4
.xword 15
.xword 4
.xword 5
.xword 6
.xword 15
.xword 0
.xword 11
.xword 8
.xword 2
.xword 9
.xword 14
.xword 8
.xword 5
_t1_aes_src:
.xword 0xbb4d0d253ebfd3fa
.xword 0x8fd1035589a65ae0
.xword 0x76a2325cbf3be3a0
.xword 0x35945a54465078bc
.xword 0x442bb59ebb50f596
.xword 0x1d86c4cf3031ce91
.xword 0x155d328959c8a594
.xword 0xca1a56beb7f9f162
.xword 0x2d4161bccb0583a1
.xword 0xdfaf726817a6a5e0
.xword 0x469c4a94884ed763
.xword 0xa69aa3264f65f1bf
.xword 0x28193330debd6f2a
.xword 0xd0820c56ed08a324
.xword 0x8109770e14ab0663
.xword 0xaa6d53e007977185
.xword 0x7c8c8579d74d8bc2
.xword 0xc31ff569220d47dd
.xword 0xbe4b7807cdd3ed0b
.xword 0x51ce456242b6df87
.xword 0x93fee3786cb80d47
.xword 0x453d9f0ff281c92e
.xword 0xf75aa5116e4b5494
.xword 0x8831465b0bd6dba0
.xword 0x556d9a83dd890f0f
.xword 0x5d32d65284f9d22e
.xword 0xec5b0bf0db2b86eb
.xword 0xb616d3715ddba84b
.xword 0xe2b36648b8d023c5
.xword 0x2e34f4c3d934b6ef
.xword 0x15dbe49abc0ef07a
.xword 0x8bde78d243ce38fa
.xword 0x6a520e94c721ae7f
.xword 0x60599e65300d0466
.xword 0x5bc99730ca9d9f51
.xword 0x5f9f5846c4bdd7ca
.xword 0xb97fd5aefa839047
.xword 0x5a32b640cf3f04d2
.xword 0x52b5555be4f4adc0
.xword 0xc67650eed9ad0f81
.xword 0xec882207494cf339
.xword 0x5eb2f8e622756d5b
.xword 0xc0caf31a80b3c143
.xword 0xe05ee479731790f3
.xword 0xb09c9749dd439275
.xword 0x57a0b04e00265a7d
.xword 0x28de1c83db97b939
.xword 0xbc31e32121284b28
.xword 0x78c0cbf198645b56
.xword 0xa5605c27549a672b
.xword 0x5797ce0501fb7e66
.xword 0x1ca3510e029b40fd
.xword 0x4c47e6b36923f2d0
.xword 0x75226aaedd85a691
.xword 0xe0ca061a9d5884e0
.xword 0x2cded1ec46ea3d71
.xword 0xc7344925bd0d0fa1
.xword 0x12598a38851e719c
.xword 0x827b4910625b71c4
.xword 0x394ccc2d63136c5e
.xword 0xa534f17f505d2984
.xword 0x06972e1af8b9a516
.xword 0x37848b31d3570410
.xword 0xd8cdd8b76ef7e2db
.xword 0xea9ad41c8242f9ce
.xword 0x79ad86ba9b8706b5
.xword 0x1165f23a41592ecc
.xword 0x4d3af484a8caeefe
.xword 0x5103bdd0b2209de7
.xword 0xc1c4b05f94af77c2
.xword 0xdb9ff3157e0d4cc3
.xword 0x5ac746cc0b681414
.xword 0xda5a232522e7f7b7
.xword 0xd3aa3b2e8e9e53a0
.xword 0x0d54d0d113bb26e0
.xword 0x3205c9c0057f6b4c
.xword 0x48408456a761dbeb
.xword 0x1cd85b2931cc6f9a
.xword 0x73c2f2a1d59cee1a
.xword 0x75428eb538445ceb
.xword 0xd39be73afcea3c0c
.xword 0x066461200171e2af
.xword 0x6714529477a51637
.xword 0x3d8d9b364277c718
.xword 0x187ae01d386a88dc
.xword 0xb4a84f12f56ffa4a
.xword 0x021bfe8fa787fb79
.xword 0xf801130da65e43a2
.xword 0xc7b5d2c3e80b53a7
.xword 0xa66f928417ff6875
.xword 0x3ffe7f1e8491864a
.xword 0xcdc524ee5975bcaf
.xword 0xcc1a51a4b42c0151
.xword 0x980222aa58f59c4e
.xword 0x54a1f5e478868a2b
.xword 0x13311c99ca81611a
.xword 0x39a19a1027a592ed
.xword 0xb7f0c5518bdc7900
.xword 0xc88a27a15e64a6e7
.xword 0xa1d98d5e84b8933c
.xword 0x6c71842f5c0a488b
.xword 0xe3be7b2033c78d7e
.xword 0x069e96cd6989e9bb
.xword 0xb9b2ddc838d433fa
.xword 0x6383f5d0ae60212a
.xword 0xeae26e97d7410686
.xword 0xed3df135dc95091c
.xword 0x67b3fd297a2e9a8b
.xword 0x5bffd78879e75898
.xword 0xf20a9aad6d35fe06
.xword 0xbf6fd282092a2b99
.xword 0x393fb2f0f9f7dfeb
.xword 0x56056e39c164c2ed
.xword 0xcab6dc287962b529
.xword 0xf1e1d6d4bb875928
.xword 0xf10911e184234695
.xword 0x6fb01020169597f5
.xword 0x3ab28ace47f75f87
.xword 0x4a674d496beab6cb
.xword 0x9c392907a47a8df7
.xword 0x304f67a555535d27
.xword 0x502d8607b26c011f
.xword 0x5b2160d74e2f7464
.xword 0x372b1033dbec0141
.xword 0x3de6076549c8ed40
.xword 0xa1e4f2e0b1726a1a
.xword 0x0e1b3eec50d987b4
.xword 0x60271a1614e0e895
.xword 0x75fde44587a184ad
.xword 0x1a6d8ba5ee366c95
.xword 0xd34c4dbb917371b5
.xword 0x42cb4e9e396a4168
.xword 0x09232560717fe7f4
.xword 0xf127ecee72e37ae7
.xword 0xc7f15e75513e8e39
.xword 0x905050cddb5429fe
.xword 0x924a4379eef97048
.xword 0x0bae4097246d2a63
.xword 0x80e615f1ee659e4e
.xword 0xcafabb45a7f800a8
.xword 0xf00294ba043997cf
.xword 0x594f055c1b1b30e0
.xword 0xd3955ef51c6f21d6
.xword 0xb4bbad1a3754f790
.xword 0xfd628c89f2123186
.xword 0x7b36258335399284
.xword 0x624d27cea5bd1235
.xword 0x1ca92337cdebffa4
.xword 0x710b903222bac556
.xword 0x9aa629b3ce4a40aa
.xword 0x37187c1b93f17f82
.xword 0x5ed815fffa587dc4
.xword 0x3806b422ed9477df
.xword 0x883fca3b7885792d
.xword 0x5cacfec4b89e9af3
.xword 0xbd156a408d273813
.xword 0x484d6d16c0e701ae
.xword 0xc8ca8ff292d68725
.xword 0xaef16882c79feaf7
.xword 0x45a64fe37f4663a6
.xword 0x05c936008de9fba1
.xword 0x4bf6961c3288cca9
.xword 0x9fd47d3c3b23cf1f
.xword 0x77914cd5fa0ee404
.xword 0x94c24efb984272dd
.xword 0x5b42e244fc608736
.xword 0xffbd9d7793f07594
.xword 0x484d972f1ddb1d7b
.xword 0x3415b30bae018eb0
.xword 0xe5cf03df69a79868
.xword 0xeea1f020b1c670fb
.xword 0xd78f019428bf28d0
.xword 0xe1a895b3af560154
.xword 0x8e24a3144a172f1f
.xword 0x9d6d4d1f93a5a1dd
.xword 0xa1a4b507d9ac51c3
.xword 0xed1e84fa0569f274
.xword 0xb21facc8a6957d0a
.xword 0x67c14be6d7e758ba
.xword 0x2b61f61f93490628
.xword 0x7e6c1cdcf4d0e9ee
.xword 0x3faa8edc3db5d5a4
.xword 0x43eaffb8462c344d
.xword 0x2d8e968b1b3fda92
.xword 0x15d40d5ec76c7884
.xword 0x3c819182b405b036
.xword 0xe5f80d8d36cd5d22
.xword 0xcaf2ed919755f367
.xword 0x7dc018263973e6d3
.xword 0x0732954e1b6cbe6f
.xword 0xd7635069943dd568
.xword 0xc5573739b09152e3
.xword 0x701927e6d821f7c6
.xword 0x960e4749349dcd9a
.xword 0x8e41f64d48034dfe
.xword 0x7a04f4d57935e957
.xword 0xda55542fc21b43d9
.xword 0xbfc5efc889864c70
.xword 0x11ef776e6b186121
.xword 0x3bf37077c9eb5012
.xword 0x1bd6f4eb1639822f
.xword 0x152e18a97c586630
.xword 0x223aa6a29d5980a4
.xword 0x87375c23fe7b8117
.xword 0x6f484394c5c70a0a
.xword 0xbaa45afe6d3be761
.xword 0x3c763da4de8eb02c
.xword 0xb45de693b3e17dce
.xword 0xdee189ddeaa444f0
.xword 0x431d9fd545d9b3fd
.xword 0xacc79bb911c40331
.xword 0x566676266fc2de05
.xword 0x182370dfac273a48
.xword 0xfb68f9ac50de4af1
.xword 0x60d09d907ba97ef5
.xword 0x909413747ad09b21
.xword 0xc09b265775d26b79
.xword 0xe6e7aa9248ca7214
.xword 0x876bf4e6b0e4fb87
.xword 0xed00fcff9afe8a4a
.xword 0x67e06cb1cc902e5b
.xword 0x324913a2f6b6ee94
.xword 0xfaef214cc550e600
.xword 0x9fb04b026bee56d7
.xword 0xe9bdd996d7aff98d
.xword 0x988c727dfa2e7d57
.xword 0xc1606c90281a8a13
.xword 0x92669d976a423bc8
.xword 0xea4f90143ae51100
.xword 0x141b2c040f3ecd85
.xword 0xec6869cdf49d8dc9
.xword 0x9efcf0466db7d41f
.xword 0x6c308fed12bf11a3
.xword 0x4401da510efdb3df
.xword 0x5a25e880ca4348c5
.xword 0x578b0e02d3d9d529
.xword 0x7ca79d4a3efa4879
.xword 0xf7d54066ad273b03
.xword 0x1c8ad50946482119
.xword 0x7aec25314fb656c1
.xword 0xf2023f1f0d7c4c0e
.xword 0x28750f4138e12060
.xword 0x44e9eb29ba5c6be0
.xword 0x7a9cee98e5fcfc00
.xword 0x7a1ca04d5c1ffca6
.xword 0xda329f0a2723c537
.xword 0x732ec236deca8a98
.xword 0x771bdb4ca3272f2c
.xword 0x5517c2b8d3af233f
.xword 0xaa44d46891685936
.xword 0x5e8acea875010a41
.xword 0x1e5f7eed712be661
.xword 0x95716da0ddfe2e75
.xword 0x428ea17fa1314bc1
.xword 0xc5b3eec1e1246113
.xword 0xfb85652a4250e372
.xword 0x253e47bb4bf70379
.xword 0xb0e63bff29005fa2
.xword 0x0c4f9dd9aa2e8e91
.xword 0xbd21b2b1d1c2dd74
.xword 0x3d7b964d8816ca9d
.xword 0x1f4cfb55fd4a1e92
.xword 0xd2345847273edf9a
.xword 0x32c2dca7ac2bebed
.xword 0x8812b7335f8c63af
.xword 0xd317b0dccd11d1f7
.xword 0xc4ce217d720e2b9d
.xword 0xf66308db324302ce
.xword 0x1e691c5d28b81f36
.xword 0x9678b1f6c470019f
.xword 0x589e15b2986054c2
.xword 0xaebd79862ec27283
.xword 0x2bcd408347c2763e
.xword 0x43c0fbcb2fb8f86f
.xword 0x3f5d1ab4823639ac
_t1_aes_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_auth_key:
.xword 0x060f308daf54ef1d
.xword 0x90cf57ac09dd9d94
.xword 0xfcb7f73f3403e975
.xword 0x5b4f4881fe2a6fdc
.xword 0x32be88c145393b6f
.xword 0xbf4faaaf2bd1868e
.xword 0x4d2a23ef87060fe4
.xword 0x5c9a002eff49db48
.xword 0xc30f2575cdd4a645
.xword 0x3778a65eb19e11d5
.xword 0xd3982a900a2ff6b0
.xword 0xc2252737ffe7edec
.xword 0x698cca6b73afa8c2
.xword 0x5a009e9344c512bf
.xword 0xfea5599f5781706b
.xword 0x3b0c9eb343361010
.xword 0x92e5dee7a0eaa106
.xword 0xe73e3d211fd58845
.xword 0x17d1e21a58a8f773
.xword 0x5d34d8f67b6d9ea7
.xword 0xbdc14e96c06ff21e
.xword 0x2fb13e48278819dd
.xword 0xb834fe38df5f1c2c
_t1_aes_auth_iv:
.xword 0xa071757f0f47c161
.xword 0xbd9d5ffba231d2b9
.xword 0xf260271aa5293812
.xword 0x74b572419d4566ef
.xword 0x12b1ce3c0cd72425
.xword 0x16f134f0a6916ba8
.xword 0xb5836b12d3c68de3
.xword 0x72afa2da2105391c
.xword 0x175d654f8fa92fbd
.xword 0x218f9a980966ecf6
.xword 0xe29f9766cc947696
.xword 0x4d40f77ee9931e66
.xword 0x9993f19df4e419db
.xword 0xacd885ceca8fbcd0
.xword 0x1623bedf6f934690
.xword 0x485d1b3f2cebbadc
.xword 0xa314ab12fc7c09bd
.xword 0xf5093a6e03db3e09
.xword 0x90a80502f3736e78
.xword 0x0084795510c98d4f
.xword 0xf1b0ec7b374e3863
.xword 0xa6c2798430c94901
.xword 0xe3a087138c4dbded
_t1_aes_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_key_array:
.xword 0x54b32562cffa30ed
.xword 0x61b14ee238cd69eb
.xword 0x0a8bf3c9f32e5471
.xword 0x55c9df8edaec040e
.xword 0x3b4dc8029dafcd01
.xword 0x65d5a165428bdde0
.xword 0xdc0152568e15d7b0
.xword 0xc00e64b97dfee38f
.xword 0xa8a3eb2e6afc28a8
.xword 0xa2ca9bdf39e25634
.xword 0x03da844d63406fe8
.xword 0x86650304782ee5c1
.xword 0x07ffb3f7df8fc0df
.xword 0xe21235dfe8ea6b9d
.xword 0xe1a1eefa474739fd
.xword 0x9568bb690f94dcce
.xword 0x7ecd51ff3963752e
.xword 0xaca0da80c94d11cc
.xword 0x93408f2e8c1b926e
.xword 0x98e9e763425370c2
.xword 0xf3a558b51f6cb24e
.xword 0xa8e198d4ced5b6e4
.xword 0x942c9949c1aca1d1
.xword 0xda3c2ea5bf7cf2f5
.xword 0x5edd3fc19ea90363
.xword 0xb6800d18111f3103
.xword 0x08793a06d6dfce6e
.xword 0x9dde9b9970bf5048
.xword 0x2cfc6a2d3646849c
.xword 0xb03f6241ba6b911e
.xword 0x4c4a4ef1ec4deb9c
.xword 0x6f81174b583fa80e
.xword 0xd2c56fa17e617c0b
.xword 0x3525417befa49555
.xword 0x41943ce2d45a5033
.xword 0xd40aa384fdd63e74
.xword 0x3328823a278652dd
.xword 0x9cee339e6efc4bfe
.xword 0xe2330ddcad293f47
.xword 0x023237e328520089
.xword 0x4a7c142200d6492a
.xword 0xcea25b9e9885c9e5
.xword 0x6665a1d43f8363eb
.xword 0xb7c4263dffa06314
.xword 0x717e4c1c298a445e
.xword 0xe8d046285285bd02
.xword 0x2711564addbe8ba6
.xword 0x037777c07f22d979
.xword 0x2c1b7fd301803bb1
.xword 0xc821075ff5088eb4
.xword 0x832c5b04d2da4f87
_t1_des_iv_array:
.xword 0x0e8ffdf350794968
.xword 0x390c702fb33586f0
.xword 0x55387203a926a7c9
.xword 0x06d0828e06b7cb18
.xword 0x9a0da9431432924b
.xword 0x96b1501b4afdbaf5
.xword 0xb941d2cc77e4c8a1
.xword 0xa02be6b951e44384
.xword 0xccc79f23310f3af5
.xword 0xfdf0f46c4638fa13
.xword 0x27568a184f2cd2af
.xword 0x370f6df1f1779cfe
.xword 0x9bc6061c244bfc4e
.xword 0x3aa0893d48ddd5db
.xword 0xc14a0798c3fe85fa
.xword 0x405f339971680677
.xword 0xc8b731b4ba84559e
.xword 0xc7b4a866d78799cf
.xword 0xd284b429fa53e0c9
.xword 0x55e3f0e97a1b0800
.xword 0x4715c7476ea79ba4
.xword 0x68b4300b7ff36d00
.xword 0x05834d9147522cc1
.xword 0x6c2799e3d9551ca1
.xword 0x8c274870457999ff
.xword 0x30c4ab31676f87d6
.xword 0x1f2574ab78cce909
.xword 0x568a06f28a9df6f4
.xword 0xe5224fd3125f9852
.xword 0x05fc060b25f56c76
.xword 0xcc652c2404d49d47
.xword 0x4efb588619ad9e48
.xword 0x11a9e1bc596abab7
.xword 0x30b92d719786898d
.xword 0x9582cc56b7050374
.xword 0x78b2156933cde8f0
.xword 0x0732719697a7f670
.xword 0x4af09093f7c8ae13
.xword 0x4412efda4fa5fe25
.xword 0xf4f8ca16e2f799c2
.xword 0x2036e31203e0e0e8
.xword 0xd11598e403714e28
.xword 0xd4cb14aa7b714c32
.xword 0x0d2982708da73566
.xword 0x660f72b9d9a1b5d4
_t1_des_alignment_array:
.xword 1
.xword 2
.xword 7
.xword 11
.xword 10
.xword 7
.xword 5
.xword 11
.xword 11
.xword 4
.xword 13
.xword 11
.xword 6
.xword 6
.xword 4
.xword 7
.xword 1
.xword 13
.xword 9
.xword 6
.xword 10
.xword 10
.xword 12
.xword 5
.xword 1
.xword 12
.xword 15
.xword 11
.xword 8
.xword 0
.xword 1
.xword 4
.xword 8
.xword 3
.xword 6
.xword 2
.xword 4
.xword 6
.xword 8
.xword 9
.xword 12
.xword 12
.xword 8
.xword 0
.xword 14
.xword 1
.xword 3
.xword 8
.xword 0
.xword 6
.xword 9
.xword 13
.xword 12
.xword 1
.xword 11
.xword 2
.xword 9
.xword 12
.xword 10
.xword 5
.xword 9
.xword 11
.xword 8
.xword 12
.xword 5
.xword 10
.xword 11
.xword 7
.xword 3
.xword 13
.xword 5
.xword 14
.xword 2
.xword 13
.xword 14
.xword 5
.xword 9
.xword 2
.xword 5
.xword 5
.xword 14
.xword 15
.xword 2
.xword 10
.xword 13
.xword 14
.xword 13
.xword 14
.xword 3
.xword 1
.xword 5
.xword 2
.xword 11
.xword 0
.xword 3
.xword 8
.xword 0
.xword 8
.xword 3
.xword 5
.xword 1
.xword 8
.xword 3
.xword 2
.xword 6
_t1_des_src:
.xword 0xc85f834e2ac4c64b
.xword 0xaf24ad75723c0bcf
.xword 0xe66bfad7629366dd
.xword 0x3fd682bacec4b3aa
.xword 0xd9f22b69960cfbc4
.xword 0x6c9f970c1e6b0c2c
.xword 0x292bf5a75d8b26cb
.xword 0x170870b49db3bd92
.xword 0xbf7e96861bae4401
.xword 0xb5741a373a290b97
.xword 0x34ad154aebadf371
.xword 0x6409035c79c60a92
.xword 0x7cce8dce1ce6d06e
.xword 0x95f80e68cca00607
.xword 0x23a0803842054224
.xword 0x3f492cd6a3e1f4b8
.xword 0xa52af90bbe880482
.xword 0xe7e62be56bd1d120
.xword 0xf5f78b4b90b654c6
.xword 0x8391f3a35cf9b7ff
.xword 0xbeae8c2c9da3ba20
.xword 0x0dbb60fa0cc70171
.xword 0x2b06a3f406610cc1
.xword 0x8d7365a08e60478c
.xword 0xe10fea0a0a08c331
.xword 0xb332840fa0c16970
.xword 0x5d8086ff4fa017fb
.xword 0x9f953ad6cbeb4ba7
.xword 0x0f6aed506485f39e
.xword 0x28429ffc80287b96
.xword 0x928c6ec6a51d1aa3
.xword 0x786fa87c45e90eca
.xword 0x3d0b4bea3eaec64c
.xword 0x87f3a82e10d1b5be
.xword 0xee6debfc102410ed
.xword 0x89793e714ff8452c
.xword 0x0e663934a61a643b
.xword 0x4e69633c4d875628
.xword 0x19918247de2277e2
.xword 0xa6f6ec6329c72114
.xword 0xf998cf830abc2830
.xword 0x0da8cc0418b1d790
.xword 0x1902e708e8af3854
.xword 0x44d94964d0a4c110
.xword 0x14cd0f5abb328750
.xword 0x523bfea042f5b5b8
.xword 0x5e571fd51d06d7c1
.xword 0x075203659b13b6c3
.xword 0xb783bc4334533788
.xword 0x90a1614bb8c80b97
.xword 0x466f1f0b3c150dcc
.xword 0x1560756508abf74a
.xword 0x2ae6318df33590de
.xword 0xc787725d2d694194
.xword 0xc8f069d96848bfff
.xword 0x8e3c89db162e0408
.xword 0x8f096544268748d6
.xword 0x27afcbd460dc2f45
.xword 0x67f34da6db0d52ef
.xword 0xa2098821376689e1
.xword 0x5a877ce3a156d5e3
.xword 0x67e050f0b4f6c04b
.xword 0x038662d3757b57bd
.xword 0x1446498738af6fa6
.xword 0x6d7c603779bf8ab6
.xword 0x84b8faaf45015ccf
.xword 0x5d7dfa4d283926c4
.xword 0xf08141c74b3d067a
.xword 0x0d94e64fca2a1274
.xword 0xc4e2b3c27fbe548b
.xword 0xb19ef21dae6bbc0d
.xword 0x1b86ae1ec77cfdf2
.xword 0x761a6e5dea7523f7
.xword 0xd0c4b0dc8853f682
.xword 0xd3dd3b9f12c63160
.xword 0xfbdf82b9cc8d7444
.xword 0x563e7bcb8fe2f72a
.xword 0x211d7a4efebb7734
.xword 0x8ed99a232591167b
.xword 0xfcb5a7ebf9d31195
.xword 0x4cc80c26ef8d84a2
.xword 0x7bd12dbe1fe65e6d
.xword 0x79ab4cabd6a9573a
.xword 0xfb03a62da72fcb2f
.xword 0xcf48e609c4d7866a
.xword 0x140a3cd4ad178d85
.xword 0xf9c7cacd75a4605d
.xword 0x99ed904dc4d7a6e2
.xword 0x813bfa7c0a55ee98
.xword 0x9fdaa4475cbe1650
.xword 0xba1ec878c9a60ebb
.xword 0x5fc956a4ff734159
.xword 0xc8281e7fbd70707c
.xword 0x50bf3fc0176b8338
.xword 0xe2ea4917feae3e83
.xword 0xb9540e20faa8dd19
.xword 0x84c8cc984a2a54ca
.xword 0x56ebdd396c4172b0
.xword 0x5a3fad3ed0e4a39b
.xword 0x207d9d1fb0a8188f
.xword 0x76ccfa151692114f
.xword 0xfcbdfc4bf63c7b89
.xword 0xe2aef71aebbdd089
.xword 0xd712e535347541e5
.xword 0x8411544884f690c8
.xword 0xd42f9e1893ab4fbc
.xword 0x6f2443a6f6b814e6
.xword 0x1d09243c2666d8ba
.xword 0x5e032127237c1fc7
.xword 0x6f028a0a3e317208
.xword 0xbae94000a3decf1c
.xword 0xcd543e55acf2bbdb
.xword 0x2d69262733b1fa6f
.xword 0x1744f54f86fcdf70
.xword 0x2454511f1a851360
.xword 0xc4dd645d06d4516e
.xword 0x5c323d9df89e2d97
.xword 0xc58a74d81101b30e
.xword 0xc0eeb4a6c8ad42e7
.xword 0x13a7671569447a9e
.xword 0x0ecdb9517318c631
.xword 0x3e3055de7010f5a4
.xword 0x309195fc04012bf1
.xword 0x5ed91cdc4840d109
.xword 0xcf566651ebbeb18d
.xword 0x33b9b5aa8533cf05
.xword 0x0853f1ef422792fd
.xword 0xf6d74c44ab7be93b
.xword 0xf25663bdb05a357c
.xword 0x39ccbce3ea11ce99
.xword 0x0c49803f849486f4
.xword 0x6b7d260f50f29ee9
.xword 0x38f8a063a08327f4
.xword 0xd6c8c66587eff25b
.xword 0x3254835c8aa6e7d1
.xword 0xdb3e11002ca6a5d3
.xword 0xdabba88af87236e8
.xword 0x056a09eb453310a7
.xword 0x6fdc68a6565f6b53
.xword 0x754371052368298b
.xword 0x72b8f290388aeeb0
.xword 0x1966d120a9cf9292
.xword 0x307f1b17c13f43f1
.xword 0x1f05e26b67caf8d8
.xword 0xec2f4baa2711c8fd
.xword 0xe10979f893db7455
.xword 0x657281ecd00782dc
.xword 0x101fb7a0fe467d88
.xword 0xa30005a5fa5e302e
.xword 0x640f49eb0a5190de
.xword 0xb667b6cba94886de
.xword 0x9a8f1cf77fc5f95e
.xword 0xe85c22d5cbce5ec2
.xword 0x22287b1f999371c8
.xword 0x0b1cff8cc5c76641
.xword 0x596e321ae17afefa
.xword 0xaa294ae98026ef74
.xword 0xa15ca386f41e3b10
.xword 0x4aa52280e3babaa0
.xword 0xa9832c554a52b063
.xword 0x2ce9f353f728798e
.xword 0x5fe82b45074d921e
.xword 0x48962042e2906931
.xword 0xd251718214593288
.xword 0x651a58c72ccaa75c
.xword 0x33c5c97718473eae
.xword 0x4f7fa8ebc41c0c78
.xword 0x3af5dd4732b70afd
.xword 0x882bdc6b39e459b4
.xword 0x716bd58b46412785
.xword 0xda7fa7408d30980e
.xword 0xc03dac32e098eefc
.xword 0x2d783489650714bc
.xword 0xdefad1aa00cc4424
.xword 0x9f0ce6604cbcd4f8
.xword 0x807bdadc4a339f50
.xword 0xf40a557e416391a2
.xword 0x7ea7fd7a0d59a6e9
.xword 0xc3420d81397fc67c
.xword 0xc2e210172dcdf8a1
.xword 0xfaf8b45273a5f9a6
.xword 0xe3dd2842db36d003
.xword 0x2d776def1045d5f0
.xword 0xcfec872776c39023
.xword 0x2fd5c4f5ccf56a6a
.xword 0x7d5c27d34b5e5224
.xword 0x56a7e115aed038e9
.xword 0x6abbe387b107f87b
.xword 0x3404eb5e8314533d
.xword 0x754ebc2cacb423d8
.xword 0x2d3cb10394565ac1
.xword 0x0a428e1009a94c47
.xword 0x5204db9d619b52e5
.xword 0x0013131498341e7d
.xword 0xc63bfdee2ba96bcb
.xword 0x99dcaab1d1dd1b06
.xword 0xbea183a778a711ba
.xword 0x85c1f91922efeb10
.xword 0x957d61d67ae19af1
.xword 0xc2b5b53b892f89b4
.xword 0xf093d7a34e490bb5
.xword 0xef23514cfaf08a2b
.xword 0xba1992e774116d76
.xword 0x9aa43525afd51306
.xword 0xf24f1c7d77be46e0
.xword 0x4afc5346950cd8e6
.xword 0x924206d02c2411df
.xword 0x3dc8196c8f180bf2
.xword 0xf09abec23f16a79b
.xword 0x93f9acfe99d2091c
.xword 0x2d614d5c84d9abd3
.xword 0xd9b0fc5b5ecede67
.xword 0x6f1f4dd078e72baf
.xword 0x536628207bb68430
.xword 0xc7329f9c4c1782cd
.xword 0x7366cd772e3f7b3c
.xword 0x872a0de448493c00
.xword 0xef51b1b249f1c608
.xword 0x55afe6983d8a2afa
.xword 0xec561dc7d15d1589
.xword 0xc087ef73e8edbd30
.xword 0x6645ef2c7d0b2827
.xword 0xf4342bc2fcbf696a
.xword 0xa08c941a37ee00a0
.xword 0xf28fbac3f8380a6f
.xword 0xfe21e8613757cdc6
.xword 0x25eb8543a0f8371c
.xword 0xad26d88f2c604d9c
.xword 0x512a3396594bc9d0
.xword 0xf8e72dfcc68a57c0
.xword 0x0276700843fc9000
.xword 0x92c00057659615e9
.xword 0x677efabbdbba6e13
.xword 0x36f12e4220b25828
.xword 0x3ca17ee647e2065b
.xword 0x33bfb2bf3896cb62
.xword 0xb6a2443a42261f1c
.xword 0xa176f35e2818bbc2
.xword 0xb9b04a4296764ba1
.xword 0x29040b4e9fededa3
.xword 0x25d14d60fce00320
.xword 0x7f7f9f2565ac6a00
.xword 0x6fa8c0aeeb7aceda
.xword 0x0f2f1a72150244c7
.xword 0xb2c9c5a82be96d1c
.xword 0xe29d091f2db06b4a
.xword 0xbdf1ac8bebfd524a
.xword 0x3c77e07c4ec40e1f
.xword 0xc3a9f8d1ea36383e
.xword 0x510e00c30c1531f6
.xword 0xcca19d497e91bfa6
.xword 0x62da56ffafdc393c
.xword 0xe66589ad8cefee9b
.xword 0xd51a233b9963cff5
.xword 0x4aeb4790e0dcc018
.xword 0x5c22d850083d78d5
.xword 0xdfa4bfa127085a2a
.xword 0x15ec6d6eb23a9ecb
.xword 0x1267445c1223bf8f
.xword 0x042e2e2884cbd551
.xword 0xff11945a8d390b04
.xword 0x791e1f1ab7845b8e
.xword 0x016acdf866bac424
.xword 0xc9f0a647242df872
.xword 0xd3054f0bc94082f1
.xword 0x9701bf45b15091b3
.xword 0x21c1ccb2aa49684f
.xword 0x7829cb729aa94aee
.xword 0x756091c926d934f9
.xword 0x66b00ae78682fe1b
.xword 0x88eefeabb63fe9ed
.xword 0xa33bf63913475a4e
.xword 0x1af4d702aadfc743
.xword 0x9a83f745a92e054d
.xword 0xb88f0c8f29072638
_t1_des_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_auth_key:
.xword 0x399422805544f969
.xword 0x4236f01c3b28f4c4
.xword 0xc3232da4ebe06bd6
.xword 0xfb7e15efbb529fee
.xword 0xb7df8f1b8c4ca038
.xword 0xbfc6a0cbc3fa119e
.xword 0x41e022161286eb4d
.xword 0x7e749eaa5e24e7b0
.xword 0x6e803cae38495675
.xword 0xe023cebfabd00179
.xword 0xa669863fb12cedc5
.xword 0xb24e4c3908b2427d
.xword 0x458d1707b14d0c65
.xword 0x2f1b91b061f36cf4
.xword 0x7a7518c3d98c2936
.xword 0x55f0c4b5b701dac0
.xword 0x42be7d270e83e154
.xword 0x9ed7a7c8fdd90d07
.xword 0xf47ddf4204683be9
.xword 0x83d8a3bd79c9c332
.xword 0xa46443a5733de844
.xword 0x9e73a2981165a7cc
.xword 0x8316bf96ae143343
_t1_des_auth_iv:
.xword 0xcc9a4f8a04ac1fcc
.xword 0x17e4b6a07ebecd82
.xword 0x479a4217fdcf6841
.xword 0xf03207eefa82d735
.xword 0xff27687f5e441ede
.xword 0xfb604545b3e9474e
.xword 0xa2c6c41395b119a7
.xword 0x751f72bc7f4eda6a
.xword 0x8ad6811b77125938
.xword 0x27e31666ffc5c27c
.xword 0x491b580e7311ca41
.xword 0x8cf551ba81e854d0
.xword 0x98d0086d9ea6b9d3
.xword 0x411d9a35127edd60
.xword 0xd947e9371a12ba9b
.xword 0x2e858f0707dafbe6
.xword 0xf5bfc2beb136fe03
.xword 0xad36e14407af9884
.xword 0x21cdaeae94ee2130
.xword 0x919f88ceb86b8b8f
.xword 0xf4d2374ccbb565bb
.xword 0xf525f8347e478bfc
.xword 0x3869927dba2353ac
_t1_des_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_key_array:
.xword 0xbb9d2066acb7651f
.xword 0x999794700ff55094
.xword 0x2b4dfe9984255d88
.xword 0x995294762a5ff4e8
.xword 0x23f4b0295846155b
.xword 0x2479f53304e7ce2c
.xword 0xb198ca436273bcbe
.xword 0x42ab78f888be54cc
.xword 0xca69c7e7e2bad9f8
.xword 0x0d6da7058b6b01df
.xword 0x0b317dda21a8dc61
.xword 0xc0a2abb8fd70e5b5
.xword 0xa0095d236fd468d6
.xword 0xba9d499a363ebc64
.xword 0xd778ac46766720a0
.xword 0x6fde678888afcb99
.xword 0xa13e542730e7fa92
.xword 0x93f4fedfcfdee6bd
.xword 0x03dba1a5e40129e6
.xword 0x26be4be4052bea31
.xword 0x85271d7eb77bb151
.xword 0x57a66988444d63b2
.xword 0xdd2c30f2685e3c0f
.xword 0xa9c0fb0c844c42c7
.xword 0x5ff310728cbc5478
.xword 0x332c9382d17f6295
.xword 0xb6e717ce57bbbf57
.xword 0xc4c95d46b6175629
.xword 0x3f4be4e48f4f7ee1
.xword 0xdfea1a95203fc47a
.xword 0xb5ce2c17a96d421a
.xword 0x671bba5dfb0c3ca8
.xword 0x0e62276e23c60f56
.xword 0x47d46ee165febe1a
.xword 0xb5e3ab1f46c84b51
.xword 0x39732420a37d794b
.xword 0x3259ef96d52789b8
.xword 0xba047cb74146e858
.xword 0x636d6f62e25b238c
.xword 0xbe2ecb175894b848
.xword 0xa6d3ffa51ea85d76
.xword 0xf3524e54b7e9f450
.xword 0x11796e3a9b82ae71
.xword 0xdc4759679c9202e4
.xword 0xd8c9dae58802d25b
.xword 0x4d3db4e89187b148
.xword 0x55f86e78dbf93b41
.xword 0x1c5c0644655b82a3
.xword 0x9158534a1e92c3b7
.xword 0x2cbcbbe5f05f1834
.xword 0x526c1e5f628258e4
_t1_copy_iv_array:
.xword 0x93ebc8d884a1a377
.xword 0xadd8a22f5aa43cd1
.xword 0x47f56c65786f9145
.xword 0xa8696f1f992c99cc
.xword 0x2193f0b915b02369
.xword 0x41bf47b0d50a721d
.xword 0x8129f16d1572409d
.xword 0xb27ecd8f7c8a3bd4
.xword 0xe00a0a3ee13dc4fc
.xword 0x7a65dcebfb4e974c
.xword 0x78196cd1286dfea3
.xword 0xa4436773a60cb94a
.xword 0xfe745565f5bce569
.xword 0x569e2b5b7190aa3e
.xword 0xf86cb9f9b58295db
.xword 0x37d45963662e30f8
.xword 0x6d3ef17ed34b3b29
.xword 0xd01759bb9188b853
.xword 0x3e9bb81191c28e1f
.xword 0x9b003da680d80e99
.xword 0xe444313803e6b98b
.xword 0x7ca7534c180515d6
.xword 0xa5c802ca6561d04d
.xword 0x30307efc45d524f8
.xword 0xe71ad457bdaa31b0
.xword 0x9c55a55429d93e3c
.xword 0x0feecf3188986290
.xword 0x90fad6e9d8dc9925
.xword 0x27f7874a0455f7ad
.xword 0xecc5ed0ae0d6ec27
.xword 0x57a4d765fcc13d6a
.xword 0x061c9b14f26725de
.xword 0x789c7e8416b10a8d
.xword 0x3182816aacac0c8c
.xword 0xaf2bfaab69f1bdcb
.xword 0xe86fb366793dcd51
.xword 0x3798a795cd7dab73
.xword 0x2476f0fcc5f5ed36
.xword 0x5e6202ca5e9efc8d
.xword 0xe7e3847866aa53a8
.xword 0x02b3a5599ee47464
.xword 0xeee52fc913e16420
.xword 0x05b3eccd162b3f27
.xword 0x5215c830586e047f
.xword 0x63d0676924faabf0
_t1_copy_alignment_array:
.xword 8
.xword 11
.xword 10
.xword 6
.xword 1
.xword 4
.xword 11
.xword 15
.xword 0
.xword 9
.xword 13
.xword 10
.xword 9
.xword 11
.xword 13
.xword 7
.xword 4
.xword 8
.xword 8
.xword 10
.xword 0
.xword 7
.xword 4
.xword 10
.xword 12
.xword 4
.xword 13
.xword 11
.xword 3
.xword 5
.xword 5
.xword 14
.xword 9
.xword 3
.xword 15
.xword 8
.xword 10
.xword 3
.xword 1
.xword 13
.xword 10
.xword 6
.xword 9
.xword 4
.xword 15
.xword 2
.xword 2
.xword 1
.xword 7
.xword 4
.xword 6
.xword 9
.xword 8
.xword 6
.xword 13
.xword 12
.xword 5
.xword 15
.xword 0
.xword 13
.xword 1
.xword 13
.xword 12
.xword 7
.xword 11
.xword 5
.xword 10
.xword 12
.xword 8
.xword 9
.xword 9
.xword 4
.xword 15
.xword 3
.xword 14
.xword 0
.xword 4
.xword 7
.xword 2
.xword 10
.xword 13
.xword 1
.xword 14
.xword 14
.xword 2
.xword 1
.xword 13
.xword 2
.xword 8
.xword 1
.xword 1
.xword 4
.xword 6
.xword 10
.xword 13
.xword 0
.xword 2
.xword 8
.xword 9
.xword 3
.xword 3
.xword 13
.xword 2
.xword 13
.xword 2
_t1_copy_src:
.xword 0xc910e17f4e4d73eb
.xword 0x5d8b69aefe8a93d8
.xword 0x3abbf275dbf1ea5f
.xword 0x400c86eb06dc114c
.xword 0x81c2d15a5deb85f7
.xword 0xaa2806acb6e4e20c
.xword 0x9f4d03ea176b9b19
.xword 0xc31d6ce2cbfe34a0
.xword 0x39de8de875a15bc9
.xword 0x43ba1b228128cc03
.xword 0xf4100521c27ee285
.xword 0x215ea8c9966ccbfc
.xword 0x9178af18d1d3ae07
.xword 0xf6bb1cb41a273751
.xword 0x37201be4a1a13d4e
.xword 0xc05ddc49a6538384
.xword 0xf9a7d6f72c006ea2
.xword 0x2d11cf2da49fb586
.xword 0xf2f6fbed5a9534e0
.xword 0xd9705264b238fbac
.xword 0x6b958166963cc245
.xword 0x543a26779a69d87d
.xword 0x3a1e2f565bf58abf
.xword 0xb804f37800ea6725
.xword 0x5dfddbe912acaf3d
.xword 0xfbd61cb3cb47c139
.xword 0x3e26f762107129f8
.xword 0xae3b984699c3c4b1
.xword 0xcfce6261b097357c
.xword 0xe86f9ef6f13de155
.xword 0x78ec13f0baa35403
.xword 0xdaa99fa891688780
.xword 0x76052c63ea7f7949
.xword 0x6bc372e54a4cce9d
.xword 0x86440bef9dea4d19
.xword 0x54fc76689736532d
.xword 0x710ed2676aacc975
.xword 0x7d297164371a0077
.xword 0x1d8abcda8915784c
.xword 0x759e4fb9aa403ce7
.xword 0x5d31e1044a20a9f9
.xword 0x0e05b7f77dd61a18
.xword 0x0cd5effbb2e9587b
.xword 0x54ae0ee9ad00aab0
.xword 0x6ab3a4c014fc40ce
.xword 0x0d0e410611380f97
.xword 0xd62f8fa10fe35139
.xword 0xf8e0146f5341d416
.xword 0x5cfb60d459ef6471
.xword 0x9c4c97771abfc0a6
.xword 0x8bd43a8aa5af882f
.xword 0xecb39c4375d59086
.xword 0x2e8d0a805f0f4b1d
.xword 0x096fe1f576c6583a
.xword 0xe7080af14eb89d78
.xword 0xb04018e1f4a70b21
.xword 0xbb4866c61794e293
.xword 0x7b125b10997b668e
.xword 0x6fbc2793b8e346f5
.xword 0x75631bf494deb46c
.xword 0x974cc4d2f31c18d6
.xword 0xea0ec9910f654771
.xword 0x93486f77e8187a8f
.xword 0x62a5120fd18ee3fc
.xword 0x1292d80c6b33d38b
.xword 0x60f53c660908cecd
.xword 0xe2e543e0ff62f2c2
.xword 0x3cd2de30cb9bafe6
.xword 0x85bd09cf6110c7bc
.xword 0x1f6b6f202926b8f1
.xword 0x5eaebd40de7823cc
.xword 0xb00956bf92c45053
.xword 0xccf1f43abf08990d
.xword 0xdbdd69e85910e996
.xword 0x023251317f8e8cef
.xword 0xe30597cd0c713c13
.xword 0xa35e788e75b3b594
.xword 0x4290c20ee6b2ff89
.xword 0x34030057682b35a4
.xword 0x306377aa632dadde
.xword 0xf3bcb9d4598a09d3
.xword 0xdc907cfd28528020
.xword 0x0928cb0accdcbc4f
.xword 0xdab83f296686124c
.xword 0x720e7993016b259f
.xword 0x4088d940314b3b8f
.xword 0x795a3c8e0ae055f4
.xword 0xed474abaccd98c66
.xword 0xb379c3994b594bdd
.xword 0x808540a8802467cd
.xword 0x887e370cfe93b533
.xword 0x9c69aa9f38ae0581
.xword 0x8699dc5df7c41f30
.xword 0x5ea3add5ce19f9d5
.xword 0x6b1dd7ee4c39b62e
.xword 0xe2de8b2f4705ce03
.xword 0x6247762643e763c0
.xword 0x811711259b505d85
.xword 0xd87cce047c88e526
.xword 0x96d680ef36977e7f
.xword 0xe1a7d715f259bfe8
.xword 0x40c378fa9de06e49
.xword 0x6426af08ed17f74e
.xword 0x560ffb17061d434f
.xword 0x0637cc02e4a79794
.xword 0x56442a92828f3aad
.xword 0x7013bdd566d27247
.xword 0x3d4bfda1e0e34e43
.xword 0xa1368727004e3078
.xword 0x82d963e2cf11cd17
.xword 0x5ea45738cdf4e234
.xword 0x2f28489b9a18480a
.xword 0x4c3f0d1bc46bbffd
.xword 0xb59037a45bf08ac0
.xword 0x9883f5249aebf937
.xword 0xada3f412a0a81e2a
.xword 0x2559dc519cee6ac5
.xword 0x78a5032a51d451dd
.xword 0xa5c00cad3f3a6445
.xword 0x49d806c7001e8b0d
.xword 0x9092d67c47885e4f
.xword 0x3e4a9def3498e18f
.xword 0x9d07021ded642979
.xword 0xdcd23ced490c1fb8
.xword 0x02cde20399399500
.xword 0x7d8d4aea068c2d47
.xword 0xc4502a81311c5d81
.xword 0xdc058bd0e0afdc0c
.xword 0x68bd6757677f4deb
.xword 0x240590ff7ef84e74
.xword 0x8b683e23bdcb3002
.xword 0x7ae5e3fb358d1476
.xword 0xefca3b8faafe779a
.xword 0xb4aceedd1291060f
.xword 0x747dd23b07a3bc9f
.xword 0xc2f15b559dd48b8c
.xword 0xf5433e91306edc17
.xword 0x9b0d7364d3af80b6
.xword 0x60228edd55ef4bb1
.xword 0x5e80981b5e0615cd
.xword 0x3b017f4d9c1944cb
.xword 0xf4a4be0b5ac4d719
.xword 0x81f268b22100103a
.xword 0xda5ac3ef0b64e2f9
.xword 0xe6533d51ae760fcc
.xword 0xa4d4f9d53ad68b24
.xword 0x3afda353366452c6
.xword 0x9acb4cc7532bbf59
.xword 0xcc1d85f15c46fd90
.xword 0xec7718e4e201bd5e
.xword 0x108ec3ac9f320622
.xword 0xfa250f49cd0cff66
.xword 0x35989e7333eecc01
.xword 0x9989bfab42d9d91a
.xword 0x1495f2190db9790b
.xword 0xf72d9bd71542de59
.xword 0xc1a4f8843d60a5ba
.xword 0xa3521920bd436295
.xword 0x176fca47984bd6ab
.xword 0x1ff9243952923d18
.xword 0x6d21d15dab89ccf0
.xword 0x1e7c6a51a8860dab
.xword 0xacc2372e0b429bcf
.xword 0x023213d372ae77c8
.xword 0xbac4b2ac054e7ae8
.xword 0xd72b79a2eb8b43f6
.xword 0x56b97765abecd9a2
.xword 0xe6bec2cd858bc0c7
.xword 0x7e1494a3ddfc7aac
.xword 0x14c54b0ea5526939
.xword 0x2318ecb92a2872d1
.xword 0x8e2c393242d1e423
.xword 0x1243174e407e942f
.xword 0xc4fd331b825e9f6a
.xword 0x45b7f11793ba9c73
.xword 0x1e7dcc841e62d306
.xword 0x77bf51cceed595ed
.xword 0x77e0c15ec7dd6f25
.xword 0x5ebfe6b8d65bf335
.xword 0x624d9ae9f5f66949
.xword 0x03f1b6c1cdd07500
.xword 0x7b8509416e467dcf
.xword 0x7e1232d8787da9e0
.xword 0x8da8562d0811c99f
.xword 0x6c23665a98d5ff02
.xword 0x16b9a51bd19e9a8a
.xword 0x2ab5b3c007ca9bc2
.xword 0x22461a23adcb44ce
.xword 0xaf0e8906b4a3a295
.xword 0x8aa00bdf26681da1
.xword 0x06ac848f3306f80a
.xword 0xf1ece224b52b4b11
.xword 0x0255895d95c54f32
.xword 0xba75abdfcabb1a81
.xword 0x741bd2c421a74056
.xword 0xb28b60712636c095
.xword 0x92620da9e767b063
.xword 0xc65d67f94ea87b5e
.xword 0x5a90b3120c1ecb69
.xword 0x4866967626bb3369
.xword 0xf2d55f8c7b99c286
.xword 0x4bff9f2b69e40f44
.xword 0x0fd0ff5d89630bf9
.xword 0x25fb7a3c1d780f9c
.xword 0x821b83dae54139c0
.xword 0x0021ff65896a3d5c
.xword 0x0ec2ae8b2bbe61b4
.xword 0x908b5ac374ebf921
.xword 0x2abfce4b975e60c0
.xword 0x11a5993648e88336
.xword 0x7b014526ef41c929
.xword 0xa841471df8957b43
.xword 0xe18df520d7f8d928
.xword 0x78c280f7c04f500e
.xword 0xd390b58fc13072bc
.xword 0x67242d6a6919274e
.xword 0x64f1d1e18d4c4e1a
.xword 0xc87ab5d23d753aaa
.xword 0xf8549eabcfd388c2
.xword 0x3aae7531f333ad9a
.xword 0xa3f6281c06b02b97
.xword 0xfde6834d817bd509
.xword 0x06fd9df31bf262e0
.xword 0x5fa46d729ce309d9
.xword 0x5eb17235d3d2b278
.xword 0x5c538193e7f041cd
.xword 0x4df647b81ef28e9e
.xword 0xe260d7acb192b686
.xword 0xa0273edffec4917e
.xword 0x98b60932584b6a75
.xword 0x3e29ab4e9571fdf9
.xword 0xfcfab2785ff7b3c2
.xword 0x486188b178eb5fbc
.xword 0xac68e72bd5320685
.xword 0x4dd7d977ecaaddd4
.xword 0x747400c8a2af2010
.xword 0x1a54186b1a34fbb6
.xword 0x99f17eb210b620f3
.xword 0xa47ed0dce60dccdc
.xword 0x5f4e59dd18aa5369
.xword 0x89948db58526dd7f
.xword 0x4bd604660dccdeac
.xword 0x9a4c0d727325f8f7
.xword 0xf52f0ad7b4843014
.xword 0x3c788beb8d6e5b10
.xword 0xf7eee2474173ea51
.xword 0x39a8fb756308f6d0
.xword 0xcdacf10799eae209
.xword 0x8250855c6cdec19e
.xword 0x062cc580903c453e
.xword 0x2461ceecb3db4835
.xword 0xca610fb738a51f2c
.xword 0xa5082ceb905614ac
.xword 0x09f0d84b66060036
.xword 0x758db7a9cc5847e0
.xword 0xc417b4d26e2bf53a
.xword 0x93600e6050b0d098
.xword 0x4b26629af0df295d
.xword 0x4903241df46dcca3
.xword 0x9ab5770f8945369e
.xword 0xb8bd6cf75be26511
.xword 0xe49a20872d85c092
.xword 0xfdc9d7a9e9e3c396
.xword 0x9918d2fe72a3d460
.xword 0x4a14b30393e1f0c0
.xword 0xb679adcd8128eb0e
.xword 0x7fcc4e1ec68b731f
.xword 0x41b84a58885cd6dc
.xword 0x5bc09fb21fdd18ce
.xword 0xdf2195b355ca1944
.xword 0x12936c9ffec4204a
.xword 0xec24dc1ebb4800b5
.xword 0x6f15071c6eeecfa3
.xword 0xf2e0e682815ad758
.xword 0xd159de3524c86dda
_t1_copy_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_auth_key:
.xword 0x1c43661ebc99ba4f
.xword 0xa11fe7db3bb160a8
.xword 0x5d7ca27723813445
.xword 0x4684f3e025884611
.xword 0x057a0b6d64de143c
.xword 0x6b8c50d471e42020
.xword 0x40a404462994d702
.xword 0xb4d84eeb39190ed6
.xword 0xb61fe2929c5a1ab6
.xword 0x3919a32d259153f6
.xword 0xd78f3bf40e44edbd
.xword 0x63a714478ff97ba8
.xword 0x9ca908cf08bc7782
.xword 0x34047706ebd5ccca
.xword 0xc5c9197588a84579
.xword 0x1c705e504fdc6a48
.xword 0x1f66b32ee1b8de5a
.xword 0xd5cf4ed1556efd4f
.xword 0x3ce221281ea97f97
.xword 0xdb2d2212aa3898d6
.xword 0x22791260b591180c
.xword 0x79c12d47bd393822
.xword 0x52d766499278c979
_t1_copy_auth_iv:
.xword 0x0de372a6d7dd3549
.xword 0x714e5fe7b31489a1
.xword 0x938776047984ec2f
.xword 0x1d92aebcfa7a8f99
.xword 0xd0ce2781db02383d
.xword 0xb8522b975186196b
.xword 0xb1bb577d63705971
.xword 0x0e72e35aefa286a5
.xword 0x889153ca79d3faa6
.xword 0xdecf8ba80d43734f
.xword 0xc03538fd48a8b674
.xword 0x0053ae4c3b06a7af
.xword 0x8bc302cb30172cd2
.xword 0x951669d3e57e6a57
.xword 0x4b7fe0b30634c1c7
.xword 0x6ea566b9a8ee33f3
.xword 0xe09aa4408288e940
.xword 0x6f17c97b4cd124ef
.xword 0x17d1d937b5ab2a3f
.xword 0x958dc65c12b62eea
.xword 0x7fffe3253d7740cf
.xword 0x37ab0699a17b3fae
.xword 0x6690bf5c57b4f9f6
_t1_copy_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_key_array:
.xword 0xbea496ba7640ba2b
.xword 0x5eee572fa68fb730
.xword 0x45bece1c5b27309d
.xword 0x63d94c698629940a
.xword 0x4ef6385805aae8f9
.xword 0x382bca858ad7e67e
.xword 0x21d7c93ccd8b26dc
.xword 0xa936a12b84a5449a
.xword 0x4acfa059993debd8
.xword 0x517db4f0fd5823ed
.xword 0x4b5c7313bfc09bb9
.xword 0xb94d62c09f42e11a
.xword 0x63aa22f27e41851a
.xword 0xff1d4255e61681de
.xword 0x9a6c3ccefa2d6d98
.xword 0x9757246ffd11f12a
.xword 0xab99b970b93351a3
.xword 0x8e8809f44a87443b
.xword 0xca72139eb7aefd94
.xword 0x5a825003d5564af5
.xword 0x1b0844139dda5996
.xword 0xe6add86d73f6e202
.xword 0x47b0af1b1fd481b2
.xword 0xbdc48c07e6b0c1df
.xword 0xc43f071e4165010e
.xword 0x374e5884cc88a3e4
.xword 0x5cca29730f80e98b
.xword 0xc7d3054a76f39224
.xword 0x1a38f9543a2e1f8c
.xword 0xc09191380c5c8514
.xword 0xcc8c0b1304444fcd
.xword 0xbf1667e95af1564e
.xword 0x23f9e79aa5ff6f52
.xword 0x696ada0f4c2aa93c
.xword 0x8e1a6acd16633f0a
.xword 0xc75d788eeb27d69d
.xword 0x195eb916e3e746c8
.xword 0x3be6b257c8ed5dcb
.xword 0xaaf7584fd9e5d13d
.xword 0x66aa1fc50c94beca
.xword 0xe1b48ede6352bead
.xword 0x1a26daad4a8cdbdf
.xword 0x1e28aaaad1da4df1
.xword 0x48a05cafae5883ff
.xword 0x6bb28a1dab4380fc
.xword 0x20f250d642c60f3b
.xword 0x744e82f95e5b120a
.xword 0x18d619a8d5198a2c
.xword 0x8c85fd105239daac
.xword 0xa1f96620724909da
.xword 0xfc044718f78680f5
_t1_crc_iv_array:
.xword 0x066867eb0811d521
.xword 0xa6bde88bcdeab286
.xword 0x640f145197e42ae6
.xword 0x9d483ba45b928d69
.xword 0xef0cbba4fb2775b6
.xword 0xa35a80a7b72618a0
.xword 0x5c58110612e6112d
.xword 0x5624324121b05734
.xword 0xae74f16172290bd6
.xword 0xcb028d33e459eeb2
.xword 0x501e7c920509b78a
.xword 0x13b73b3d93120f23
.xword 0x6cec7c49c6924119
.xword 0xbc19c8c83472c64a
.xword 0x7575035228295057
.xword 0xa68788fa53072af2
.xword 0xd25e1faeb9336972
.xword 0x2387e2cffcf845e5
.xword 0x2064928d5bf57515
.xword 0x9010679b39e81da8
.xword 0xa5397a09328b0fd2
.xword 0x556da4630cb15c73
.xword 0x2f3c0545fe1aa202
.xword 0xb7a6358ebf66cc0a
.xword 0x07f5b2316936d8ff
.xword 0x70209d6e5a988f7f
.xword 0x79dcea41d854712f
.xword 0xeae14e4824c551c0
.xword 0x761ef8afab47e945
.xword 0xec2c6f4f95f6ef3a
.xword 0x8bcb6fe68cfde28c
.xword 0x53b1f497b128814a
.xword 0x2c5713b22247fd34
.xword 0xbd4eebbea4f7cea6
.xword 0x5c3ba34b51592144
.xword 0x83e1cd5bbf31c479
.xword 0x4723f47b30be7152
.xword 0x7ca6e27d3ffee7e9
.xword 0x4905768a3ea369b4
.xword 0x057cb3e4aa8c16ab
.xword 0xcd85594762a8a137
.xword 0x4c4522fde95a18e8
.xword 0x2e961c52967ffc62
.xword 0xc43737bf3d0e5875
.xword 0xbb341626d9a1e888
_t1_crc_alignment_array:
.xword 14
.xword 13
.xword 7
.xword 9
.xword 7
.xword 3
.xword 13
.xword 4
.xword 11
.xword 5
.xword 8
.xword 1
.xword 1
.xword 13
.xword 12
.xword 12
.xword 0
.xword 7
.xword 15
.xword 7
.xword 4
.xword 9
.xword 12
.xword 12
.xword 10
.xword 14
.xword 5
.xword 0
.xword 11
.xword 5
.xword 1
.xword 2
.xword 7
.xword 5
.xword 15
.xword 10
.xword 14
.xword 5
.xword 11
.xword 5
.xword 12
.xword 6
.xword 9
.xword 5
.xword 8
.xword 1
.xword 1
.xword 11
.xword 9
.xword 12
.xword 4
.xword 6
.xword 8
.xword 0
.xword 9
.xword 7
.xword 13
.xword 0
.xword 11
.xword 0
.xword 2
.xword 7
.xword 5
.xword 14
.xword 13
.xword 0
.xword 7
.xword 11
.xword 11
.xword 13
.xword 6
.xword 1
.xword 1
.xword 14
.xword 8
.xword 4
.xword 7
.xword 5
.xword 12
.xword 12
.xword 7
.xword 13
.xword 6
.xword 15
.xword 10
.xword 1
.xword 6
.xword 2
.xword 15
.xword 10
.xword 6
.xword 15
.xword 5
.xword 13
.xword 7
.xword 15
.xword 2
.xword 0
.xword 10
.xword 14
.xword 6
.xword 1
.xword 12
.xword 0
.xword 12
_t1_crc_src:
.xword 0xb6188fa97f7128c3
.xword 0x63a3248e0a5efccb
.xword 0xc48b8276ff47b701
.xword 0x27a9084baacfb87b
.xword 0x97dc57bfc20aaa10
.xword 0x29d45440362c89fb
.xword 0x7483dc0083935a0f
.xword 0xd6ce22dfbddad3f9
.xword 0xbe829e489c60d65c
.xword 0x0b095dc66f470e84
.xword 0x5e604a3ee919b1c8
.xword 0xaf94ce8e000fbbdc
.xword 0x2bf9d7d38a86fc05
.xword 0x40c86d95a6d93f42
.xword 0x59c7e145b9c0db98
.xword 0x89bc7f530304d3c7
.xword 0x945592986dd254e9
.xword 0x8cba10014c6bee81
.xword 0x00b7cd9745d15ab6
.xword 0xceb5041ae5094fbf
.xword 0x9965d7be5ab5662f
.xword 0x1fa87fd8972c33a4
.xword 0x38ace50f06c24b21
.xword 0x0e24e49414b9bc98
.xword 0x6f28eecb2b8e0a8b
.xword 0x2cdccaeb28865c67
.xword 0x9cf9b5b0fb92e84d
.xword 0x8348766899d5ce70
.xword 0x6d1b55a6095dfa8b
.xword 0xf73c351a41af37d6
.xword 0xd6150ae3fba1b189
.xword 0xf4d2b13c8615273e
.xword 0x02ea0eac1ef4ab36
.xword 0x4d82b92d818f2c8f
.xword 0xd72908af80e0a84f
.xword 0x15a68a6ddbc45c6e
.xword 0x75d35bccc9da3aaa
.xword 0x85fb14b3eb209298
.xword 0x6058b0ea579444c0
.xword 0xa606dd2ea30f2c1f
.xword 0x8e0774a89e495f44
.xword 0xf76874d35aaef618
.xword 0xbaf1e636218b65d4
.xword 0x2cd1d64ec9f2fcc9
.xword 0x151ff547e7d9119c
.xword 0x2cf96e4230caf1d1
.xword 0x8413202505fa679a
.xword 0x79dfc59750a16e9b
.xword 0xcebe9b6c61419a7f
.xword 0x5943a34499104cee
.xword 0xaf2cb9871c78add9
.xword 0xd0e09bc126f5f5cd
.xword 0x0c177a94e1fa5b51
.xword 0xd3e67d004e8281d8
.xword 0x5983dcd273ca23b1
.xword 0xa6f0511efc8290c3
.xword 0x9217b293f5c73ac6
.xword 0xa9b3cc8b67abd72e
.xword 0x859ca1ef3b264694
.xword 0xd10d63095b0a4920
.xword 0x4897f5f7e92695eb
.xword 0x9da75b3c48c1bc9f
.xword 0x43b818d5259d94f5
.xword 0xf418244624aaa596
.xword 0x1f712f489006206f
.xword 0x0a31a640685235ba
.xword 0x6eadc8a8fcfed970
.xword 0xcbf463f68cbf415c
.xword 0x68c3f67ae4d43200
.xword 0x9c6f4d744830634f
.xword 0x8d37d56603cb5c93
.xword 0x1abb2c284d280505
.xword 0x9834f593363551d1
.xword 0xec3ae7acf02ff614
.xword 0xc74a2bda224bdc74
.xword 0x86252df91ec158b6
.xword 0x09fe6c4cf025d2c8
.xword 0xa2a51ca170e5bba1
.xword 0xc051a604a96123aa
.xword 0x84d135d6d7fb8f2a
.xword 0x0399f5fdf52cc5d8
.xword 0x27b868de03e9ed95
.xword 0xe0bf65281738a7f6
.xword 0xd07dfa864b018a24
.xword 0x3746028c6e00dbf1
.xword 0xb9114d531920c759
.xword 0x17db8ce5b6494d1f
.xword 0x0cd7d28e77d90c63
.xword 0x58ef9b7a4ae4799c
.xword 0xe1341635260d17d2
.xword 0xcf3daecafbc78a9e
.xword 0x73c1d7f607e0414c
.xword 0xf7b6598ed1b2202b
.xword 0x0e96cd406878108a
.xword 0x1ee116feb5184a71
.xword 0x9cacd160618ae891
.xword 0xb223de937f0363f6
.xword 0x70fcd8692e840c5e
.xword 0x6cee3315025cbaf4
.xword 0xc222fbdd7af9e1a2
.xword 0x8bb0627df6b3fca1
.xword 0xf5699677f44082d8
.xword 0xff1845c586ddeeaf
.xword 0x087fa7ef7e449a75
.xword 0x6e2595d0744db936
.xword 0x47f68a4af1a794b2
.xword 0x2effe71f24038f94
.xword 0x258fc8ff87ff8af0
.xword 0xebe00a8aa9103661
.xword 0x57f19346d08b6076
.xword 0x158d08c6219badcd
.xword 0x63941efa841f3e78
.xword 0x10613aa75bf1e363
.xword 0xaacac4f36c93e959
.xword 0x3b5b4142d9dc3318
.xword 0x145b7621a4d52ddf
.xword 0x13dfae5fad0374d9
.xword 0xbebba061db370688
.xword 0xc165b11830ef9bc8
.xword 0xd8e4858f255bdb31
.xword 0x9e50b6c3e8be2502
.xword 0xfbc01f05bb0d9c37
.xword 0x3df4d395e9d489e6
.xword 0x1a319bf1a3efc46b
.xword 0x1738d59d9371b277
.xword 0x5fe1478a9879a148
.xword 0xe2cb9d9823fe461b
.xword 0x8f0611726df6c9d8
.xword 0x2f443f65b360ad60
.xword 0x03eaaf7c1bce4710
.xword 0x35f8853b7191cdfa
.xword 0xd95740799f5e91e8
.xword 0x719bc3ae3cb0fe47
.xword 0x663760f45001c273
.xword 0x57e8326d49978af3
.xword 0x495be3517d2376be
.xword 0x7b376fc142026b67
.xword 0x0cec2f4659301475
.xword 0x0c9ea09891b5dc7e
.xword 0x60572dd375df723c
.xword 0x7cf22e4cf0ab6726
.xword 0x5d9408e01216f171
.xword 0x36e57398983f1274
.xword 0x2feada50b2edba6a
.xword 0x4990f74efb814821
.xword 0x203a50e08078bdc3
.xword 0xdc11a291c1f4909d
.xword 0x3fa064ce814c1614
.xword 0x01f481991a81167e
.xword 0x8a3832fe4e624c63
.xword 0x28ddf1137097f797
.xword 0xcc399c68751aa704
.xword 0xbbe558a8a46edd00
.xword 0x5d307d316bd19da0
.xword 0xdf888444d7fd1a0d
.xword 0x9fa72d05543a9ed0
.xword 0x57026999b23d5c47
.xword 0xfb6e521e67d86b26
.xword 0xdc8dec4b3c6b86cf
.xword 0x06285aa7580f602a
.xword 0xbc2d89a1b1983d1d
.xword 0x8f108091ccccca55
.xword 0xde365c40250e8c13
.xword 0xbbc952b4465e021d
.xword 0xa33c00147e500ceb
.xword 0xb505429ba23034fb
.xword 0xbf0b2da315fc8b3e
.xword 0xe133b3b509cf0b60
.xword 0x647ec19ff2ed2426
.xword 0x57202383e197121c
.xword 0x07b8d767d705070a
.xword 0xcad8a45e42809fd3
.xword 0x9ed18cf2ae09f7c7
.xword 0xac69d2aa5e392a53
.xword 0x53f1fe4f5d1ff0dd
.xword 0x2e3d9ae5fdd16c4c
.xword 0x549ed2852860391c
.xword 0x5951527bc1c2cc29
.xword 0x7645e7160c0e8df8
.xword 0x799c60b7c94218ee
.xword 0x3713400c1904fb2e
.xword 0xb3eb86847bd9cabf
.xword 0x1c246364d385650f
.xword 0x0064ecf02339c96d
.xword 0xfe221ffee5632b51
.xword 0x5cbc15d7587c8d69
.xword 0xf0090616de3c7fe9
.xword 0xde39003009e41e87
.xword 0x772a4e04fba52108
.xword 0x70b8bdc8ba4f9cd3
.xword 0x8d2a095317f7489f
.xword 0xa569ce33904e7b22
.xword 0x21489b0d4c7c87b8
.xword 0x50ba143bb277028b
.xword 0x8e67678393514fb6
.xword 0x623b5c2797b3f266
.xword 0x654788681b0dc877
.xword 0xda1b43e2990f95e2
.xword 0xe8dd0af0b7b33359
.xword 0xf89d009d4a1ea41f
.xword 0xaa75bec888269aca
.xword 0xc63cf2f17adfe7e2
.xword 0xbb8754e1752c7578
.xword 0x74893a3f91453434
.xword 0xf6bc694917a81173
.xword 0x98b92badeb23baa2
.xword 0x01c802a1195e7fbf
.xword 0x0b46a92acbb6dd02
.xword 0x3a7d09429a8ebb06
.xword 0x5299a8fb056f3019
.xword 0x863d9c3866adff7a
.xword 0x20d498a7742d07e2
.xword 0x30d11e1a4d4ec5c0
.xword 0x090a5e436ff8e038
.xword 0x2e1983694138c8c8
.xword 0xf3e92c360e842b7f
.xword 0xa2358f6a5c7e48d3
.xword 0x5c201d163b76f66c
.xword 0x1ee09784f1e74e27
.xword 0x3fb2c45bcc640c19
.xword 0xbe491f01f49686d3
.xword 0x1b292d6d5b3134b4
.xword 0x2c14a91177e1f9f2
.xword 0xf3bf22abec4b61de
.xword 0x9edf55e7c4152442
.xword 0x1289e1541986657f
.xword 0xd182dffac4884cd1
.xword 0x06ab1dd6ea7bf361
.xword 0xb8c397a34a8a91d7
.xword 0x548975c880232cee
.xword 0xc72a3f307888d1e0
.xword 0xa339124bd3df36f5
.xword 0xef7253209aa5238a
.xword 0xa84a62614d68423a
.xword 0x8432cbcd91661e88
.xword 0x26517fb6c6d0e01b
.xword 0x9ca62a7548270490
.xword 0x52b6335566d2e5b2
.xword 0x77ec275b08368558
.xword 0x1538995925a92185
.xword 0x3c684ae019299e9c
.xword 0x7b4cbdd23b7f718e
.xword 0x766c3f6886ae65ac
.xword 0x3f523648c2831127
.xword 0x2020f4b215024033
.xword 0xedb68475cb54f7e9
.xword 0x7351ba84a8b778ca
.xword 0xb525c01dc4747c35
.xword 0x08dd82d09034a1fe
.xword 0x78ba5f6a1226fbc5
.xword 0xa235144ce8b8a046
.xword 0x0cbf3a0d06fedf8f
.xword 0x8fc69c40c3025e61
.xword 0xea75e0f566eeb4eb
.xword 0xde52b31dfb536edd
.xword 0x50fb5a503ae884ef
.xword 0xfed52a0d2f128cbe
.xword 0x7d33d6d0ef16443a
.xword 0x5ef202f1eb0ca404
.xword 0x72753f0666453247
.xword 0xfc5f7549ce683fd6
.xword 0x3491c36ed7682708
.xword 0xac9cd969eef32f4e
.xword 0xbef8e9385223abe7
.xword 0x9e3c233cf2587ba1
.xword 0xc61155a6d4816911
.xword 0x95eb79761347b90b
.xword 0x16210dc83cfc3973
.xword 0x023dab79b1ac0edc
.xword 0x985cd696ec73bdc2
.xword 0x37c1ccdbe354fda1
.xword 0x5f71bb087bfb1adc
.xword 0xddcfe2702436806e
.xword 0xf94226ef9c76e592
.xword 0x0b255e9beaf423ac
_t1_crc_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_auth_key:
.xword 0x651af76ea432cb69
.xword 0xf4c4386144a686fb
.xword 0xd61051f92390857a
.xword 0x05dc2a7dce97ec5d
.xword 0x441feeccf614ab68
.xword 0x6f3a6130cc3828ca
.xword 0x86db6113481cfc90
.xword 0x52b2beb771f4eaad
.xword 0x238d090fbcd6d5ee
.xword 0xdc1198c898b37331
.xword 0xd701b34e0fd6a30e
.xword 0xa1fce39e75c4ccc3
.xword 0x62d83f7c15db7899
.xword 0x83115b9723f9fb13
.xword 0x34f0acc4a0be6eda
.xword 0xc588b4f856efd10f
.xword 0x3617d029b57fa412
.xword 0x09cdd48eefde89c8
.xword 0xbb5507e52f35934a
.xword 0x0f6d15cab5def1e5
.xword 0x6f323c0ffc6f5e7c
.xword 0x01783233f264e65c
.xword 0x4629889a665c042f
_t1_crc_auth_iv:
.xword 0x1af3014155916013
.xword 0xfb9e69718963b59b
.xword 0xdc82cccd80f69905
.xword 0x16129399614c244f
.xword 0x1edef156fbbd5664
.xword 0x5e62badecf884172
.xword 0xd443d0b796ec1d52
.xword 0x6f0c491a9f98b6d5
.xword 0x15437a2a5e6cff47
.xword 0x0094b8a9ba67b7b0
.xword 0xaeca7a1e28ee73be
.xword 0x6fcdb03e52e54ded
.xword 0xb33eb592d229efba
.xword 0x52ebfee7fe66971c
.xword 0x2f38e605d8b93cc4
.xword 0x7358f53439d5ceff
.xword 0x3635b9dbdc0addac
.xword 0x233a412f2b90ebd0
.xword 0x0cbabcaa1d2b4600
.xword 0x8da7e312585f6643
.xword 0xababf4f83055149e
.xword 0x6d5bc8ae8fcc30e1
.xword 0x7f3030f98060b60e
_t1_crc_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_key_array:
.xword 0x102fd00032a5fba6
.xword 0xf8081792531f1c93
.xword 0x186ef1c6761f2c9e
.xword 0x1c9cbc113b1698f9
.xword 0x42b393cbda09e495
.xword 0x239cd0ffd6e8c035
.xword 0x1ab2086f459f0ae9
.xword 0x50ce1c44f67c0ae5
.xword 0x90e2b85b93dd06e7
.xword 0x58628e517f07c846
.xword 0x0d068e1528099644
.xword 0xd975a7fb2b724047
.xword 0x65d825eb0bb0b616
.xword 0x5ccf42cfa07a0c98
.xword 0xbbb8b063c8279c8a
.xword 0x72cc3c23d9c25188
.xword 0x19e8b9d0273dbd22
.xword 0xcde17f8c59df7e10
.xword 0x4579d8ee03dbfcac
.xword 0x27ff9bf4c6cca28d
.xword 0xdc72699dca4614a1
.xword 0x23535cc5edb60e12
.xword 0x6782e6d7a27f41d2
.xword 0xcfc9a03f7471bf78
.xword 0x7f606113aa077933
.xword 0xf3e02145d71429d1
.xword 0x9841301e28248f41
.xword 0xd267843540b4d217
.xword 0x086f1227c41a88cf
.xword 0x58a87c8fa110676c
.xword 0x0cdfeb33de76258b
.xword 0x7f562327ab9808f7
.xword 0x09ab945a67245d88
.xword 0xad7d9cd7ab7a9e04
.xword 0xa3e394f6ec5321e7
.xword 0x669a6ac4058ef94a
.xword 0x95d3b8deba0cbbbb
.xword 0xe57156d572a2f16a
.xword 0xfd2e5d78d7cc0a94
.xword 0x80682bca70609555
.xword 0x7a84fdb98c742e0a
.xword 0xc6d3de36f1c92695
.xword 0x03194d46afb9818a
.xword 0x0458afd6c8dc6e6e
.xword 0x017e4bc285e8b8d0
.xword 0x615d4d49454fe045
.xword 0x3d6fc00b558661c6
.xword 0x60d90b3d2207e860
.xword 0xaf28967e5e2f3062
.xword 0x8efde9858b5d9228
.xword 0xffb037ce584e0885
_t1_hash_iv_array:
.xword 0xf219923c70c6d027
.xword 0xf8131047887b0d51
.xword 0x7fc2c8ae0490ea0a
.xword 0xc3c59232fc320d31
.xword 0xbaa71e9f0bdabf32
.xword 0x9011b1642f8232ee
.xword 0x0257e1bb87aaf197
.xword 0xc7b678041d712b31
.xword 0x5cc3f0bb30bc8ac3
.xword 0xbc3ca0db85915291
.xword 0xa2b082746d053c99
.xword 0x5904bfbd892389c4
.xword 0x7840ea5ea44b560e
.xword 0xcc3df57e60d7a944
.xword 0x717749995d1596a3
.xword 0x2ca74e3a4b7c404a
.xword 0xa55824cb21c32d75
.xword 0x4d1909a8e8d85cf4
.xword 0x2336e65d2e0e2149
.xword 0xde5c7eb25676d5e8
.xword 0xbc0ac70c7e4f1427
.xword 0x8c0e436ca098c40d
.xword 0xfd8fcf12d76fbbcb
.xword 0x7adeeb13e10bb035
.xword 0x3f079b2e2ec215cd
.xword 0xc4b5da4b364e3cb6
.xword 0xb23edaeaf1e96d47
.xword 0xa0218f80c3131515
.xword 0xa4fe29d9fc488346
.xword 0x9df567e1bcaa565d
.xword 0x7c1207ba7f6ade8a
.xword 0x57195044de59936d
.xword 0x79f5e35dcf8dc520
.xword 0x64daaab6cfb95ac5
.xword 0x89e6a2ad4959de63
.xword 0x2d053d026bac3cda
.xword 0x0734d2be6275cb69
.xword 0x6ae7f2e075239573
.xword 0xc4a20d77334a758f
.xword 0x599c0996b332a22b
.xword 0xc53a58ba6ef07962
.xword 0x5d4c7b0b488186aa
.xword 0x1c4dfec9ebcf5aa4
.xword 0xbf4c28f78a509a57
.xword 0x439f5102f5ac19fd
_t1_hash_alignment_array:
.xword 11
.xword 10
.xword 0
.xword 1
.xword 7
.xword 7
.xword 14
.xword 1
.xword 9
.xword 2
.xword 3
.xword 4
.xword 15
.xword 5
.xword 5
.xword 9
.xword 3
.xword 13
.xword 2
.xword 8
.xword 15
.xword 1
.xword 14
.xword 10
.xword 8
.xword 6
.xword 6
.xword 5
.xword 5
.xword 7
.xword 0
.xword 9
.xword 13
.xword 10
.xword 3
.xword 14
.xword 4
.xword 15
.xword 0
.xword 15
.xword 14
.xword 1
.xword 14
.xword 1
.xword 6
.xword 11
.xword 3
.xword 7
.xword 9
.xword 3
.xword 2
.xword 12
.xword 3
.xword 0
.xword 8
.xword 15
.xword 10
.xword 9
.xword 3
.xword 8
.xword 1
.xword 7
.xword 15
.xword 5
.xword 12
.xword 14
.xword 3
.xword 3
.xword 0
.xword 15
.xword 7
.xword 7
.xword 5
.xword 8
.xword 10
.xword 10
.xword 3
.xword 6
.xword 10
.xword 1
.xword 4
.xword 5
.xword 5
.xword 2
.xword 8
.xword 7
.xword 14
.xword 15
.xword 3
.xword 10
.xword 5
.xword 14
.xword 15
.xword 0
.xword 9
.xword 7
.xword 13
.xword 0
.xword 3
.xword 7
.xword 10
.xword 2
.xword 7
.xword 6
.xword 14
_t1_hash_src:
.xword 0xc3a60bb1cf5ed447
.xword 0x5482d6f974f79807
.xword 0x9e00474e15e1017c
.xword 0x9c5165edc6e4da10
.xword 0x81eab8af4f99c8b9
.xword 0x45a69973a63f6a7a
.xword 0xa9ec8ddeceb52a36
.xword 0x0eeacb2e67706139
.xword 0xf4e7a87caed980c0
.xword 0xa30e9b1a9b003058
.xword 0x233c016d65b50a65
.xword 0xb1a8dbdca9ba2218
.xword 0x9fa61dd1b374a5d7
.xword 0x0233d13833aec867
.xword 0x7d5cbe77dc21c37e
.xword 0xfdb4ca3aef9a178b
.xword 0x87703d4ea639ade2
.xword 0x0550d096ce21887f
.xword 0x6ee22351a98aa81f
.xword 0xe8e9143a2c9d4397
.xword 0xf1ab6c4c0eee7b60
.xword 0xcb0881bf121996d0
.xword 0xa70307d9e2390ec8
.xword 0x77fbe74074048727
.xword 0x3ffbaf3194f3bb6e
.xword 0x01468fc91a6d0ae4
.xword 0x196a2df9ceeb7484
.xword 0x89f3d95fcb19fd39
.xword 0x776cd76973ef6706
.xword 0x2fc4d7144cbbf02a
.xword 0x36aa7c1ed150ac62
.xword 0xd5838e6a0fa5685d
.xword 0xc36d090ff11114cf
.xword 0xd6bc9edb68806992
.xword 0xfb87d4400323d4e4
.xword 0x5439c9df7ed71226
.xword 0xf5d72349c228ed41
.xword 0x9a4715d92e6a55a5
.xword 0x42393fb1603e0a47
.xword 0x45e5c0171b26d78a
.xword 0xf6e703a824741b9e
.xword 0x76e663dd0d8cea12
.xword 0x60e08120908a9e2d
.xword 0x1e27597703d6a2f3
.xword 0x74f7970a2f39ba00
.xword 0x7fd2c5e42dc401ad
.xword 0x61e530815be03070
.xword 0x0a7d55e4e3fb1241
.xword 0x3ba9fae60a1587f1
.xword 0x6cfec763e7fa29db
.xword 0x959a23193dde8ad9
.xword 0xc07005f90e5c93b8
.xword 0xbfe13da7338948a8
.xword 0x5954baaadcf527d4
.xword 0x3cfc79247dcd84f5
.xword 0xa762be206cd26b8a
.xword 0x5d66d06f0315e15b
.xword 0xc6ea70c1f1f39809
.xword 0xc9d248d0fb6dbabe
.xword 0x121790bce671c247
.xword 0x16c0d4ab56854a01
.xword 0xcf7c2903fbf14f3c
.xword 0xc5ffa291cc219b34
.xword 0x91975c66e3315141
.xword 0x40f83f334f96fc3e
.xword 0x7bbb52349c902c9a
.xword 0xef7811449c280326
.xword 0x95dbe078eaf20901
.xword 0x069f1ada737150ec
.xword 0x5b7f407f984d58ac
.xword 0xa097247af03048e4
.xword 0xd53c3b3dca97ddae
.xword 0x7e13884bd4c1ec94
.xword 0x10c6219ff311f237
.xword 0xeaee8dc4f39b532f
.xword 0x7c3aee0d85da0886
.xword 0x1ad29ddcb701d9f4
.xword 0x6d53d1607e7535b2
.xword 0x4e7653fadda6206d
.xword 0x2de8c95645be12e3
.xword 0x54b7886c8ced54d8
.xword 0x27c95385c47e2efe
.xword 0xe607ce5420d4da6d
.xword 0x304498b3ae2becf8
.xword 0x5f3c2ecdf65aab5e
.xword 0xb5757b843d79f644
.xword 0x79e7a772377e39fa
.xword 0x4e42110c0ce6773d
.xword 0xb033848bdcb33473
.xword 0xdbb541d5f837777a
.xword 0x06e5c60c0a505074
.xword 0x6dd435d0d2891381
.xword 0xbf74e44dbd2efe21
.xword 0x0fbe7b2e3f5f8773
.xword 0x3f39d5093956e8d7
.xword 0xeb8698fc432ce79f
.xword 0xe3729151f469de4b
.xword 0x14215d0f0351e790
.xword 0xc8a0b65862e25618
.xword 0x782794802cb41688
.xword 0xc675b2b41876c113
.xword 0x961d39e8a4881a53
.xword 0xdbf1af81878dbc59
.xword 0x772bc09196db1967
.xword 0x43c90692582fb8ae
.xword 0x64a323c179991bfe
.xword 0x8d5501be28995c46
.xword 0x0bc4b08767fbf2c7
.xword 0x965eed1df2e25693
.xword 0xb88d9ab8dcfaac24
.xword 0x914a9e932d67c1cc
.xword 0xc310acee0dae5faf
.xword 0x5f459e4efcc45575
.xword 0x13405c732c370c1e
.xword 0xfcad2b02cfea45e3
.xword 0x780c7c7a70464240
.xword 0x5ee8e596a9b15dc2
.xword 0x837e7fac5185f03b
.xword 0x6305367d428b7a29
.xword 0xabbe9192950e7498
.xword 0x3ec6715a08edd3b2
.xword 0xf7f5c63d4e1c3a27
.xword 0xa82564c317e8e3ff
.xword 0x40c9091e817f0ecd
.xword 0x5c8e7a678676d85d
.xword 0x7c45c4806f73ac25
.xword 0xc6a2a4f0aa442d5f
.xword 0x5a137929e1b6a9f5
.xword 0xcd1514845f67c652
.xword 0xd08c17e46d2e9201
.xword 0x3f697d0234a6b086
.xword 0xa3c1b95978e9e4fd
.xword 0xddfc2c4a222deaa3
.xword 0xb66601a2247a272e
.xword 0xdf8233b2b69e1a0c
.xword 0x004581f5266d56fd
.xword 0xe855ca8af8746a71
.xword 0x5f0482bb6b95faa6
.xword 0xf200a619420f8b75
.xword 0x9f3bfa852c68d61e
.xword 0xf72c289e0b60aea0
.xword 0x1f4fa89524b00f93
.xword 0xb76c65cd7856c358
.xword 0x26e6c347bf33471a
.xword 0xdf0188323970eae0
.xword 0x3e4972cc184d1790
.xword 0xb0c57d3c4a7abba5
.xword 0x6c09a973fd8d4083
.xword 0xb7cec9c41a173b78
.xword 0x3f379feb561d7e42
.xword 0x0c82afe1f2b35ed0
.xword 0xfea0efa3639ea104
.xword 0x4468021909ae6666
.xword 0xdacb6d4f788cb74b
.xword 0x0057d2cfb98c5460
.xword 0xd293f180dac6dcda
.xword 0xe5ee05b247654102
.xword 0xebec6461c679ded9
.xword 0xc94201e90204cd56
.xword 0x38dcd2b1fae299da
.xword 0x90c45958fd1b448f
.xword 0xe2e71df62e9a14f1
.xword 0xae6a01ac7431e614
.xword 0x8cb3bd3974c05574
.xword 0x9684b2042ecabc90
.xword 0x0258a13c18aa7627
.xword 0x0fedcdbc7e296f97
.xword 0x2e4ca74a7ffb99f8
.xword 0x993bf3cb2c840347
.xword 0x76d8aa9e84fa14ee
.xword 0x8d7c4036955737c2
.xword 0xc1468ba4ed043354
.xword 0x2d5d0a847c46005b
.xword 0x9d72d7d076abd153
.xword 0xb0648910c6413843
.xword 0x753d590792560e7c
.xword 0xc9367aa7f9d8f387
.xword 0x49ec5b9f1362c3cd
.xword 0x5c55c4b701f27e6c
.xword 0x199d545cef24cdeb
.xword 0x8cba5922b8ede9bd
.xword 0x0a9b95bcb9362212
.xword 0xf8dbac209a22e2e2
.xword 0x40f7a0cf12b73cd0
.xword 0x661aac9a29cef12a
.xword 0x5ad1589be3cc78d7
.xword 0xae9cfb66c581e58d
.xword 0xd66a6196f67cf29a
.xword 0x92c218e8fcc1f8a8
.xword 0xcf8a71c2753c1edf
.xword 0x931c876a140e4a96
.xword 0xd0e84877698ac837
.xword 0xec0d9ab3da988b1c
.xword 0x56b8a05b7cf2469a
.xword 0x17906982997d2cc4
.xword 0xc0b180b86d5452b9
.xword 0x9c82de2e03f1057d
.xword 0x5848ec4381c8bdb7
.xword 0x06dfad1e0822d21f
.xword 0xb9741c808256db63
.xword 0x3aa1d165764591d7
.xword 0xdda472e2dd97e556
.xword 0x3e40801a7277cc5d
.xword 0xbce25f541bd1d39c
.xword 0xcc24a9f7d13c2519
.xword 0x936052341b0375ea
.xword 0xff83e33220729d2e
.xword 0x11203aee52673ac8
.xword 0xd5ca2ac60f8c34ba
.xword 0x94725078d5542bc8
.xword 0x16f0645cdff28074
.xword 0xfe0d5d713766e63f
.xword 0xa5dfe574177e4f52
.xword 0x9337383466ae5fb1
.xword 0x6aa49c1bd11618ea
.xword 0x0aebfa8c367acf5f
.xword 0x6b633e9b7b8665a1
.xword 0xc29bcc1cd141f165
.xword 0x688c0eeaa1d86aaa
.xword 0x5abeb28bfeb562be
.xword 0x91a8c4d3d53a87c0
.xword 0xbd8ca8efc31bada3
.xword 0x72175205a54a634b
.xword 0x8ad37222f03d2f1e
.xword 0xc022d6c30032589d
.xword 0xbcdd1180d99de9c2
.xword 0x5754de9e11d444d7
.xword 0x73f62d4652458cf5
.xword 0x5d275be97af18d80
.xword 0x3fed7a3873ae4a8f
.xword 0x0cd1f394f339f35f
.xword 0xde384c3f45fab18b
.xword 0x14fa8e4c3227f01a
.xword 0xae87aa5073749a62
.xword 0xfc33c98dab9358de
.xword 0x8faeebff7405d163
.xword 0x355b96219028e295
.xword 0x727eb34a657bbaec
.xword 0xcff6897ec02552fb
.xword 0x5b358653bb54caab
.xword 0xb4b7dbc3ac1b1ce5
.xword 0xbc11df1993a97dd4
.xword 0x6e01888b17ee0f68
.xword 0xe67e7d90b1b32f0a
.xword 0xdf5dd4dda34a0fb7
.xword 0x6d97f923cc530075
.xword 0x69c011c36a55a317
.xword 0x61e8dd47203d9e38
.xword 0x9783e662b8f70c7d
.xword 0x0643c591c87311fd
.xword 0xc6ff44abb8b7391f
.xword 0xf4c39213af23615c
.xword 0x6582a8bfb60fcfdb
.xword 0x30202e3ad6cb144d
.xword 0x253a441a0ea93dd3
.xword 0x10366ab041aa6a71
.xword 0x14ca5d0e75776ff8
.xword 0x1c5a2a1b3d35ff4b
.xword 0x601cf73a8a74593b
.xword 0x07e05852f8c17b01
.xword 0x2f524228537a8bac
.xword 0x7f011a9975807f9a
.xword 0xa1ab858d69b7de0f
.xword 0xdff8ed240fadfa02
.xword 0x6d999cf864a6fdc9
.xword 0x9d70cbb6b8a55d7c
.xword 0xb08198c787b928c6
.xword 0xaee450c1ca016301
.xword 0x95f85f14cfbbee42
.xword 0xb72abc8c7f9fad85
.xword 0x89dd24bb654d4d0d
.xword 0xca605bbb95c50ef1
.xword 0x7d7becbbb8d63e26
.xword 0x7bf7eb67e346136c
.xword 0x4f37d8ccfc3ec570
_t1_hash_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_auth_key:
.xword 0xa21d52a682883819
.xword 0x0aeb24e4a74752dc
.xword 0x8cce439f3c4f355e
.xword 0x35d80a8b53d2a6ac
.xword 0x837862f3ca93efcd
.xword 0xf4c07cfd37eb47e7
.xword 0x914a7988f10d61fb
.xword 0x019aa7b985d7d26b
.xword 0x49a38188d15da68f
.xword 0xca31f2cf99f6b1c9
.xword 0x0ba275ebe62ce0f4
.xword 0x56a8e8a07893eeba
.xword 0x9d97790cd9f6f0cb
.xword 0x1cef7bcee2de8299
.xword 0x6e3b0a24c617d1b7
.xword 0x77df993ddcb2665a
.xword 0xdf9b4a1edff1f870
.xword 0x893b226a6c465cc2
.xword 0xa58354585c5d62d7
.xword 0xe5fe48f1816b1115
.xword 0xc9c081286f04005a
.xword 0x6a29892234e7bc60
.xword 0x83c764efc26977e8
_t1_hash_auth_iv:
.xword 0x7c42447997417e8f
.xword 0x0bb63fe460f82364
.xword 0xe05550b1a8124e2c
.xword 0xfc36d8b371fb6e2f
.xword 0x10bf174c3797e22f
.xword 0x80ee96f51def6493
.xword 0x24e11fec151f5c73
.xword 0x6ca83aa51d70d7eb
.xword 0xef752e5580c30db0
.xword 0xd914046b672c5a35
.xword 0x207a03c350a21420
.xword 0xffb309e23448fae4
.xword 0xd90be625495f45ff
.xword 0xfcc6797bf4e06d7a
.xword 0xda2bfb4e762f7066
.xword 0x121c94b0bf6bd0c8
.xword 0x0a79d35cdf364690
.xword 0x5c98bfcd6bed9a86
.xword 0x613fe7303fc3dc9f
.xword 0x0b3a52fd8225c22c
.xword 0x57c2ff69d48162b3
.xword 0x3bcf12f75c62cb0c
.xword 0x5d33140b8493ea82
_t1_hash_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_key_array:
.xword 0x070b00a37c23b3ea
.xword 0xc62c9745c0311ef8
.xword 0xaa0772975d97cca6
.xword 0x3bd92ba89674aed7
.xword 0x32cdc9ffb666a994
.xword 0x29977d9b1179c63f
.xword 0x4f50256d854d4da4
.xword 0x38f691a82496844f
.xword 0x4dff4e50fe20e089
.xword 0xcf745fe6451e27e1
.xword 0xf37420463e0b706c
.xword 0xba51859892b18b92
.xword 0x1397a6168e535545
.xword 0x332f050ae8f31222
.xword 0x82119c30e528a4f8
.xword 0xf486df1f982b36dc
.xword 0x526ab70c73b5b556
.xword 0x74b01b107ddb2c47
.xword 0xa2823dea6d452904
.xword 0xa9883796171df7f6
.xword 0xb516450898e5263b
.xword 0x621e42ba0e1c7674
.xword 0x336cab696e0f9815
.xword 0x450f2a904c53d25d
.xword 0x21a2afa20a35d214
.xword 0xb89dca021b045f2a
.xword 0xa6ca2db0852d6bfb
.xword 0xe948607d99847568
.xword 0xa0730d3e0890bbfc
.xword 0xd129049a56f46ee0
.xword 0x1efe9eef1e92cd67
.xword 0xa6f20deffa8569d8
.xword 0xab960de0e9da4c58
.xword 0xf68662edee66d631
.xword 0x127f1e0696415e89
.xword 0xb7d0711e2b946a9f
.xword 0x42a77cfa80699810
.xword 0x291355645dab54a5
.xword 0x6cc75891bc29c1ec
.xword 0xb93f7ff46c6cdb0b
.xword 0x45df11f5130f1737
.xword 0x3c1fe258df7be72a
.xword 0x09e05c726065416a
.xword 0xa387fb2bdd226ddf
.xword 0xbcdf9c2fe4a92ffe
.xword 0x8d78944a1a49e069
.xword 0xeaff2b4bcb1f69cc
.xword 0x0ce67c07d34cf1c0
.xword 0x64cf16987178bfeb
.xword 0x3dda52fc8ea5c590
.xword 0x9c7709a4c83febe7
_t1_hmac_iv_array:
.xword 0x27f57efc4f38f790
.xword 0x292281356c20ccf6
.xword 0x0dcf102ba8f370db
.xword 0xf77b114e0a51bc22
.xword 0x8e848f73c2001621
.xword 0x153219f5143029d5
.xword 0xc64687991b37f912
.xword 0xe97a78fc19458fe1
.xword 0x10fe022e2b072830
.xword 0x1624fa4bebf3a9a0
.xword 0x0c8dfe8ae5f59fc9
.xword 0x6da555a3affdf3ed
.xword 0x97501f64a6f209eb
.xword 0x1f5cf6eb1be9a983
.xword 0x44307dac1bd067e9
.xword 0x9e0a04514e59d709
.xword 0x1918e9e80d09c627
.xword 0x6c2fd7f60e06ef55
.xword 0x09df099f01e98e2c
.xword 0xa121094b3df3b808
.xword 0x7215134f938cf0c8
.xword 0x08451cef4f7bfcf4
.xword 0xff589b732dd1588c
.xword 0x7be811654e85050b
.xword 0x4dff5f15a85028db
.xword 0x891e3e2499e96c2c
.xword 0x901ebd30e22c1f20
.xword 0x8d6071b8f00fab13
.xword 0x836e99cc4c576149
.xword 0x076315cfdbb0da7d
.xword 0x5ca154c432f75d35
.xword 0xb65bc9ded40b4964
.xword 0xffd1a557f75a4834
.xword 0x97c7e552e679dc8c
.xword 0xaf7d85fbd87aaef4
.xword 0x7778b7027a7b469d
.xword 0x35f875e3082137b2
.xword 0x8de3453991161302
.xword 0xce40c3ee73d70f21
.xword 0x3f6ff59460d90adc
.xword 0x5bf05b0101385d25
.xword 0x03ec5855c55e13d9
.xword 0xa199c807f039dd1b
.xword 0x287f4e6bcb757553
.xword 0x88586a82d1a72b55
_t1_hmac_alignment_array:
.xword 11
.xword 8
.xword 8
.xword 6
.xword 6
.xword 9
.xword 12
.xword 8
.xword 1
.xword 13
.xword 9
.xword 13
.xword 6
.xword 11
.xword 9
.xword 9
.xword 14
.xword 11
.xword 6
.xword 2
.xword 15
.xword 3
.xword 5
.xword 4
.xword 5
.xword 12
.xword 1
.xword 0
.xword 9
.xword 13
.xword 3
.xword 0
.xword 11
.xword 13
.xword 7
.xword 12
.xword 5
.xword 11
.xword 4
.xword 12
.xword 13
.xword 11
.xword 9
.xword 0
.xword 12
.xword 1
.xword 11
.xword 4
.xword 13
.xword 4
.xword 2
.xword 7
.xword 14
.xword 4
.xword 8
.xword 14
.xword 2
.xword 11
.xword 4
.xword 12
.xword 0
.xword 14
.xword 1
.xword 12
.xword 2
.xword 12
.xword 4
.xword 9
.xword 6
.xword 4
.xword 2
.xword 2
.xword 10
.xword 3
.xword 5
.xword 5
.xword 15
.xword 5
.xword 4
.xword 15
.xword 6
.xword 12
.xword 8
.xword 9
.xword 3
.xword 8
.xword 0
.xword 6
.xword 1
.xword 5
.xword 1
.xword 10
.xword 15
.xword 14
.xword 7
.xword 7
.xword 12
.xword 4
.xword 1
.xword 6
.xword 5
.xword 4
.xword 13
.xword 14
.xword 6
_t1_hmac_src:
.xword 0xf76037d41a37f1bd
.xword 0x8289ed8b6d6e159e
.xword 0x3ed89961ecb9a25f
.xword 0xb6b1978e9f41f30d
.xword 0x5c6b6182f78fd6bc
.xword 0xd7f5ba585472f09b
.xword 0x9e6a4637edb088b4
.xword 0x7bcb46aa1389f576
.xword 0xa941ef2bef0ef949
.xword 0x6dfeac700fbed51b
.xword 0x1c92c54521d658c1
.xword 0xb442f042742e6ccc
.xword 0x9094841be6abdd8a
.xword 0xcfed26acdd7d7ec6
.xword 0xc2273fc5bf1fd091
.xword 0xa19f51cb49b1ffed
.xword 0x47d7d6e7aeb79381
.xword 0x8e40140e7de77e0f
.xword 0x06faa8f7e97f15ec
.xword 0x14d6626246a5bbc7
.xword 0x671484331b5c94c8
.xword 0x8a21717d23aa2a69
.xword 0xbb6510afe211c911
.xword 0x373f725fc3c422c9
.xword 0x4d09e6c82321d2d7
.xword 0xa39705c590e6e375
.xword 0xf493064ac3c50d21
.xword 0x0c4c5ffc59584c8d
.xword 0x3eed56904ceb9e23
.xword 0x3a75b311b2db93cf
.xword 0xf38ae4f78d609564
.xword 0x51ce99a4b874c0ee
.xword 0x0e9e3f9203343796
.xword 0x643557654ca41adf
.xword 0xf505b6f0ab2f984e
.xword 0xbd3aab50fb0e6d67
.xword 0x20d50059d2ecdf46
.xword 0x58fef66d0d3ffec5
.xword 0x64b8917dfe050c4f
.xword 0xa9cf909111d0fe5a
.xword 0x7ec126560ff8ce77
.xword 0x504ef25cdb853ce5
.xword 0x20b1f2a84fa14a7c
.xword 0x09a552cd7cf216d6
.xword 0x927d0fe54e472f4b
.xword 0xccccfa4b2c0890f2
.xword 0x59a2e0b1e45ccd74
.xword 0x47bf41bd0943ccdb
.xword 0xcd858b4d0b70ab8c
.xword 0x9c5ef1d70ce69326
.xword 0xc78287af68f580ca
.xword 0x255ce427312098fe
.xword 0xe8745ad4def40e76
.xword 0x8e2669e1a3801e85
.xword 0xed7dc48cdf5da6c7
.xword 0xef4779b85ad9a05c
.xword 0x23268e3e206bb5f4
.xword 0x4a106260a7d2cfe8
.xword 0x8f26e985f64ecf70
.xword 0xbc2432b79dccc8c2
.xword 0x1def71b000b4968e
.xword 0x7cf0da42cb3bfc8d
.xword 0xcfe77c1b506da63e
.xword 0x29b09911dc95c505
.xword 0x07aa6a466123f822
.xword 0xd50ca8735f324a0a
.xword 0x7442873068662ad2
.xword 0x1436d7c001dfe035
.xword 0xd08b51b584fc3bea
.xword 0x2a55d1d2274c126e
.xword 0x5697de354cb0dca5
.xword 0xdf1c9ac9d55d6b90
.xword 0xd6e2d3e8d427053b
.xword 0x3ba758b7e7511155
.xword 0x8a561f6594dcb549
.xword 0x539f868f1c8b0ab7
.xword 0x7896b7b78b68fd22
.xword 0x40adf01f4c277bcf
.xword 0xdd96046e131f873f
.xword 0xa5cf95c66e6a3ae4
.xword 0x01dffe0d72ec7928
.xword 0x5bf8903f272fe984
.xword 0xe92c50e3b4e44e0c
.xword 0x47504bfb4c35f727
.xword 0x6c3012fa3159597e
.xword 0x038cd9d7dc169c5e
.xword 0x6237afa382f48425
.xword 0x0dc0b4c0b56ed609
.xword 0xfd0b9434b627d5b0
.xword 0xf47f0ee717057d36
.xword 0x95a14bb1d24f00f6
.xword 0x8a7bed46a1d7fb26
.xword 0x06c0d13eea8979c4
.xword 0x03ec2cd94fac7db1
.xword 0x2b0ed0a898f10344
.xword 0xa11a2e42ea9bbd8c
.xword 0x73698ed4b23bf76e
.xword 0x800f574812b01e8f
.xword 0x846bb6b6a92bd8d3
.xword 0xfb815dda51fa5dc3
.xword 0xeca871d191011609
.xword 0x15e82e3afc5a8398
.xword 0xdda2abc42dc0cc03
.xword 0x1de8d4f98c0e3178
.xword 0x88b633b93c15a477
.xword 0xc285a734d17a9f36
.xword 0x3d8a24f9faba3f29
.xword 0x04704f07eb236fa9
.xword 0xbaed1b55652a3615
.xword 0x4907fc69f4218d5c
.xword 0xdd014e580e3b98d0
.xword 0xa6b7768ca590cafd
.xword 0x5772d29c849e88c9
.xword 0x556134578f0ff256
.xword 0xbf29ec2312bfef1d
.xword 0x0e87377c9bfbffc3
.xword 0xc66d4d5384487ec1
.xword 0x91200fff3d91f6e5
.xword 0xfc99b3c845d5afd9
.xword 0xd8193adc5dfe7c22
.xword 0xdfb6f6f5433a2998
.xword 0xbafede6c54b7d332
.xword 0x40b3debb77909ef8
.xword 0x5906158f7ba7a4de
.xword 0x47babef7eab6d195
.xword 0x24c050fc79a279d6
.xword 0xc914a2a7358f684c
.xword 0xfeb53fef4e8b4c9c
.xword 0x2c1d5563fb5a0329
.xword 0x4442e4433230893d
.xword 0x92df01146dd970f8
.xword 0x6a318bf47cf8b744
.xword 0x5b4bb02ce844d2a7
.xword 0xc17301259fdd3513
.xword 0x249d84a2a2d9eaf6
.xword 0x0bef90db5b9f8ad9
.xword 0x409b0bbd5a119f28
.xword 0xbdf8a8daa74d72cd
.xword 0x6f8a579da160b94a
.xword 0x491d08ee4eebf5d7
.xword 0xa087eda0f42af895
.xword 0xde6714ea61517fda
.xword 0x4e8376a55f443f21
.xword 0x4d39c1cab0d1df86
.xword 0x40ce445d7c7aa734
.xword 0x66c8416581b96286
.xword 0x1523186a18308b2e
.xword 0x839e2dd311fe4d57
.xword 0x3516aaeb4281bb47
.xword 0x681e8d93865f4ee9
.xword 0xbd106ac680b7884f
.xword 0xd5264e409a44e6d4
.xword 0xa8b6303b612d05ea
.xword 0xc984ea0c74ec2227
.xword 0x5a69ce7705352af1
.xword 0x71c1b28675084e1b
.xword 0xeacd2647d92156b0
.xword 0xff7e78d10c2a235a
.xword 0x9b378d3cbbe79729
.xword 0x0b74940d61bfedfa
.xword 0xb814395ce302699f
.xword 0x79c1d66b9fdb0f9d
.xword 0x9a842385f821f629
.xword 0x730ebe194305dcc8
.xword 0xb7d4f6d8caaee2f7
.xword 0x02c0c76db67b0f9d
.xword 0x0619c90937cdb3a0
.xword 0x9ac344163793ba00
.xword 0x505f01042a45a1b4
.xword 0x63f24661aef8a28a
.xword 0xe6575c6ec6500c23
.xword 0x7f405abd6c25ccbf
.xword 0x83f8ecc9b4f2b974
.xword 0xe41f94bc467ce2d8
.xword 0xa606a2993af8243f
.xword 0xe560c21af567745e
.xword 0xdd4ae3adb7d08b67
.xword 0x53eb6ac462c5da97
.xword 0x6e1830cda3d54817
.xword 0xee59280a96c660e3
.xword 0x5c78204a652158ea
.xword 0xe3d8958e9536f94a
.xword 0x42537687a56bcd84
.xword 0x982d86f0a7612ee3
.xword 0x35dced7a2cd7aa13
.xword 0xba4aff8367fd01ee
.xword 0xb32453adf22d7bf9
.xword 0x6f252cf256973012
.xword 0x1a9cbcb1e4b214f3
.xword 0x53a38ff2c4bd8523
.xword 0x3faa2a8c1326ab81
.xword 0x8fa1d5395f68285d
.xword 0x177faa4944d7e587
.xword 0x85e65e675b22452d
.xword 0x1d4a370b84f7b7b1
.xword 0x1d5584a4282ec1ad
.xword 0xf63a0c4584a2df45
.xword 0x28e8a409e64fa783
.xword 0xc602b62f60bca29c
.xword 0x9bfc6a1ad4a4a655
.xword 0x94676cdc3d6d2507
.xword 0xa4746f04a8b3a7aa
.xword 0x4752e5e99318213c
.xword 0x63eb26b530905ffb
.xword 0x41ea3f56b7244262
.xword 0xb55a3b8897996533
.xword 0x48e3d9c302cec7b0
.xword 0x8f11fac6610ce8db
.xword 0x71eab66c775515a0
.xword 0x23c90c34506f9e9b
.xword 0xf9b34cd4a32c1253
.xword 0x37b5bbb421bc54a0
.xword 0xd4313ccfc108041c
.xword 0x8f329e9874b3d76f
.xword 0x18336fbb13bf73d7
.xword 0x93b58a03a37b2c25
.xword 0x7bf24fef3808d9be
.xword 0x959cc1daebc46b60
.xword 0x5c023aaa3a405e36
.xword 0xab3fb05ed6a0d079
.xword 0x979f67dd72001740
.xword 0xda3994ea4d786021
.xword 0xcfdfc1b73fb32f6c
.xword 0x66a3334915fafdfc
.xword 0x66e6b201b00ba2ce
.xword 0x67ee4ef7c5536850
.xword 0x9ae655c286125cbe
.xword 0x40eac98179c4cfe0
.xword 0x7afe6fd1ee17ae26
.xword 0x7bd9306bbdc6b163
.xword 0x1c20913e23d0e99f
.xword 0x38718d10fe2d9e6b
.xword 0x98d68e02088735f8
.xword 0x527fd320e739469c
.xword 0x44ac975a2808d0a1
.xword 0x136dc8e39499caed
.xword 0x0f5e250d8eac04f9
.xword 0x8c9f7df9ed28ebcd
.xword 0x1f2b4cbc676eb0c3
.xword 0x8f69846a996e8817
.xword 0x15ff6f0743db7a25
.xword 0x2856516d7ec96950
.xword 0xfbd358a5bef5a487
.xword 0x572a37b52079466e
.xword 0x30248f9217a9275a
.xword 0x81ad371a8e400665
.xword 0x75bc1df6d452d845
.xword 0xa8b6890cf62a4550
.xword 0x8514938979f5fb7b
.xword 0x952a8746ba13c8c7
.xword 0x3c0fec48d09314ed
.xword 0xdb7bd6e58033a2a3
.xword 0xeb92e3d3ebc39b77
.xword 0x09d7f1abc6ac4d35
.xword 0x569337b781d02f89
.xword 0x86bd8378764dd947
.xword 0xd7bf74e591532022
.xword 0xc7b88026e64e4f6b
.xword 0x1f5633b0ea4281b9
.xword 0x133bb167491598a5
.xword 0xe0c7d5322b2db949
.xword 0x48bbfd047b162ac3
.xword 0xd4382bbd9c2b2300
.xword 0x78abd868c8f3f5b1
.xword 0xe8c365d1e032b908
.xword 0x627e0fd418a1dbdd
.xword 0x814e3be390b0e90b
.xword 0xeb983ef9da2d8df6
.xword 0xd5c58252dc6400a4
.xword 0xe55dbd53f5d7f5f9
.xword 0x33f86a20560e419b
.xword 0x1b5c3c613a891b24
.xword 0x67c30f28892e4b52
.xword 0xe63df8fc633e7885
.xword 0x2cf86c618186bc90
_t1_hmac_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_auth_key:
.xword 0xa9248cdd63be51ce
.xword 0xb13c1e3992e601bc
.xword 0xde32fa9473072d1a
.xword 0xabc5ddc626e2b804
.xword 0x024dedefd7dc176c
.xword 0x12fbff8c642de090
.xword 0x2d1bdf931c6a0e76
.xword 0x6a525d61fce1a941
.xword 0x6471ff47857f88fd
.xword 0xf070f2dc6b8ba44e
.xword 0x329f03c488209dda
.xword 0x2aa058851f3fce47
.xword 0x1bdbc24f84452a39
.xword 0x3f9598fb32f274e6
.xword 0x4b5acc3b8b9baa82
.xword 0xe4c012d206261a03
.xword 0x1b19f8a8631c2dca
.xword 0x7d2bff2b067fae63
.xword 0x623968724dae21ed
.xword 0x352e2ba1b678fb1c
.xword 0x6ee9d7423a056465
.xword 0x32b5d73197c202f2
.xword 0xfa1a390be4567c83
_t1_hmac_auth_iv:
.xword 0x410d93b1dc6d9702
.xword 0xe8fd5fd4f32575a1
.xword 0xbd1659baf9b6831c
.xword 0x4944bdb153fdd3f2
.xword 0xc5f957b19d65d802
.xword 0xed6161dc6ba3c583
.xword 0x98882671424193ce
.xword 0x35c4b6b2e168f518
.xword 0xbcd9335126bd19fc
.xword 0xe46ff16523300208
.xword 0xbd886496ca040799
.xword 0xab50ff086c024675
.xword 0x928ce17945f64900
.xword 0xe4d5364a2b6110ce
.xword 0x4e5768b76e7c8028
.xword 0x713fc17c2615d871
.xword 0xa8d2c22fd143e797
.xword 0xeae0934d25265950
.xword 0x95992ddad57db4ce
.xword 0x80fc9bf7fafd7a07
.xword 0xe990fc38473323a5
.xword 0x1da57c95704b5096
.xword 0x603d17c8a638ae27
_t1_hmac_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_key_array:
.xword 0x2a5282eb5fb17f3b
.xword 0xb7cb59fa3eb80f62
.xword 0xa1e70434766006e1
.xword 0xc0a7ae066310755f
.xword 0x315683dfea8b89cd
.xword 0x05fd02a9450169c9
.xword 0x71d40b7d120c2a23
.xword 0xd706082426f642a1
.xword 0xc0855f399d56ec76
.xword 0x9d352c3ed7f4201c
.xword 0x816914556878e4cc
.xword 0x2721e16b3a39213c
.xword 0xcdedce9530a3b3f4
.xword 0xf2db8981425bedaa
.xword 0xe41084b208bc2a8b
.xword 0x7667ed2491a2d486
.xword 0x12023e1e9871444a
.xword 0xea333f882af8bb0c
.xword 0xa4e9a60a70228f2a
.xword 0xf2c031260249502b
.xword 0x5c767a9af7176ec5
.xword 0xb173c512b3a10a91
.xword 0x4c92be8fd29d8ddc
.xword 0xc377aab6b6c7f816
.xword 0x812e00c879851fdf
.xword 0xcc45be499e88b6e1
.xword 0x1c5dd8e74b892028
.xword 0x52ee5aada13be3d6
.xword 0x2affb8b24386592f
.xword 0x948d44e3e10cbe72
.xword 0x0192dc1cc64c17f4
.xword 0x36397c1e8320cc2a
.xword 0x84645cffc610dca7
.xword 0x3707672524df30b5
.xword 0x7ab150a367fb3420
.xword 0xc8b992cf73565450
.xword 0x389aed754084a837
.xword 0xc0cfbfb20e8e8f2f
.xword 0x817cd89f02ecadfe
.xword 0x53a8b99ff95468e2
.xword 0xcd51d608ba9b3873
.xword 0xe09a0970b4f9cfdf
.xword 0x7b529d59b82cd149
.xword 0xe5d91819c3c28a81
.xword 0x4d029f0c62233a20
.xword 0xc1d4565e7fb206bd
.xword 0xb85ea490a23b5f15
.xword 0x70ac3bf1d5f4bb2c
.xword 0xe80e7139932537a3
.xword 0xdd4a2d807e43631b
.xword 0x0eadaf0bcd31ac71
_t1_rc4_iv_array:
.xword 0x2c285126bfbec172
.xword 0xa5b3dd3b606f8fa0
.xword 0x6f6a8563eaae2054
.xword 0x22e2366ba97184f2
.xword 0x6830cee688b1eda6
.xword 0x73a6d27ecfda7718
.xword 0x552ab90a443e414d
.xword 0xc06bbc63e0c7d6d7
.xword 0xf90732720ec5aeaf
.xword 0xa737ececaea2239e
.xword 0xf0eb3969d334ba8e
.xword 0xc7d72b3ba340b894
.xword 0x213fbf97b1a5015a
.xword 0xa486498e3e1cef1b
.xword 0xc374e0513864351a
.xword 0x7541a4265e4b841d
.xword 0xc41bb7a96eb248f2
.xword 0xbe6db1ac857ea68c
.xword 0x523b00a65cab7e15
.xword 0x43c2afb4f0acbf87
.xword 0x4660dc53d15a9c59
.xword 0xe58200d908d92b2c
.xword 0x0579cc0e9d6056c1
.xword 0x66ed8a24da3e4433
.xword 0xdc1126cb85131c05
.xword 0x31cebfa28a80bc53
.xword 0x2816d824e7891636
.xword 0xf4b77857ff03e95d
.xword 0x5abfaded88200875
.xword 0x2fa3c835b4cf309c
.xword 0x81e3b2b7a4bd7954
.xword 0x62d83a4512f3d7b7
.xword 0xb00c216956b5507a
.xword 0x38df0e1c2696f0c2
.xword 0x017b916f1ba3f58e
.xword 0xac0fc16fb9001e49
.xword 0xf58092fc530063b3
.xword 0xd5292dd551069bef
.xword 0xce3c65876c1bbac5
.xword 0xfd896be950f931c6
.xword 0x5fd54d4773b3c581
.xword 0x9f80f09ccff9efdf
.xword 0xcc7781ee2dbf5b01
.xword 0xf43f2728c992a9f8
.xword 0x9f6b1b5068c5aa59
_t1_rc4_alignment_array:
.xword 8
.xword 7
.xword 1
.xword 6
.xword 14
.xword 3
.xword 7
.xword 9
.xword 12
.xword 3
.xword 2
.xword 2
.xword 5
.xword 15
.xword 0
.xword 0
.xword 13
.xword 13
.xword 9
.xword 4
.xword 11
.xword 10
.xword 12
.xword 0
.xword 10
.xword 1
.xword 9
.xword 12
.xword 15
.xword 0
.xword 7
.xword 6
.xword 13
.xword 2
.xword 6
.xword 8
.xword 14
.xword 1
.xword 10
.xword 3
.xword 2
.xword 10
.xword 6
.xword 1
.xword 2
.xword 4
.xword 9
.xword 4
.xword 0
.xword 9
.xword 11
.xword 8
.xword 7
.xword 13
.xword 13
.xword 3
.xword 0
.xword 4
.xword 11
.xword 10
.xword 6
.xword 8
.xword 12
.xword 7
.xword 4
.xword 2
.xword 9
.xword 6
.xword 4
.xword 5
.xword 5
.xword 12
.xword 0
.xword 5
.xword 13
.xword 12
.xword 11
.xword 2
.xword 8
.xword 1
.xword 1
.xword 12
.xword 1
.xword 15
.xword 5
.xword 3
.xword 9
.xword 11
.xword 2
.xword 10
.xword 2
.xword 15
.xword 6
.xword 10
.xword 2
.xword 11
.xword 2
.xword 14
.xword 13
.xword 8
.xword 0
.xword 6
.xword 12
.xword 8
.xword 9
_t1_rc4_src:
.xword 0xa3254bc0e8471cd0
.xword 0x9240fa8dffd0790f
.xword 0xf726ba2a9c139c95
.xword 0x9d34c146e6862d6f
.xword 0xa1d9e32227cd5fca
.xword 0xd9dc28e97a82fc66
.xword 0x6ad514d2df91f157
.xword 0xf09064f71c0c9060
.xword 0x96124cfd9b5c171c
.xword 0xd22dcfd8e9350101
.xword 0x50a375951cc50b13
.xword 0xbc686bff583558c0
.xword 0x404109bc9ee5da73
.xword 0x26fd8910fc526158
.xword 0xeba7b34b889eb28e
.xword 0xca344c5196657b9a
.xword 0x8303e4c7665ceb21
.xword 0x7e9b2d3f0b4d2c12
.xword 0xd4bdc633f408020d
.xword 0xb141292351e43823
.xword 0x91a318ab2dfe1094
.xword 0x1750fdd45ffa1fc8
.xword 0xd8b216ab1310ece6
.xword 0xf3224aabf2cca4ea
.xword 0x72a0b5028ef311c4
.xword 0x253804eee923e5cb
.xword 0x5d2e693cd327cb93
.xword 0x4559fc5760c1eb77
.xword 0xefa42ef2f10eecf3
.xword 0x05d1d8b61c6ceae0
.xword 0xff794bc94060c4cd
.xword 0xbc5d53ce4082368f
.xword 0x67e21d496cdc8acc
.xword 0x1c61449bf8213bdb
.xword 0x94ffb122ce4f2bcb
.xword 0x09e4e7454f7e8212
.xword 0x946c913217d1bcb4
.xword 0xfd4fbec49a3a3412
.xword 0x8cfb842ce870c5ff
.xword 0xd960c4dca90d5af8
.xword 0x079347daed31ecc6
.xword 0x19010be89b894de3
.xword 0x7378fd203e415a7f
.xword 0x6c9d2a7f0ce225cd
.xword 0xc1cfaa5ab02bf7fd
.xword 0x49586a15400713ba
.xword 0x88a3a0cdc2a2e217
.xword 0xb9893102a8087120
.xword 0xf9d2ac53440b18ef
.xword 0x975310148a852faa
.xword 0x5cb69a65b7d09e60
.xword 0xd36ab498099181cd
.xword 0xc937fe6874373a5c
.xword 0x8be54ab2aa32c21a
.xword 0xf2b43af6da1a529b
.xword 0xc1bccb80d749b559
.xword 0x1b80ab637af523af
.xword 0x41a73185a0578567
.xword 0xc5b247af2b3af38f
.xword 0x0ff9bf3688e52562
.xword 0x170c48525876f557
.xword 0x8c4b5fca9f82a714
.xword 0x0fd8330b243b914d
.xword 0x890867b86288f2b7
.xword 0xa911fb890051c688
.xword 0xf30991e500bfd566
.xword 0x51ae2d60746aacf0
.xword 0xff009aa4dc5ecc4c
.xword 0x867fb0b61b647f6f
.xword 0xaa5138e8de3d7e7f
.xword 0x7dd10afa81d84694
.xword 0x600a82ff18d1052a
.xword 0xb173f26e82f7f484
.xword 0xe57b5b9196d2a9e6
.xword 0x1235a2152d02a27b
.xword 0x1ad0a15b3267b347
.xword 0x29555b985e335078
.xword 0x69e8286372738106
.xword 0x62e14706fe7fbdf1
.xword 0xdd378736c9c1fa95
.xword 0x82acd1d69fe9f0f2
.xword 0x16a992527bac6cca
.xword 0x49bcbd9124f0868d
.xword 0x0d38ab7da13d2cd1
.xword 0x2fb85aabac8e6f7c
.xword 0xfbe3ddac8317ce61
.xword 0xe488b2f964c1ee18
.xword 0x05d8d11b221766cc
.xword 0xfc8739b2b64cfe33
.xword 0x149cf1851b89ac16
.xword 0xc10413760a43fdc4
.xword 0x0a0861d3b07679e2
.xword 0xd1db527e23695b5e
.xword 0x7fb740cef8e8758f
.xword 0x4d28181c657d38d7
.xword 0x891d0f0c7f7664ad
.xword 0x03716a6220c6a1d7
.xword 0x13e9e54c801c1eca
.xword 0xf543af477fcb64e4
.xword 0x7af42699be395ea7
.xword 0x5ade52952c38bc73
.xword 0x9f03c055551602fc
.xword 0x8e8c31d1a1574281
.xword 0x6ee294532475f537
.xword 0x41154139689d45b9
.xword 0x90449c8deda7fc94
.xword 0x0d4d6d97a4d4ad1c
.xword 0xf2f530e1264abdb8
.xword 0x2e0ed48987513817
.xword 0xe04b23900441dffd
.xword 0x8e4512c19fa8a8c8
.xword 0xa6e38e53f9ccd6ce
.xword 0xbac1b2f231c7b345
.xword 0xc888ac7c027beca0
.xword 0xfca4a78cd198f1a3
.xword 0xe0dfba2723a8aa01
.xword 0x38792a466b1b5bbd
.xword 0xd869c3317b6fb6f5
.xword 0xceb25a3a69493a9e
.xword 0x5e19cb1b3b21cb19
.xword 0x78e371fee030b33d
.xword 0x90394ba8592f97e5
.xword 0x90e58e6ee015f3c6
.xword 0x52e9b095c479204a
.xword 0x4a50bd589165eadd
.xword 0x2b5fd6e974158ee7
.xword 0x520b1993cbff4362
.xword 0x093e2338ef7f5a9b
.xword 0x98e8a62cebd66ed3
.xword 0x4056aad7a3b05d80
.xword 0xc83593e622462127
.xword 0x7735b6d8c0049ccf
.xword 0x9ae79cee4ad253a7
.xword 0x9a5b9013e26d53f9
.xword 0x94fde4df56ba9151
.xword 0xd0da28d7b5cf921c
.xword 0xa47b595bc7a61e1f
.xword 0xf78b61c46bfd8b49
.xword 0x45d3fed6235521b7
.xword 0x9396429bd403ac26
.xword 0xf0d500f0b1226c0f
.xword 0xa92b7f047f55fdae
.xword 0xec9522c7f8f1fc2c
.xword 0xa8258c1c40e8193a
.xword 0xd5e830e31c2180f6
.xword 0x0bd18964b1370ea7
.xword 0xd54a8aef6d9fa962
.xword 0x6e09e50336846eff
.xword 0x4e36673a0a99a088
.xword 0xaaf076e33df599a2
.xword 0xe41939f8c761650d
.xword 0x294a749bc3cba108
.xword 0x7b28b7dee81c0e71
.xword 0xd2ea2fd038e6c083
.xword 0x388c6ea7b3ecf564
.xword 0xf3dd1a1c7869f306
.xword 0x5fe6e88f910d261a
.xword 0xc22085bebad97aae
.xword 0x947deb1a4ba1274a
.xword 0x8ba4af324ff0229e
.xword 0x28a9b95e34f10527
.xword 0x24b7e2fa170791fe
.xword 0xdde9606168c08dcc
.xword 0x8f10a080abdef443
.xword 0x57175455e06fea12
.xword 0x84d9a595c5f4c6f0
.xword 0xce864cd4cb83986b
.xword 0x3f36b4ce85403ad5
.xword 0xa21beef43450393a
.xword 0x62a94006b7516f16
.xword 0x2d739b631569ec8d
.xword 0x2b28597464d20d72
.xword 0xba13921f8bde5bc6
.xword 0x0b006a64bcc60afc
.xword 0xe2b668efe61e2eff
.xword 0x93ec9ab4c2cd94e4
.xword 0x8c19ec397aad31f3
.xword 0xf1d69fd041dceca9
.xword 0x3c6baf5735d9df85
.xword 0x787063015e3494f2
.xword 0xfdf22763ef631815
.xword 0xca2889d2ca1819b2
.xword 0x823212e8ac0469b8
.xword 0x17ed3bc94f7351aa
.xword 0x79129bf1a21e56d4
.xword 0x544dc937b2164ba5
.xword 0x1c81018037472dd8
.xword 0x57e910ce5dcad051
.xword 0x52d4c5b58df02ccc
.xword 0x00b0204e3936a3e8
.xword 0x5e8b5fd422379a0e
.xword 0x8e66d9ec32668d18
.xword 0x5c134dc4ea9a2ac0
.xword 0x0108c4191fa994d0
.xword 0x0a7b2ef50daf4914
.xword 0x68c7d6656d1473a5
.xword 0x98891af3fbb9ae86
.xword 0xf9648090c3f49e09
.xword 0x6e0863df3e69b5bd
.xword 0xfe69e1f9074d8c0b
.xword 0x5c06dd9cf153886a
.xword 0x639be6ea2b9e4d96
.xword 0xe7cf4d1c75a4b6c7
.xword 0xf401d4f113a85151
.xword 0x83336fd3608d70ff
.xword 0x556147f46db153ca
.xword 0xe78ef0478a8f9d5e
.xword 0x73e933c63e3ec1ca
.xword 0x5deb1a4c1bb26f51
.xword 0x7e669e0f59d1b6f8
.xword 0x5faadfd4d65dfb53
.xword 0x22ea597bcd75499b
.xword 0xd0449cbb3d845a3a
.xword 0x2cea0f261f62c17a
.xword 0x0cc1c5cb6037a6d9
.xword 0xcbe24de67e456f78
.xword 0xe273e5b722e1da68
.xword 0x1653fe008c18df04
.xword 0x60d154b555d15a85
.xword 0xdf5e5db86bca8fd0
.xword 0x9e8efb7120e3add2
.xword 0x058d1daf54004db7
.xword 0xff50cdc5f4869edf
.xword 0xc163098ef0ec838d
.xword 0x60f2eec93ee39810
.xword 0x3a791f61edcf4283
.xword 0xd1361edfe49a2f59
.xword 0x38779d4a3738cbdb
.xword 0x55097401d50716e3
.xword 0xc0dcf716962e243d
.xword 0x9b8956a58b621f29
.xword 0x20a648103142058c
.xword 0x3f6f5df7aee252ec
.xword 0x530d3a93769d28ee
.xword 0x695351928d5b9d2c
.xword 0x99f19f7da3b1ff1f
.xword 0x736d85d144831aec
.xword 0xae6f9599dec6f3d4
.xword 0xaa7a170189bf160a
.xword 0x107ec03c0d155e02
.xword 0x04d21249a115f645
.xword 0x03802144e820339b
.xword 0xb32a1e6d475bcad8
.xword 0xf0e1eb52d4b13aa1
.xword 0x889a9aa8755aa029
.xword 0x93669f1cfbf869d8
.xword 0x940bebe45135d6f9
.xword 0x3820aed356004323
.xword 0xe4991e1bfd095d0c
.xword 0x4a08e28feecda6eb
.xword 0xfad80fb08083a5a7
.xword 0xfe86408562f2ba59
.xword 0xb1f86654fb4defdd
.xword 0xd53b048dacff3c18
.xword 0xd75583b9df27dc5d
.xword 0x83f513da2fd23854
.xword 0x0f5228e6bc45471f
.xword 0xae08e6f3f3a1d53b
.xword 0xdbf9488d9387172e
.xword 0x7cbbd4cc27e0f65c
.xword 0x450e5db15ee3d9b0
.xword 0x6cc02ddb92fdadf7
.xword 0xf958dc58e1338850
.xword 0x4fb1c103c48466b5
.xword 0x88c4f788c19e8a6c
.xword 0x59fb31e240531f8f
.xword 0x06009fe37a17d0d0
.xword 0xc84844feb02d45ca
.xword 0xdcc6d33b412b8bb1
.xword 0x36623691e0999924
.xword 0xd640830ebaaac328
.xword 0x457f29f5046b2b68
.xword 0xac9c7d01bd10b695
.xword 0x459cf03eec1639c0
.xword 0xa78cb3b17b6035a5
_t1_rc4_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_auth_key:
.xword 0x341b5cfa078030e4
.xword 0x78112e28305f2545
.xword 0xeaa6a520ec85c0bb
.xword 0xa12088173864127a
.xword 0x52125079827221a5
.xword 0xe752975497386a1a
.xword 0xfd3e2540ab0decf6
.xword 0x30f08b9cd986408b
.xword 0xb8459f72c7c907d9
.xword 0xe2915aaad037ac1a
.xword 0x7ea9c022fa986ce9
.xword 0x8b14e1ff61ec944d
.xword 0x36868a55f0fe2ad1
.xword 0xadd700e3a65ed8fe
.xword 0x432b1271796de645
.xword 0x9749909ea0ab9072
.xword 0x8431236318c6ef49
.xword 0x56fc05c983fc22da
.xword 0xc3181296864aedc5
.xword 0x741e342b00942a13
.xword 0xaf433996df6707e5
.xword 0x8cc5870be995956a
.xword 0x0edbb37ba8696f83
_t1_rc4_auth_iv:
.xword 0x90182d52b87a3df2
.xword 0xe8b527edf96c3a4e
.xword 0x1b7e59b583e47101
.xword 0x628409cb3206d877
.xword 0xf814d8be23ea82b6
.xword 0x6e1dbc2ac708c357
.xword 0x1d28dcd2b7ca6dd9
.xword 0x399cd4be9a547d42
.xword 0x5b50d32e4573d250
.xword 0x6bc916b3b034ee25
.xword 0x275c833470acf454
.xword 0x6eba697bb4755607
.xword 0x31c8e72bce471152
.xword 0x78f93f582515abb4
.xword 0x53aad56900af865f
.xword 0x04807bfb2fa64b2f
.xword 0x09ed5c4ae95d97e9
.xword 0x3f4fd548bef17fe5
.xword 0x4dd71154cec527c6
.xword 0xb21bd323d5efc5fe
.xword 0x9772248af37a557e
.xword 0x73406a439e0789eb
.xword 0x971e0a223e06373d
_t1_rc4_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_key_array:
.xword 0xf43a91b76d1128bb
.xword 0x57e0e4ee7c83e84a
.xword 0xd29c2bb1410390ef
.xword 0x09d7690ff27c7488
.xword 0x0e91436b99b83879
.xword 0x371e29268a6227f0
.xword 0x15761110fba878ae
.xword 0xee63c34e896aac41
.xword 0x0a2e69b24c0a3eac
.xword 0x7de094849ae1ac6d
.xword 0x0d74381e8d89eb6f
.xword 0x1e6b7c9f402ce079
.xword 0x4a6c9f706b41a3ad
.xword 0xb65a369e7c794a04
.xword 0x4d51d81bc9a06ffb
.xword 0x904e1cc1ffee460e
.xword 0x3234e673b281ce8e
.xword 0xa2783490549308cd
.xword 0xf5dd0d0477a26236
.xword 0x8633dfceee3665c7
.xword 0xd53fd7e564f8b5f5
.xword 0x4e8f8249c273b033
.xword 0xa3a63216673f8223
.xword 0x520fe503f5f347e2
.xword 0xf032385545982b30
.xword 0x5d4a36e1ce6b2299
.xword 0xf116466dc063ed92
.xword 0x07df5c8c1241361b
.xword 0x57d0dacc16995d91
.xword 0xffb324d06a7668a3
.xword 0x14f670b933bba6f8
.xword 0xb9856c0530888d67
.xword 0x9c71a03a91a4948c
.xword 0xf9850f09864132f9
.xword 0xab6d4fcdd61348c9
.xword 0xdb15a1867a8b8eed
.xword 0x0325cd1a13406969
.xword 0x989c3aaec0ffb769
.xword 0xcff0b1aa2aa75785
.xword 0xe174a7f16682ec06
.xword 0x49b2deb7dc97441e
.xword 0x0b4da7ea380a8d9d
.xword 0xa4f58c5d6bd45322
.xword 0x185b975a7a99696d
.xword 0xd982b53ba9e113f4
.xword 0x07949ea505a7ae0f
.xword 0x71e9607a3f314a59
.xword 0x75456af0d81cd9f0
.xword 0x35abf3ab315273f0
.xword 0x1be11a30a118a356
.xword 0x2490ae0bde1843b3
_t1_sslkey_iv_array:
.xword 0x5d0a7109224bdef4
.xword 0x4e1db9d1b0cb5fe8
.xword 0x3fee1cdb3825b694
.xword 0x1ce74fae7b44b325
.xword 0x7e1a88a04c7ab43e
.xword 0xf1ce796454ba0bc4
.xword 0xdc091c6579ce1ec4
.xword 0xd53f09304d59f39a
.xword 0x203ccdb51d73437b
.xword 0xde01fab88a1b4265
.xword 0xdef0a30c6b4ed966
.xword 0x442734ca0a0f3dc9
.xword 0xd263ca021c7910d4
.xword 0x3d4beaee48604ce4
.xword 0x36680fa98fa087a6
.xword 0x9ce56cfe64b7d24d
.xword 0x28209993c32c66a3
.xword 0xdf3afadbdeecfe95
.xword 0xfb6bbe78e1f0e805
.xword 0xeb0a03693792223d
.xword 0x0d041cdf4e389b7d
.xword 0x777496c8f7aa16e2
.xword 0xb449f4f6f9bc58f4
.xword 0xd402903b81f9f768
.xword 0x67579ff89cd05170
.xword 0x359ef44388f46a80
.xword 0x7e58217dd3454e86
.xword 0x832f75adfe386237
.xword 0x09f05bd8256837e4
.xword 0x330897c8574f93dd
.xword 0xd77aa8497d839693
.xword 0x8b0868f651e2c005
.xword 0x7f9e41c8051f2c52
.xword 0x537086419f86af86
.xword 0x6439b24160e16842
.xword 0x5da6b8c185d86e53
.xword 0x19fff4db5d7350fe
.xword 0x7f9d2c2b5c07a8b7
.xword 0xb9f0473196254e44
.xword 0xe10803ccb24f2b48
.xword 0x0e87fb3a190fbb17
.xword 0x47327e3136ef91a9
.xword 0xdec47daf5ba81629
.xword 0x76163439e02b9d91
.xword 0xb5cfdfeee548308d
_t1_sslkey_alignment_array:
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
_t1_sslkey_src:
.xword 0x85017ccd0d28a9b8
.xword 0x89c1a1f4fc6fabb1
.xword 0x2d79c9180ff31723
.xword 0xede2cac2f62e18c1
.xword 0xd8e147bf337de31c
.xword 0x2eeff0d247a5a7b3
.xword 0x95ee8d8c7ef8d0e6
.xword 0x9f3dc82c0a850a45
.xword 0x7370ef2304ae557f
.xword 0xfda8b6ec3ab014ad
.xword 0x0a217fc61d3a2aed
.xword 0x47213af884018566
.xword 0x824464a6c39e72d0
.xword 0x3240e6cf35d00077
.xword 0x7cad8574165100d1
.xword 0xc06a0381bd69bad3
.xword 0x2f9e73ef0c89ae0c
.xword 0x81f0449c978e02b5
.xword 0x5b81d8cffb690920
.xword 0x4966cbe7bbed1a3a
.xword 0x9aeaed93c724baf2
.xword 0x9e1de6a0cbe5f251
.xword 0xe755d4a58dc9238e
.xword 0x8c773d7c945e24c4
.xword 0x4c201529bf9e525f
.xword 0x17e469223e89ec49
.xword 0x04b5f38117cc5c4e
.xword 0x82ee3baaef0f204e
.xword 0x159821b0c0a88623
.xword 0xdcc9ca19f977a544
.xword 0xe2d193e2bf15475e
.xword 0x5a6cfab4c2abdf0a
.xword 0x4d22f5d25a8e39d0
.xword 0xbb7b3a44f6ca40d9
.xword 0x9ba098d322e47bf9
.xword 0x7cbf4e3987bd5d6d
.xword 0x7588b4d2458bc6f9
.xword 0x2ece12173688c3e7
.xword 0x6621dad571fe4021
.xword 0xf4a6d9a943428863
.xword 0x8253dadb7eecf477
.xword 0x9bce66456df08d22
.xword 0x4760b3e97a24a924
.xword 0xfb3155482997292d
.xword 0xadc697de9bd2ad34
.xword 0x0eb43729e9ee5368
.xword 0x1fe6bd4967dafcdc
.xword 0x5455f6fa130eb368
.xword 0xbaf592f3b7589e18
.xword 0x1ada1ee8763afde1
.xword 0x27fee5bdb32ec88a
.xword 0xa5f3468bd73adef4
.xword 0x41feafd3c3203c85
.xword 0x84809ab57c3c4ca3
.xword 0x7801529528209449
.xword 0x71ed17faa923156e
.xword 0x8a3ba93ec64dc85c
.xword 0xf0e19405acac15a2
.xword 0x3e8b63f274c4fdeb
.xword 0x07ac7b21cd9c357a
.xword 0x0d6b155502d871ac
.xword 0x8f14bc776f66870e
.xword 0xc4196cc2eafc773a
.xword 0x980ec6f7e8302f15
.xword 0xf424a54c2d6d0fc5
.xword 0xd7d9f83b32dadeac
.xword 0xe8192ca1aba661cf
.xword 0xb592aaef946b20c3
.xword 0x430b36394bfd8d94
.xword 0xacdaa34317b9a493
.xword 0x86e24abf5dea4f6d
.xword 0xbbb4c15308342b84
.xword 0x4adb95b786365888
.xword 0xc91ce438baeaf9bf
.xword 0x9c97316b41c134cc
.xword 0x8da4c37d72c0b1bc
.xword 0xd3ba53b2120adb63
.xword 0x9ef6f88cc6e35e9d
.xword 0xfd751975b8376f5f
.xword 0xc3a91554737f71d5
.xword 0xc0bc266330d58579
.xword 0x6fc1b0cf21555a51
.xword 0xd32dd32f0fb41003
.xword 0x5a62362e0f52f994
.xword 0xb0fbfec8faccdebd
.xword 0x4822c5db45da4480
.xword 0x169b6852dfd2f614
.xword 0xddb8254f4c3ee6ac
.xword 0x9c0156f2394f2f32
.xword 0x065c7c62fd2a9a4c
.xword 0x3ffa2df15061813d
.xword 0x46b7d0d8801eaf75
.xword 0xe4d816aaa1cdfb82
.xword 0x1cd874461f3bcfea
.xword 0x96f86e4edd203ee6
.xword 0xa1c01464381878b0
.xword 0xf671ce8c0f39c052
.xword 0x367ab8f99a9e9887
.xword 0x83e34910354997f2
.xword 0x3f6f47f7e9cf17a4
.xword 0x8cfaa78bf139e592
.xword 0xa6d59eb6feaba170
.xword 0x544281958c5c16a0
.xword 0x20fdcd8841859963
.xword 0xe00d4aa0a7bfa13b
.xword 0x1819f309bd58dd27
.xword 0x6969eead578c1f8e
.xword 0x3e9457fee7bb8fbc
.xword 0x977726e5fa072c58
.xword 0x0842f6e2baf0815c
.xword 0x149a6c9a061a24f9
.xword 0x43095d1ea7c35294
.xword 0x846e32bf2e9e5c2d
.xword 0x7939733e678d1dfc
.xword 0x42b685645af9d6bf
.xword 0x84ac6d1ac49e8075
.xword 0x7167dd5e9cf83ea2
.xword 0x29d613d6c9b2394c
.xword 0x99e9a3f54da12ce7
.xword 0xc343a51cb981c57c
.xword 0x012cf4dc844e8438
.xword 0xeb9183ddba2b182f
.xword 0xb33d0ca33da3ace4
.xword 0x2664da8b90d80865
.xword 0x0e58c4efe03dac80
.xword 0x15c5de5afb8ed7d1
.xword 0xc81f690699009476
.xword 0x5c729d9c6a4a309a
.xword 0x8519d59cb2484965
.xword 0xd4406541501f8c90
.xword 0x7716c9ebc9244235
.xword 0xff75ea17e4dd3776
.xword 0x3273b3f6d3eaaf20
.xword 0xb4c30f67dfd730c2
.xword 0xf8c86a1e145395c8
.xword 0x511d5fdc01bfc3c1
.xword 0x59c4ba89af294218
.xword 0x3c8b7bb482f3a55e
.xword 0x4290062d51d4c54b
.xword 0x90e77dec73224f4f
.xword 0x7366999cef96039b
.xword 0x73a32f24bdb924ce
.xword 0x810b6ea692469bde
.xword 0x9889c750350651c4
.xword 0xd22eead2f787ad2a
.xword 0xa9897aeb875abf3c
.xword 0x046896ebec8b49fd
.xword 0x061f04a0d7a914c4
.xword 0xb7a02e71b347aa5d
.xword 0xdde7a31e460533e6
.xword 0xdb9e2084af85abdd
.xword 0x93df4fe127c846ec
.xword 0x3c1ad0f33a51cfd5
.xword 0xef2b50e0a2d6788d
.xword 0xb7aa1d9339ab1744
.xword 0x6b439cffe903a48a
.xword 0x3089ade1eee57cdc
.xword 0x3ff206824f560190
.xword 0xf611c9e0045d0029
.xword 0xbb942bd784a930e4
.xword 0xa1594bc3e353a7b4
.xword 0x8eefa28c15160d93
.xword 0x92442762e85159a4
.xword 0xa975f804c0a38ff3
.xword 0x96cec9bf24c2fe92
.xword 0x82a889eb4b90b312
.xword 0x734377469b88a6e5
.xword 0x201449ae91571e3f
.xword 0x9562c15c2b949c63
.xword 0xdea6275f53c0029d
.xword 0x6885d2e9276273cd
.xword 0xf060c17d8aeee6f2
.xword 0x4ac4799c6e6d9be5
.xword 0xa2336f7f0a87db86
.xword 0x2b1b8a0a562a2e4d
.xword 0x0e83d512e38c8cef
.xword 0x35b91385e0537dfc
.xword 0x3812d3795a3d77e9
.xword 0xba2a73c483fde42d
.xword 0x3e03b5b69dc221dd
.xword 0xadba8eadda45c723
.xword 0x828486ac1e01a15a
.xword 0x407c8c978b14ada7
.xword 0xe57e02fdc45c6084
.xword 0x51c78665bb1d7458
.xword 0x4e528bf9e2f59f2f
.xword 0x9e0c79beb3cbd340
.xword 0x15258146593962af
.xword 0xfbdb406249aa8137
.xword 0xb0f7758067cba9bf
.xword 0xff4448055742b2a9
.xword 0x20ca5ef672714e01
.xword 0x1f21099a0ccec612
.xword 0xf02a7a5a2ae14cd5
.xword 0xeefd534ebb5a47eb
.xword 0x53910c32d99e3113
.xword 0x4176c8858e611f62
.xword 0x73905bb5d9be2183
.xword 0xa0d31023e5b6a4a8
.xword 0x8c89c4207fcd9293
.xword 0x30e12aec08ec49d3
.xword 0x2b38d213089fd994
.xword 0x965495c772b123bc
.xword 0x7af56a5614576f12
.xword 0x7bd9b1887bc70244
.xword 0xa7aba1dc33a45dfa
.xword 0x84f5c74cab9a202a
.xword 0x7a1641ebf098d5f3
.xword 0xc220c2ab4360530e
.xword 0xe730dc2e97a0470c
.xword 0xa4d8f30cb85f305a
.xword 0xdc68b6913a39c062
.xword 0x84cdefc0a87e1dd7
.xword 0xa14d621cdb4177d3
.xword 0x4296f2377522447b
.xword 0x2853e4e18ddd887a
.xword 0x325c0bc347475a55
.xword 0x63f33e1c2a30483d
.xword 0x5fa623fe61fbff88
.xword 0x316f9b6dde96976d
.xword 0xbb12679d529d373f
.xword 0xd67f187dc248cd04
.xword 0x5bba97d345795b99
.xword 0x968a5b416cee54c8
.xword 0x27ffc52dec95f0b7
.xword 0x68077e4d2311ad36
.xword 0xf74d5a92f79aca2f
.xword 0xc5812117e330fb31
.xword 0xfcb5d731699dc383
.xword 0x11249c257fe5e552
.xword 0xb472894cdba70971
.xword 0xb45f32bdee286bff
.xword 0x1c84129878f4a85e
.xword 0xf1428720cebd20cf
.xword 0x7e847f01d4a4a794
.xword 0xf8123605c7034cb6
.xword 0xb4a81c470b9b4c3b
.xword 0x22847cf28cceffef
.xword 0xc63a37fad72f2015
.xword 0x4874321691740722
.xword 0xeb53a60f7815ba30
.xword 0x28a838e07aa09299
.xword 0x9775193314947e3a
.xword 0x9ae38213803e2142
.xword 0x2e8d322881ed20b2
.xword 0xd3a774e6dbf48352
.xword 0xd44a74a83ec063af
.xword 0xac89aff2d1d3a0a3
.xword 0xd88f67f23dd639fe
.xword 0xdf856520a7171049
.xword 0xd7311c9d5700db2f
.xword 0x53c761599975bbf1
.xword 0x3abfabee52950090
.xword 0x7893fa42446c9c3e
.xword 0xa7770253e6d3e186
.xword 0x6a8d0f1b2ea64876
.xword 0xa4b8f0fbcabc95b1
.xword 0x4e6229fcac146d0a
.xword 0xfbb426a6028ec9c4
.xword 0x2fa8b62de3aa38e5
.xword 0x1696685ca936fdd8
.xword 0xc0550218298b9645
.xword 0x28e18aea0bf336c3
.xword 0x1afc710495877c22
.xword 0x1fdf398d162bda0b
.xword 0x52286e8a3475046f
.xword 0x7f6cfc4f602ca395
.xword 0xaa3d8b15fb657f91
.xword 0x1c616b7d8d9b9462
.xword 0x559508a32fa1dba9
.xword 0x781f10b85e2c17ce
.xword 0xf20580705c909768
.xword 0xdcaaac1f22904fed
.xword 0x3db0a04480478de6
.xword 0xbc290b95de8569b8
_t1_sslkey_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_auth_key:
.xword 0xe6c3b7352de4b026
.xword 0xa8ff03eb4da1b990
.xword 0xdae995be299dd630
.xword 0xa51ccad5c88f1cae
.xword 0xd7f0de3638d4fd4c
.xword 0x825761e2f0d5d8c5
.xword 0x0e70584db10b9414
.xword 0x8647940375381107
.xword 0x31d3f227cbdc6e36
.xword 0x5f68b6f5af38af1e
.xword 0x65cd700ce9b2d759
.xword 0x5b98fc82bc9cb6bd
.xword 0xec5403fca0ff0749
.xword 0x0d3fa1210ee294d0
.xword 0xf66d01d3be0bb71d
.xword 0xf01ec00a0566d13c
.xword 0x8dc6e55ce4a6f6f8
.xword 0xcac7ddfbe7720ef6
.xword 0xb03039c6bd896aba
.xword 0x3092f32277fa0c66
.xword 0x9751b70dac5797f2
.xword 0xbfaae4829f0f2dfb
.xword 0x123cea1a8ec9267a
_t1_sslkey_auth_iv:
.xword 0x1978ef4bbe28fc77
.xword 0xfc90bea75133c7c6
.xword 0x3bf8a59d187fe8f6
.xword 0x43a08d412ecef51b
.xword 0x6b7180429d2139b8
.xword 0x49ce121c2699ec68
.xword 0xb59ba50540d19c29
.xword 0x069f7955f49ed48a
.xword 0x7c8e10a2148be195
.xword 0x3e289cd494339a5a
.xword 0xee671414555e2a72
.xword 0x6f36f340fd150cd5
.xword 0x017010b3cd91535f
.xword 0x79343273fc0e9be4
.xword 0x80d39f83105c0a68
.xword 0x9246bd35286bbc6f
.xword 0xb1fa05d660664ae8
.xword 0x644df5c591b22d4d
.xword 0x59ba2f77472ab573
.xword 0xfa5d481ff5f346c3
.xword 0xced3f29d609c1df8
.xword 0x324ce96c165fd379
.xword 0xc8d5acf0894e834d
_t1_sslkey_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_toc:
.xword _t1_aes_cwd_array
.xword _t1_aes_src
.xword _t1_aes_auth_key
.xword _t1_aes_auth_iv
.xword _t1_aes_fas_result
.xword _t1_aes_key_array
.xword _t1_aes_iv_array
.xword _t1_aes_dest
.xword _t1_aes_alignment_array
_t1_des_toc:
.xword _t1_des_cwd_array
.xword _t1_des_src
.xword _t1_des_auth_key
.xword _t1_des_auth_iv
.xword _t1_des_fas_result
.xword _t1_des_key_array
.xword _t1_des_iv_array
.xword _t1_des_dest
.xword _t1_des_alignment_array
_t1_copy_toc:
.xword _t1_copy_cwd_array
.xword _t1_copy_src
.xword _t1_copy_auth_key
.xword _t1_copy_auth_iv
.xword _t1_copy_fas_result
.xword _t1_copy_key_array
.xword _t1_copy_iv_array
.xword _t1_copy_dest
.xword _t1_copy_alignment_array
_t1_crc_toc:
.xword _t1_crc_cwd_array
.xword _t1_crc_src
.xword _t1_crc_auth_key
.xword _t1_crc_auth_iv
.xword _t1_crc_fas_result
.xword _t1_crc_key_array
.xword _t1_crc_iv_array
.xword _t1_crc_dest
.xword _t1_crc_alignment_array
_t1_hash_toc:
.xword _t1_hash_cwd_array
.xword _t1_hash_src
.xword _t1_hash_auth_key
.xword _t1_hash_auth_iv
.xword _t1_hash_fas_result
.xword _t1_hash_key_array
.xword _t1_hash_iv_array
.xword _t1_hash_dest
.xword _t1_hash_alignment_array
_t1_hmac_toc:
.xword _t1_hmac_cwd_array
.xword _t1_hmac_src
.xword _t1_hmac_auth_key
.xword _t1_hmac_auth_iv
.xword _t1_hmac_fas_result
.xword _t1_hmac_key_array
.xword _t1_hmac_iv_array
.xword _t1_hmac_dest
.xword _t1_hmac_alignment_array
_t1_rc4_toc:
.xword _t1_rc4_cwd_array
.xword _t1_rc4_src
.xword _t1_rc4_auth_key
.xword _t1_rc4_auth_iv
.xword _t1_rc4_fas_result
.xword _t1_rc4_key_array
.xword _t1_rc4_iv_array
.xword _t1_rc4_dest
.xword _t1_rc4_alignment_array
_t1_sslkey_toc:
.xword _t1_sslkey_cwd_array
.xword _t1_sslkey_src
.xword _t1_sslkey_auth_key
.xword _t1_sslkey_auth_iv
.xword _t1_sslkey_fas_result
.xword _t1_sslkey_key_array
.xword _t1_sslkey_iv_array
.xword _t1_sslkey_dest
.xword _t1_sslkey_alignment_array
_t1_table_of_context7:
.xword _t1_aes_toc
.xword _t1_des_toc
.xword _t1_copy_toc
.xword _t1_crc_toc
.xword _t1_hash_toc
.xword _t1_hmac_toc
.xword _t1_rc4_toc
.xword _t1_sslkey_toc
!# CWQ data area, set aside 512 CW's worth
!# 512*8*8 = 32KB
.align 32*1024
_t1_cwq_base7:
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.align 32*1024
_t1_cwq_last7:
SECTION .T_MAX_DATA DATA_VA=0x10000000
attr_data {
Name = .T_MAX_DATA
hypervisor
}
.data
_t2_user_data_start:
_t2_scratch_area:
.align 8
.global _t2_ma_operands
_t2_ma_operands:
.xword 0xe18bceae979123e5
.xword 0x919c88381dd163cf
.xword 0x14789de0779de7d9
.xword 0x6f0a91ce1f311c6e
.xword 0xdadb97e39e5a3620
.xword 0xf52cf8ea3f5aaafc
.xword 0xc11dc82c06dac6b3
.xword 0x6c4a35723779ebb8
.xword 0x47d00ec3a2bfa1f5
.xword 0x7b04a37cbaea85c0
.xword 0x0903b63f250c5b93
.xword 0xeae35621f5f45cb2
.xword 0x11baaf31069c2171
.xword 0x8063bde47f40e7eb
.xword 0xcc05260a829a0b0d
.xword 0xbcf042dbac0e756d
.xword 0xedd4bb9078550e14
.xword 0xf97c2f5e39b27a5e
.xword 0xc36c0bb10f178b56
.xword 0xaf9718407e6e39b8
.xword 0x2a43edb9b54710b7
.xword 0x27984f16e76bdcd3
.xword 0x21ec142ddf9ef42e
.xword 0x3b6179b5b7e37b00
.xword 0x80084a328b08da14
.xword 0x6a2cea98d843605c
.xword 0xc7f0d9b331b57132
.xword 0x280b99bd508a5911
.xword 0xafa16bcffd4f8f6c
.xword 0x1acaa3859686123a
.xword 0x4f4b52c69c587aef
.xword 0x68aa687731d899f1
.xword 0x1e7d7974deb95314
.xword 0xfd37bd6fafd1e00b
.xword 0x3a4124feed77aada
.xword 0xedef3dcdc066f180
.xword 0x6bc7df1d27370284
.xword 0x26dfc8e0c1585337
.xword 0x7c6721d760e215a0
.xword 0x1a3012bcc862bd94
.xword 0x44d20d54960c0c05
.xword 0x7c1596c16f8df096
.xword 0x8eacf338a2ad9f4a
.xword 0x9299693cf36c8ba0
.xword 0x4639b17306c7bc23
.xword 0x4b92dad784f948e7
.xword 0xe51cdab8cc35c464
.xword 0x6b79ba442ec524ce
.xword 0xa9fd5e4cbf15fc94
.xword 0x3bf6c2bd6703cca0
.xword 0x025fe214da1d0daf
.xword 0xf5ae24024a98b421
.xword 0x25c3f48a09bc9357
.xword 0x0f0a64b5ab2871e0
.xword 0x885a7429a83160f2
.xword 0x647b487d31d9778a
.xword 0xda3ffa515f3ba9f9
.xword 0xbd80fc9363d4a008
.xword 0xa94e9df975c5ca8e
.xword 0xd715b12e1f116dcd
.xword 0x01366cb3bd234248
.xword 0x74ea60e78e4fcf32
.xword 0x6ddcff2716472a47
.xword 0x5ad7f36411d0fda8
.xword 0xa552f3f7c77f56e7
.xword 0xd52b8398053cbbc1
.xword 0x8fd1584c7ed28af5
.xword 0x863abba828249e72
.xword 0xcea8b77bbf78e94d
.xword 0xb111b4cdec564ab0
.xword 0x7a77aefc1806a0db
.xword 0xa744f0ae840c3f54
.xword 0xabdde601e27b34fa
.xword 0x3a204d47030a118b
.xword 0xb8f35ae2aabb22c1
.xword 0x78b95697f03ee7d3
.xword 0x426bfb5cc48165c3
.xword 0x87c46ab6b7fc30eb
.xword 0xa107ab38950889b5
.xword 0x7cf60e4ff3f1de31
.xword 0x042b8aca31592f6e
.xword 0x72ef35067ea07cab
.xword 0xc590a8dbf85da635
.xword 0x88a0b3faa7592643
.xword 0xca4e1c76567301b1
.xword 0xcc2552989455994d
.xword 0x22c0c4d0f067b2db
.xword 0x7237f5ffd5829bda
.xword 0x1c9a53a0e1937a85
.xword 0x9589d398c416eb20
.xword 0x7ae57498a79e136c
.xword 0xd74ae8a64a2ab100
.xword 0x6e5492946ed8d20b
.xword 0xf6cc7de7c94675c0
.xword 0x2cdb77469d35c0d9
.xword 0x61b36a2444008be1
.xword 0x4629f1578bb9ab0f
.xword 0xd848b8343a21c535
.xword 0x4e810e1f95739ad5
.xword 0xc3640dc55992fbf5
.xword 0x06e8392de2ea8b51
.xword 0x551403503a3a1343
.xword 0x36ff116f5cf97c79
.xword 0x791542fda438b10e
.xword 0x686b873d4f8833ca
.xword 0x10b6bdcdb976e7b9
.xword 0x1914ee918522dbb1
.xword 0x5a35a38fae50abc3
.xword 0xfe4d952bc148e33e
.xword 0x12167bd997f49b15
.xword 0xa1fec2c1400681d9
.xword 0xd46a75cab81a6cef
.xword 0xf87c4e660ed691c5
.xword 0x5b0d5e061d48c3c3
.xword 0x7c6f2e3d60d3d015
.xword 0x52af0ed5c1ef7389
.xword 0x70acc038cd4ca06b
.xword 0xbfe83f439a58d66a
.xword 0x772b6a18dfd24ed6
.xword 0x5e66c313460235de
.xword 0xca915e86fe21a4ca
.xword 0x3ca622ee85ffb952
.xword 0x62f4d9a6cb8b5930
.xword 0x99206e50da61c7dc
.xword 0xd86a6b6cedfef9e5
.xword 0xccfecc79a6740ec2
.xword 0x66fa4ee954dabe1c
.xword 0xc538bddadf171f76
.xword 0x75d2e614ba16ab3e
.xword 0x182d056e84b7b6eb
.xword 0x3c28e15b45384708
.xword 0xc5980ff7d752a1b9
.xword 0x601f606eb6d1de39
.xword 0x0c647d62bd5c0cfc
.xword 0x6f76c6c0d0d58c48
.xword 0xb58589a40be7fd6f
.xword 0x511b8480cacd82d7
.xword 0x950b621da022b4fe
.xword 0xc5716c15058e40e5
.xword 0x01188b89e9b5506c
.xword 0x6fed8658e6355f2a
.xword 0x75ab477daf1964c7
.xword 0x8374c1b3be1beb6b
.xword 0xa11faa33b5eb2480
.xword 0x07a410f4124c962b
.xword 0x51f278aa6a24af82
.xword 0x54954771e001605f
.xword 0x71022c9d23e74850
.xword 0x314de7e4e2dc2736
.xword 0x1d26a1df6a2afdb5
.xword 0x1eb2d3a2e5020769
.xword 0xe026991cd85c17bc
.xword 0xe2fdb4650abeed00
.xword 0x78bd3b7477960fe0
.xword 0xba35a79764f3d793
.xword 0x1d957f2af22df832
.xword 0x46363f9c5a71444c
.xword 0xa038af26bbe93648
.xword 0x5b3cdfcb7f9702fd
.xword 0x758e945b80f68d59
.xword 0xb29fb3b175e1b005
.xword 0xaa111d90a005a9f5
.xword 0xe97d49bb53dcf2f0
.xword 0xa3446f17caf1b673
.align 8
.global _t2_ma_operations
_t2_ma_operations:
.xword 0
.xword 0x00020077 | (0 << 18) !ma_ctl_Ld (0)
.xword 0
.xword 0x00020143 | (0 << 18) !ma_ctl_St (0)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (0)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (0)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (0)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (0)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (0)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (0)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (0)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (0)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (0)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (0)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (0)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (0)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (0)
.xword 0
.xword 0x00021116 | (0 << 18) !ma_ctl_StParity (0)
.xword 0
.xword 0x00020082 | (0 << 18) !ma_ctl_Ld (1)
.xword 0
.xword 0x00020170 | (0 << 18) !ma_ctl_St (1)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (1)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (1)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (1)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (1)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (1)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (1)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (1)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (1)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (1)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (1)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (1)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (1)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (1)
.xword 0
.xword 0x0002110f | (0 << 18) !ma_ctl_StParity (1)
.xword 0
.xword 0x0002006b | (0 << 18) !ma_ctl_Ld (2)
.xword 0
.xword 0x00020186 | (0 << 18) !ma_ctl_St (2)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (2)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (2)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (2)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (2)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (2)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (2)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (2)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (2)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (2)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (2)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (2)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (2)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (2)
.xword 0
.xword 0x00021112 | (0 << 18) !ma_ctl_StParity (2)
.xword 0
.xword 0x0002007d | (0 << 18) !ma_ctl_Ld (3)
.xword 0
.xword 0x0002014b | (0 << 18) !ma_ctl_St (3)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (3)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (3)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (3)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (3)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (3)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (3)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (3)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (3)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (3)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (3)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (3)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (3)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (3)
.xword 0
.xword 0x00021117 | (0 << 18) !ma_ctl_StParity (3)
.xword 0
.xword 0x0002007e | (0 << 18) !ma_ctl_Ld (4)
.xword 0
.xword 0x0002014c | (0 << 18) !ma_ctl_St (4)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (4)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (4)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (4)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (4)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (4)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (4)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (4)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (4)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (4)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (4)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (4)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (4)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (4)
.xword 0
.xword 0x00021112 | (0 << 18) !ma_ctl_StParity (4)
.xword 0
.xword 0x0002002d | (0 << 18) !ma_ctl_Ld (5)
.xword 0
.xword 0x0002013a | (0 << 18) !ma_ctl_St (5)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (5)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (5)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (5)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (5)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (5)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (5)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (5)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (5)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (5)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (5)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (5)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (5)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (5)
.xword 0
.xword 0x00021116 | (0 << 18) !ma_ctl_StParity (5)
.xword 0
.xword 0x0002007a | (0 << 18) !ma_ctl_Ld (6)
.xword 0
.xword 0x00020170 | (0 << 18) !ma_ctl_St (6)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (6)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (6)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (6)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (6)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (6)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (6)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (6)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (6)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (6)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (6)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (6)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (6)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (6)
.xword 0
.xword 0x0002110e | (0 << 18) !ma_ctl_StParity (6)
.xword 0
.xword 0x00020077 | (0 << 18) !ma_ctl_Ld (7)
.xword 0
.xword 0x00020165 | (0 << 18) !ma_ctl_St (7)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (7)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (7)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (7)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (7)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (7)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (7)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (7)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (7)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (7)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (7)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (7)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (7)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (7)
.xword 0
.xword 0x00021102 | (0 << 18) !ma_ctl_StParity (7)
.xword 0
.xword 0x00020060 | (0 << 18) !ma_ctl_Ld (8)
.xword 0
.xword 0x00020129 | (0 << 18) !ma_ctl_St (8)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (8)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (8)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (8)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (8)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (8)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (8)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (8)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (8)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (8)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (8)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (8)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (8)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (8)
.xword 0
.xword 0x00021110 | (0 << 18) !ma_ctl_StParity (8)
.xword 0
.xword 0x0002009c | (0 << 18) !ma_ctl_Ld (9)
.xword 0
.xword 0x00020171 | (0 << 18) !ma_ctl_St (9)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (9)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (9)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (9)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (9)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (9)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (9)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (9)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (9)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (9)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (9)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (9)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (9)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (9)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (9)
.xword 0
.xword 0x00020037 | (0 << 18) !ma_ctl_Ld (10)
.xword 0
.xword 0x00020167 | (0 << 18) !ma_ctl_St (10)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (10)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (10)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (10)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (10)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (10)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (10)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (10)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (10)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (10)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (10)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (10)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (10)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (10)
.xword 0
.xword 0x00021114 | (0 << 18) !ma_ctl_StParity (10)
.xword 0
.xword 0x00020029 | (0 << 18) !ma_ctl_Ld (11)
.xword 0
.xword 0x0002015d | (0 << 18) !ma_ctl_St (11)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (11)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (11)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (11)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (11)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (11)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (11)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (11)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (11)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (11)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (11)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (11)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (11)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (11)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (11)
.xword 0
.xword 0x00020040 | (0 << 18) !ma_ctl_Ld (12)
.xword 0
.xword 0x0002015b | (0 << 18) !ma_ctl_St (12)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (12)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (12)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (12)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (12)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (12)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (12)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (12)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (12)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (12)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (12)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (12)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (12)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (12)
.xword 0
.xword 0x0002111b | (0 << 18) !ma_ctl_StParity (12)
.xword 0
.xword 0x00020085 | (0 << 18) !ma_ctl_Ld (13)
.xword 0
.xword 0x0002016e | (0 << 18) !ma_ctl_St (13)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (13)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (13)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (13)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (13)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (13)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (13)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (13)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (13)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (13)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (13)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (13)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (13)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (13)
.xword 0
.xword 0x0002110a | (0 << 18) !ma_ctl_StParity (13)
.xword 0
.xword 0x0002005c | (0 << 18) !ma_ctl_Ld (14)
.xword 0
.xword 0x0002013b | (0 << 18) !ma_ctl_St (14)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (14)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (14)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (14)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (14)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (14)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (14)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (14)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (14)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (14)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (14)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (14)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (14)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (14)
.xword 0
.xword 0x00021112 | (0 << 18) !ma_ctl_StParity (14)
.xword 0
.xword 0x00020068 | (0 << 18) !ma_ctl_Ld (15)
.xword 0
.xword 0x0002016d | (0 << 18) !ma_ctl_St (15)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (15)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (15)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (15)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (15)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (15)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (15)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (15)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (15)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (15)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (15)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (15)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (15)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (15)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (15)
.xword 0
.xword 0x0002004a | (0 << 18) !ma_ctl_Ld (16)
.xword 0
.xword 0x00020129 | (0 << 18) !ma_ctl_St (16)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (16)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (16)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (16)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (16)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (16)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (16)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (16)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (16)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (16)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (16)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (16)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (16)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (16)
.xword 0
.xword 0x0002111e | (0 << 18) !ma_ctl_StParity (16)
.xword 0
.xword 0x0002003e | (0 << 18) !ma_ctl_Ld (17)
.xword 0
.xword 0x00020125 | (0 << 18) !ma_ctl_St (17)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (17)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (17)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (17)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (17)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (17)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (17)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (17)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (17)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (17)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (17)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (17)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (17)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (17)
.xword 0
.xword 0x00021115 | (0 << 18) !ma_ctl_StParity (17)
.xword 0
.xword 0x00020088 | (0 << 18) !ma_ctl_Ld (18)
.xword 0
.xword 0x00020159 | (0 << 18) !ma_ctl_St (18)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (18)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (18)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (18)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (18)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (18)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (18)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (18)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (18)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (18)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (18)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (18)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (18)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (18)
.xword 0
.xword 0x0002111d | (0 << 18) !ma_ctl_StParity (18)
.xword 0
.xword 0x00020090 | (0 << 18) !ma_ctl_Ld (19)
.xword 0
.xword 0x00020182 | (0 << 18) !ma_ctl_St (19)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (19)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (19)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (19)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (19)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (19)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (19)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (19)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (19)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (19)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (19)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (19)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (19)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (19)
.xword 0
.xword 0x00021106 | (0 << 18) !ma_ctl_StParity (19)
.xword 0
.xword 0x0002009d | (0 << 18) !ma_ctl_Ld (20)
.xword 0
.xword 0x00020122 | (0 << 18) !ma_ctl_St (20)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (20)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (20)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (20)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (20)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (20)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (20)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (20)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (20)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (20)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (20)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (20)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (20)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (20)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (20)
.xword 0
.xword 0x00020030 | (0 << 18) !ma_ctl_Ld (21)
.xword 0
.xword 0x0002013f | (0 << 18) !ma_ctl_St (21)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (21)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (21)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (21)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (21)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (21)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (21)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (21)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (21)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (21)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (21)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (21)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (21)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (21)
.xword 0
.xword 0x00021115 | (0 << 18) !ma_ctl_StParity (21)
.xword 0
.xword 0x00020078 | (0 << 18) !ma_ctl_Ld (22)
.xword 0
.xword 0x00020191 | (0 << 18) !ma_ctl_St (22)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (22)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (22)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (22)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (22)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (22)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (22)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (22)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (22)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (22)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (22)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (22)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (22)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (22)
.xword 0
.xword 0x0002111e | (0 << 18) !ma_ctl_StParity (22)
.xword 0
.xword 0x00020064 | (0 << 18) !ma_ctl_Ld (23)
.xword 0
.xword 0x00020171 | (0 << 18) !ma_ctl_St (23)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (23)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (23)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (23)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (23)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (23)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (23)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (23)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (23)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (23)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (23)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (23)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (23)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (23)
.xword 0
.xword 0x0002110a | (0 << 18) !ma_ctl_StParity (23)
.xword 0
.xword 0x00020082 | (0 << 18) !ma_ctl_Ld (24)
.xword 0
.xword 0x00020135 | (0 << 18) !ma_ctl_St (24)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (24)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (24)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (24)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (24)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (24)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (24)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (24)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (24)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (24)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (24)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (24)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (24)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (24)
.xword 0
.xword 0x00021103 | (0 << 18) !ma_ctl_StParity (24)
.xword 0
.xword 0x00020091 | (0 << 18) !ma_ctl_Ld (25)
.xword 0
.xword 0x00020190 | (0 << 18) !ma_ctl_St (25)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (25)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (25)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (25)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (25)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (25)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (25)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (25)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (25)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (25)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (25)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (25)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (25)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (25)
.xword 0
.xword 0x00021115 | (0 << 18) !ma_ctl_StParity (25)
.xword 0
.xword 0x0002006b | (0 << 18) !ma_ctl_Ld (26)
.xword 0
.xword 0x0002014d | (0 << 18) !ma_ctl_St (26)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (26)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (26)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (26)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (26)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (26)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (26)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (26)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (26)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (26)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (26)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (26)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (26)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (26)
.xword 0
.xword 0x00021108 | (0 << 18) !ma_ctl_StParity (26)
.align 8
.global _t2_ma_results
_t2_ma_results:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
SECTION ._t2_T_MAX_DATA1 DATA_VA=269484032
attr_data {
Name = ._t2_T_MAX_DATA1
hypervisor
}
.data
_t2_user_data_start:
_t2_scratch_area:
.align 8
.global _t2_ma_operands1
_t2_ma_operands1:
.xword 0xab32b3c688153ffc
.xword 0xd699798901a711a4
.xword 0x8dbb9ea7f5c25bf7
.xword 0x2a6ca06ca88d18c6
.xword 0xd8f7a257c628d631
.xword 0xc6fd1293f5f834ee
.xword 0xbc808f4ffd3bc5fb
.xword 0xa0f147e9925dd3b6
.xword 0xda16f417e016fd8b
.xword 0x3a5d9c7b62483a54
.xword 0x78e9cb4277e02f83
.xword 0x3b37ab8fb8570ad6
.xword 0x7646b3fb408c975b
.xword 0x9e7f3c395c3bb390
.xword 0x622381473be46066
.xword 0xb79398613dcda5ac
.xword 0xa98a05e40e899f40
.xword 0x4c2a666e55a5acf6
.xword 0xada132ba296725b7
.xword 0x4cac1040007042d2
.xword 0x93bf1b89a4057eeb
.xword 0xf27bfd4b0e3d78b8
.xword 0xe88eeb24753bb49d
.xword 0x8c75d719d3829fe1
.xword 0x2f76e9a9f64fdf4a
.xword 0xbcdce3fae595d1e1
.xword 0xa464d3dd86df084e
.xword 0xd4a3f08cca69d833
.xword 0x45c935afe58b0a13
.xword 0x161bc30e6bb07daa
.xword 0xd07a88f15ec9e967
.xword 0xc541f20797fb48c2
.xword 0x3fb70892161c8a08
.xword 0x5fe360d76cce2339
.xword 0x096c35ee763d1fc5
.xword 0x043de0f96a7d8e73
.xword 0x207c11396c75ca04
.xword 0x8251524e5cd3862c
.xword 0x2c959e317b1d583a
.xword 0x8b432f2b10233270
.xword 0x12d003bb10d7912a
.xword 0x3b6084b9d8010f62
.xword 0xa172f0377cfd9485
.xword 0xe24f5446c15d5163
.xword 0x82157d3c06d84ecf
.xword 0x94dcedb1309ec4c0
.xword 0x281a25c46b5c22f4
.xword 0x9c173ec241644426
.xword 0x43fb382377645a16
.xword 0x14d5ada8ca10b541
.xword 0xf94bac8d521c39d2
.xword 0x82b51737a3e85952
.xword 0x409d8f2bed24cfb8
.xword 0x7c50647d076a1e01
.xword 0x636faacefb7b7273
.xword 0x0caf32fc9517934d
.xword 0x2c1da604e7ed4281
.xword 0xe2ec7c314e701519
.xword 0x8e39266b012419aa
.xword 0x6102c84b6cbc4fbb
.xword 0x66dc1b17849cef67
.xword 0x0471c6e10218677e
.xword 0xf87481ebaa489c30
.xword 0x5792d567a4b0aa67
.xword 0x98e211cb8b35050e
.xword 0xcc8d4095e72a62a7
.xword 0x998d91ca01dfcd20
.xword 0x303e090456b572f6
.xword 0x919bb665bea8ebb9
.xword 0x9fcfa9e6aaa8d784
.xword 0xb1f72a0045b7b6fd
.xword 0xbcc5e9e4ea1dd697
.xword 0x9524e25c176a6471
.xword 0xacb1ea8d1b5acee4
.xword 0xa80ea57e1a7062a3
.xword 0x801de18e8ea49f6d
.xword 0xca60d8016724f66a
.xword 0x047c844600882d6b
.xword 0x74d0b8a46f2cac1e
.xword 0xeca131e6473e4b16
.xword 0xf222b755c53ebcf8
.xword 0xe09de4099e82d8cd
.xword 0xe32b057bd27b08c0
.xword 0x969f5f56e2fc467f
.xword 0x0ba18fe1146bd1a8
.xword 0xc10653dc43ebe1b8
.xword 0xfa9d10842b02303a
.xword 0x5b22a528d141021f
.xword 0x110b716b6a2e1cc0
.xword 0x2ba78fdaf551a828
.xword 0x1d5c95c5c2dc742f
.xword 0x4c257c9b60f7ddc4
.xword 0x2fa7182710d4521c
.xword 0x66a64057b54783b0
.xword 0x4c49ecb9d84e94eb
.xword 0xe65e659c37954471
.xword 0x5b3b053668834826
.xword 0x4575063ab7a9c72c
.xword 0x620e22684c27e18f
.xword 0x0a39c396e73d0e30
.xword 0x4c27b5dc3420aacb
.xword 0xab4878e0f38c0f37
.xword 0x0bb0ecdf9e23b7c8
.xword 0x23546d7cff1ed70a
.xword 0x0ffb53781d89dc43
.xword 0x698670339d3dc957
.xword 0x4ef397976d9acf61
.xword 0x98c2988fde67f6b7
.xword 0xb5a1447a8943507d
.xword 0x7eb69f0c068854a1
.xword 0x1dfadf5e9d155f47
.xword 0x8a6ad21a7de305e3
.xword 0x20a63596c456bad1
.xword 0x61d8d6698e3e66df
.xword 0x95e12a06cc2f511c
.xword 0x31ff22dddf0493e9
.xword 0xa72d7d9098641de5
.xword 0x0e86fcfbf8c34446
.xword 0x2d0e280ad81bc42f
.xword 0xef42dcc338397278
.xword 0x1c58a5b26b3884f6
.xword 0x0aa97e8c2287de94
.xword 0x16abb7c175f6654c
.xword 0x591ddb7a07d68861
.xword 0x643b8e3228156c4e
.xword 0x6b01cef7d2fc50c7
.xword 0xe23d005cbc8b9805
.xword 0xd8b2ae96aa05d478
.xword 0x1e377031f8110cae
.xword 0x69c78eb318c6b4a7
.xword 0x5145161a08c82d0c
.xword 0x97cc3f9615d1c307
.xword 0x3f2a01a8fc1f8dab
.xword 0x2cb154120005bd58
.xword 0x1ff3d57a54f1f82d
.xword 0x529592d936c4c7e1
.xword 0x937613bf50d392de
.xword 0x26dee5df57ba1687
.xword 0x617afcab13a5e486
.xword 0x129f20f3ec9ef45e
.xword 0x6118eb7c6a2ea1c8
.xword 0x2182028e58021a9e
.xword 0x541cf1ce949bb342
.xword 0x08e3b2d1d6eb4405
.xword 0x9b8dae2e28b42092
.xword 0x14b9c60ef6d21417
.xword 0xf514c35388708e25
.xword 0x1870911c8bb85f73
.xword 0x44d1d7c924c26fbf
.xword 0xe6f2a65978895993
.xword 0xcee19fd041027ff4
.xword 0xf129c50b92563ecf
.xword 0x7ec6201d1d16cb6c
.xword 0xd116ad6ec7645ffe
.xword 0xedd453764a2f4d10
.xword 0xe96ae164f9e90fbd
.xword 0x4eaa1cacede9d4d6
.xword 0xdccfa59202701cc2
.xword 0xc5b39e8f9544d922
.xword 0xac64dcfa9703632f
.xword 0xa7f66a9108c2f8cd
.xword 0xba312d0315ef65d7
.xword 0xbe3845b0d559ff2d
.xword 0x74df4a425e62582f
.align 8
.global _t2_ma_operations1
_t2_ma_operations1:
.xword 0
.xword 0x00020057 | (0 << 18) !ma_ctl_Ld (0)
.xword 0
.xword 0x00020188 | (0 << 18) !ma_ctl_St (0)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (0)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (0)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (0)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (0)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (0)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (0)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (0)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (0)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (0)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (0)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (0)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (0)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (0)
.xword 0
.xword 0x00021101 | (0 << 18) !ma_ctl_StParity (0)
.xword 0
.xword 0x00020037 | (0 << 18) !ma_ctl_Ld (1)
.xword 0
.xword 0x00020126 | (0 << 18) !ma_ctl_St (1)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (1)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (1)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (1)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (1)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (1)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (1)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (1)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (1)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (1)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (1)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (1)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (1)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (1)
.xword 0
.xword 0x0002111e | (0 << 18) !ma_ctl_StParity (1)
.xword 0
.xword 0x00020071 | (0 << 18) !ma_ctl_Ld (2)
.xword 0
.xword 0x00020147 | (0 << 18) !ma_ctl_St (2)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (2)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (2)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (2)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (2)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (2)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (2)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (2)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (2)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (2)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (2)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (2)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (2)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (2)
.xword 0
.xword 0x00021109 | (0 << 18) !ma_ctl_StParity (2)
.xword 0
.xword 0x00020052 | (0 << 18) !ma_ctl_Ld (3)
.xword 0
.xword 0x00020160 | (0 << 18) !ma_ctl_St (3)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (3)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (3)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (3)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (3)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (3)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (3)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (3)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (3)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (3)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (3)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (3)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (3)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (3)
.xword 0
.xword 0x00021100 | (0 << 18) !ma_ctl_StParity (3)
.xword 0
.xword 0x0002003d | (0 << 18) !ma_ctl_Ld (4)
.xword 0
.xword 0x0002013e | (0 << 18) !ma_ctl_St (4)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (4)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (4)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (4)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (4)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (4)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (4)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (4)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (4)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (4)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (4)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (4)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (4)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (4)
.xword 0
.xword 0x00021105 | (0 << 18) !ma_ctl_StParity (4)
.xword 0
.xword 0x00020068 | (0 << 18) !ma_ctl_Ld (5)
.xword 0
.xword 0x00020168 | (0 << 18) !ma_ctl_St (5)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (5)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (5)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (5)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (5)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (5)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (5)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (5)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (5)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (5)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (5)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (5)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (5)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (5)
.xword 0
.xword 0x00021100 | (0 << 18) !ma_ctl_StParity (5)
.xword 0
.xword 0x0002003d | (0 << 18) !ma_ctl_Ld (6)
.xword 0
.xword 0x0002015c | (0 << 18) !ma_ctl_St (6)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (6)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (6)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (6)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (6)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (6)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (6)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (6)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (6)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (6)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (6)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (6)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (6)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (6)
.xword 0
.xword 0x0002110e | (0 << 18) !ma_ctl_StParity (6)
.xword 0
.xword 0x00020085 | (0 << 18) !ma_ctl_Ld (7)
.xword 0
.xword 0x00020177 | (0 << 18) !ma_ctl_St (7)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (7)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (7)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (7)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (7)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (7)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (7)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (7)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (7)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (7)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (7)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (7)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (7)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (7)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (7)
.xword 0
.xword 0x00020035 | (0 << 18) !ma_ctl_Ld (8)
.xword 0
.xword 0x00020186 | (0 << 18) !ma_ctl_St (8)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (8)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (8)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (8)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (8)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (8)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (8)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (8)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (8)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (8)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (8)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (8)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (8)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (8)
.xword 0
.xword 0x00021109 | (0 << 18) !ma_ctl_StParity (8)
.xword 0
.xword 0x00020061 | (0 << 18) !ma_ctl_Ld (9)
.xword 0
.xword 0x00020122 | (0 << 18) !ma_ctl_St (9)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (9)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (9)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (9)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (9)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (9)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (9)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (9)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (9)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (9)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (9)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (9)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (9)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (9)
.xword 0
.xword 0x00021104 | (0 << 18) !ma_ctl_StParity (9)
.xword 0
.xword 0x00020078 | (0 << 18) !ma_ctl_Ld (10)
.xword 0
.xword 0x00020188 | (0 << 18) !ma_ctl_St (10)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (10)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (10)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (10)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (10)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (10)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (10)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (10)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (10)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (10)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (10)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (10)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (10)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (10)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (10)
.xword 0
.xword 0x0002006f | (0 << 18) !ma_ctl_Ld (11)
.xword 0
.xword 0x00020188 | (0 << 18) !ma_ctl_St (11)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (11)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (11)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (11)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (11)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (11)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (11)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (11)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (11)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (11)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (11)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (11)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (11)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (11)
.xword 0
.xword 0x0002111d | (0 << 18) !ma_ctl_StParity (11)
.xword 0
.xword 0x0002004d | (0 << 18) !ma_ctl_Ld (12)
.xword 0
.xword 0x00020155 | (0 << 18) !ma_ctl_St (12)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (12)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (12)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (12)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (12)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (12)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (12)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (12)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (12)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (12)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (12)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (12)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (12)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (12)
.xword 0
.xword 0x0002110e | (0 << 18) !ma_ctl_StParity (12)
.xword 0
.xword 0x0002007d | (0 << 18) !ma_ctl_Ld (13)
.xword 0
.xword 0x00020159 | (0 << 18) !ma_ctl_St (13)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (13)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (13)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (13)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (13)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (13)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (13)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (13)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (13)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (13)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (13)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (13)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (13)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (13)
.xword 0
.xword 0x00021119 | (0 << 18) !ma_ctl_StParity (13)
.xword 0
.xword 0x0002006d | (0 << 18) !ma_ctl_Ld (14)
.xword 0
.xword 0x0002016f | (0 << 18) !ma_ctl_St (14)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (14)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (14)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (14)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (14)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (14)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (14)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (14)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (14)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (14)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (14)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (14)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (14)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (14)
.xword 0
.xword 0x00021100 | (0 << 18) !ma_ctl_StParity (14)
.xword 0
.xword 0x00020085 | (0 << 18) !ma_ctl_Ld (15)
.xword 0
.xword 0x00020168 | (0 << 18) !ma_ctl_St (15)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (15)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (15)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (15)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (15)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (15)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (15)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (15)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (15)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (15)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (15)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (15)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (15)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (15)
.xword 0
.xword 0x00021100 | (0 << 18) !ma_ctl_StParity (15)
.xword 0
.xword 0x00020062 | (0 << 18) !ma_ctl_Ld (16)
.xword 0
.xword 0x0002016f | (0 << 18) !ma_ctl_St (16)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (16)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (16)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (16)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (16)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (16)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (16)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (16)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (16)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (16)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (16)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (16)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (16)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (16)
.xword 0
.xword 0x00021109 | (0 << 18) !ma_ctl_StParity (16)
.xword 0
.xword 0x0002009c | (0 << 18) !ma_ctl_Ld (17)
.xword 0
.xword 0x00020146 | (0 << 18) !ma_ctl_St (17)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (17)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (17)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (17)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (17)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (17)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (17)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (17)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (17)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (17)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (17)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (17)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (17)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (17)
.xword 0
.xword 0x0002110f | (0 << 18) !ma_ctl_StParity (17)
.xword 0
.xword 0x0002007f | (0 << 18) !ma_ctl_Ld (18)
.xword 0
.xword 0x00020124 | (0 << 18) !ma_ctl_St (18)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (18)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (18)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (18)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (18)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (18)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (18)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (18)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (18)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (18)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (18)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (18)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (18)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (18)
.xword 0
.xword 0x0002110c | (0 << 18) !ma_ctl_StParity (18)
.xword 0
.xword 0x0002003d | (0 << 18) !ma_ctl_Ld (19)
.xword 0
.xword 0x00020141 | (0 << 18) !ma_ctl_St (19)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (19)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (19)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (19)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (19)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (19)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (19)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (19)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (19)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (19)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (19)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (19)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (19)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (19)
.xword 0
.xword 0x00021112 | (0 << 18) !ma_ctl_StParity (19)
.xword 0
.xword 0x0002008a | (0 << 18) !ma_ctl_Ld (20)
.xword 0
.xword 0x0002016f | (0 << 18) !ma_ctl_St (20)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (20)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (20)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (20)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (20)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (20)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (20)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (20)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (20)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (20)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (20)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (20)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (20)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (20)
.xword 0
.xword 0x0002111a | (0 << 18) !ma_ctl_StParity (20)
.xword 0
.xword 0x00020071 | (0 << 18) !ma_ctl_Ld (21)
.xword 0
.xword 0x00020150 | (0 << 18) !ma_ctl_St (21)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (21)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (21)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (21)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (21)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (21)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (21)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (21)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (21)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (21)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (21)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (21)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (21)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (21)
.xword 0
.xword 0x00021106 | (0 << 18) !ma_ctl_StParity (21)
.xword 0
.xword 0x0002009f | (0 << 18) !ma_ctl_Ld (22)
.xword 0
.xword 0x00020158 | (0 << 18) !ma_ctl_St (22)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (22)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (22)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (22)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (22)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (22)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (22)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (22)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (22)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (22)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (22)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (22)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (22)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (22)
.xword 0
.xword 0x00021117 | (0 << 18) !ma_ctl_StParity (22)
.xword 0
.xword 0x00020026 | (0 << 18) !ma_ctl_Ld (23)
.xword 0
.xword 0x00020135 | (0 << 18) !ma_ctl_St (23)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (23)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (23)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (23)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (23)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (23)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (23)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (23)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (23)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (23)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (23)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (23)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (23)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (23)
.xword 0
.xword 0x00021116 | (0 << 18) !ma_ctl_StParity (23)
.xword 0
.xword 0x00020089 | (0 << 18) !ma_ctl_Ld (24)
.xword 0
.xword 0x00020160 | (0 << 18) !ma_ctl_St (24)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (24)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (24)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (24)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (24)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (24)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (24)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (24)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (24)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (24)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (24)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (24)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (24)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (24)
.xword 0
.xword 0x00021106 | (0 << 18) !ma_ctl_StParity (24)
.xword 0
.xword 0x00020023 | (0 << 18) !ma_ctl_Ld (25)
.xword 0
.xword 0x00020134 | (0 << 18) !ma_ctl_St (25)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (25)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (25)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (25)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (25)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (25)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (25)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (25)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (25)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (25)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (25)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (25)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (25)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (25)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (25)
.xword 0
.xword 0x00020098 | (0 << 18) !ma_ctl_Ld (26)
.xword 0
.xword 0x00020166 | (0 << 18) !ma_ctl_St (26)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (26)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (26)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (26)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (26)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (26)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (26)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (26)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (26)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (26)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (26)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (26)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (26)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (26)
.xword 0
.xword 0x00021115 | (0 << 18) !ma_ctl_StParity (26)
.align 8
.global _t2_ma_results1
_t2_ma_results1:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
SECTION ._t2_T_MAX_DATA2 DATA_VA=270532608
attr_data {
Name = ._t2_T_MAX_DATA2
hypervisor
}
.data
_t2_user_data_start:
_t2_scratch_area:
.align 8
.global _t2_ma_operands2
_t2_ma_operands2:
.xword 0xb45cf5670d22f834
.xword 0xd6e4fef222e65c2c
.xword 0x1030c88e0bb778a6
.xword 0xf5de9819299c0838
.xword 0x08a1c071fa8b0f64
.xword 0xbd42f6d651548540
.xword 0x7dd63657fd4dc8e8
.xword 0x994a5abf3e5e3369
.xword 0x4a4caae62307ff98
.xword 0x47c3c6eb74541e70
.xword 0x284fd751daf44845
.xword 0x0df65a244497db54
.xword 0xc902b75a87de4a51
.xword 0xb4f2e28905bcfc87
.xword 0x1da29ea0658067d9
.xword 0x527b6861e8ed7b5f
.xword 0x21050626108edb8c
.xword 0x3f3415d9fba4124a
.xword 0x4c247c9a2f7b49b7
.xword 0xcc7e02b315aa1863
.xword 0x4cda373969f5bd4a
.xword 0xd855f70816059bd6
.xword 0xd2e837b89ca4a616
.xword 0xe0e471de8f36fb36
.xword 0x34c31aae418763df
.xword 0xe18071080b82287e
.xword 0x6e6ec99c3f87f387
.xword 0x9044b2ce7a4d6041
.xword 0x354a3862619fdcbe
.xword 0xf407c80869d115a1
.xword 0x9998547b73cf2b69
.xword 0x6964ee32ed709686
.xword 0x7ee75129a5031254
.xword 0x49b5da23a9c07e5a
.xword 0x35cc47fc2bc6fa20
.xword 0xabbffaa1497194e6
.xword 0x97786208d9ae1d2e
.xword 0x10ef9e0a3836c4bf
.xword 0x7f96c00b2e3cc18d
.xword 0xee8e3ce0a1f5b3e0
.xword 0x10bb9aa132159b58
.xword 0x54301e91eb5bed52
.xword 0x25f2605c5db8d400
.xword 0x602ee9fc3e92a593
.xword 0x1e485ca4a35857cc
.xword 0xd8cfd8d38d9ccd61
.xword 0x3ecf97ed7ad6407a
.xword 0x408b1a72ecd56770
.xword 0x7d040f9fbe0ff318
.xword 0x915275cdfb2cf71a
.xword 0x6b4c70f4fc524490
.xword 0xc38d82bf11f9631a
.xword 0x1383585a08c4566f
.xword 0x5b015536bcc8f97b
.xword 0xb2238812e90f3d63
.xword 0x8fa92e34fa37c3cd
.xword 0x6fc962c6699f665b
.xword 0xa45e8104349b22f6
.xword 0x20f237da726919e2
.xword 0xe67262e766487431
.xword 0xffc69cd1eca0331d
.xword 0xd304cd24c92ff448
.xword 0x6ab9a5a632818814
.xword 0xcc3d17cdcfb3bbda
.xword 0x0ba1083ac8a18711
.xword 0xccf159ae1f2f69bf
.xword 0x8c652171f6607eba
.xword 0x472550e25154d944
.xword 0xf5be4552e4e1f843
.xword 0xcf5292c4f815f343
.xword 0x2615b0e463222331
.xword 0x5835a37c950e3130
.xword 0x11c0e9df74ab559b
.xword 0xeb18c6b9b0f97728
.xword 0x827fa4d6993e13df
.xword 0x790671353a4b4ee2
.xword 0x56cb5f38087d6273
.xword 0x306a5077aa021632
.xword 0x4a5c9d0dc07704c9
.xword 0xe05699207d536f66
.xword 0x512147db3e7c4d9c
.xword 0xec4337620d656950
.xword 0x8a6417192b675d6e
.xword 0x71eb6934e9beaea4
.xword 0x88f86cfad2463ad6
.xword 0xe475b10298d39253
.xword 0x804816acc7268ad1
.xword 0xf62d502818c887d1
.xword 0x9cd66e81d1038bad
.xword 0x436be51e1c684ce8
.xword 0x25f70d4e3d8226e4
.xword 0x1c22c8eb624f2a6d
.xword 0x032b5e26f9477090
.xword 0x2ff6352c4caa3e05
.xword 0xec2b614574d8427b
.xword 0x32baab5bfd5fe2bb
.xword 0x9ff72a882b41a864
.xword 0xc157a9615f97edde
.xword 0xa92685c0fac8650e
.xword 0x53082fff47fc63de
.xword 0x0fa59788fcd51230
.xword 0x521bf76d3f004c71
.xword 0x4c017d5e782c49f1
.xword 0x73cce95d9d83e271
.xword 0xaa66835246f07ad6
.xword 0xfb98a0f7c7efce51
.xword 0xe5c847b1596341d5
.xword 0x85c30a3eb9e87e36
.xword 0xe69574f64def761f
.xword 0x0f9e8c23233ca50e
.xword 0x996bad1cf4a28e2c
.xword 0x5732a0858315f27c
.xword 0x367c553b019541b7
.xword 0x7768b7148c2907d8
.xword 0x1689cad45bda0a0b
.xword 0x96ad3a9f204bd267
.xword 0x2e9c9c42dd6de6af
.xword 0x39d48c0b169dcbff
.xword 0xadbd100c5a144ebf
.xword 0xfee836ff89376e55
.xword 0x4e19e1a470297fdb
.xword 0x629b978bc29fc3a3
.xword 0x85eda1d42249549c
.xword 0x9cbbb6dcdf144568
.xword 0x5329b454a6274d9b
.xword 0x70b1672d1b39dd3f
.xword 0x3a4c68b84881ce81
.xword 0xe7340f06db0aefea
.xword 0xa771a9324f280628
.xword 0x7e6932893d4b5d9b
.xword 0xe8ef64543d607b7b
.xword 0xf749ebdd17a50608
.xword 0x0864a9566376cb59
.xword 0x1d90adc1fe6dd909
.xword 0x9dd43f0792e7d57f
.xword 0xf55bb3c2fb56ab96
.xword 0x17e69df773400cb7
.xword 0x7661a516114fc64b
.xword 0xb1dad17167e94171
.xword 0x3734f76e03b62ac4
.xword 0xca2705dba3765c6a
.xword 0x0b258943f9c5c5b4
.xword 0xee0afed8ad2cb0c6
.xword 0x79be54b005d83142
.xword 0x9933b0be5a6b2f2a
.xword 0x482d5c40b41bb40e
.xword 0x14ede0a1dc52b338
.xword 0xa88b07bf10f55298
.xword 0x1f9e4e3b1f1e8f20
.xword 0xe74d477df570a879
.xword 0x5cb83e79e318f5c3
.xword 0x3cf189bf207149d2
.xword 0xf915f0a0ace59d5f
.xword 0xf23cb4188c17109c
.xword 0xadb39f38e50178ba
.xword 0x4f3c79e00cbce4ee
.xword 0x7393fb72f41e0743
.xword 0x26238a1a227aea68
.xword 0x9db507c815307475
.xword 0x705f46161b64b278
.xword 0xf410a619c88c70c2
.xword 0x4acc924a694e3929
.xword 0x28a92bca61e480e0
.xword 0x98face222c905573
.align 8
.global _t2_ma_operations2
_t2_ma_operations2:
.xword 0
.xword 0x0002003d | (0 << 18) !ma_ctl_Ld (0)
.xword 0
.xword 0x0002013a | (0 << 18) !ma_ctl_St (0)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (0)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (0)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (0)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (0)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (0)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (0)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (0)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (0)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (0)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (0)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (0)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (0)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (0)
.xword 0
.xword 0x00021116 | (0 << 18) !ma_ctl_StParity (0)
.xword 0
.xword 0x0002007e | (0 << 18) !ma_ctl_Ld (1)
.xword 0
.xword 0x0002016e | (0 << 18) !ma_ctl_St (1)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (1)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (1)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (1)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (1)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (1)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (1)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (1)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (1)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (1)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (1)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (1)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (1)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (1)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (1)
.xword 0
.xword 0x00020076 | (0 << 18) !ma_ctl_Ld (2)
.xword 0
.xword 0x00020165 | (0 << 18) !ma_ctl_St (2)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (2)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (2)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (2)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (2)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (2)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (2)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (2)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (2)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (2)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (2)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (2)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (2)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (2)
.xword 0
.xword 0x00021111 | (0 << 18) !ma_ctl_StParity (2)
.xword 0
.xword 0x00020024 | (0 << 18) !ma_ctl_Ld (3)
.xword 0
.xword 0x00020158 | (0 << 18) !ma_ctl_St (3)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (3)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (3)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (3)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (3)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (3)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (3)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (3)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (3)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (3)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (3)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (3)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (3)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (3)
.xword 0
.xword 0x00021109 | (0 << 18) !ma_ctl_StParity (3)
.xword 0
.xword 0x00020050 | (0 << 18) !ma_ctl_Ld (4)
.xword 0
.xword 0x00020150 | (0 << 18) !ma_ctl_St (4)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (4)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (4)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (4)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (4)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (4)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (4)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (4)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (4)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (4)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (4)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (4)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (4)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (4)
.xword 0
.xword 0x00021104 | (0 << 18) !ma_ctl_StParity (4)
.xword 0
.xword 0x00020025 | (0 << 18) !ma_ctl_Ld (5)
.xword 0
.xword 0x0002017d | (0 << 18) !ma_ctl_St (5)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (5)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (5)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (5)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (5)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (5)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (5)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (5)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (5)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (5)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (5)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (5)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (5)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (5)
.xword 0
.xword 0x00021104 | (0 << 18) !ma_ctl_StParity (5)
.xword 0
.xword 0x00020073 | (0 << 18) !ma_ctl_Ld (6)
.xword 0
.xword 0x00020187 | (0 << 18) !ma_ctl_St (6)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (6)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (6)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (6)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (6)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (6)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (6)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (6)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (6)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (6)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (6)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (6)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (6)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (6)
.xword 0
.xword 0x00021115 | (0 << 18) !ma_ctl_StParity (6)
.xword 0
.xword 0x0002002c | (0 << 18) !ma_ctl_Ld (7)
.xword 0
.xword 0x00020135 | (0 << 18) !ma_ctl_St (7)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (7)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (7)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (7)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (7)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (7)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (7)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (7)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (7)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (7)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (7)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (7)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (7)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (7)
.xword 0
.xword 0x00021106 | (0 << 18) !ma_ctl_StParity (7)
.xword 0
.xword 0x0002006a | (0 << 18) !ma_ctl_Ld (8)
.xword 0
.xword 0x0002013f | (0 << 18) !ma_ctl_St (8)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (8)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (8)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (8)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (8)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (8)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (8)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (8)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (8)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (8)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (8)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (8)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (8)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (8)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (8)
.xword 0
.xword 0x00020083 | (0 << 18) !ma_ctl_Ld (9)
.xword 0
.xword 0x0002015b | (0 << 18) !ma_ctl_St (9)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (9)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (9)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (9)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (9)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (9)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (9)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (9)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (9)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (9)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (9)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (9)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (9)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (9)
.xword 0
.xword 0x0002111e | (0 << 18) !ma_ctl_StParity (9)
.xword 0
.xword 0x00020088 | (0 << 18) !ma_ctl_Ld (10)
.xword 0
.xword 0x00020154 | (0 << 18) !ma_ctl_St (10)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (10)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (10)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (10)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (10)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (10)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (10)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (10)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (10)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (10)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (10)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (10)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (10)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (10)
.xword 0
.xword 0x0002111b | (0 << 18) !ma_ctl_StParity (10)
.xword 0
.xword 0x00020079 | (0 << 18) !ma_ctl_Ld (11)
.xword 0
.xword 0x0002013a | (0 << 18) !ma_ctl_St (11)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (11)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (11)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (11)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (11)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (11)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (11)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (11)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (11)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (11)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (11)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (11)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (11)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (11)
.xword 0
.xword 0x0002110e | (0 << 18) !ma_ctl_StParity (11)
.xword 0
.xword 0x0002006c | (0 << 18) !ma_ctl_Ld (12)
.xword 0
.xword 0x0002015c | (0 << 18) !ma_ctl_St (12)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (12)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (12)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (12)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (12)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (12)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (12)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (12)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (12)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (12)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (12)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (12)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (12)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (12)
.xword 0
.xword 0x0002110c | (0 << 18) !ma_ctl_StParity (12)
.xword 0
.xword 0x00020090 | (0 << 18) !ma_ctl_Ld (13)
.xword 0
.xword 0x00020141 | (0 << 18) !ma_ctl_St (13)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (13)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (13)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (13)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (13)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (13)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (13)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (13)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (13)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (13)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (13)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (13)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (13)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (13)
.xword 0
.xword 0x00021101 | (0 << 18) !ma_ctl_StParity (13)
.xword 0
.xword 0x0002008a | (0 << 18) !ma_ctl_Ld (14)
.xword 0
.xword 0x00020142 | (0 << 18) !ma_ctl_St (14)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (14)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (14)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (14)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (14)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (14)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (14)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (14)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (14)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (14)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (14)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (14)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (14)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (14)
.xword 0
.xword 0x00021110 | (0 << 18) !ma_ctl_StParity (14)
.xword 0
.xword 0x00020083 | (0 << 18) !ma_ctl_Ld (15)
.xword 0
.xword 0x00020179 | (0 << 18) !ma_ctl_St (15)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (15)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (15)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (15)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (15)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (15)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (15)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (15)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (15)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (15)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (15)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (15)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (15)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (15)
.xword 0
.xword 0x00021111 | (0 << 18) !ma_ctl_StParity (15)
.xword 0
.xword 0x00020026 | (0 << 18) !ma_ctl_Ld (16)
.xword 0
.xword 0x0002016e | (0 << 18) !ma_ctl_St (16)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (16)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (16)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (16)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (16)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (16)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (16)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (16)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (16)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (16)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (16)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (16)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (16)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (16)
.xword 0
.xword 0x00021116 | (0 << 18) !ma_ctl_StParity (16)
.xword 0
.xword 0x00020054 | (0 << 18) !ma_ctl_Ld (17)
.xword 0
.xword 0x00020131 | (0 << 18) !ma_ctl_St (17)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (17)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (17)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (17)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (17)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (17)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (17)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (17)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (17)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (17)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (17)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (17)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (17)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (17)
.xword 0
.xword 0x00021119 | (0 << 18) !ma_ctl_StParity (17)
.xword 0
.xword 0x00020076 | (0 << 18) !ma_ctl_Ld (18)
.xword 0
.xword 0x00020130 | (0 << 18) !ma_ctl_St (18)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (18)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (18)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (18)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (18)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (18)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (18)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (18)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (18)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (18)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (18)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (18)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (18)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (18)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (18)
.xword 0
.xword 0x00020056 | (0 << 18) !ma_ctl_Ld (19)
.xword 0
.xword 0x00020165 | (0 << 18) !ma_ctl_St (19)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (19)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (19)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (19)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (19)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (19)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (19)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (19)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (19)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (19)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (19)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (19)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (19)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (19)
.xword 0
.xword 0x0002110f | (0 << 18) !ma_ctl_StParity (19)
.xword 0
.xword 0x00020091 | (0 << 18) !ma_ctl_Ld (20)
.xword 0
.xword 0x00020134 | (0 << 18) !ma_ctl_St (20)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (20)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (20)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (20)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (20)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (20)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (20)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (20)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (20)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (20)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (20)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (20)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (20)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (20)
.xword 0
.xword 0x0002111e | (0 << 18) !ma_ctl_StParity (20)
.xword 0
.xword 0x0002006c | (0 << 18) !ma_ctl_Ld (21)
.xword 0
.xword 0x0002013f | (0 << 18) !ma_ctl_St (21)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (21)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (21)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (21)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (21)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (21)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (21)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (21)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (21)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (21)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (21)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (21)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (21)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (21)
.xword 0
.xword 0x00021102 | (0 << 18) !ma_ctl_StParity (21)
.xword 0
.xword 0x00020062 | (0 << 18) !ma_ctl_Ld (22)
.xword 0
.xword 0x00020168 | (0 << 18) !ma_ctl_St (22)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (22)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (22)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (22)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (22)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (22)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (22)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (22)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (22)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (22)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (22)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (22)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (22)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (22)
.xword 0
.xword 0x00021118 | (0 << 18) !ma_ctl_StParity (22)
.xword 0
.xword 0x00020032 | (0 << 18) !ma_ctl_Ld (23)
.xword 0
.xword 0x00020153 | (0 << 18) !ma_ctl_St (23)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (23)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (23)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (23)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (23)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (23)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (23)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (23)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (23)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (23)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (23)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (23)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (23)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (23)
.xword 0
.xword 0x0002111b | (0 << 18) !ma_ctl_StParity (23)
.xword 0
.xword 0x0002004b | (0 << 18) !ma_ctl_Ld (24)
.xword 0
.xword 0x0002018f | (0 << 18) !ma_ctl_St (24)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (24)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (24)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (24)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (24)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (24)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (24)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (24)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (24)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (24)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (24)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (24)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (24)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (24)
.xword 0
.xword 0x00021101 | (0 << 18) !ma_ctl_StParity (24)
.xword 0
.xword 0x00020059 | (0 << 18) !ma_ctl_Ld (25)
.xword 0
.xword 0x00020188 | (0 << 18) !ma_ctl_St (25)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (25)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (25)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (25)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (25)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (25)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (25)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (25)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (25)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (25)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (25)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (25)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (25)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (25)
.xword 0
.xword 0x00021115 | (0 << 18) !ma_ctl_StParity (25)
.xword 0
.xword 0x00020040 | (0 << 18) !ma_ctl_Ld (26)
.xword 0
.xword 0x00020175 | (0 << 18) !ma_ctl_St (26)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (26)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (26)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (26)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (26)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (26)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (26)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (26)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (26)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (26)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (26)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (26)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (26)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (26)
.xword 0
.xword 0x00021102 | (0 << 18) !ma_ctl_StParity (26)
.align 8
.global _t2_ma_results2
_t2_ma_results2:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
SECTION ._t2_T_MAX_DATA3 DATA_VA=271581184
attr_data {
Name = ._t2_T_MAX_DATA3
hypervisor
}
.data
_t2_user_data_start:
_t2_scratch_area:
.align 8
.global _t2_ma_operands3
_t2_ma_operands3:
.xword 0x73e26f89acdc554b
.xword 0x7d8a1e7208ddacfd
.xword 0x63afcc50fc744514
.xword 0x93c147695fed4f16
.xword 0x77be5014ce46e543
.xword 0x91348140a33408af
.xword 0x1eb71acf8e758635
.xword 0xddebc21a897a192a
.xword 0x845aa211ad0ee0ed
.xword 0x8b6fab796dee6577
.xword 0x97a410ce56fc11cf
.xword 0x16c3c9651c0f0c92
.xword 0x5807b6474ea9f4cb
.xword 0x5adc8f3964a36e5c
.xword 0x503f571823bda466
.xword 0x03452c3c1bb76aa2
.xword 0x48512c4d2a5ae347
.xword 0x0d1db7f1ca692154
.xword 0x56585eae55a6115f
.xword 0xc1197ba020ae2b4a
.xword 0xa31afa48374ea77c
.xword 0xadb108800919712e
.xword 0xf6ed3ba3a392e197
.xword 0x8e3aaca95da115b6
.xword 0xfa3d33d586aa1b7e
.xword 0x644324194ef933ee
.xword 0x22f0611769915e0a
.xword 0xcc9a7fdcc6cafcd9
.xword 0x803931fdf64611a8
.xword 0xae9a6bb48b34f006
.xword 0x295c2d13310a3622
.xword 0x24fc9bba8f2a97a8
.xword 0x4ce8f883b051f2f1
.xword 0x9ae330f877e67867
.xword 0x0adeeddcf6c37254
.xword 0xd1a05dba86d5cc13
.xword 0x8fd4fb3d501ee707
.xword 0x0962779789f7837c
.xword 0xb7c2afb49b543681
.xword 0x947c60d57bdd9668
.xword 0x2c83b46458c628a8
.xword 0xe65a511b4e8ce557
.xword 0xcc662d30b9696a3c
.xword 0x50db29dbe48793e9
.xword 0x80e58959e58cccbe
.xword 0x31f9bbcca001d3a0
.xword 0xe24bd47c4f6fd0c9
.xword 0x5765f4c6835a73b4
.xword 0xce0deccb197ad4ef
.xword 0x8c3c845cd26fa1d0
.xword 0x70e91d94f17d424b
.xword 0x512f3445fbcce688
.xword 0xe18eebc56e2b537a
.xword 0xdaf07a760def7e00
.xword 0x6b6bd45874be96b4
.xword 0xec8c401005a8fdb7
.xword 0xacbc02653dcfdf5b
.xword 0x45cc9cc4835c3847
.xword 0xc308919db266fe80
.xword 0xd203f632cc00aaeb
.xword 0x76327295be6472f5
.xword 0xbcb34b02a226e996
.xword 0xbd7b1571b9a1b4c3
.xword 0x72d24ed1639c0cf7
.xword 0x829b5801f635e47a
.xword 0x0262251e8f6d0a1c
.xword 0x3344c3083984f5aa
.xword 0xe3586adeec91224b
.xword 0xbd15339ab42f682e
.xword 0x891489e1d562bd97
.xword 0x0649d8b06026330e
.xword 0x906203802a5aa651
.xword 0x79d89b1cfa7525ce
.xword 0x242324dab8210097
.xword 0x7acf75c351fd1a36
.xword 0x6d0335db285a2615
.xword 0xdf49e3a5133584c4
.xword 0x8973d1289a6da74c
.xword 0xe9c207f682b4f101
.xword 0x7af36322b4ef867c
.xword 0x860623fbcdc31934
.xword 0x85cf538e0702220f
.xword 0x29efae803d50a6d7
.xword 0x0c0a1336ac85cfd4
.xword 0x34841efac2bb724c
.xword 0x53f6205a390af812
.xword 0xd17f5e01ccfd131c
.xword 0x679e26d4031f622b
.xword 0x990c4b8d971428dc
.xword 0x2f489b4d824d38a1
.xword 0x452bebd0025edffa
.xword 0x31631a4251d748d4
.xword 0xd87c332c99df417e
.xword 0x0b22114dbf44e3f8
.xword 0x5a903be298283982
.xword 0xe7008c8a2574af59
.xword 0x6890ca9092be7ac6
.xword 0xdffd072975b194fb
.xword 0x2b41be06bcb7b990
.xword 0x448a0c7a0f13b120
.xword 0x640ed8d5b6573b8a
.xword 0xdc0116d9b980bd32
.xword 0xabcb5af1958d3488
.xword 0x13c99de664be03be
.xword 0x0e5be3745329999d
.xword 0x04ffa90579c9be52
.xword 0x8c657a3ce6f7a50e
.xword 0x0170d566bd98b7b2
.xword 0x54a3be1b39bca401
.xword 0xc813cecf34c7a539
.xword 0x2f02261e03a8e125
.xword 0xe6f156dde16a2ac9
.xword 0xf3be22210e8e6a83
.xword 0xf4b107c60c8edb97
.xword 0x3c5d88588bf66853
.xword 0x449c50dda835b0a2
.xword 0x60ce9f51629893ef
.xword 0x0f663ffd328d4e42
.xword 0x1780cf216d56f7ad
.xword 0xe6f48ef59c625687
.xword 0x24ec3a7c1f07a0c0
.xword 0x6e8183eee33ce13e
.xword 0xd6f553f7b405d42d
.xword 0xd0504aa1845bb897
.xword 0xb65de0b49d0ec44f
.xword 0xd0e8d84da068ab50
.xword 0x6eef34585c1b809f
.xword 0x8d4204e450403eaa
.xword 0x145bd269d3c45e28
.xword 0x5ee050e0aeb09040
.xword 0xccd8b94cddb448e6
.xword 0x60c6cfab5e8198ae
.xword 0x7a5f8971d642323c
.xword 0xa68de2098d632840
.xword 0x4e0a8138ef9317e0
.xword 0x1b2bdae39c8d1dc0
.xword 0x516d32998a1966d1
.xword 0xa276a4521545555d
.xword 0xb4c86bc2d64e67a5
.xword 0xbf3e9ea9051af5d2
.xword 0xc86342f57fd3edaf
.xword 0xa1fb9ce55bd75ba4
.xword 0x103d35291f736db9
.xword 0x43f0d59609ee8731
.xword 0x09641da299c389c8
.xword 0xccafec0b9341b738
.xword 0xaae702a9499a9d68
.xword 0x416b0ac8f01a3da7
.xword 0x81e7c79072ccab99
.xword 0x69462231cba38d77
.xword 0xfadf5256fa12dcc5
.xword 0xd20b6fd9b428e7d4
.xword 0xe85d025b1d423946
.xword 0x70f49a30978f19c7
.xword 0x44377bc5cb3e127f
.xword 0x9d261c4e170700a4
.xword 0xb8bf10a0173489b7
.xword 0x0d66f192d8fe447c
.xword 0x50b64f8227ce048d
.xword 0x5c7b84ec03956489
.xword 0xbd4995ecdd6b9ff4
.xword 0xc2ff7933d6b7f252
.xword 0x55f3e71e22cb0d7b
.xword 0x07242f563710f7d9
.align 8
.global _t2_ma_operations3
_t2_ma_operations3:
.xword 0
.xword 0x0002009a | (0 << 18) !ma_ctl_Ld (0)
.xword 0
.xword 0x00020137 | (0 << 18) !ma_ctl_St (0)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (0)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (0)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (0)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (0)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (0)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (0)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (0)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (0)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (0)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (0)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (0)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (0)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (0)
.xword 0
.xword 0x0002110f | (0 << 18) !ma_ctl_StParity (0)
.xword 0
.xword 0x00020090 | (0 << 18) !ma_ctl_Ld (1)
.xword 0
.xword 0x00020166 | (0 << 18) !ma_ctl_St (1)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (1)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (1)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (1)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (1)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (1)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (1)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (1)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (1)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (1)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (1)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (1)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (1)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (1)
.xword 0
.xword 0x00021115 | (0 << 18) !ma_ctl_StParity (1)
.xword 0
.xword 0x00020075 | (0 << 18) !ma_ctl_Ld (2)
.xword 0
.xword 0x0002017f | (0 << 18) !ma_ctl_St (2)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (2)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (2)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (2)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (2)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (2)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (2)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (2)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (2)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (2)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (2)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (2)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (2)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (2)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (2)
.xword 0
.xword 0x00020082 | (0 << 18) !ma_ctl_Ld (3)
.xword 0
.xword 0x0002016c | (0 << 18) !ma_ctl_St (3)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (3)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (3)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (3)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (3)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (3)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (3)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (3)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (3)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (3)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (3)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (3)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (3)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (3)
.xword 0
.xword 0x0002110f | (0 << 18) !ma_ctl_StParity (3)
.xword 0
.xword 0x0002005d | (0 << 18) !ma_ctl_Ld (4)
.xword 0
.xword 0x0002018c | (0 << 18) !ma_ctl_St (4)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (4)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (4)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (4)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (4)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (4)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (4)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (4)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (4)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (4)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (4)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (4)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (4)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (4)
.xword 0
.xword 0x00021103 | (0 << 18) !ma_ctl_StParity (4)
.xword 0
.xword 0x00020061 | (0 << 18) !ma_ctl_Ld (5)
.xword 0
.xword 0x00020143 | (0 << 18) !ma_ctl_St (5)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (5)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (5)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (5)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (5)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (5)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (5)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (5)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (5)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (5)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (5)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (5)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (5)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (5)
.xword 0
.xword 0x0002110e | (0 << 18) !ma_ctl_StParity (5)
.xword 0
.xword 0x0002007e | (0 << 18) !ma_ctl_Ld (6)
.xword 0
.xword 0x00020120 | (0 << 18) !ma_ctl_St (6)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (6)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (6)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (6)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (6)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (6)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (6)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (6)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (6)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (6)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (6)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (6)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (6)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (6)
.xword 0
.xword 0x00021111 | (0 << 18) !ma_ctl_StParity (6)
.xword 0
.xword 0x00020081 | (0 << 18) !ma_ctl_Ld (7)
.xword 0
.xword 0x00020158 | (0 << 18) !ma_ctl_St (7)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (7)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (7)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (7)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (7)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (7)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (7)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (7)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (7)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (7)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (7)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (7)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (7)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (7)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (7)
.xword 0
.xword 0x0002008f | (0 << 18) !ma_ctl_Ld (8)
.xword 0
.xword 0x00020130 | (0 << 18) !ma_ctl_St (8)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (8)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (8)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (8)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (8)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (8)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (8)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (8)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (8)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (8)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (8)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (8)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (8)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (8)
.xword 0
.xword 0x0002110b | (0 << 18) !ma_ctl_StParity (8)
.xword 0
.xword 0x00020038 | (0 << 18) !ma_ctl_Ld (9)
.xword 0
.xword 0x00020155 | (0 << 18) !ma_ctl_St (9)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (9)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (9)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (9)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (9)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (9)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (9)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (9)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (9)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (9)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (9)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (9)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (9)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (9)
.xword 0
.xword 0x00021103 | (0 << 18) !ma_ctl_StParity (9)
.xword 0
.xword 0x00020035 | (0 << 18) !ma_ctl_Ld (10)
.xword 0
.xword 0x00020184 | (0 << 18) !ma_ctl_St (10)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (10)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (10)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (10)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (10)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (10)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (10)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (10)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (10)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (10)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (10)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (10)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (10)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (10)
.xword 0
.xword 0x00021112 | (0 << 18) !ma_ctl_StParity (10)
.xword 0
.xword 0x00020026 | (0 << 18) !ma_ctl_Ld (11)
.xword 0
.xword 0x0002018c | (0 << 18) !ma_ctl_St (11)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (11)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (11)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (11)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (11)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (11)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (11)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (11)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (11)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (11)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (11)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (11)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (11)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (11)
.xword 0
.xword 0x0002110c | (0 << 18) !ma_ctl_StParity (11)
.xword 0
.xword 0x00020098 | (0 << 18) !ma_ctl_Ld (12)
.xword 0
.xword 0x0002017e | (0 << 18) !ma_ctl_St (12)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (12)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (12)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (12)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (12)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (12)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (12)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (12)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (12)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (12)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (12)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (12)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (12)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (12)
.xword 0
.xword 0x0002111b | (0 << 18) !ma_ctl_StParity (12)
.xword 0
.xword 0x0002005d | (0 << 18) !ma_ctl_Ld (13)
.xword 0
.xword 0x0002014d | (0 << 18) !ma_ctl_St (13)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (13)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (13)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (13)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (13)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (13)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (13)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (13)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (13)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (13)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (13)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (13)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (13)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (13)
.xword 0
.xword 0x00021100 | (0 << 18) !ma_ctl_StParity (13)
.xword 0
.xword 0x00020048 | (0 << 18) !ma_ctl_Ld (14)
.xword 0
.xword 0x0002017d | (0 << 18) !ma_ctl_St (14)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (14)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (14)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (14)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (14)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (14)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (14)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (14)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (14)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (14)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (14)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (14)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (14)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (14)
.xword 0
.xword 0x00021111 | (0 << 18) !ma_ctl_StParity (14)
.xword 0
.xword 0x00020075 | (0 << 18) !ma_ctl_Ld (15)
.xword 0
.xword 0x00020151 | (0 << 18) !ma_ctl_St (15)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (15)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (15)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (15)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (15)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (15)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (15)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (15)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (15)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (15)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (15)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (15)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (15)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (15)
.xword 0
.xword 0x00021102 | (0 << 18) !ma_ctl_StParity (15)
.xword 0
.xword 0x00020032 | (0 << 18) !ma_ctl_Ld (16)
.xword 0
.xword 0x0002013f | (0 << 18) !ma_ctl_St (16)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (16)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (16)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (16)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (16)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (16)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (16)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (16)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (16)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (16)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (16)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (16)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (16)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (16)
.xword 0
.xword 0x0002110a | (0 << 18) !ma_ctl_StParity (16)
.xword 0
.xword 0x0002005e | (0 << 18) !ma_ctl_Ld (17)
.xword 0
.xword 0x0002015f | (0 << 18) !ma_ctl_St (17)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (17)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (17)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (17)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (17)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (17)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (17)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (17)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (17)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (17)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (17)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (17)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (17)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (17)
.xword 0
.xword 0x00021111 | (0 << 18) !ma_ctl_StParity (17)
.xword 0
.xword 0x00020057 | (0 << 18) !ma_ctl_Ld (18)
.xword 0
.xword 0x00020191 | (0 << 18) !ma_ctl_St (18)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (18)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (18)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (18)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (18)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (18)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (18)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (18)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (18)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (18)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (18)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (18)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (18)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (18)
.xword 0
.xword 0x0002110b | (0 << 18) !ma_ctl_StParity (18)
.xword 0
.xword 0x0002002b | (0 << 18) !ma_ctl_Ld (19)
.xword 0
.xword 0x00020132 | (0 << 18) !ma_ctl_St (19)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (19)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (19)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (19)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (19)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (19)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (19)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (19)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (19)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (19)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (19)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (19)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (19)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (19)
.xword 0
.xword 0x00021114 | (0 << 18) !ma_ctl_StParity (19)
.xword 0
.xword 0x00020083 | (0 << 18) !ma_ctl_Ld (20)
.xword 0
.xword 0x0002015e | (0 << 18) !ma_ctl_St (20)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (20)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (20)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (20)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (20)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (20)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (20)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (20)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (20)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (20)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (20)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (20)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (20)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (20)
.xword 0
.xword 0x00021111 | (0 << 18) !ma_ctl_StParity (20)
.xword 0
.xword 0x00020024 | (0 << 18) !ma_ctl_Ld (21)
.xword 0
.xword 0x00020189 | (0 << 18) !ma_ctl_St (21)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (21)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (21)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (21)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (21)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (21)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (21)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (21)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (21)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (21)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (21)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (21)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (21)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (21)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (21)
.xword 0
.xword 0x0002007f | (0 << 18) !ma_ctl_Ld (22)
.xword 0
.xword 0x00020163 | (0 << 18) !ma_ctl_St (22)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (22)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (22)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (22)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (22)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (22)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (22)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (22)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (22)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (22)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (22)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (22)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (22)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (22)
.xword 0
.xword 0x0002111d | (0 << 18) !ma_ctl_StParity (22)
.xword 0
.xword 0x00020092 | (0 << 18) !ma_ctl_Ld (23)
.xword 0
.xword 0x0002012f | (0 << 18) !ma_ctl_St (23)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (23)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (23)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (23)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (23)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (23)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (23)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (23)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (23)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (23)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (23)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (23)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (23)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (23)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (23)
.xword 0
.xword 0x00020023 | (0 << 18) !ma_ctl_Ld (24)
.xword 0
.xword 0x00020161 | (0 << 18) !ma_ctl_St (24)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (24)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (24)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (24)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (24)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (24)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (24)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (24)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (24)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (24)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (24)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (24)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (24)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (24)
.xword 0
.xword 0x0002111b | (0 << 18) !ma_ctl_StParity (24)
.xword 0
.xword 0x0002009e | (0 << 18) !ma_ctl_Ld (25)
.xword 0
.xword 0x0002013b | (0 << 18) !ma_ctl_St (25)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (25)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (25)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (25)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (25)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (25)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (25)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (25)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (25)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (25)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (25)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (25)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (25)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (25)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (25)
.xword 0
.xword 0x00020040 | (0 << 18) !ma_ctl_Ld (26)
.xword 0
.xword 0x0002012d | (0 << 18) !ma_ctl_St (26)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (26)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (26)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (26)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (26)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (26)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (26)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (26)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (26)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (26)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (26)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (26)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (26)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (26)
.xword 0
.xword 0x00021111 | (0 << 18) !ma_ctl_StParity (26)
.align 8
.global _t2_ma_results3
_t2_ma_results3:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
SECTION ._t2_T_MAX_DATA4 DATA_VA=272629760
attr_data {
Name = ._t2_T_MAX_DATA4
hypervisor
}
.data
_t2_user_data_start:
_t2_scratch_area:
.align 8
.global _t2_ma_operands4
_t2_ma_operands4:
.xword 0xee2c07622ca5b80d
.xword 0x5acf820d0e1ce0bd
.xword 0xe3d133cde4db680b
.xword 0x3dc331e30330831c
.xword 0x6bc80a4abcf22eb9
.xword 0x1ba40b61244b8e67
.xword 0x049d5119ade64ca0
.xword 0xac09d687bdf0dc6d
.xword 0x48df4ed0fcca6aa4
.xword 0xa3e4f516711cdae8
.xword 0x22e52ba0942e69ce
.xword 0xa1f3461752699b5d
.xword 0x359d53d654b0d628
.xword 0x0376aad0a338674b
.xword 0x665c056ecfb00dd5
.xword 0xcc80be51eddbacd9
.xword 0xa81ccc1e42e1043c
.xword 0x62172be8982090c8
.xword 0xed4ff8cdc8f83125
.xword 0x1905c2726a974f33
.xword 0x33fca239abd6f83c
.xword 0x046146dcf8ddfa03
.xword 0xb91e4b4c8ea5943e
.xword 0xef64c9fb8e3d5f52
.xword 0xcc68b2ed5f9bef9a
.xword 0xa6bc060c5a1e88ab
.xword 0x1e34fc898d4985f7
.xword 0xfbf9ea7241f07ae8
.xword 0xfd0c995c2f561725
.xword 0x96b0255ef1e93510
.xword 0x337daa598d0af684
.xword 0x9a5d9b356a425355
.xword 0x632711f5e2a014fe
.xword 0x803b303555e43355
.xword 0xe44c4481b2a9006e
.xword 0x42344ad2b0673ca4
.xword 0xb888ec81b855733d
.xword 0x058fa2e95618f840
.xword 0x86742070e40ab2c8
.xword 0xba3e3a8dbb9ff7c3
.xword 0x18633e968f05c526
.xword 0x6ae622c6b44a1c15
.xword 0x524a77b8c9353a35
.xword 0x7b4666b0e29d10b0
.xword 0xdbc38bd22e2d9011
.xword 0x0719584abd98195d
.xword 0x6d3f7f260dc5476f
.xword 0x04201ba0d2991301
.xword 0x01e39cb603c17842
.xword 0xe78a635caa3bdca9
.xword 0x398d3fd7f6cfbe47
.xword 0xda2ed7d2e8ec1ca8
.xword 0x329081a0e3c188c4
.xword 0x298d45318007d409
.xword 0x04bf88cbec69b387
.xword 0x05d0d0e9051baa1f
.xword 0x693a70b954c75b45
.xword 0xb128fcbe178093f9
.xword 0x10bb30072e353495
.xword 0x7c9e842da70ad17f
.xword 0xf1984e94d736d9fe
.xword 0x1e1c986fd41a07fd
.xword 0xc96bd6b21c9f47fc
.xword 0x4454684f52d86d6c
.xword 0xb61ea70203103613
.xword 0x906df36c06579689
.xword 0x061a407488fd3819
.xword 0xf594ece822e021b0
.xword 0x87eb279527e62084
.xword 0xc5d66497ffc1b30d
.xword 0x9c493daaf50d4383
.xword 0x8f8a5a423a0b3603
.xword 0x49d51e35cb8ebd75
.xword 0xbeac74c03cf44997
.xword 0x1239c4f12da4cdad
.xword 0xd3a861d02dd6021b
.xword 0xe780498f749a2fa1
.xword 0xbc8589710ac64b43
.xword 0x45b3f811be471edf
.xword 0x5892999cc980e237
.xword 0x13902afffb0caeac
.xword 0x806f143d7d578b8c
.xword 0x5da738574e8a6d94
.xword 0x3a70256309bcc875
.xword 0xa96559eb1de4c6a4
.xword 0x790de66a4026f99c
.xword 0x7d933620563d4276
.xword 0xbccd95cb89d2e879
.xword 0x8298346fec85a406
.xword 0x7b92e92669aaaf7f
.xword 0xe7200610e50cffa6
.xword 0x341c67d5f995e2e6
.xword 0x11c598ea2f946024
.xword 0xff67c1efe2ab5a64
.xword 0xac34cbbe81272c60
.xword 0x682fbdb03a8be095
.xword 0x5cf549ec0d3be8e3
.xword 0x86fed099fed3d5fc
.xword 0x982931909fdf6832
.xword 0x9594e6d51547808f
.xword 0x86f7c51fa2ccc51e
.xword 0x7f31254fee102ee9
.xword 0xe1bdbab59d6bc199
.xword 0x986a33e75e93855a
.xword 0xcda27f2c3a37dd34
.xword 0x9ef408538becbc4e
.xword 0xeb7009fd2ca8a374
.xword 0x86decd5e6647f0ee
.xword 0x9d072679eb8bde5b
.xword 0x2da2473b469c7880
.xword 0xe4bcd13771e65359
.xword 0xc7fc6a011f539c39
.xword 0xbfa27df69e68bed8
.xword 0x568e58800010c8d7
.xword 0x406c8048b3e3fdd2
.xword 0xac78129587369c83
.xword 0x3e93b1080607324e
.xword 0x2e52316114155e97
.xword 0x5f4d2e2b9957a150
.xword 0x9fb33575ba680fa3
.xword 0x574610c9b918a9b2
.xword 0x3d1509f9cc82aa1b
.xword 0x7c1c0f1f75c6185d
.xword 0xb2602d9cb702e228
.xword 0x4f23dd69b1f6c74d
.xword 0x746b8c1e22c01c79
.xword 0x410026a2352f55a0
.xword 0xea0c8f4b9958e725
.xword 0x71be645625b1806e
.xword 0x23e5b11221d308f3
.xword 0x11c3f77add4acda5
.xword 0x13bf8e66c1c91535
.xword 0xd1e57d7de9692bfd
.xword 0xe9afb83cb614bf6f
.xword 0xe2abe684fd3adea7
.xword 0xbff83597e8e54042
.xword 0xcbb7cf3e5255b7c6
.xword 0x49cc24689081bfa7
.xword 0x52885c8394c0bc35
.xword 0x757a2ba12f8253ce
.xword 0x598cad570c1805d9
.xword 0xac94e719ac669062
.xword 0xb2c323690753002e
.xword 0x304aed95bcb0fbfe
.xword 0xbf27a4acbf6dc668
.xword 0x4a72554a3407da4a
.xword 0xe13ed0e573dbb277
.xword 0x1e86d5514a32d2ca
.xword 0xf1ce15c38f5d0c08
.xword 0x5ec3a21050ec0f05
.xword 0xd6c4429b3a5b88ac
.xword 0xb2498d8ab26d737c
.xword 0xd0b68642a8899876
.xword 0x917bcc4b646ff9ba
.xword 0xccde82f123daf8e1
.xword 0xe349a0547b6ffa3f
.xword 0x079d2fb122685839
.xword 0x4b22110bdde3d06c
.xword 0x64065dcbba867ac7
.xword 0xb97f7cc72149ec2c
.xword 0x1ccf2a325985cd7d
.xword 0x33dcf493d23707b7
.xword 0xd79f07dc89e2335f
.xword 0x6a14b5dbdcc68abb
.align 8
.global _t2_ma_operations4
_t2_ma_operations4:
.xword 0
.xword 0x0002008c | (0 << 18) !ma_ctl_Ld (0)
.xword 0
.xword 0x00020162 | (0 << 18) !ma_ctl_St (0)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (0)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (0)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (0)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (0)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (0)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (0)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (0)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (0)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (0)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (0)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (0)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (0)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (0)
.xword 0
.xword 0x00021114 | (0 << 18) !ma_ctl_StParity (0)
.xword 0
.xword 0x00020062 | (0 << 18) !ma_ctl_Ld (1)
.xword 0
.xword 0x00020153 | (0 << 18) !ma_ctl_St (1)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (1)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (1)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (1)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (1)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (1)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (1)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (1)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (1)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (1)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (1)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (1)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (1)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (1)
.xword 0
.xword 0x00021116 | (0 << 18) !ma_ctl_StParity (1)
.xword 0
.xword 0x00020039 | (0 << 18) !ma_ctl_Ld (2)
.xword 0
.xword 0x00020123 | (0 << 18) !ma_ctl_St (2)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (2)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (2)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (2)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (2)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (2)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (2)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (2)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (2)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (2)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (2)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (2)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (2)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (2)
.xword 0
.xword 0x00021110 | (0 << 18) !ma_ctl_StParity (2)
.xword 0
.xword 0x00020092 | (0 << 18) !ma_ctl_Ld (3)
.xword 0
.xword 0x00020129 | (0 << 18) !ma_ctl_St (3)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (3)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (3)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (3)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (3)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (3)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (3)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (3)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (3)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (3)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (3)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (3)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (3)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (3)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (3)
.xword 0
.xword 0x00020068 | (0 << 18) !ma_ctl_Ld (4)
.xword 0
.xword 0x00020178 | (0 << 18) !ma_ctl_St (4)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (4)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (4)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (4)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (4)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (4)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (4)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (4)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (4)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (4)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (4)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (4)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (4)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (4)
.xword 0
.xword 0x0002110c | (0 << 18) !ma_ctl_StParity (4)
.xword 0
.xword 0x00020089 | (0 << 18) !ma_ctl_Ld (5)
.xword 0
.xword 0x0002011e | (0 << 18) !ma_ctl_St (5)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (5)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (5)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (5)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (5)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (5)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (5)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (5)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (5)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (5)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (5)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (5)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (5)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (5)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (5)
.xword 0
.xword 0x00020038 | (0 << 18) !ma_ctl_Ld (6)
.xword 0
.xword 0x00020169 | (0 << 18) !ma_ctl_St (6)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (6)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (6)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (6)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (6)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (6)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (6)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (6)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (6)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (6)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (6)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (6)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (6)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (6)
.xword 0
.xword 0x00021116 | (0 << 18) !ma_ctl_StParity (6)
.xword 0
.xword 0x00020083 | (0 << 18) !ma_ctl_Ld (7)
.xword 0
.xword 0x00020178 | (0 << 18) !ma_ctl_St (7)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (7)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (7)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (7)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (7)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (7)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (7)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (7)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (7)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (7)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (7)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (7)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (7)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (7)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (7)
.xword 0
.xword 0x0002009a | (0 << 18) !ma_ctl_Ld (8)
.xword 0
.xword 0x00020151 | (0 << 18) !ma_ctl_St (8)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (8)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (8)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (8)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (8)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (8)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (8)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (8)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (8)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (8)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (8)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (8)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (8)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (8)
.xword 0
.xword 0x0002110f | (0 << 18) !ma_ctl_StParity (8)
.xword 0
.xword 0x0002004d | (0 << 18) !ma_ctl_Ld (9)
.xword 0
.xword 0x0002013a | (0 << 18) !ma_ctl_St (9)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (9)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (9)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (9)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (9)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (9)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (9)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (9)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (9)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (9)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (9)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (9)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (9)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (9)
.xword 0
.xword 0x0002110f | (0 << 18) !ma_ctl_StParity (9)
.xword 0
.xword 0x00020086 | (0 << 18) !ma_ctl_Ld (10)
.xword 0
.xword 0x00020152 | (0 << 18) !ma_ctl_St (10)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (10)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (10)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (10)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (10)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (10)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (10)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (10)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (10)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (10)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (10)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (10)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (10)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (10)
.xword 0
.xword 0x0002111e | (0 << 18) !ma_ctl_StParity (10)
.xword 0
.xword 0x0002001f | (0 << 18) !ma_ctl_Ld (11)
.xword 0
.xword 0x00020187 | (0 << 18) !ma_ctl_St (11)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (11)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (11)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (11)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (11)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (11)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (11)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (11)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (11)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (11)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (11)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (11)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (11)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (11)
.xword 0
.xword 0x00021106 | (0 << 18) !ma_ctl_StParity (11)
.xword 0
.xword 0x00020051 | (0 << 18) !ma_ctl_Ld (12)
.xword 0
.xword 0x00020143 | (0 << 18) !ma_ctl_St (12)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (12)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (12)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (12)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (12)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (12)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (12)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (12)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (12)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (12)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (12)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (12)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (12)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (12)
.xword 0
.xword 0x0002111b | (0 << 18) !ma_ctl_StParity (12)
.xword 0
.xword 0x00020092 | (0 << 18) !ma_ctl_Ld (13)
.xword 0
.xword 0x00020159 | (0 << 18) !ma_ctl_St (13)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (13)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (13)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (13)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (13)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (13)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (13)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (13)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (13)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (13)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (13)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (13)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (13)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (13)
.xword 0
.xword 0x0002111a | (0 << 18) !ma_ctl_StParity (13)
.xword 0
.xword 0x00020092 | (0 << 18) !ma_ctl_Ld (14)
.xword 0
.xword 0x00020139 | (0 << 18) !ma_ctl_St (14)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (14)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (14)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (14)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (14)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (14)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (14)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (14)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (14)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (14)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (14)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (14)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (14)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (14)
.xword 0
.xword 0x00021110 | (0 << 18) !ma_ctl_StParity (14)
.xword 0
.xword 0x00020071 | (0 << 18) !ma_ctl_Ld (15)
.xword 0
.xword 0x00020188 | (0 << 18) !ma_ctl_St (15)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (15)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (15)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (15)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (15)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (15)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (15)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (15)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (15)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (15)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (15)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (15)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (15)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (15)
.xword 0
.xword 0x0002111b | (0 << 18) !ma_ctl_StParity (15)
.xword 0
.xword 0x0002007a | (0 << 18) !ma_ctl_Ld (16)
.xword 0
.xword 0x00020162 | (0 << 18) !ma_ctl_St (16)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (16)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (16)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (16)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (16)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (16)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (16)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (16)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (16)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (16)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (16)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (16)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (16)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (16)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (16)
.xword 0
.xword 0x0002009d | (0 << 18) !ma_ctl_Ld (17)
.xword 0
.xword 0x0002012f | (0 << 18) !ma_ctl_St (17)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (17)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (17)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (17)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (17)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (17)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (17)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (17)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (17)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (17)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (17)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (17)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (17)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (17)
.xword 0
.xword 0x00021101 | (0 << 18) !ma_ctl_StParity (17)
.xword 0
.xword 0x0002007b | (0 << 18) !ma_ctl_Ld (18)
.xword 0
.xword 0x00020179 | (0 << 18) !ma_ctl_St (18)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (18)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (18)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (18)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (18)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (18)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (18)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (18)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (18)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (18)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (18)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (18)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (18)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (18)
.xword 0
.xword 0x00021116 | (0 << 18) !ma_ctl_StParity (18)
.xword 0
.xword 0x00020068 | (0 << 18) !ma_ctl_Ld (19)
.xword 0
.xword 0x00020191 | (0 << 18) !ma_ctl_St (19)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (19)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (19)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (19)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (19)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (19)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (19)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (19)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (19)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (19)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (19)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (19)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (19)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (19)
.xword 0
.xword 0x00021103 | (0 << 18) !ma_ctl_StParity (19)
.xword 0
.xword 0x0002005c | (0 << 18) !ma_ctl_Ld (20)
.xword 0
.xword 0x0002013f | (0 << 18) !ma_ctl_St (20)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (20)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (20)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (20)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (20)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (20)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (20)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (20)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (20)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (20)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (20)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (20)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (20)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (20)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (20)
.xword 0
.xword 0x00020054 | (0 << 18) !ma_ctl_Ld (21)
.xword 0
.xword 0x00020163 | (0 << 18) !ma_ctl_St (21)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (21)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (21)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (21)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (21)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (21)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (21)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (21)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (21)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (21)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (21)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (21)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (21)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (21)
.xword 0
.xword 0x00021103 | (0 << 18) !ma_ctl_StParity (21)
.xword 0
.xword 0x0002002f | (0 << 18) !ma_ctl_Ld (22)
.xword 0
.xword 0x00020189 | (0 << 18) !ma_ctl_St (22)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (22)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (22)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (22)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (22)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (22)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (22)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (22)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (22)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (22)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (22)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (22)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (22)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (22)
.xword 0
.xword 0x0002111a | (0 << 18) !ma_ctl_StParity (22)
.xword 0
.xword 0x0002008f | (0 << 18) !ma_ctl_Ld (23)
.xword 0
.xword 0x00020156 | (0 << 18) !ma_ctl_St (23)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (23)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (23)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (23)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (23)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (23)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (23)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (23)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (23)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (23)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (23)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (23)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (23)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (23)
.xword 0
.xword 0x00021117 | (0 << 18) !ma_ctl_StParity (23)
.xword 0
.xword 0x00020079 | (0 << 18) !ma_ctl_Ld (24)
.xword 0
.xword 0x00020157 | (0 << 18) !ma_ctl_St (24)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (24)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (24)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (24)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (24)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (24)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (24)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (24)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (24)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (24)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (24)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (24)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (24)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (24)
.xword 0
.xword 0x0002110f | (0 << 18) !ma_ctl_StParity (24)
.xword 0
.xword 0x00020038 | (0 << 18) !ma_ctl_Ld (25)
.xword 0
.xword 0x0002015b | (0 << 18) !ma_ctl_St (25)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (25)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (25)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (25)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (25)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (25)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (25)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (25)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (25)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (25)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (25)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (25)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (25)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (25)
.xword 0
.xword 0x00021112 | (0 << 18) !ma_ctl_StParity (25)
.xword 0
.xword 0x00020080 | (0 << 18) !ma_ctl_Ld (26)
.xword 0
.xword 0x0002017a | (0 << 18) !ma_ctl_St (26)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (26)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (26)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (26)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (26)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (26)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (26)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (26)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (26)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (26)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (26)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (26)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (26)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (26)
.xword 0
.xword 0x00021106 | (0 << 18) !ma_ctl_StParity (26)
.align 8
.global _t2_ma_results4
_t2_ma_results4:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
SECTION ._t2_T_MAX_DATA5 DATA_VA=273678336
attr_data {
Name = ._t2_T_MAX_DATA5
hypervisor
}
.data
_t2_user_data_start:
_t2_scratch_area:
.align 8
.global _t2_ma_operands5
_t2_ma_operands5:
.xword 0x77de8bf5082919b9
.xword 0x01c373eb452f3a4e
.xword 0x198f0929c6433a0a
.xword 0x6e82f9bafa16a1bc
.xword 0x8f75d05380bf3efd
.xword 0xf64bcb2ebae12532
.xword 0x8e7353e1ed9091ab
.xword 0x8ad5c8b87a5845f2
.xword 0x87fc7830c158ed94
.xword 0x249b10caa75c9657
.xword 0xf692601be5315029
.xword 0xbb637495d7db74cf
.xword 0x292e3130580065d6
.xword 0x98e899c52578388e
.xword 0xb82b4a318c73b00f
.xword 0x9693ddeabacb4256
.xword 0x96c91aa2baf8d0b9
.xword 0xd5cc7b9ec973b94b
.xword 0xf30a1e46be5ea0f5
.xword 0x4826accdb74c1269
.xword 0x1624b581e6651d9a
.xword 0xb87684d6af538ea5
.xword 0x3a4bc278c87367c4
.xword 0x96cfef2248ddb3ee
.xword 0x717e31c707711cb0
.xword 0x6bddc95173e2918c
.xword 0x6b0c3c8de754e57f
.xword 0x3eaf742df0aa393d
.xword 0x97c901b77f2e98e5
.xword 0xc68b734068cb0d82
.xword 0x5ccb14d5a042780b
.xword 0xc583b8eb51754bcd
.xword 0x655249adb459348e
.xword 0x91365e6b36b11060
.xword 0xd32dcf6aa6b8a642
.xword 0xf2cdd65f50a31937
.xword 0x104318713507802e
.xword 0x196cdf37c3b84d1f
.xword 0xa769ff549786cb7e
.xword 0xf8b85e467c985bfe
.xword 0xbbe958ff013a93fd
.xword 0xdc40084a3081cf9b
.xword 0xdf1110b8b408099c
.xword 0x42e85300b38f9978
.xword 0x38f5fb4030abceef
.xword 0x02a8e8ae6765bc23
.xword 0x52a89cd6646aabb7
.xword 0x90d38c4bbc89ef2c
.xword 0xf4539ae2f3bb5157
.xword 0x8fae95a5d3ff5fc8
.xword 0x9b48edc8b7bd9197
.xword 0x96ec3a62f16c5d55
.xword 0x51a69ae9d8069565
.xword 0xc7a1ec307cae82ab
.xword 0x6420043587da1d5f
.xword 0xfda928f254c61d33
.xword 0x22dc0fac3b3162bc
.xword 0x6a866ddc7d5de135
.xword 0x8b9f0e5f401c38f6
.xword 0x046027183517f248
.xword 0x363717f8dc8747a9
.xword 0xc7aee7877220a303
.xword 0x74c14d3691931cb4
.xword 0xe85d612f3acb8d25
.xword 0x1b7deaefe9772363
.xword 0x6180369f5dcc45ea
.xword 0xebf7b3d775e10316
.xword 0x5c8e9c9e86649057
.xword 0xf9de2d8f37ee593e
.xword 0xc651566a5d560c10
.xword 0xc7b6ad9e1c9beceb
.xword 0xedcd42160648c27b
.xword 0xf563e27054f174c2
.xword 0x0df5d654845c18a1
.xword 0x35f83b455f4e2f6d
.xword 0x103f038c3e432c70
.xword 0xd3d34f9b495e61b6
.xword 0x5ca92a6140318883
.xword 0x9716f217aa297e71
.xword 0x0ec7d4a781bcd3c0
.xword 0x225774723ba31f42
.xword 0xd2e12c3ad8346270
.xword 0xfb59245e7bd1fb63
.xword 0xb07bb1d2281fcf88
.xword 0xc822d15a605649d8
.xword 0x0e07e8f182617900
.xword 0x795c979708c3e820
.xword 0x83e3c5a27888c23b
.xword 0xefa42c2219950237
.xword 0xb3abf7d21a3d970f
.xword 0xe3ca82265539bbf1
.xword 0xefe78617390e9e9e
.xword 0x9ba140f8c66c9c36
.xword 0x608a78bfd1479809
.xword 0x70534fbd1ec460f9
.xword 0x57de1673f4360053
.xword 0xdf6b6b4bd09d4dda
.xword 0xc17e3d7bdde0e120
.xword 0x0ee9c8ec7980743a
.xword 0x725964546475b1d5
.xword 0x9c5c779461346422
.xword 0x5b6bbb1ed59513c9
.xword 0x60a5bdc44cfde4a9
.xword 0xe7a434c8b16ce753
.xword 0x2171cf2d1fe42d77
.xword 0xc6dc12ff89b68a01
.xword 0x94d71ecc5782be25
.xword 0xc68d20f7adc58cea
.xword 0x74f88abc04db7920
.xword 0x159613686ccbc942
.xword 0xfd68ebee776ca612
.xword 0x89a8f7c9bcfc4645
.xword 0x4af796e796994a1e
.xword 0x4ed7ece5d4189c12
.xword 0xde3603255b531643
.xword 0x4ce2b24b26ce305a
.xword 0xd121055d34751ce1
.xword 0xe2cd2f2f4f77eddc
.xword 0x5fbc1d640d836842
.xword 0xc9272ff915e84520
.xword 0xbbe8d34ee77fdced
.xword 0xfa1a8f04ac7b0426
.xword 0xf53e5286a736e577
.xword 0x746104f317da032a
.xword 0x79ebc92358520070
.xword 0x8b319c3f22745420
.xword 0xc025294f79aab09d
.xword 0x20b760d65be868e1
.xword 0x27b897103bb23eba
.xword 0x60a3334df0010074
.xword 0xe27dd7921bdec8b1
.xword 0x2600cec13f0eecf6
.xword 0x2a7dfb2d4b269ddf
.xword 0xaea23b9f0bd02baa
.xword 0x22e237a8ce42b58f
.xword 0x3e1807067961c348
.xword 0x06c6ca42a3e02c5b
.xword 0x5c493c33d83d41d5
.xword 0x0aa68f440e61ac9d
.xword 0xd836426ff4d9d853
.xword 0x78ae7c293eed748e
.xword 0xa4ffc2162d11813c
.xword 0xd63cbb679971dbc3
.xword 0x5ed4558c09762bba
.xword 0xac6b19c0b7780964
.xword 0x858b7ad78818fa20
.xword 0xecbb96dfa2ed8b69
.xword 0xf92ac753c5dc0df9
.xword 0xb86a446678f6c257
.xword 0x0747cbd8423fe59d
.xword 0x3b74921e495f5a3f
.xword 0xa5506bc4859cca06
.xword 0xe370efa8804b087b
.xword 0xd08a617aa9cee5b3
.xword 0x95f961f5cae25685
.xword 0x18aef39e852033db
.xword 0xdccf5800a587a386
.xword 0x2837269a8f851b30
.xword 0x5984ba97b1b0f0a6
.xword 0x3b218b2fb8cffa0d
.xword 0x359c4e75c78cea0d
.xword 0xd876c8305c7a285f
.xword 0x4acb096375aee6f6
.xword 0x9c0d498ef0bedf96
.align 8
.global _t2_ma_operations5
_t2_ma_operations5:
.xword 0
.xword 0x00020055 | (0 << 18) !ma_ctl_Ld (0)
.xword 0
.xword 0x0002013c | (0 << 18) !ma_ctl_St (0)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (0)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (0)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (0)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (0)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (0)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (0)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (0)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (0)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (0)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (0)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (0)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (0)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (0)
.xword 0
.xword 0x00021116 | (0 << 18) !ma_ctl_StParity (0)
.xword 0
.xword 0x00020055 | (0 << 18) !ma_ctl_Ld (1)
.xword 0
.xword 0x00020177 | (0 << 18) !ma_ctl_St (1)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (1)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (1)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (1)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (1)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (1)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (1)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (1)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (1)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (1)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (1)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (1)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (1)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (1)
.xword 0
.xword 0x00021106 | (0 << 18) !ma_ctl_StParity (1)
.xword 0
.xword 0x0002007d | (0 << 18) !ma_ctl_Ld (2)
.xword 0
.xword 0x00020157 | (0 << 18) !ma_ctl_St (2)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (2)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (2)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (2)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (2)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (2)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (2)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (2)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (2)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (2)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (2)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (2)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (2)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (2)
.xword 0
.xword 0x00021102 | (0 << 18) !ma_ctl_StParity (2)
.xword 0
.xword 0x0002009f | (0 << 18) !ma_ctl_Ld (3)
.xword 0
.xword 0x00020135 | (0 << 18) !ma_ctl_St (3)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (3)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (3)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (3)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (3)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (3)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (3)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (3)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (3)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (3)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (3)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (3)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (3)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (3)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (3)
.xword 0
.xword 0x00020025 | (0 << 18) !ma_ctl_Ld (4)
.xword 0
.xword 0x00020179 | (0 << 18) !ma_ctl_St (4)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (4)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (4)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (4)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (4)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (4)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (4)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (4)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (4)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (4)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (4)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (4)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (4)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (4)
.xword 0
.xword 0x00021119 | (0 << 18) !ma_ctl_StParity (4)
.xword 0
.xword 0x00020055 | (0 << 18) !ma_ctl_Ld (5)
.xword 0
.xword 0x0002016f | (0 << 18) !ma_ctl_St (5)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (5)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (5)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (5)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (5)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (5)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (5)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (5)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (5)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (5)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (5)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (5)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (5)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (5)
.xword 0
.xword 0x00021110 | (0 << 18) !ma_ctl_StParity (5)
.xword 0
.xword 0x0002008c | (0 << 18) !ma_ctl_Ld (6)
.xword 0
.xword 0x0002016e | (0 << 18) !ma_ctl_St (6)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (6)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (6)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (6)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (6)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (6)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (6)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (6)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (6)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (6)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (6)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (6)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (6)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (6)
.xword 0
.xword 0x00021106 | (0 << 18) !ma_ctl_StParity (6)
.xword 0
.xword 0x00020030 | (0 << 18) !ma_ctl_Ld (7)
.xword 0
.xword 0x00020156 | (0 << 18) !ma_ctl_St (7)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (7)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (7)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (7)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (7)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (7)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (7)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (7)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (7)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (7)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (7)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (7)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (7)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (7)
.xword 0
.xword 0x00021119 | (0 << 18) !ma_ctl_StParity (7)
.xword 0
.xword 0x00020066 | (0 << 18) !ma_ctl_Ld (8)
.xword 0
.xword 0x0002017d | (0 << 18) !ma_ctl_St (8)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (8)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (8)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (8)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (8)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (8)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (8)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (8)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (8)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (8)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (8)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (8)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (8)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (8)
.xword 0
.xword 0x0002110f | (0 << 18) !ma_ctl_StParity (8)
.xword 0
.xword 0x0002009f | (0 << 18) !ma_ctl_Ld (9)
.xword 0
.xword 0x00020144 | (0 << 18) !ma_ctl_St (9)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (9)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (9)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (9)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (9)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (9)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (9)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (9)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (9)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (9)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (9)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (9)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (9)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (9)
.xword 0
.xword 0x0002110c | (0 << 18) !ma_ctl_StParity (9)
.xword 0
.xword 0x00020024 | (0 << 18) !ma_ctl_Ld (10)
.xword 0
.xword 0x00020186 | (0 << 18) !ma_ctl_St (10)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (10)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (10)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (10)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (10)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (10)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (10)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (10)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (10)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (10)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (10)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (10)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (10)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (10)
.xword 0
.xword 0x00021102 | (0 << 18) !ma_ctl_StParity (10)
.xword 0
.xword 0x00020025 | (0 << 18) !ma_ctl_Ld (11)
.xword 0
.xword 0x0002015c | (0 << 18) !ma_ctl_St (11)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (11)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (11)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (11)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (11)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (11)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (11)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (11)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (11)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (11)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (11)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (11)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (11)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (11)
.xword 0
.xword 0x00021102 | (0 << 18) !ma_ctl_StParity (11)
.xword 0
.xword 0x00020080 | (0 << 18) !ma_ctl_Ld (12)
.xword 0
.xword 0x0002015f | (0 << 18) !ma_ctl_St (12)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (12)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (12)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (12)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (12)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (12)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (12)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (12)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (12)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (12)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (12)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (12)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (12)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (12)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (12)
.xword 0
.xword 0x00020062 | (0 << 18) !ma_ctl_Ld (13)
.xword 0
.xword 0x00020132 | (0 << 18) !ma_ctl_St (13)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (13)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (13)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (13)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (13)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (13)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (13)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (13)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (13)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (13)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (13)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (13)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (13)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (13)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (13)
.xword 0
.xword 0x00020072 | (0 << 18) !ma_ctl_Ld (14)
.xword 0
.xword 0x00020138 | (0 << 18) !ma_ctl_St (14)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (14)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (14)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (14)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (14)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (14)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (14)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (14)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (14)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (14)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (14)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (14)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (14)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (14)
.xword 0
.xword 0x0002110f | (0 << 18) !ma_ctl_StParity (14)
.xword 0
.xword 0x00020034 | (0 << 18) !ma_ctl_Ld (15)
.xword 0
.xword 0x00020122 | (0 << 18) !ma_ctl_St (15)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (15)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (15)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (15)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (15)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (15)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (15)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (15)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (15)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (15)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (15)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (15)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (15)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (15)
.xword 0
.xword 0x0002110b | (0 << 18) !ma_ctl_StParity (15)
.xword 0
.xword 0x00020047 | (0 << 18) !ma_ctl_Ld (16)
.xword 0
.xword 0x00020175 | (0 << 18) !ma_ctl_St (16)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (16)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (16)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (16)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (16)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (16)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (16)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (16)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (16)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (16)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (16)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (16)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (16)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (16)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (16)
.xword 0
.xword 0x00020054 | (0 << 18) !ma_ctl_Ld (17)
.xword 0
.xword 0x00020142 | (0 << 18) !ma_ctl_St (17)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (17)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (17)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (17)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (17)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (17)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (17)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (17)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (17)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (17)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (17)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (17)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (17)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (17)
.xword 0
.xword 0x0002111d | (0 << 18) !ma_ctl_StParity (17)
.xword 0
.xword 0x00020071 | (0 << 18) !ma_ctl_Ld (18)
.xword 0
.xword 0x0002015f | (0 << 18) !ma_ctl_St (18)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (18)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (18)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (18)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (18)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (18)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (18)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (18)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (18)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (18)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (18)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (18)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (18)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (18)
.xword 0
.xword 0x00021111 | (0 << 18) !ma_ctl_StParity (18)
.xword 0
.xword 0x00020041 | (0 << 18) !ma_ctl_Ld (19)
.xword 0
.xword 0x00020171 | (0 << 18) !ma_ctl_St (19)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (19)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (19)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (19)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (19)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (19)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (19)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (19)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (19)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (19)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (19)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (19)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (19)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (19)
.xword 0
.xword 0x00021105 | (0 << 18) !ma_ctl_StParity (19)
.xword 0
.xword 0x0002008b | (0 << 18) !ma_ctl_Ld (20)
.xword 0
.xword 0x0002014d | (0 << 18) !ma_ctl_St (20)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (20)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (20)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (20)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (20)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (20)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (20)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (20)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (20)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (20)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (20)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (20)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (20)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (20)
.xword 0
.xword 0x00021114 | (0 << 18) !ma_ctl_StParity (20)
.xword 0
.xword 0x00020025 | (0 << 18) !ma_ctl_Ld (21)
.xword 0
.xword 0x00020159 | (0 << 18) !ma_ctl_St (21)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (21)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (21)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (21)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (21)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (21)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (21)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (21)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (21)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (21)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (21)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (21)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (21)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (21)
.xword 0
.xword 0x0002111d | (0 << 18) !ma_ctl_StParity (21)
.xword 0
.xword 0x00020072 | (0 << 18) !ma_ctl_Ld (22)
.xword 0
.xword 0x0002011f | (0 << 18) !ma_ctl_St (22)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (22)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (22)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (22)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (22)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (22)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (22)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (22)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (22)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (22)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (22)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (22)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (22)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (22)
.xword 0
.xword 0x0002110e | (0 << 18) !ma_ctl_StParity (22)
.xword 0
.xword 0x00020092 | (0 << 18) !ma_ctl_Ld (23)
.xword 0
.xword 0x00020151 | (0 << 18) !ma_ctl_St (23)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (23)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (23)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (23)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (23)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (23)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (23)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (23)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (23)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (23)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (23)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (23)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (23)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (23)
.xword 0
.xword 0x0002110e | (0 << 18) !ma_ctl_StParity (23)
.xword 0
.xword 0x0002003d | (0 << 18) !ma_ctl_Ld (24)
.xword 0
.xword 0x00020121 | (0 << 18) !ma_ctl_St (24)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (24)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (24)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (24)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (24)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (24)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (24)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (24)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (24)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (24)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (24)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (24)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (24)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (24)
.xword 0
.xword 0x0002111e | (0 << 18) !ma_ctl_StParity (24)
.xword 0
.xword 0x0002001e | (0 << 18) !ma_ctl_Ld (25)
.xword 0
.xword 0x00020125 | (0 << 18) !ma_ctl_St (25)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (25)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (25)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (25)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (25)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (25)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (25)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (25)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (25)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (25)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (25)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (25)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (25)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (25)
.xword 0
.xword 0x0002110b | (0 << 18) !ma_ctl_StParity (25)
.xword 0
.xword 0x00020092 | (0 << 18) !ma_ctl_Ld (26)
.xword 0
.xword 0x0002017e | (0 << 18) !ma_ctl_St (26)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (26)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (26)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (26)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (26)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (26)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (26)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (26)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (26)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (26)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (26)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (26)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (26)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (26)
.xword 0
.xword 0x00021102 | (0 << 18) !ma_ctl_StParity (26)
.align 8
.global _t2_ma_results5
_t2_ma_results5:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
SECTION ._t2_T_MAX_DATA6 DATA_VA=274726912
attr_data {
Name = ._t2_T_MAX_DATA6
hypervisor
}
.data
_t2_user_data_start:
_t2_scratch_area:
.align 8
.global _t2_ma_operands6
_t2_ma_operands6:
.xword 0x2ce097f6cfddb099
.xword 0xb320a8a76890dd67
.xword 0xc8b4975a04c407ff
.xword 0x32fb26eba8b8d191
.xword 0x37cd999e5a77195b
.xword 0x21bb2196c865daaa
.xword 0x8547b764866b2d03
.xword 0x55166ec449c9c53e
.xword 0x88c5c1a77a7e9961
.xword 0x51988e44d5ad2c32
.xword 0xc34b226b33fa48be
.xword 0xd9395a0d02b57ccc
.xword 0x6af8748b580cc0e1
.xword 0x23eb6de6ae6c3b87
.xword 0x6d5bb71be0b2908b
.xword 0xc7a1c9e76d6be5f9
.xword 0x3c80752662404ef7
.xword 0xdd35e8ab736927ce
.xword 0xf2e275e4da91cdb1
.xword 0x812d6e1b25f3a50c
.xword 0xb4ff21f15e124889
.xword 0xb0fa5441754e3e8e
.xword 0x6a8443ddb3a1ddad
.xword 0x501a95dae1a6eb4f
.xword 0x8c23e6b707d83a07
.xword 0xedf7be75b62c59c6
.xword 0xd229f5753202738b
.xword 0x0ff416946d607894
.xword 0xcb90a1592ec9b497
.xword 0xf30ef19459f3f684
.xword 0x09736781ad420504
.xword 0x1f888138731cb43a
.xword 0x6a1151ba58bccd61
.xword 0x59dac2299771f554
.xword 0x63209e0e460b37d3
.xword 0xe815cf0539e59550
.xword 0x008a3cd92bf9229c
.xword 0xe2f91ab721af5f0f
.xword 0x3be3f7b2017d5ec8
.xword 0x75b551fa954d6137
.xword 0xe96a96581eb3d2a4
.xword 0x75653b377c14d89b
.xword 0xe1a30cd7ce69c193
.xword 0xcd23d3e971eb0942
.xword 0x0426d7d9979835ed
.xword 0x7b90138be1698112
.xword 0x9a6f7e7a40497d82
.xword 0x34bd5140959f3149
.xword 0xd0863ccaeeed3e36
.xword 0xec3b71b5d71ec6ec
.xword 0xa5f34d7a26e9a45c
.xword 0xa5675de819526e5b
.xword 0xfdd3ab9cba730f8e
.xword 0x0c05317bcde2c6e9
.xword 0xac64eff6f3eaedef
.xword 0xcfbdeb842c3df94f
.xword 0x416fcb5c8eeea473
.xword 0xb5049134278e4221
.xword 0x6e2596910e37c244
.xword 0x465b56a9cf7ee3ed
.xword 0xbf72536842eb3ae8
.xword 0x07695a3515c10e2b
.xword 0x36619712604bf60f
.xword 0xc26b2ce3a1cb7a26
.xword 0xe8a2e20f251aa64f
.xword 0x4400a8eadf01d87c
.xword 0x9555da5b6f2838ea
.xword 0x20eb649a62aaeee7
.xword 0x3984c9114f379180
.xword 0xa06f7bef1a6df5da
.xword 0xcd840ed5b38582a5
.xword 0xc79b34eb0829b85f
.xword 0xbe10216c667406b6
.xword 0x64608fe5849b6346
.xword 0x26053960bee97498
.xword 0x0ea236d1982a9a7f
.xword 0xe1015aca66b55542
.xword 0x62dbbf09fc73b503
.xword 0x58d604ed288efbda
.xword 0x83765016a030900e
.xword 0x74735aeff7f3cac6
.xword 0x40bb645e3d37b490
.xword 0xb362fbfb17902153
.xword 0x9a33d9b0c8733ce7
.xword 0x9e9cb9ffc82f79cd
.xword 0x86847ec19894fa35
.xword 0xe676d49829ae35f7
.xword 0xbf1c9c974569232d
.xword 0x839d328d9658114c
.xword 0xcb250014b68d9d59
.xword 0x9d5e17e55072d3ea
.xword 0xce950fb66dc8ee2a
.xword 0x7e73a20f38e45324
.xword 0x7dff1be88ab83410
.xword 0xe6c6cacb7570dc7c
.xword 0x2537730bc86ba22b
.xword 0x06356fe497f56f39
.xword 0x78f6c0cd4f486d3d
.xword 0x167275c582f9ffe1
.xword 0xb611539ae977684c
.xword 0x1b1b38f119f64571
.xword 0x12c61804f6596ccd
.xword 0x5477dde9ebd17948
.xword 0xb0c9eed7fdcc34ab
.xword 0xc2393d6f6a703dcb
.xword 0x31badfcac608f8d3
.xword 0x1ce7315ca519e837
.xword 0xc2da12198ca50770
.xword 0x5f9bb40acaefab59
.xword 0xb96ab78cba3c0af7
.xword 0x7a1670c94abdd217
.xword 0x57785b9740bc5197
.xword 0x5b7a2915fee378af
.xword 0xb0711c4c6447d87b
.xword 0x510815f4a0244bb1
.xword 0xd0b853d42c16be03
.xword 0x80ae07bb9b6224f6
.xword 0x4de3d7aed0bcfeae
.xword 0xe90865c465b8dd6c
.xword 0xcdc82b02a69b6a63
.xword 0x75af94bc47c71b00
.xword 0x88b6e297d7fa97da
.xword 0x7c99737378045d7e
.xword 0xed2b6c646eae6e67
.xword 0x92e6ad25ff1e5f3f
.xword 0x46fb76f7911ec3f4
.xword 0xa8122bb441986ecf
.xword 0x89691ef533325e80
.xword 0x8cd81f95761b810a
.xword 0xcb37ae5d05a7e724
.xword 0x451d16e3e6e04866
.xword 0x86d978126e441c43
.xword 0x7f3f5a251bb2da84
.xword 0x88d308344d97986c
.xword 0xc558bfc4f48c77b1
.xword 0xba23eedd71375164
.xword 0x01b4d562823aa313
.xword 0x98eabb07ad975994
.xword 0x4b992c46890c3c2a
.xword 0x7ca9c0a89bb876fa
.xword 0x03438ee99d78624e
.xword 0xc028465150853f28
.xword 0xbaa4ef38d58a6d3f
.xword 0x2e178afc51bc0e1a
.xword 0x870569c4c49bf2f9
.xword 0xd834bfb40d778a1c
.xword 0x82130250f7d49cdc
.xword 0x302dbe984b55dd5f
.xword 0xfc32211de1ac2b66
.xword 0xb95d12ddf115a74d
.xword 0xe4cfffff6595c5f0
.xword 0xa507d923aea10874
.xword 0x78eb5e67c8881869
.xword 0xd4a9c122667cd67c
.xword 0x1905c0c56f85ce76
.xword 0x0875b02b3dec3b6b
.xword 0xf681b8efadba6e38
.xword 0x65fbc14d76edfefa
.xword 0x39bbb9283b2b2cd6
.xword 0x3b1377e5fd5fc9b9
.xword 0x6c36a8b6708751c2
.xword 0xb86375519ae44694
.xword 0xbe4975ad3151da19
.xword 0xf3fbb90f087c5e91
.align 8
.global _t2_ma_operations6
_t2_ma_operations6:
.xword 0
.xword 0x00020021 | (0 << 18) !ma_ctl_Ld (0)
.xword 0
.xword 0x0002016f | (0 << 18) !ma_ctl_St (0)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (0)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (0)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (0)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (0)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (0)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (0)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (0)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (0)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (0)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (0)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (0)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (0)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (0)
.xword 0
.xword 0x00021106 | (0 << 18) !ma_ctl_StParity (0)
.xword 0
.xword 0x00020079 | (0 << 18) !ma_ctl_Ld (1)
.xword 0
.xword 0x00020171 | (0 << 18) !ma_ctl_St (1)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (1)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (1)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (1)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (1)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (1)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (1)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (1)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (1)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (1)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (1)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (1)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (1)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (1)
.xword 0
.xword 0x00021108 | (0 << 18) !ma_ctl_StParity (1)
.xword 0
.xword 0x00020024 | (0 << 18) !ma_ctl_Ld (2)
.xword 0
.xword 0x00020176 | (0 << 18) !ma_ctl_St (2)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (2)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (2)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (2)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (2)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (2)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (2)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (2)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (2)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (2)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (2)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (2)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (2)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (2)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (2)
.xword 0
.xword 0x0002004b | (0 << 18) !ma_ctl_Ld (3)
.xword 0
.xword 0x0002017c | (0 << 18) !ma_ctl_St (3)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (3)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (3)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (3)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (3)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (3)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (3)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (3)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (3)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (3)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (3)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (3)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (3)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (3)
.xword 0
.xword 0x0002110e | (0 << 18) !ma_ctl_StParity (3)
.xword 0
.xword 0x0002008b | (0 << 18) !ma_ctl_Ld (4)
.xword 0
.xword 0x00020134 | (0 << 18) !ma_ctl_St (4)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (4)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (4)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (4)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (4)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (4)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (4)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (4)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (4)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (4)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (4)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (4)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (4)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (4)
.xword 0
.xword 0x0002110e | (0 << 18) !ma_ctl_StParity (4)
.xword 0
.xword 0x00020020 | (0 << 18) !ma_ctl_Ld (5)
.xword 0
.xword 0x00020164 | (0 << 18) !ma_ctl_St (5)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (5)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (5)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (5)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (5)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (5)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (5)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (5)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (5)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (5)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (5)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (5)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (5)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (5)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (5)
.xword 0
.xword 0x00020076 | (0 << 18) !ma_ctl_Ld (6)
.xword 0
.xword 0x0002016b | (0 << 18) !ma_ctl_St (6)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (6)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (6)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (6)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (6)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (6)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (6)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (6)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (6)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (6)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (6)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (6)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (6)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (6)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (6)
.xword 0
.xword 0x00020059 | (0 << 18) !ma_ctl_Ld (7)
.xword 0
.xword 0x00020161 | (0 << 18) !ma_ctl_St (7)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (7)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (7)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (7)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (7)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (7)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (7)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (7)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (7)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (7)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (7)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (7)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (7)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (7)
.xword 0
.xword 0x00021115 | (0 << 18) !ma_ctl_StParity (7)
.xword 0
.xword 0x00020079 | (0 << 18) !ma_ctl_Ld (8)
.xword 0
.xword 0x00020151 | (0 << 18) !ma_ctl_St (8)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (8)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (8)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (8)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (8)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (8)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (8)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (8)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (8)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (8)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (8)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (8)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (8)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (8)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (8)
.xword 0
.xword 0x00020058 | (0 << 18) !ma_ctl_Ld (9)
.xword 0
.xword 0x00020170 | (0 << 18) !ma_ctl_St (9)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (9)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (9)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (9)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (9)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (9)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (9)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (9)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (9)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (9)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (9)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (9)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (9)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (9)
.xword 0
.xword 0x0002110b | (0 << 18) !ma_ctl_StParity (9)
.xword 0
.xword 0x00020072 | (0 << 18) !ma_ctl_Ld (10)
.xword 0
.xword 0x00020172 | (0 << 18) !ma_ctl_St (10)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (10)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (10)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (10)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (10)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (10)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (10)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (10)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (10)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (10)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (10)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (10)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (10)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (10)
.xword 0
.xword 0x0002110c | (0 << 18) !ma_ctl_StParity (10)
.xword 0
.xword 0x00020021 | (0 << 18) !ma_ctl_Ld (11)
.xword 0
.xword 0x0002017a | (0 << 18) !ma_ctl_St (11)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (11)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (11)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (11)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (11)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (11)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (11)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (11)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (11)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (11)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (11)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (11)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (11)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (11)
.xword 0
.xword 0x00021109 | (0 << 18) !ma_ctl_StParity (11)
.xword 0
.xword 0x00020071 | (0 << 18) !ma_ctl_Ld (12)
.xword 0
.xword 0x00020149 | (0 << 18) !ma_ctl_St (12)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (12)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (12)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (12)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (12)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (12)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (12)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (12)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (12)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (12)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (12)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (12)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (12)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (12)
.xword 0
.xword 0x0002110f | (0 << 18) !ma_ctl_StParity (12)
.xword 0
.xword 0x0002001e | (0 << 18) !ma_ctl_Ld (13)
.xword 0
.xword 0x00020139 | (0 << 18) !ma_ctl_St (13)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (13)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (13)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (13)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (13)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (13)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (13)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (13)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (13)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (13)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (13)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (13)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (13)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (13)
.xword 0
.xword 0x00021115 | (0 << 18) !ma_ctl_StParity (13)
.xword 0
.xword 0x00020032 | (0 << 18) !ma_ctl_Ld (14)
.xword 0
.xword 0x0002017d | (0 << 18) !ma_ctl_St (14)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (14)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (14)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (14)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (14)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (14)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (14)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (14)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (14)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (14)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (14)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (14)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (14)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (14)
.xword 0
.xword 0x00021114 | (0 << 18) !ma_ctl_StParity (14)
.xword 0
.xword 0x00020043 | (0 << 18) !ma_ctl_Ld (15)
.xword 0
.xword 0x00020137 | (0 << 18) !ma_ctl_St (15)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (15)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (15)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (15)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (15)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (15)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (15)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (15)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (15)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (15)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (15)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (15)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (15)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (15)
.xword 0
.xword 0x00021114 | (0 << 18) !ma_ctl_StParity (15)
.xword 0
.xword 0x00020021 | (0 << 18) !ma_ctl_Ld (16)
.xword 0
.xword 0x00020159 | (0 << 18) !ma_ctl_St (16)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (16)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (16)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (16)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (16)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (16)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (16)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (16)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (16)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (16)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (16)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (16)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (16)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (16)
.xword 0
.xword 0x00021102 | (0 << 18) !ma_ctl_StParity (16)
.xword 0
.xword 0x00020022 | (0 << 18) !ma_ctl_Ld (17)
.xword 0
.xword 0x00020157 | (0 << 18) !ma_ctl_St (17)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (17)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (17)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (17)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (17)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (17)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (17)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (17)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (17)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (17)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (17)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (17)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (17)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (17)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (17)
.xword 0
.xword 0x0002005c | (0 << 18) !ma_ctl_Ld (18)
.xword 0
.xword 0x00020160 | (0 << 18) !ma_ctl_St (18)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (18)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (18)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (18)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (18)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (18)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (18)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (18)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (18)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (18)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (18)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (18)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (18)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (18)
.xword 0
.xword 0x00021116 | (0 << 18) !ma_ctl_StParity (18)
.xword 0
.xword 0x0002005a | (0 << 18) !ma_ctl_Ld (19)
.xword 0
.xword 0x00020136 | (0 << 18) !ma_ctl_St (19)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (19)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (19)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (19)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (19)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (19)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (19)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (19)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (19)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (19)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (19)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (19)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (19)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (19)
.xword 0
.xword 0x00021110 | (0 << 18) !ma_ctl_StParity (19)
.xword 0
.xword 0x00020058 | (0 << 18) !ma_ctl_Ld (20)
.xword 0
.xword 0x0002012a | (0 << 18) !ma_ctl_St (20)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (20)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (20)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (20)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (20)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (20)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (20)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (20)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (20)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (20)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (20)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (20)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (20)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (20)
.xword 0
.xword 0x00021108 | (0 << 18) !ma_ctl_StParity (20)
.xword 0
.xword 0x00020057 | (0 << 18) !ma_ctl_Ld (21)
.xword 0
.xword 0x00020149 | (0 << 18) !ma_ctl_St (21)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (21)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (21)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (21)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (21)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (21)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (21)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (21)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (21)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (21)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (21)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (21)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (21)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (21)
.xword 0
.xword 0x0002110a | (0 << 18) !ma_ctl_StParity (21)
.xword 0
.xword 0x00020096 | (0 << 18) !ma_ctl_Ld (22)
.xword 0
.xword 0x00020146 | (0 << 18) !ma_ctl_St (22)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (22)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (22)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (22)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (22)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (22)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (22)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (22)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (22)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (22)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (22)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (22)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (22)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (22)
.xword 0
.xword 0x00021105 | (0 << 18) !ma_ctl_StParity (22)
.xword 0
.xword 0x0002008b | (0 << 18) !ma_ctl_Ld (23)
.xword 0
.xword 0x00020163 | (0 << 18) !ma_ctl_St (23)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (23)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (23)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (23)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (23)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (23)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (23)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (23)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (23)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (23)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (23)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (23)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (23)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (23)
.xword 0
.xword 0x00021117 | (0 << 18) !ma_ctl_StParity (23)
.xword 0
.xword 0x00020055 | (0 << 18) !ma_ctl_Ld (24)
.xword 0
.xword 0x00020139 | (0 << 18) !ma_ctl_St (24)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (24)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (24)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (24)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (24)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (24)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (24)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (24)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (24)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (24)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (24)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (24)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (24)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (24)
.xword 0
.xword 0x00021103 | (0 << 18) !ma_ctl_StParity (24)
.xword 0
.xword 0x00020023 | (0 << 18) !ma_ctl_Ld (25)
.xword 0
.xword 0x00020186 | (0 << 18) !ma_ctl_St (25)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (25)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (25)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (25)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (25)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (25)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (25)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (25)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (25)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (25)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (25)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (25)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (25)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (25)
.xword 0
.xword 0x00021119 | (0 << 18) !ma_ctl_StParity (25)
.xword 0
.xword 0x0002003d | (0 << 18) !ma_ctl_Ld (26)
.xword 0
.xword 0x0002015f | (0 << 18) !ma_ctl_St (26)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (26)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (26)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (26)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (26)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (26)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (26)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (26)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (26)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (26)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (26)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (26)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (26)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (26)
.xword 0
.xword 0x00021110 | (0 << 18) !ma_ctl_StParity (26)
.align 8
.global _t2_ma_results6
_t2_ma_results6:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
SECTION ._t2_T_MAX_DATA7 DATA_VA=275775488
attr_data {
Name = ._t2_T_MAX_DATA7
hypervisor
}
.data
_t2_user_data_start:
_t2_scratch_area:
.align 8
.global _t2_ma_operands7
_t2_ma_operands7:
.xword 0x6ed3ffaf621fe41a
.xword 0x127497310a77fad0
.xword 0x159ce436660f1f7c
.xword 0x9fea9e94011fd6a1
.xword 0x7be368085b1b831f
.xword 0x63dd98681c8d13ec
.xword 0x9d0e981a77643014
.xword 0x8142d9aba78dbb41
.xword 0x6b5c4a417e34a61c
.xword 0xdc12b9a997a9345c
.xword 0xa47a6208988fe406
.xword 0x6f6aba4ebedb1bae
.xword 0xda2c9c1ea1f90ead
.xword 0xfa0cb35ead4179c1
.xword 0xfe3ae5cb45ec9d38
.xword 0x25dd8f3fcc3a8837
.xword 0x8ef1a57f3f119606
.xword 0xbb3c227e217aa378
.xword 0x14aa0c8ae10d4975
.xword 0xdad12d354f0d98d1
.xword 0x2588b4d2d5aad604
.xword 0x88c46fb1ac80c08b
.xword 0x269f8ac25be7449a
.xword 0x60cde68122a2ce68
.xword 0xe10ca571d3beb195
.xword 0x907891120d3d687e
.xword 0x42e7793d51282e14
.xword 0xa1a38617cd44cc6b
.xword 0x0c41dd112fd68c89
.xword 0x8c30fee71eff511b
.xword 0xdaef32787dba79b1
.xword 0x61bc91a47e32be3d
.xword 0xcbdb8919a064a922
.xword 0x9db31cae2e5a76d8
.xword 0xa7546861a2dc8eaf
.xword 0x97d08d834b2008a0
.xword 0x8102491589f8e633
.xword 0x743a6f1615e70c5b
.xword 0xcbea2c69771dc7c2
.xword 0xd640d242c4961ef5
.xword 0xe9c819efd7c5f1c0
.xword 0xa9ced9020b58dc58
.xword 0x57fe8e6dcef3f705
.xword 0xdedf3d9369ee0d7d
.xword 0xc33f0d7bf239550e
.xword 0x7ad5e151961da15a
.xword 0xe346a61876b371e5
.xword 0x04ee18b5ec30525d
.xword 0x3a9551acb620b47e
.xword 0x98f29bbe641343cd
.xword 0x81f9088039c070bc
.xword 0x84435215e47ba13e
.xword 0x1762dd45ae5f5031
.xword 0x9f871dbcba2bf6d7
.xword 0x56c405d48f02160f
.xword 0x6f7d4e05c3c35d80
.xword 0x1217fc946adc530a
.xword 0x37005b9b7028e552
.xword 0x0922c14efa349459
.xword 0x8d28c1a202b5a0b5
.xword 0xde245565380df680
.xword 0xc5105af81ba076c9
.xword 0xa6e59119d31e299b
.xword 0x4544a3cccf61b962
.xword 0xd8c7d72f446419e0
.xword 0x1c46b06084eb20a3
.xword 0x29b7d2f74788e6f2
.xword 0x2391019f2b19e895
.xword 0x58b3bcf0f8d13ea9
.xword 0x676562c2b6968f4b
.xword 0x4063fc3cdf3a9f03
.xword 0x4fd5e450196caff6
.xword 0x566a3e9d256248c4
.xword 0xf926c5a290c5b262
.xword 0xe13a59be244a96a1
.xword 0xc49075ebfd25918c
.xword 0xd62d0fbe27a8714e
.xword 0xde449bd308cb8689
.xword 0x917bb48c3313ea25
.xword 0x4d5fc7fb3dea8128
.xword 0x96df47f1512af53d
.xword 0x34867e316ab861f5
.xword 0x0e8c965edb48829d
.xword 0x8f26b00e1aeed8d1
.xword 0xd7f763481fa9049e
.xword 0xa76360aae4fea4c8
.xword 0x5861a3c7ad7ee5b8
.xword 0x1d402e1098a654e7
.xword 0xd3787ae01d60b867
.xword 0x2dc03a5dada881d7
.xword 0xb83d4585fa0fd9b5
.xword 0xdecc0b8b9d29ab41
.xword 0x8ef74a8396651789
.xword 0x69b63f912721986f
.xword 0x3ff5eb47953cb06d
.xword 0x82220e714c1ccb4f
.xword 0xc39f6f13fe8496e8
.xword 0x07e7aec183756b12
.xword 0xca0dea0124b7ca2e
.xword 0xdbac9ecd0de738f3
.xword 0x10ebfcad9a601e58
.xword 0x7eba6a9df2282e29
.xword 0x2dcb963c7f8e4e85
.xword 0xf2c0519a64f478e6
.xword 0xa6a43e3ba72ccdb6
.xword 0x1caff8fbaa2ea06d
.xword 0xc86664351d5bdcf1
.xword 0x6bdc4094be9d7510
.xword 0xf85b4b7077fd472e
.xword 0xcc1967c36464b5d5
.xword 0xc6fe2543e1645f08
.xword 0x6e0a099feef747e9
.xword 0xd6821f5d5136de5e
.xword 0x90e284c6d263b100
.xword 0xf9a7e4e9ee1c502b
.xword 0xf37a61bdedfaf6df
.xword 0x2505303e92d6f618
.xword 0x5f4c37ee625893fd
.xword 0xf7ebb3551608b415
.xword 0x3df3cef719e0199d
.xword 0x18a4d0f48490090d
.xword 0xc1a535c0049f4700
.xword 0x45720354ee9287f0
.xword 0x7bbcf3a88c98aba2
.xword 0x5d985a6e01d170f9
.xword 0x2a4c4e112b19bb68
.xword 0xe46438c4bb0dbafd
.xword 0xd34e176fbff93456
.xword 0x7884400dc79f15c5
.xword 0x8915647775a9ca47
.xword 0x5210947e2a9527e1
.xword 0x517fe642f5e3359c
.xword 0x9cb3d89c5e2c0f1c
.xword 0x60df7b6c215825de
.xword 0x5cb7f1a49eb66d81
.xword 0x99bad21ef99f6d95
.xword 0xf9a8c15bd370aa4e
.xword 0x215698abfa7f1a32
.xword 0xfcffab0882511b8c
.xword 0x387bcf2c7a023f6a
.xword 0xe68c68f0d6aab9e2
.xword 0x90604f04c5a18b43
.xword 0x30113b0bb4c3b277
.xword 0xfde03ebbfeb24699
.xword 0x73763b87dcf4e1e4
.xword 0xa0cb3d3dc65d6c24
.xword 0x99b40ee82a724e92
.xword 0x4645573df1164909
.xword 0x1c6d5d7d90152341
.xword 0xd98d78987df2df7e
.xword 0x1c1bf13798e49284
.xword 0x6bd0881bf36c45d3
.xword 0xbcb69aa7f0e26462
.xword 0xb09d57c3416f8192
.xword 0x624b7e851aa6720a
.xword 0x4a430d0c8a1a2849
.xword 0x76e326b8168b1b3c
.xword 0x8e78dc759b97a444
.xword 0x67d7179057f817c3
.xword 0xb481ac3b23164be9
.xword 0x40e57f7ee09dab1d
.xword 0xf48b5567e5d876d4
.xword 0x124ccfe3fb1f05d3
.xword 0x5b1a668a93f884b2
.align 8
.global _t2_ma_operations7
_t2_ma_operations7:
.xword 0
.xword 0x00020091 | (0 << 18) !ma_ctl_Ld (0)
.xword 0
.xword 0x00020155 | (0 << 18) !ma_ctl_St (0)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (0)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (0)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (0)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (0)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (0)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (0)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (0)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (0)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (0)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (0)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (0)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (0)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (0)
.xword 0
.xword 0x0002111b | (0 << 18) !ma_ctl_StParity (0)
.xword 0
.xword 0x0002002a | (0 << 18) !ma_ctl_Ld (1)
.xword 0
.xword 0x0002015a | (0 << 18) !ma_ctl_St (1)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (1)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (1)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (1)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (1)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (1)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (1)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (1)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (1)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (1)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (1)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (1)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (1)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (1)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (1)
.xword 0
.xword 0x00020034 | (0 << 18) !ma_ctl_Ld (2)
.xword 0
.xword 0x0002014f | (0 << 18) !ma_ctl_St (2)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (2)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (2)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (2)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (2)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (2)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (2)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (2)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (2)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (2)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (2)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (2)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (2)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (2)
.xword 0
.xword 0x0002110f | (0 << 18) !ma_ctl_StParity (2)
.xword 0
.xword 0x00020091 | (0 << 18) !ma_ctl_Ld (3)
.xword 0
.xword 0x00020156 | (0 << 18) !ma_ctl_St (3)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (3)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (3)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (3)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (3)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (3)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (3)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (3)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (3)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (3)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (3)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (3)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (3)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (3)
.xword 0
.xword 0x00021118 | (0 << 18) !ma_ctl_StParity (3)
.xword 0
.xword 0x00020050 | (0 << 18) !ma_ctl_Ld (4)
.xword 0
.xword 0x00020190 | (0 << 18) !ma_ctl_St (4)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (4)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (4)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (4)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (4)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (4)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (4)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (4)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (4)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (4)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (4)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (4)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (4)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (4)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (4)
.xword 0
.xword 0x00020092 | (0 << 18) !ma_ctl_Ld (5)
.xword 0
.xword 0x00020145 | (0 << 18) !ma_ctl_St (5)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (5)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (5)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (5)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (5)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (5)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (5)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (5)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (5)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (5)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (5)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (5)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (5)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (5)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (5)
.xword 0
.xword 0x0002002c | (0 << 18) !ma_ctl_Ld (6)
.xword 0
.xword 0x00020173 | (0 << 18) !ma_ctl_St (6)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (6)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (6)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (6)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (6)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (6)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (6)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (6)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (6)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (6)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (6)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (6)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (6)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (6)
.xword 0
.xword 0x00021118 | (0 << 18) !ma_ctl_StParity (6)
.xword 0
.xword 0x00020026 | (0 << 18) !ma_ctl_Ld (7)
.xword 0
.xword 0x00020158 | (0 << 18) !ma_ctl_St (7)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (7)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (7)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (7)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (7)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (7)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (7)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (7)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (7)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (7)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (7)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (7)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (7)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (7)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (7)
.xword 0
.xword 0x00020031 | (0 << 18) !ma_ctl_Ld (8)
.xword 0
.xword 0x00020131 | (0 << 18) !ma_ctl_St (8)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (8)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (8)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (8)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (8)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (8)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (8)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (8)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (8)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (8)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (8)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (8)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (8)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (8)
.xword 0
.xword 0x00021112 | (0 << 18) !ma_ctl_StParity (8)
.xword 0
.xword 0x00020025 | (0 << 18) !ma_ctl_Ld (9)
.xword 0
.xword 0x0002013e | (0 << 18) !ma_ctl_St (9)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (9)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (9)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (9)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (9)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (9)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (9)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (9)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (9)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (9)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (9)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (9)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (9)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (9)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (9)
.xword 0
.xword 0x00020083 | (0 << 18) !ma_ctl_Ld (10)
.xword 0
.xword 0x00020123 | (0 << 18) !ma_ctl_St (10)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (10)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (10)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (10)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (10)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (10)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (10)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (10)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (10)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (10)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (10)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (10)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (10)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (10)
.xword 0
.xword 0x00021101 | (0 << 18) !ma_ctl_StParity (10)
.xword 0
.xword 0x00020038 | (0 << 18) !ma_ctl_Ld (11)
.xword 0
.xword 0x00020139 | (0 << 18) !ma_ctl_St (11)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (11)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (11)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (11)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (11)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (11)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (11)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (11)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (11)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (11)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (11)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (11)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (11)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (11)
.xword 0
.xword 0x00021115 | (0 << 18) !ma_ctl_StParity (11)
.xword 0
.xword 0x0002009e | (0 << 18) !ma_ctl_Ld (12)
.xword 0
.xword 0x0002013b | (0 << 18) !ma_ctl_St (12)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (12)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (12)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (12)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (12)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (12)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (12)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (12)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (12)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (12)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (12)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (12)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (12)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (12)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (12)
.xword 0
.xword 0x0002002a | (0 << 18) !ma_ctl_Ld (13)
.xword 0
.xword 0x00020124 | (0 << 18) !ma_ctl_St (13)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (13)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (13)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (13)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (13)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (13)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (13)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (13)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (13)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (13)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (13)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (13)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (13)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (13)
.xword 0
.xword 0x00021111 | (0 << 18) !ma_ctl_StParity (13)
.xword 0
.xword 0x0002003b | (0 << 18) !ma_ctl_Ld (14)
.xword 0
.xword 0x00020180 | (0 << 18) !ma_ctl_St (14)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (14)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (14)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (14)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (14)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (14)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (14)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (14)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (14)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (14)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (14)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (14)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (14)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (14)
.xword 0
.xword 0x00021117 | (0 << 18) !ma_ctl_StParity (14)
.xword 0
.xword 0x00020020 | (0 << 18) !ma_ctl_Ld (15)
.xword 0
.xword 0x0002016b | (0 << 18) !ma_ctl_St (15)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (15)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (15)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (15)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (15)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (15)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (15)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (15)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (15)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (15)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (15)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (15)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (15)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (15)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (15)
.xword 0
.xword 0x00020075 | (0 << 18) !ma_ctl_Ld (16)
.xword 0
.xword 0x0002016f | (0 << 18) !ma_ctl_St (16)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (16)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (16)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (16)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (16)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (16)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (16)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (16)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (16)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (16)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (16)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (16)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (16)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (16)
.xword 0
.xword 0x00021106 | (0 << 18) !ma_ctl_StParity (16)
.xword 0
.xword 0x0002005d | (0 << 18) !ma_ctl_Ld (17)
.xword 0
.xword 0x0002018d | (0 << 18) !ma_ctl_St (17)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (17)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (17)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (17)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (17)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (17)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (17)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (17)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (17)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (17)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (17)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (17)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (17)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (17)
.xword 0
.xword 0x00021103 | (0 << 18) !ma_ctl_StParity (17)
.xword 0
.xword 0x00020068 | (0 << 18) !ma_ctl_Ld (18)
.xword 0
.xword 0x0002011f | (0 << 18) !ma_ctl_St (18)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (18)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (18)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (18)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (18)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (18)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (18)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (18)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (18)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (18)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (18)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (18)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (18)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (18)
.xword 0
.xword 0x00021116 | (0 << 18) !ma_ctl_StParity (18)
.xword 0
.xword 0x00020079 | (0 << 18) !ma_ctl_Ld (19)
.xword 0
.xword 0x00020121 | (0 << 18) !ma_ctl_St (19)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (19)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (19)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (19)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (19)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (19)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (19)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (19)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (19)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (19)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (19)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (19)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (19)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (19)
.xword 0
.xword 0x00021118 | (0 << 18) !ma_ctl_StParity (19)
.xword 0
.xword 0x0002006d | (0 << 18) !ma_ctl_Ld (20)
.xword 0
.xword 0x00020190 | (0 << 18) !ma_ctl_St (20)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (20)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (20)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (20)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (20)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (20)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (20)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (20)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (20)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (20)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (20)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (20)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (20)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (20)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (20)
.xword 0
.xword 0x00020029 | (0 << 18) !ma_ctl_Ld (21)
.xword 0
.xword 0x00020172 | (0 << 18) !ma_ctl_St (21)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (21)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (21)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (21)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (21)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (21)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (21)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (21)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (21)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (21)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (21)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (21)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (21)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (21)
.xword 0
.xword 0x00021101 | (0 << 18) !ma_ctl_StParity (21)
.xword 0
.xword 0x00020025 | (0 << 18) !ma_ctl_Ld (22)
.xword 0
.xword 0x0002012a | (0 << 18) !ma_ctl_St (22)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (22)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (22)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (22)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (22)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (22)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (22)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (22)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (22)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (22)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (22)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (22)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (22)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (22)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (22)
.xword 0
.xword 0x00020054 | (0 << 18) !ma_ctl_Ld (23)
.xword 0
.xword 0x00020183 | (0 << 18) !ma_ctl_St (23)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (23)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (23)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (23)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (23)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (23)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (23)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (23)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (23)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (23)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (23)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (23)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (23)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (23)
.xword 0
.xword 0x00021112 | (0 << 18) !ma_ctl_StParity (23)
.xword 0
.xword 0x00020033 | (0 << 18) !ma_ctl_Ld (24)
.xword 0
.xword 0x00020148 | (0 << 18) !ma_ctl_St (24)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (24)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (24)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (24)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (24)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (24)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (24)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (24)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (24)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (24)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (24)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (24)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (24)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (24)
.xword 0
.xword 0x00021106 | (0 << 18) !ma_ctl_StParity (24)
.xword 0
.xword 0x0002002a | (0 << 18) !ma_ctl_Ld (25)
.xword 0
.xword 0x0002011f | (0 << 18) !ma_ctl_St (25)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (25)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (25)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (25)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (25)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (25)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (25)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (25)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (25)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (25)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (25)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (25)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (25)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (25)
.xword 0
.xword 0x00021104 | (0 << 18) !ma_ctl_StParity (25)
.xword 0
.xword 0x0002002f | (0 << 18) !ma_ctl_Ld (26)
.xword 0
.xword 0x0002016f | (0 << 18) !ma_ctl_St (26)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (26)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (26)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (26)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (26)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (26)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (26)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (26)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (26)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (26)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (26)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (26)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (26)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (26)
.xword 0
.xword 0x00021101 | (0 << 18) !ma_ctl_StParity (26)
.align 8
.global _t2_ma_results7
_t2_ma_results7:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
SECTION .MyHTRAPS_0 TEXT_VA = 0x0000000000280000, DATA_VA = 0x00000000002c0000
attr_text {
Name = .MyHTRAPS_0,
RA = 0x0000000000280000,
PA = ra2pa(0x0000000000280000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_E = 1,
TTE_P = 1,
TTE_W = 0,
TTE_X = 0
}
attr_data {
Name = .MyHTRAPS_0,
RA = 0x00000000002c0000,
PA = ra2pa(0x00000000002c0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0
}
.text
#include "htraps.s"
#include "tlu_htraps_ext.s"
SECTION .MyHTRAPS_1 TEXT_VA = 0x00000000002a0000, DATA_VA = 0x00000000002e0000
attr_text {
Name = .MyHTRAPS_1,
RA = 0x00000000002a0000,
PA = ra2pa(0x00000000002a0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_E = 1,
TTE_P = 1,
TTE_W = 0,
TTE_X = 0
}
attr_data {
Name = .MyHTRAPS_1,
RA = 0x00000000002e0000,
PA = ra2pa(0x00000000002e0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0
}
.text
#include "htraps.s"
#include "tlu_htraps_ext.s"
SECTION .MyHTRAPS_2 TEXT_VA = 0x0000000200280000, DATA_VA = 0x00000002002c0000
attr_text {
Name = .MyHTRAPS_2,
RA = 0x0000000200280000,
PA = ra2pa(0x0000000200280000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 1,
TTE_P = 1,
TTE_W = 0,
TTE_X = 0
}
attr_data {
Name = .MyHTRAPS_2,
RA = 0x00000002002c0000,
PA = ra2pa(0x00000002002c0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0
}
.text
#include "htraps.s"
#include "tlu_htraps_ext.s"
SECTION .MyHTRAPS_3 TEXT_VA = 0x00000002002a0000, DATA_VA = 0x00000002002e0000
attr_text {
Name = .MyHTRAPS_3,
RA = 0x00000002002a0000,
PA = ra2pa(0x00000002002a0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0,
TTE_X = 0
}
attr_data {
Name = .MyHTRAPS_3,
RA = 0x00000002002e0000,
PA = ra2pa(0x00000002002e0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0
}
.text
#include "htraps.s"
#include "tlu_htraps_ext.s"
SECTION .MyTRAPS_0 TEXT_VA = 0x0000000000380000, DATA_VA = 0x00000000003c0000
attr_text {
Name = .MyTRAPS_0,
RA = 0x0000000000380000,
PA = ra2pa(0x0000000000380000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 1,
TTE_P = 1,
TTE_W = 0,
TTE_X = 0
}
attr_data {
Name = .MyTRAPS_0,
RA = 0x00000000003c0000,
PA = ra2pa(0x00000000003c0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 1,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0
}
#include "traps.s"
SECTION .MyTRAPS_1 TEXT_VA = 0x00000000003a0000, DATA_VA = 0x00000000003e0000
attr_text {
Name = .MyTRAPS_1,
RA = 0x00000000003a0000,
PA = ra2pa(0x00000000003a0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 1,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_E = 0,
TTE_P = 0,
TTE_W = 0,
TTE_X = 1
}
attr_data {
Name = .MyTRAPS_1,
RA = 0x00000000003e0000,
PA = ra2pa(0x00000000003e0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 1,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_E = 0,
TTE_P = 1,
TTE_W = 1
}
#include "traps.s"
SECTION .MyTRAPS_2 TEXT_VA = 0x0000000400380000, DATA_VA = 0x00000004003c0000
attr_text {
Name = .MyTRAPS_2,
RA = 0x0000000400380000,
PA = ra2pa(0x0000000400380000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 1,
TTE_P = 0,
TTE_W = 1,
TTE_X = 1
}
attr_data {
Name = .MyTRAPS_2,
RA = 0x00000004003c0000,
PA = ra2pa(0x00000004003c0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 1,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0
}
#include "traps.s"
SECTION .MyTRAPS_3 TEXT_VA = 0x00000004003a0000, DATA_VA = 0x00000004003e0000
attr_text {
Name = .MyTRAPS_3,
RA = 0x00000004003a0000,
PA = ra2pa(0x00000004003a0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 1,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 0,
TTE_W = 0,
TTE_X = 1
}
attr_data {
Name = .MyTRAPS_3,
RA = 0x00000004003e0000,
PA = ra2pa(0x00000004003e0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 1,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 1,
TTE_W = 1
}
#include "traps.s"
SECTION .MyDATA_0 TEXT_VA = 0x00000000e0140000, DATA_VA = 0x0000000060140000
attr_text {
Name = .MyDATA_0,
RA = 0x0000000170100000,
PA = ra2pa(0x0000000170100000,0),
part_0_ctx_zero_tsb_config_0,
part_0_ctx_nonzero_tsb_config_0,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 0,
TTE_W = 1
}
attr_data {
Name = .MyDATA_0,
RA = 0x0000000170100000,
PA = ra2pa(0x0000000170100000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 5,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_E = 1,
TTE_P = 0,
TTE_W = 1
}
attr_data {
Name = .MyDATA_0,
RA = 0x0000000170100000,
PA = ra2pa(0x0000000170100000,0),
part_0_ctx_nonzero_tsb_config_2,
TTE_G = 1,
TTE_Context = SCONTEXT,
TTE_V = 1,
TTE_Size = 1,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0,
tsbonly
}
attr_data {
Name = .MyDATA_0,
hypervisor
}
attr_text {
Name = .MyDATA_0,
hypervisor
}
.data
.xword 0x9d6e49dfc47b5241
.xword 0xe4bda9764c419a28
.xword 0x87b40c6d1d3930a7
.xword 0x4e4362284dde4eba
.xword 0x692db049067eb4cf
.xword 0x0e26902217e3d6ab
.xword 0x67186c275e1f810d
.xword 0xb3db40aacf7d2b9f
.xword 0xa8c74441094cd34f
.xword 0x2522c69433ec3830
.xword 0x0879a3db6378bf70
.xword 0x89b719cee72fcfa4
.xword 0x52fce5b0dd631ba9
.xword 0x1056643596204efd
.xword 0x03fc96a95e945684
.xword 0x479f70628b0d8d40
.xword 0xecae8ae479c15e18
.xword 0x188f1d1ece805750
.xword 0x7d249216d9f021fd
.xword 0x61e7166acadd0ace
.xword 0xd8b59a208d660872
.xword 0x855bc7d351e1ebbd
.xword 0xba5cce06925b6732
.xword 0xbdd88aee4de12e2d
.xword 0x64fa3c1af86ef167
.xword 0x43ce2d92e7b56fb1
.xword 0x31ead203bd00c581
.xword 0x743d1b3b919945a1
.xword 0x369eb194847f038a
.xword 0xcc16ac9b3e8ba5f5
.xword 0xcdd0751448a4c9bb
.xword 0xcead9378db4ba765
SECTION .MyDATA_1 TEXT_VA = 0x00000000e0340000, DATA_VA = 0x0000000060340000
attr_text {
Name = .MyDATA_1,
RA = 0x0000000170300000,
PA = ra2pa(0x0000000170300000,0),
part_0_ctx_zero_tsb_config_0,
part_0_ctx_nonzero_tsb_config_0,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 3,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 1,
TTE_W = 1
}
attr_data {
Name = .MyDATA_1,
RA = 0x0000000170300000,
PA = ra2pa(0x0000000170300000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 5,
TTE_NFO = 1,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 1,
TTE_P = 1,
TTE_W = 1
}
attr_data {
Name = .MyDATA_1,
RA = 0x0000000170300000,
PA = ra2pa(0x0000000170300000,0),
part_0_ctx_nonzero_tsb_config_2,
TTE_G = 1,
TTE_Context = SCONTEXT,
TTE_V = 1,
TTE_Size = 1,
TTE_NFO = 1,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_E = 1,
TTE_P = 0,
TTE_W = 0,
tsbonly
}
attr_data {
Name = .MyDATA_1,
hypervisor
}
attr_text {
Name = .MyDATA_1,
hypervisor
}
.data
.xword 0xde4f52751d53d533
.xword 0x0543f9c551089f8a
.xword 0x47ad74344b86577e
.xword 0xa4cc705cf8c32a1c
.xword 0x350a6c82dd564f0e
.xword 0xece6ba728632eba6
.xword 0x59c0d84a0587c162
.xword 0xc3a940a5ece3aef7
.xword 0x6247a9c41b8cf361
.xword 0x6aae8ebfeec11a59
.xword 0x3782e4f7ec924834
.xword 0x88244cbc3603e236
.xword 0x8d1933957a6913d3
.xword 0xfa8523b6b5e6c88e
.xword 0x7a753cd5cd938ce9
.xword 0x60480fb82fb978ad
.xword 0x762eb9c7f7eca3ad
.xword 0xb0bfdad687f76c83
.xword 0x14ea9615506d9944
.xword 0x7bdabbdaade6fce1
.xword 0x0ad0172f85d38f02
.xword 0xdfad69221279be21
.xword 0xc41ffb2ec20624c6
.xword 0x6b665cb00d3e5e4a
.xword 0x292964b48de92eee
.xword 0x453e50d2e1c87a6e
.xword 0x8a6fc4ec9f2f284c
.xword 0x995b0ba29cb95a4e
.xword 0x17be49dce2a0aba7
.xword 0x7a9ff820dd8e4dfa
.xword 0xdcdac95642da3347
.xword 0xe7dbbb0acf27ee45
SECTION .MyDATA_2 TEXT_VA = 0x00000000e0540000, DATA_VA = 0x0000000060540000
attr_text {
Name = .MyDATA_2,
RA = 0x0000000170500000,
PA = ra2pa(0x0000000170500000,0),
part_0_ctx_zero_tsb_config_0,
part_0_ctx_nonzero_tsb_config_0,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 1,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_E = 1,
TTE_P = 1,
TTE_W = 1
}
attr_data {
Name = .MyDATA_2,
RA = 0x0000000170500000,
PA = ra2pa(0x0000000170500000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 5,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_E = 0,
TTE_P = 0,
TTE_W = 1
}
attr_data {
Name = .MyDATA_2,
RA = 0x0000000170500000,
PA = ra2pa(0x0000000170500000,0),
part_0_ctx_nonzero_tsb_config_2,
TTE_G = 1,
TTE_Context = SCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_E = 1,
TTE_P = 0,
TTE_W = 1,
tsbonly
}
attr_data {
Name = .MyDATA_2,
hypervisor
}
attr_text {
Name = .MyDATA_2,
hypervisor
}
.data
.xword 0xd05d2a85eb357447
.xword 0xb42aed93f4afc479
.xword 0x701f2bcd6b8921c2
.xword 0x1466232a0c406114
.xword 0x8b93e303a2862988
.xword 0x14c42b376eb3a759
.xword 0xa6f357bde11766cc
.xword 0x78bfc981b5ea571e
.xword 0x1db1d07036550017
.xword 0xcc4a534c85d4181f
.xword 0x93625cf5a8f97eb7
.xword 0x63cc183d5d8de9de
.xword 0x48b76ef20b4bed60
.xword 0x64c50d37914e7ed2
.xword 0xe36fafb81772d6c8
.xword 0xcfa95005b773b046
.xword 0x1ef2b3836ea8fc7f
.xword 0xb309df988be3d62f
.xword 0x0059bcfeda8fb20d
.xword 0x5387ee812e43b776
.xword 0xdcfbd2acd293e9a8
.xword 0xab505ae022644efb
.xword 0xada75c77fa195c44
.xword 0x0e03cec001c8627a
.xword 0x86e4f2f496c3898f
.xword 0x03677ba9c825a50f
.xword 0x0c8c67b1fa61cd9b
.xword 0x7a245eb2bda71322
.xword 0x717ba8314fbd7cfd
.xword 0xa3e476b7fa9e5652
.xword 0x610bff4a61d27e0d
.xword 0xd54b79280384bb87
SECTION .MyDATA_3 TEXT_VA = 0x00000000e0740000, DATA_VA = 0x0000000060740000
attr_text {
Name = .MyDATA_3,
RA = 0x0000000170700000,
PA = ra2pa(0x0000000170700000,0),
part_0_ctx_zero_tsb_config_0,
part_0_ctx_nonzero_tsb_config_0,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0
}
attr_data {
Name = .MyDATA_3,
RA = 0x0000000170700000,
PA = ra2pa(0x0000000170700000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_E = 1,
TTE_P = 0,
TTE_W = 1
}
attr_data {
Name = .MyDATA_3,
RA = 0x0000000170700000,
PA = ra2pa(0x0000000170700000,0),
part_0_ctx_nonzero_tsb_config_2,
TTE_G = 1,
TTE_Context = SCONTEXT,
TTE_V = 1,
TTE_Size = 1,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_E = 0,
TTE_P = 0,
TTE_W = 1,
tsbonly
}
attr_data {
Name = .MyDATA_3,
hypervisor
}
attr_text {
Name = .MyDATA_3,
hypervisor
}
.data
.xword 0xc86e25ec8b6c86c8
.xword 0xb632a142ac67c54f
.xword 0x082ce6b8cb3a949f
.xword 0x16c93d4a56becf06
.xword 0xfee6bffcb94ebac6
.xword 0x2624fa9064fc8f68
.xword 0x5dc1375ccc710e9d
.xword 0x4f7be3424dde3f88
.xword 0x7f2c9999cf54ff13
.xword 0x7964264dc4f6ad13
.xword 0x0e63785b0b636af8
.xword 0xf72fe2a9bb79fe84
.xword 0xd951cb4c7fec6a52
.xword 0x7973a26533aa1593
.xword 0xa3b4a96a92288c8b
.xword 0x91d0082cc17e3efb
.xword 0x6dab60581dcb4174
.xword 0x510bef1122a0356c
.xword 0x0099a9fd97a2e79f
.xword 0x1fa1deb10c60e34b
.xword 0x6144d334d04d2795
.xword 0x417f752607c07a89
.xword 0x86d02e3720e3d4e1
.xword 0xfac446f6afbc224c
.xword 0x7cdaede5b7005640
.xword 0xd4a726305121b18f
.xword 0xa58fb63cf9fadc65
.xword 0x4b17bf01f573a04d
.xword 0x35f52ec5080f0637
.xword 0x7afdc15b760bca8b
.xword 0x3948c1e43f77a4af
.xword 0xc62c367110f39097
SECTION .MyTEXT_0 TEXT_VA = 0x00000000e0200000
attr_text {
Name = .MyTEXT_0,
RA = 0x00000000e0200000,
PA = ra2pa(0x00000000e0200000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 5,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_EP = 1,
TTE_E = 0,
TTE_P = 0,
TTE_W = 0
}
.text
nuff_said_0:
.word 0x81a7c9a0 ! 1: FDIVs fdivs %f31, %f0, %f0
.word 0xe0bfdc00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe0
mov HIGHVA_HIGHNUM, %r11
sllx %r11, 32, %r11
or %r27, %r11, %r27
jmpl %r27+8, %r0
jmpl %r27+8, %r0
jmpl %r27+8, %r0
jmpl %r27+8, %r0
.word 0xa1a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f16
.word 0xe09fdb20 ! 1: LDDA_R ldda [%r31, %r0] 0xd9, %r16
SECTION .MyTEXT_1 TEXT_VA = 0x00000000e0a00000
attr_text {
Name = .MyTEXT_1,
RA = 0x00000000e0a00000,
PA = ra2pa(0x00000000e0a00000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 3,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_EP = 1,
TTE_E = 1,
TTE_P = 1,
TTE_W = 1
}
.text
nuff_said_1:
.word 0xe09fdb20 ! 1: LDDA_R ldda [%r31, %r0] 0xd9, %r16
.word 0xe0bfdc00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe0
mov HIGHVA_HIGHNUM, %r11
sllx %r11, 32, %r11
or %r27, %r11, %r27
jmpl %r27+8, %r0
jmpl %r27+8, %r0
jmpl %r27+8, %r0
jmpl %r27+8, %r0
.word 0xe19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
.word 0xc19fdb40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
SECTION .MyTEXT_2 TEXT_VA = 0x00000000e1200000
attr_text {
Name = .MyTEXT_2,
RA = 0x00000000e1200000,
PA = ra2pa(0x00000000e1200000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 5,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_EP = 1,
TTE_E = 1,
TTE_P = 1,
TTE_W = 1
}
.text
nuff_said_2:
.word 0xa1b7c480 ! 1: FCMPLE32 fcmple32 %d62, %d0, %r16
.word 0xe19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
mov HIGHVA_HIGHNUM, %r11
sllx %r11, 32, %r11
or %r27, %r11, %r27
jmpl %r27+8, %r0
jmpl %r27+8, %r0
jmpl %r27+8, %r0
jmpl %r27+8, %r0
.word 0xa1a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f16
.word 0xe09fdb20 ! 1: LDDA_R ldda [%r31, %r0] 0xd9, %r16
SECTION .MyTEXT_3 TEXT_VA = 0x00000000e1a00000
attr_text {
Name = .MyTEXT_3,
RA = 0x00000000e1a00000,
PA = ra2pa(0x00000000e1a00000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 3,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_EP = 0,
TTE_E = 1,
TTE_P = 0,
TTE_W = 0
}
.text
nuff_said_3:
.word 0xe09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r16
.word 0xa1a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f16
mov HIGHVA_HIGHNUM, %r11
sllx %r11, 32, %r11
or %r27, %r11, %r27
jmpl %r27+8, %r0
jmpl %r27+8, %r0
jmpl %r27+8, %r0
jmpl %r27+8, %r0
.word 0xa1b7c480 ! 1: FCMPLE32 fcmple32 %d62, %d0, %r16
.word 0xa1a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f16
SECTION .VaHOLE_0 TEXT_VA = 0x00007fffffffe000
attr_text {
Name = .VaHOLE_0,
RA = 0x00000000ffffe000,
PA = ra2pa(0x00000000ffffe000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 5,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 0,
TTE_W = 1,
TTE_X = 1
}
.text
.global vahole_target0
.text
.global vahole_target1
.text
.global vahole_target2
.text
.global vahole_target3
nop
.align 4096
nop
.align 2048
nop
.align 1024
nop
.align 512
nop
.align 256
nop
.align 128
nop
.align 64
nop
nop
.align 16
nop;nop;nop
vahole_target0: nop;nop
vahole_target1: nop
vahole_target2: nop;nop;nop
vahole_target3: nop;nop;nop
SECTION .VaHOLEL_0 TEXT_VA = 0x00000000ffffe000
attr_text {
Name = .VaHOLEL_0,
RA = 0x00000000ffffe000,
PA = ra2pa(0x00000000ffffe000,0),
part_0_ctx_zero_tsb_config_0,
part_0_ctx_nonzero_tsb_config_0,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 1,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 1,
TTE_P = 0,
TTE_W = 1,
TTE_X = 1,
tsbonly
}
.text
nop
SECTION .ZERO_0 TEXT_VA = 0x0000000000000000
attr_text {
Name = .ZERO_0,
RA = 0x0000000000000000,
PA = ra2pa(0x0000000000000000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = 0x44,
TTE_V = 1,
TTE_Size = 1,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_E = 1,
TTE_P = 0,
TTE_W = 1,
TTE_X = 1
}
.text
nop
mov HIGHVA_HIGHNUM, %r11
sllx %r11, 32, %r11
or %r27, %r11, %r27
jmpl %r27+8, %r0
nop
jmpl %r27+8, %r0
nop
Power_On_Reset:
setx HRedmode_Reset_Handler, %g1, %g2
jmp %g2
nop
.align 32
Watchdog_Reset:
setx wdog_red_ext, %g1, %g2
jmp %g2
nop
.align 32
External_Reset:
My_External_Reset
.align 32
Software_Initiated_Reset:
setx Software_Reset_Handler, %g1, %g2
jmp %g2
nop
.align 32
.global ZRED_Mode_Other_Reset
ZRED_Mode_Other_Reset:
! IF TL=6, shift stack by one ..
rdpr %tl, %l1
cmp %l1, 6
be start_tsa_shift
nop
continue_red_other:
mov 0x1f, %l1
stxa %l1, [%g0] ASI_LSU_CTL_REG
rdpr %tt, %l1
rdhpr %htstate, %l2
and %l2, 0x4, %l2 ! If previously in hpriv mode, go to hpriv
brnz,a %l2, red_goto_handler
rdhpr %htba, %l2
srlx %l1, 7, %l2 ! Send priv sw traps to priv mode ..
cmp %l2, 0x2 ! 0x2 = priv sw trap, 0x3=hpriv sw trap ..
be,a red_goto_handler
rdpr %tba, %l2
rdhpr %htba, %l2
red_goto_handler:
sllx %l1, 5, %l1
add %l1, %l2, %l2
rdhpr %htstate, %l1
andn %l1, 0x20, %l1
wrhpr %g0, %l1, %htstate
rdhpr %hpstate, %l1
jmp %l2
wrhpr %l1, 0x20, %hpstate
nop
wdog_red_ext:
! Shift stack down by 1 ...
rdpr %tl, %l1
cmp %l1, 6
bl wdog_end
start_tsa_shift:
mov 0x2, %l2
tsa_shift:
wrpr %l2, %tl
rdpr %tt, %l3
rdpr %tpc, %l4
rdpr %tnpc, %l5
rdpr %tstate, %l6
rdhpr %htstate, %l7
dec %l2
wrpr %l2, %tl
wrpr %l3, %tt
wrpr %l4, %tpc
wrpr %l5, %tnpc
wrpr %l6, %tstate
wrhpr %l7, %htstate
add %l2, 2, %l2
cmp %l2, %l1
ble tsa_shift
nop
tsa_shift_done:
dec %l1
wrpr %l1, %tl
wdog_end:
! If TT != 2, then goto trap handler
rdpr %tt, %l1
cmp %l1, 0x2
bne continue_red_other
nop
! else done
mov 0x1f, %l1
stxa %l1, [%g0] ASI_LSU_CTL_REG
done
SECTION .VaHOLE_PA_0 TEXT_VA = 0x000000ffffffe000
attr_text {
Name = .VAHOLE_PA_0,
hypervisor
}
nop
.align 4096
nop
.align 2048
nop
.align 1024
nop
.align 512
nop
.align 256
nop
.align 128
nop
.align 64
nop
nop
.align 16
nop;nop;nop
nop
nop
jmpl %r27+8, %r0
nop
nop
nop
jmpl %r27+8, %r0
nop
SECTION .MASKEDHOLE_0 TEXT_VA = 0x0000000100000000
attr_text {
Name = .MASKEDHOLE_0,
RA = 0x0000000000000000,
PA = ra2pa(0x0000000000000000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0x44,
TTE_V = 1,
TTE_Size = 1,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 0,
TTE_W = 0,
TTE_X = 1,
tsbonly
}
attr_text {
Name = .MASKEDHOLE_0,
hypervisor
}
mov HIGHVA_HIGHNUM, %r11
sllx %r11, 32, %r11
or %r27, %r11, %r27
return %r27+8
nop
SECTION .MyFRZ_0 TEXT_VA = 0x000000003cb00000
attr_text {
Name = .MyFRZ_0,
RA = 0x000000003cb00000,
PA = ra2pa(0x000000003cb00000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 1,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_EP = 1,
TTE_E = 1,
TTE_P = 0,
TTE_W = 1
}
.text
.global last_in_frz_1_0
nop
.align 4096
nop
.align 2048
nop
.align 1024
nop
.align 512
nop
.align 256
nop
.align 128
nop
.align 64
nop
.align 16
nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
last_in_frz_1_0:
.word 0xa1b7c7c0 ! 1: PDIST pdistn %d62, %d0, %d16
SECTION .MyFRZ_1 TEXT_VA = 0x000000003cb40000
attr_text {
Name = .MyFRZ_1,
RA = 0x000000003cb40000,
PA = ra2pa(0x000000003cb40000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 1,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_EP = 0,
TTE_E = 1,
TTE_P = 0,
TTE_W = 1
}
.text
.global last_in_frz_1_1
nop
.align 4096
nop
.align 2048
nop
.align 1024
nop
.align 512
nop
.align 256
nop
.align 128
nop
.align 64
nop
.align 16
nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
last_in_frz_1_1:
.word 0xa1a7c9a0 ! 1: FDIVs fdivs %f31, %f0, %f16
SECTION .MyFRZ_2 TEXT_VA = 0x000000003cb80000
attr_text {
Name = .MyFRZ_2,
RA = 0x000000003cb80000,
PA = ra2pa(0x000000003cb80000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_EP = 0,
TTE_E = 0,
TTE_P = 0,
TTE_W = 1
}
.text
.global last_in_frz_1_2
nop
.align 4096
nop
.align 2048
nop
.align 1024
nop
.align 512
nop
.align 256
nop
.align 128
nop
.align 64
nop
.align 16
nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
last_in_frz_1_2:
.word 0xe01fe0a0 ! 1: LDD_I ldd [%r31 + 0x00a0], %r16
SECTION .MyFRZ_3 TEXT_VA = 0x000000003cbc0000
attr_text {
Name = .MyFRZ_3,
RA = 0x000000003cbc0000,
PA = ra2pa(0x000000003cbc0000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 1,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_EP = 0,
TTE_E = 1,
TTE_P = 1,
TTE_W = 1
}
.text
.global last_in_frz_1_3
nop
.align 4096
nop
.align 2048
nop
.align 1024
nop
.align 512
nop
.align 256
nop
.align 128
nop
.align 64
nop
.align 16
nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
last_in_frz_1_3:
.word 0x20800001 ! 1: BN bn,a <label_0x1>
SECTION .MyFRZn_0 TEXT_VA = 0x000000003cb02000
attr_text {
Name = .MyFRZn_0,
RA = 0x000000003cb02000,
PA = ra2pa(0x000000003cb02000,0),
part_0_ctx_zero_tsb_config_2,
part_0_ctx_nonzero_tsb_config_2,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_EP = 1,
TTE_E = 0,
TTE_P = 0,
TTE_W = 1
}
nop
nop
return %r27+8
.word 0xa1702060 ! 1: POPC_I popc 0x0060, %r16
SECTION .MyFRZn_1 TEXT_VA = 0x000000003cb42000
attr_text {
Name = .MyFRZn_1,
RA = 0x000000003cb42000,
PA = ra2pa(0x000000003cb42000,0),
part_0_ctx_zero_tsb_config_2,
part_0_ctx_nonzero_tsb_config_2,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_EP = 1,
TTE_E = 1,
TTE_P = 0,
TTE_W = 0
}
nop
nop
return %r27+8
.word 0xe09fdf20 ! 1: LDDA_R ldda [%r31, %r0] 0xf9, %r16
SECTION .MyFRZn_2 TEXT_VA = 0x000000003cb82000
attr_text {
Name = .MyFRZn_2,
RA = 0x000000003cb82000,
PA = ra2pa(0x000000003cb82000,0),
part_0_ctx_zero_tsb_config_2,
part_0_ctx_nonzero_tsb_config_2,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_EP = 1,
TTE_E = 1,
TTE_P = 0,
TTE_W = 1
}
nop
nop
return %r27+8
.word 0xe097da60 ! 1: LDUHA_R lduha [%r31, %r0] 0xd3, %r16
SECTION .MyFRZn_3 TEXT_VA = 0x000000003cbc2000
attr_text {
Name = .MyFRZn_3,
RA = 0x000000003cbc2000,
PA = ra2pa(0x000000003cbc2000,0),
part_0_ctx_zero_tsb_config_2,
part_0_ctx_nonzero_tsb_config_2,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_EP = 1,
TTE_E = 0,
TTE_P = 0,
TTE_W = 1
}
nop
nop
return %r27+8
.word 0xc11fe0e0 ! 1: LDDF_I ldd [%r31, 0x00e0], %f0
#if 0
#endif