Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / verif / diag / assembly / uarch / tlu / diag / newfcrand05 / fcrand05short_rand_40.s
/*
* ========== Copyright Header Begin ==========================================
*
* OpenSPARC T2 Processor File: fcrand05short_rand_40.s
* Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
* 4150 Network Circle, Santa Clara, California 95054, U.S.A.
*
* DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
*
* This program is free software; you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation; version 2 of the License.
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program; if not, write to the Free Software
* Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
*
* For the avoidance of doubt, and except that if any non-GPL license
* choice is available it will apply instead, Sun elects to use only
* the General Public License version 2 (GPLv2) at this time for any
* software where a choice of GPL license versions is made
* available with the language indicating that GPLv2 or any later version
* may be used, or where a choice of which version of the GPL is applied is
* otherwise unspecified.
*
* Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
* CA 95054 USA or visit www.sun.com if you need additional information or
* have any questions.
*
*
* ========== Copyright Header End ============================================
*/
#define NO_INTERNAL_SPU
#define IMMU_SKIP_IF_NO_TTE
#define DMMU_SKIP_IF_NO_TTE
#define MAIN_PAGE_NUCLEUS_ALSO
#define MAIN_PAGE_HV_ALSO
#define MAIN_PAGE_VA_IS_RA_ALSO
#define DISABLE_PART_LIMIT_CHECK
#define MAIN_PAGE_USE_CONFIG 3
#define PART0_Z_TSB_SIZE_3 10
#define PART0_Z_PAGE_SIZE_3 1
#define PART0_NZ_TSB_SIZE_3 10
#define PART0_NZ_PAGE_SIZE_3 1
#define PART0_Z_TSB_SIZE_1 3
#define PART0_NZ_TSB_SIZE_1 3
#define PART_0_BASE 0x0
#define USER_PAGE_CUSTOM_MAP
#define MAIN_BASE_TEXT_VA 0x333000000
#define MAIN_BASE_TEXT_RA 0x033000000
#define MAIN_BASE_DATA_VA 0x379400000
#define MAIN_BASE_DATA_RA 0x079400000
#define HIGHVA_HIGHNUM 0x3
#d
#define NO_EOB_MARKER
#undef INC_ERR_TRAPS
#undef H_HT0_Instruction_Access_MMU_Error_0x71
#define H_HT0_Instruction_Access_MMU_Error_0x71
#define SUN_H_HT0_Instruction_Access_MMU_Error_0x71 \
mov 0x80, %l3;\
stxa %g0, [%l3]0x57;\
retry;
#undef H_HT0_Instruction_access_error_0x0a
#define H_HT0_Instruction_access_error_0x0a
#define SUN_H_HT0_Instruction_access_error_0x0a retry
#undef H_HT0_Internal_Processor_Error_0x29
#define H_HT0_Internal_Processor_Error_0x29
#define SUN_H_HT0_Internal_Processor_Error_0x29 retry
#undef H_HT0_Data_Access_MMU_Error_0x72
#define H_HT0_Data_Access_MMU_Error_0x72
#define SUN_H_HT0_Data_Access_MMU_Error_0x72 \
mov 0x80, %l3;\
stxa %g0, [%l3]0x5f;\
retry;
#undef H_HT0_Data_access_error_0x32
#define H_HT0_Data_access_error_0x32
#define SUN_H_HT0_Data_access_error_0x32 \
add %g0, 0x18, %i1;\
ldxa [%i1] 0x58, %i2;\
cmp %i2, 0x4;\
bne 1f;\
nop;\
done;\
1:retry
#undef H_HT0_Hw_Corrected_Error_0x63
#define H_HT0_Hw_Corrected_Error_0x63
#define SUN_H_HT0_Hw_Corrected_Error_0x63 ldxa [%g0]ASI_DESR, %i1; retry
#undef H_HT0_Sw_Recoverable_Error_0x40
#define H_HT0_Sw_Recoverable_Error_0x40
#define SUN_H_HT0_Sw_Recoverable_Error_0x40 ldxa [%g0]ASI_DESR, %i1; retry
#undef H_HT0_Store_Error_0x07
#define H_HT0_Store_Error_0x07
#define SUN_H_HT0_Store_Error_0x07 retry
#define DAE_SKIP_IF_SOCU_ERROR
#ifndef T_HANDLER_RAND4_1
#define T_HANDLER_RAND4_1 b .+16;\
sdiv %r1, %r0, %l4;nop;nop
#endif
#ifndef T_HANDLER_RAND7_1
#define T_HANDLER_RAND7_1 b .+28;\
pdist %f4, %f6, %f20; \
nop; nop ; nop; nop; illtrap
#endif
#ifndef T_HANDLER_RAND4_2
#define T_HANDLER_RAND4_2 save %i7, %g0, %i7; \
save %i7, %g0, %i7; \
restore %i7, %g0, %i7;\
restore %i7, %g0, %i7;
#endif
#ifndef T_HANDLER_RAND7_2
#define T_HANDLER_RAND7_2 b .+8 ;\
rdpr %pstate, %l2;\
b .+8 ;\
rdpr %tstate, %l3;\
b .+12 ;\
wrpr %l3, %r0, %tstate; nop
#endif
#ifndef T_HANDLER_RAND4_3
#define T_HANDLER_RAND4_3 save %i7, %g0, %i7;\
restore %i7, %g0, %i7;\
save %i7, %g0, %i7; \
restore %i7, %g0, %i7;
#endif
#ifndef T_HANDLER_RAND7_3
#define T_HANDLER_RAND7_3 b .+8 ;\
rdpr %tnpc, %l2;\
and %l2, 0xfc0, %l2;\
add %i7, %l2, %l2;\
stda %f16,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY ;\
b .+8 ;\
stda %f0,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE ;
#endif
#ifndef T_HANDLER_RAND4_4
#define T_HANDLER_RAND4_4 b .+4 ; b .+4; b .+4; b .+4
#endif
#ifndef T_HANDLER_RAND7_4
#define T_HANDLER_RAND7_4 b .+8;\
save %i7, %g0, %i7; \
b,a .+8;\
b .+12;\
stw %i7, [%i7];\
b .-8;;\
restore %i7, %g0, %i7;
#endif
#ifndef T_HANDLER_RAND4_5
#define T_HANDLER_RAND4_5 ldda [%r31]ASI_NUCLEUS_QUAD_LDD, %f16;\
sdiv %l4, %l5, %l7;\
add %r31, 128, %l5;\
stda %l4, [%i7]ASI_BLOCK_PRIMARY_LITTLE;
#endif
#ifndef T_HANDLER_RAND7_5
#define T_HANDLER_RAND7_5 save %i7, %g0, %i7;\
rdpr %tnpc, %l2;\
wrpr %l2, %tpc;\
add %l2, 4, %l2;\
wrpr %l2, %tnpc;\
restore %i7, %g0, %i7;\
retry;
#endif
#ifndef T_HANDLER_RAND4_6
#define T_HANDLER_RAND4_6 ldda [%r31]ASI_BLOCK_AS_IF_USER_PRIMARY, %f32;\
rd %fprs, %l2; \
wr %l2, 0x4, %fprs ;\
stda %f0,[%r31]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE;
#endif
#ifndef T_HANDLER_RAND7_6
#define T_HANDLER_RAND7_6 umul %o4, 2, %o5;\
rdpr %tnpc, %l2;\
wrpr %l2, %tpc;\
add %l2, 4, %l2;\
wrpr %l2, %tnpc;\
stw %l2, [%i7];\
retry;
#endif
!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
#ifndef HT_HANDLER_RAND4_1
#define HT_HANDLER_RAND4_1 mov 0x80, %l3;\
b .+12;\
stxa %l3, [%l3]0x57 ;\
nop
#endif
#ifndef HT_HANDLER_RAND7_1
#define HT_HANDLER_RAND7_1 b .+28;\
pdist %f4, %f4, %f20;\
nop; nop ; nop; nop; illtrap
#endif
#ifndef HT_HANDLER_RAND4_2
#define HT_HANDLER_RAND4_2 rdpr %tstate, %l2;\
b .+12;\
wrpr %l2, 0x800, %tstate;\
nop;
#endif
#ifndef HT_HANDLER_RAND7_2
#define HT_HANDLER_RAND7_2 b .+8 ;\
rdhpr %hpstate, %l2;\
b .+8 ;\
rdhpr %htstate, %l3;\
b .+12 ;\
wrhpr %l3, %r0, %htstate; nop
#endif
#ifndef HT_HANDLER_RAND4_3
#define HT_HANDLER_RAND4_3 stxa %l4, [%r31]ASI_AS_IF_USER_PRIMARY;\
mov 0x80, %l3;\
stxa %l3, [%l3]0x5f ;\
b .+8 ;\
ldxa [%r31]ASI_AS_IF_USER_PRIMARY, %l4;
#endif
#ifndef HT_HANDLER_RAND7_3
#define HT_HANDLER_RAND7_3 b .+8 ;\
rdpr %tnpc, %l2;\
and %l2, 0xfc0, %l2;\
add %i7, %l2, %l2;\
stda %f16,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY ;\
b .+8 ;\
stda %f0,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE ;
#endif
#ifndef HT_HANDLER_RAND4_4
#define HT_HANDLER_RAND4_4 ldda [%i7]ASI_BLOCK_PRIMARY_LITTLE, %f0;\
b .+12 ;\
stxa %l3, [%g0]ASI_LSU_CONTROL; nop
#endif
#ifndef HT_HANDLER_RAND7_4
#define HT_HANDLER_RAND7_4 rdpr %tnpc, %l3;\
and %l3, 0xff, %l3;\
sllx %l3, 26, %l3;\
ldxa [%g0]0x45, %l4;\
or %l3, %l4, %l3 ;\
stxa %l3, [%g0]0x45 ;\
nop;
#endif
#ifndef HT_HANDLER_RAND4_5
#define HT_HANDLER_RAND4_5 ldda [%r31]ASI_NUCLEUS_QUAD_LDD, %f48;\
sdiv %l4, %l5, %l6;\
sdiv %l3, %l6, %l7;\
stda %f32, [%r31]ASI_BLOCK_PRIMARY_LITTLE;
#endif
#ifndef HT_HANDLER_RAND7_5
#define HT_HANDLER_RAND7_5 save %i7, %g0, %i7;\
rdpr %tnpc, %l2;\
wrpr %l2, %tpc;\
add %l2, 4, %l2;\
wrpr %l2, %tnpc;\
restore %i7, %g0, %i7;\
retry;
#endif
#ifndef HT_HANDLER_RAND4_6
#define HT_HANDLER_RAND4_6 ld [%r31], %l2;\
rd %fprs, %l2; \
wr %l2, 0x4, %fprs ;\
stda %f0,[%i7]ASI_BLOCK_AS_IF_USER_PRIMARY_LITTLE;
#endif
#ifndef HT_HANDLER_RAND7_6
#define HT_HANDLER_RAND7_6 rdhpr %htstate, %o4;\
rdpr %tnpc, %l2;\
wrpr %l2, %tpc;\
add %l2, 4, %l2;\
wrpr %l2, %tnpc;\
wrhpr %o4, %r0, %htstate;\
retry;
#endif
!!!!!!!!!!!!!!!!!!!!!!!!!
!! Disable trap checking
#define NO_TRAPCHECK
! Enable Traps
#define ENABLE_T1_Privileged_Opcode_0x11
#define ENABLE_T1_Fp_Disabled_0x20
#define ENABLE_HT0_Watchdog_Reset_0x02
#define FILL_TRAP_RETRY
#define SPILL_TRAP_RETRY
#define CLEAN_WIN_RETRY
#define My_RED_Mode_Other_Reset
#define My_RED_Mode_Other_Reset \
ba red_other_ext;\
nop;retry;nop;nop;nop;nop;nop
#define H_HT0_Software_Initiated_Reset_0x04
#define SUN_H_HT0_Software_Initiated_Reset_0x04 \
setx Software_Reset_Handler, %g1, %g2 ;\
jmp %g2 ;\
nop
#define H_T1_Clean_Window_0x24
#define SUN_H_T1_Clean_Window_0x24 \
rdpr %cleanwin, %l1;\
add %l1,1,%l1;\
wrpr %l1, %g0, %cleanwin;\
retry; nop; nop; nop; nop
#define H_T1_Clean_Window_0x25
#define SUN_H_T1_Clean_Window_0x25 \
rdpr %cleanwin, %l1;\
add %l1,1,%l1;\
wrpr %l1, %g0, %cleanwin;\
retry; nop; nop; nop; nop
#define H_T1_Clean_Window_0x26
#define SUN_H_T1_Clean_Window_0x26 \
rdpr %cleanwin, %l1;\
add %l1,1,%l1;\
wrpr %l1, %g0, %cleanwin;\
retry; nop; nop; nop; nop
#define H_T1_Clean_Window_0x27
#define SUN_H_T1_Clean_Window_0x27 \
rdpr %cleanwin, %l1;\
add %l1,1,%l1;\
wrpr %l1, %g0, %cleanwin;\
retry; nop; nop; nop; nop
#define H_HT0_Tag_Overflow
#define My_HT0_Tag_Overflow \
HT_HANDLER_RAND7_1 ;\
done
#define H_T0_Tag_Overflow
#define My_T0_Tag_Overflow \
T_HANDLER_RAND7_2 ;\
done
#define H_T1_Tag_Overflow_0x23
#define SUN_H_T1_Tag_Overflow_0x23 \
T_HANDLER_RAND7_3 ;\
done
#define H_T0_Window_Spill_0_Normal_Trap
#define SUN_H_T0_Window_Spill_0_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_1_Normal_Trap
#define SUN_H_T0_Window_Spill_1_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_2_Normal_Trap
#define SUN_H_T0_Window_Spill_2_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_3_Normal_Trap
#define SUN_H_T0_Window_Spill_3_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_4_Normal_Trap
#define SUN_H_T0_Window_Spill_4_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_5_Normal_Trap
#define SUN_H_T0_Window_Spill_5_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_6_Normal_Trap
#define SUN_H_T0_Window_Spill_6_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_7_Normal_Trap
#define SUN_H_T0_Window_Spill_7_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_0_Other_Trap
#define SUN_H_T0_Window_Spill_0_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_1_Other_Trap
#define SUN_H_T0_Window_Spill_1_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_2_Other_Trap
#define SUN_H_T0_Window_Spill_2_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_3_Other_Trap
#define SUN_H_T0_Window_Spill_3_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_4_Other_Trap
#define SUN_H_T0_Window_Spill_4_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_5_Other_Trap
#define SUN_H_T0_Window_Spill_5_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_6_Other_Trap
#define SUN_H_T0_Window_Spill_6_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Spill_7_Other_Trap
#define SUN_H_T0_Window_Spill_7_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_0_Normal_Trap
#define SUN_H_T0_Window_Fill_0_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_1_Normal_Trap
#define SUN_H_T0_Window_Fill_1_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_2_Normal_Trap
#define SUN_H_T0_Window_Fill_2_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_3_Normal_Trap
#define SUN_H_T0_Window_Fill_3_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_4_Normal_Trap
#define SUN_H_T0_Window_Fill_4_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_5_Normal_Trap
#define SUN_H_T0_Window_Fill_5_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_6_Normal_Trap
#define SUN_H_T0_Window_Fill_6_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_7_Normal_Trap
#define SUN_H_T0_Window_Fill_7_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_0_Other_Trap
#define SUN_H_T0_Window_Fill_0_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_1_Other_Trap
#define SUN_H_T0_Window_Fill_1_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_2_Other_Trap
#define SUN_H_T0_Window_Fill_2_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_3_Other_Trap
#define SUN_H_T0_Window_Fill_3_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_4_Other_Trap
#define SUN_H_T0_Window_Fill_4_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_5_Other_Trap
#define SUN_H_T0_Window_Fill_5_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_6_Other_Trap
#define SUN_H_T0_Window_Fill_6_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Window_Fill_7_Other_Trap
#define SUN_H_T0_Window_Fill_7_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_0_Normal_Trap
#define SUN_H_T1_Window_Spill_0_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_1_Normal_Trap
#define SUN_H_T1_Window_Spill_1_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_2_Normal_Trap
#define SUN_H_T1_Window_Spill_2_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_3_Normal_Trap
#define SUN_H_T1_Window_Spill_3_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_4_Normal_Trap
#define SUN_H_T1_Window_Spill_4_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_5_Normal_Trap
#define SUN_H_T1_Window_Spill_5_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_6_Normal_Trap
#define SUN_H_T1_Window_Spill_6_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_7_Normal_Trap
#define SUN_H_T1_Window_Spill_7_Normal_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_0_Other_Trap
#define SUN_H_T1_Window_Spill_0_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_1_Other_Trap
#define SUN_H_T1_Window_Spill_1_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_2_Other_Trap
#define SUN_H_T1_Window_Spill_2_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_3_Other_Trap
#define SUN_H_T1_Window_Spill_3_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_4_Other_Trap
#define SUN_H_T1_Window_Spill_4_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_5_Other_Trap
#define SUN_H_T1_Window_Spill_5_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_6_Other_Trap
#define SUN_H_T1_Window_Spill_6_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Spill_7_Other_Trap
#define SUN_H_T1_Window_Spill_7_Other_Trap saved; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_0_Normal_Trap
#define SUN_H_T1_Window_Fill_0_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_1_Normal_Trap
#define SUN_H_T1_Window_Fill_1_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_2_Normal_Trap
#define SUN_H_T1_Window_Fill_2_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_3_Normal_Trap
#define SUN_H_T1_Window_Fill_3_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_4_Normal_Trap
#define SUN_H_T1_Window_Fill_4_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_5_Normal_Trap
#define SUN_H_T1_Window_Fill_5_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_6_Normal_Trap
#define SUN_H_T1_Window_Fill_6_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_7_Normal_Trap
#define SUN_H_T1_Window_Fill_7_Normal_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_0_Other_Trap
#define SUN_H_T1_Window_Fill_0_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_1_Other_Trap
#define SUN_H_T1_Window_Fill_1_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_2_Other_Trap
#define SUN_H_T1_Window_Fill_2_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_3_Other_Trap
#define SUN_H_T1_Window_Fill_3_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_4_Other_Trap
#define SUN_H_T1_Window_Fill_4_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_5_Other_Trap
#define SUN_H_T1_Window_Fill_5_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_6_Other_Trap
#define SUN_H_T1_Window_Fill_6_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T1_Window_Fill_7_Other_Trap
#define SUN_H_T1_Window_Fill_7_Other_Trap restored; retry; nop;nop;nop;nop;nop;nop;
#define H_T0_Trap_Instruction_0
#define My_T0_Trap_Instruction_0 \
T_HANDLER_RAND7_5 ;\
done;
#define H_T0_Trap_Instruction_1
#define My_T0_Trap_Instruction_1 \
T_HANDLER_RAND7_6 ;\
done;
#define H_T0_Trap_Instruction_2
#define My_T0_Trap_Instruction_2 \
inc %o3;\
umul %o3, 2, %o4;\
ba 1f; \
save %i7, %g0, %i7; \
2: done; \
nop; \
1: ba 2b; \
restore %i7, %g0, %i7
#define H_T0_Trap_Instruction_3
#define My_T0_Trap_Instruction_3 \
save %i7, %g0, %i7 ;\
T_HANDLER_RAND4_5;\
stw %o4, [%i7];\
restore %i7, %g0, %i7 ;\
done
#define H_T0_Trap_Instruction_4
#define My_T0_Trap_Instruction_4 \
T_HANDLER_RAND7_6 ;\
done;
#define H_T0_Trap_Instruction_5
#define My_T0_Trap_Instruction_5 \
T_HANDLER_RAND4_5;\
done;
#define H_T1_Trap_Instruction_0
#define My_T1_Trap_Instruction_0 \
inc %o4;\
umul %o4, 2, %o5;\
ba 3f; \
save %i7, %g0, %i7; \
4: done; \
nop; \
3: ba 4b; \
restore %i7, %g0, %i7
#define H_T1_Trap_Instruction_1
#define My_T1_Trap_Instruction_1 \
T_HANDLER_RAND7_3;\
done
#define H_T1_Trap_Instruction_2
#define My_T1_Trap_Instruction_2 \
inc %o3;\
umul %o3, 2, %o4;\
ba 5f; \
save %i7, %g0, %i7; \
6: done; \
nop; \
5: ba 6b; \
restore %i7, %g0, %i7
#define H_T1_Trap_Instruction_3
#define My_T1_Trap_Instruction_3 \
T_HANDLER_RAND4_1;\
done;
#define H_T1_Trap_Instruction_4
#define My_T1_Trap_Instruction_4 \
T_HANDLER_RAND7_1;\
done;
#define H_T1_Trap_Instruction_5
#define My_T1_Trap_Instruction_5 \
T_HANDLER_RAND7_2;\
done
#define H_HT0_Trap_Instruction_0
#define My_HT0_Trap_Instruction_0 \
HT_HANDLER_RAND4_1 ;\
done;
#define H_HT0_Trap_Instruction_1
#define My_HT0_Trap_Instruction_1 \
HT_HANDLER_RAND4_3 ;\
done
#define H_HT0_Trap_Instruction_2
#define My_HT0_Trap_Instruction_2 \
HT_HANDLER_RAND7_5 ;\
done;
#define H_HT0_Trap_Instruction_3
#define My_HT0_Trap_Instruction_3 \
HT_HANDLER_RAND4_5 ;\
done
#define H_HT0_Trap_Instruction_4
#define My_HT0_Trap_Instruction_4 \
HT_HANDLER_RAND7_4 ;\
done
#define H_HT0_Trap_Instruction_5
#define My_HT0_Trap_Instruction_5 \
ba htrap_5_ext;\
nop; retry;\
nop; nop; nop; nop; nop
#define H_HT0_Mem_Address_Not_Aligned_0x34
#define My_HT0_Mem_Address_Not_Aligned_0x34 \
HT_HANDLER_RAND4_2 ;\
done ;
#define H_HT0_Illegal_instruction_0x10
#define My_HT0_Illegal_instruction_0x10 \
done;
#define H_HT0_DAE_so_page_0x30
#define My_HT0_DAE_so_page_0x30 \
done;
#define H_HT0_DAE_invalid_asi_0x14
#define SUN_H_HT0_DAE_invalid_asi_0x14 \
done
#define H_HT0_DAE_privilege_violation_0x15
#define SUN_H_HT0_DAE_privilege_violation_0x15 \
done;
#define H_HT0_Privileged_Action_0x37
#define My_HT0_Privileged_Action_0x37 \
done; \
nop; nop
#define H_HT0_Lddf_Mem_Address_Not_Aligned_0x35
#define My_HT0_Lddf_Mem_Address_Not_Aligned_0x35 \
HT_HANDLER_RAND4_3 ;\
done
#define H_HT0_Stdf_Mem_Address_Not_Aligned_0x36
#define My_HT0_Stdf_Mem_Address_Not_Aligned_0x36 \
HT_HANDLER_RAND7_1;\
done
#define H_HT0_Fp_exception_ieee_754_0x21
#define My_HT0_Fp_exception_ieee_754_0x21 \
HT_HANDLER_RAND4_2 ;\
done
#define H_HT0_Fp_exception_other_0x22
#define My_HT0_Fp_exception_other_0x22 \
HT_HANDLER_RAND7_2 ;\
done
#define H_HT0_Division_By_Zero
#define My_HT0_Division_By_Zero \
HT_HANDLER_RAND4_6;\
done
#define H_T0_Division_By_Zero
#define My_T0_Division_By_Zero \
T_HANDLER_RAND4_3;\
done
#define H_T1_Division_By_Zero_0x28
#define My_H_T1_Division_By_Zero_0x28 \
T_HANDLER_RAND4_3;\
done
#define H_T0_Division_By_Zero
#define My_T0_Division_By_Zero\
T_HANDLER_RAND4_4 ;\
done
#define H_T0_Fp_exception_ieee_754_0x21
#define My_T0_Fp_exception_ieee_754_0x21 \
T_HANDLER_RAND4_3 ;\
done
#define H_T1_Fp_Exception_Ieee_754_0x21
#define My_H_T1_Fp_Exception_Ieee_754_0x21 \
T_HANDLER_RAND4_4 ;\
done
#define H_T1_Fp_Exception_Other_0x22
#define My_H_T1_Fp_Exception_Other_0x22 \
T_HANDLER_RAND4_5 ;\
done
#define H_T1_Privileged_Opcode_0x11
#define SUN_H_T1_Privileged_Opcode_0x11 \
T_HANDLER_RAND4_6 ;\
done
#define H_HT0_Privileged_opcode_0x11
#define My_HT0_Privileged_opcode_0x11 \
HT_HANDLER_RAND4_1;\
done;
#define H_HT0_Fp_disabled_0x20
#define My_HT0_Fp_disabled_0x20 \
mov 0x4, %l2 ;\
wr %l2, 0x0, %fprs ;\
sllx %l2, 10, %l3; \
rdpr %tstate, %l2;\
or %l2, %l3, %l2 ;\
stw %l2, [%i7];\
wrpr %l2, 0x0, %tstate;\
retry;
#define H_T0_Fp_disabled_0x20
#define My_T0_Fp_disabled_0x20 \
mov 0x4, %l2 ;\
wr %l2, 0x0, %fprs ;\
sllx %l2, 10, %l3; \
rdpr %tstate, %l2;\
or %l2, %l3, %l2 ;\
wrpr %l2, 0x0, %tstate;\
retry; nop
#define H_T1_Fp_Disabled_0x20
#define My_H_T1_Fp_Disabled_0x20 \
mov 0x4, %l2 ;\
wr %l2, 0x0, %fprs ;\
sllx %l2, 10, %l3; \
rdpr %tstate, %l2;\
or %l2, %l3, %l2 ;\
wrpr %l2, 0x0, %tstate;\
stw %l2, [%i7];\
retry
#define H_HT0_Watchdog_Reset_0x02
#define My_HT0_Watchdog_Reset_0x02 \
ba wdog_2_ext;\
nop;retry;nop;nop;nop;nop;nop
#define H_T0_Privileged_opcode_0x11
#define My_T0_Privileged_opcode_0x11 \
T_HANDLER_RAND4_4;\
done
#define H_T1_Fp_exception_other_0x22
#define My_T1_Fp_exception_other_0x22 \
T_HANDLER_RAND7_3 ;\
done;
#define H_T0_Fp_exception_other_0x22
#define My_T0_Fp_exception_other_0x22 \
T_HANDLER_RAND7_4;\
done
#define H_HT0_Trap_Level_Zero_0x5f
#define My_HT0_Trap_Level_Zero_0x5f \
not %g0, %r13; \
rdhpr %hpstate, %l3;\
jmp %r13;\
rdhpr %htstate, %l3;\
and %l3, 0xfe, %l3;\
wrhpr %l3, 0, %htstate;\
stw %r13, [%i7];\
retry
#define My_Watchdog_Reset
#define My_Watchdog_Reset \
ba wdog_red_ext;\
nop;retry;nop;nop;nop;nop;nop
#define H_HT0_Control_Transfer_Instr_0x74
#define My_H_HT0_Control_Transfer_Instr_0x74 \
rdpr %tstate, %l3;\
mov 1, %l4;\
sllx %l4, 20, %l4;\
wrpr %l3, %l4, %tstate ;\
retry;nop;
#define H_T0_Control_Transfer_Instr_0x74
#define My_H_T0_Control_Transfer_Instr_0x74 \
rdpr %tstate, %l3;\
mov 1, %l4;\
sllx %l4, 20, %l4;\
wrpr %l3, %l4, %tstate ;\
retry;nop;
#define H_T1_Control_Transfer_Instr_0x74
#define My_H_T1_Control_Transfer_Instr_0x74 \
rdpr %tstate, %l3;\
mov 1, %l4;\
sllx %l4, 20, %l4;\
wrpr %l3, %l4, %tstate ;\
retry;nop;
#define H_HT0_data_access_protection_0x6c
#define SUN_H_HT0_data_access_protection_0x6c ba daccess_prot_handler; nop
#define H_HT0_PA_Watchpoint_0x61
#define My_H_HT0_PA_Watchpoint_0x61 \
HT_HANDLER_RAND7_4;\
done
#ifndef H_HT0_Data_access_error_0x32
#define H_HT0_Data_access_error_0x32
#define SUN_H_HT0_Data_access_error_0x32 \
done;nop
#endif
#define H_T0_VA_Watchpoint_0x62
#define My_T0_VA_Watchpoint_0x62 \
T_HANDLER_RAND7_5;\
done
#define H_T1_VA_Watchpoint_0x62
#define SUN_H_T1_VA_Watchpoint_0x62 \
T_HANDLER_RAND7_3;\
done
#define H_HT0_VA_Watchpoint_0x62
#define My_H_HT0_VA_Watchpoint_0x62 \
HT_HANDLER_RAND7_5;\
done
#define H_HT0_Instruction_VA_Watchpoint_0x75
#define SUN_H_HT0_Instruction_VA_Watchpoint_0x75 \
done;
#define H_HT0_Instruction_Breakpoint_0x76
#define SUN_H_HT0_Instruction_Breakpoint_0x76 \
rdhpr %htstate, %g1;\
wrhpr %g1, 0x400, %htstate;\
retry;nop
#define H_HT0_Instruction_address_range_0x0d
#define SUN_H_HT0_Instruction_address_range_0x0d \
HT_HANDLER_RAND4_1;\
done;
#define H_HT0_Instruction_real_range_0x0e
#define SUN_H_HT0_Instruction_real_range_0x0e \
HT_HANDLER_RAND4_1;\
done;
#define H_HT0_mem_real_range_0x2d
#define SUN_H_HT0_mem_real_range_0x2d \
HT_HANDLER_RAND4_2;\
done;
#define H_HT0_mem_address_range_0x2e
#define SUN_H_HT0_mem_address_range_0x2e \
HT_HANDLER_RAND4_3;\
done;
#define H_HT0_DAE_nc_page_0x16
#define SUN_H_HT0_DAE_nc_page_0x16 \
done;
#define H_HT0_DAE_nfo_page_0x17
#define SUN_H_HT0_DAE_nfo_page_0x17 \
done;
#define H_HT0_IAE_unauth_access_0x0b
#define SUN_H_HT0_IAE_unauth_access_0x0b \
HT_HANDLER_RAND7_3;\
done;
#define H_HT0_IAE_nfo_page_0x0c
#define SUN_H_HT0_IAE_nfo_page_0x0c \
HT_HANDLER_RAND7_6;\
done;
#define H_HT0_Reserved_0x3b
#define SUN_H_HT0_Reserved_0x3b \
mov 0x80, %l3;\
stxa %l3, [%l3]0x5f ;\
stxa %l3, [%l3]0x57 ;\
done;
#define H_HT0_IAE_privilege_violation_0x08
#define My_HT0_IAE_privilege_violation_0x08 \
HT_HANDLER_RAND7_2;\
done;
#ifndef H_HT0_Instruction_Access_MMU_Error_0x71
#define H_HT0_Instruction_Access_MMU_Error_0x71
#define SUN_H_HT0_Instruction_Access_MMU_Error_0x71 \
mov 0x80, %l3;\
stxa %l3, [%l3]0x5f ;\
stxa %l3, [%l3]0x57 ;\
retry;
#endif
#ifndef H_HT0_Data_Access_MMU_Error_0x72
#define H_HT0_Data_Access_MMU_Error_0x72
#define SUN_H_HT0_Data_Access_MMU_Error_0x72 \
mov 0x80, %l3;\
stxa %l3, [%l3]0x5f ;\
stxa %l3, [%l3]0x57 ;\
retry;
#endif
!!!!!!!!!!!!!!!!!!!!!! END of all handlers !!!!!!!!!!!!!!!!!!!
!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
!!!!!!!!!!!!!!!! START of Interrupt Handlers !!!!!!!!!!!!!!!!!
#ifndef INT_HANDLER_RAND4_1
#define INT_HANDLER_RAND4_1 retry; nop; nop; nop
#endif
#ifndef INT_HANDLER_RAND7_1
#define INT_HANDLER_RAND7_1 retry; nop; nop; nop ; nop; nop; nop
#endif
#ifndef INT_HANDLER_RAND4_2
#define INT_HANDLER_RAND4_2 retry; nop; nop; nop
#endif
#ifndef INT_HANDLER_RAND7_2
#define INT_HANDLER_RAND7_2 retry; nop; nop; nop ; nop; nop; nop
#endif
#ifndef INT_HANDLER_RAND4_3
#define INT_HANDLER_RAND4_3 retry; nop; nop; nop
#endif
#ifndef INT_HANDLER_RAND7_3
#define INT_HANDLER_RAND7_3 retry; nop; nop; nop ; nop; nop; nop
#endif
#define H_HT0_Externally_Initiated_Reset_0x03
#define SUN_H_HT0_Externally_Initiated_Reset_0x03 \
ldxa [%g0] ASI_LSU_CTL_REG, %g1; \
set cregs_lsu_ctl_reg_r64, %g1; \
stxa %g1, [%g0] ASI_LSU_CTL_REG; \
retry;nop
#define My_External_Reset \
ldxa [%g0] ASI_LSU_CTL_REG, %l5; \
set cregs_lsu_ctl_reg_r64, %l5; \
stxa %l5, [%g0] ASI_LSU_CTL_REG; \
retry;nop
!!!!! SPU Interrupt Handlers
#define H_HT0_Control_Word_Queue_Interrupt_0x3c
#define My_HT0_Control_Word_Queue_Interrupt_0x3c \
INT_HANDLER_RAND7_1 ;\
retry ;
#define H_HT0_Modular_Arithmetic_Interrupt_0x3d
#define My_H_HT0_Modular_Arithmetic_Interrupt_0x3d \
INT_HANDLER_RAND7_2 ;\
retry ;
!!!!! HW interrupt handlers
#define H_HT0_Interrupt_0x60
#define My_HT0_Interrupt_0x60 \
ldxa [%g0] ASI_SWVR_INTR_RECEIVE, %g5 ;\
ldxa [%g0] ASI_SWVR_INTR_R, %g4 ;\
ldxa [%g0] ASI_SWVR_INTR_RECEIVE, %g3 ;\
INT_HANDLER_RAND4_1 ;\
retry;
!!!!! Queue interrupt handler
#define H_T0_Cpu_Mondo_Trap_0x7c
#define My_T0_Cpu_Mondo_Trap_0x7c \
mov 0x3c8, %g3; \
ldxa [%g3] 0x25, %g5; \
mov 0x3c0, %g3; \
stxa %g5, [%g3] 0x25; \
retry; \
nop; \
nop; \
nop
#define H_T0_Dev_Mondo_Trap_0x7d
#define My_T0_Dev_Mondo_Trap_0x7d \
mov 0x3d8, %g3; \
ldxa [%g3] 0x25, %g5; \
mov 0x3d0, %g3; \
stxa %g5, [%g3] 0x25; \
retry; \
nop; \
nop; \
nop
#define H_T0_Resumable_Error_0x7e
#define My_T0_Resumable_Error_0x7e \
mov 0x3e8, %g3; \
ldxa [%g3] 0x25, %g5; \
mov 0x3e0, %g3; \
stxa %g5, [%g3] 0x25; \
retry; \
nop; \
nop; \
nop
#define H_T1_Cpu_Mondo_Trap_0x7c
#define My_T1_Cpu_Mondo_Trap_0x7c \
mov 0x3c8, %g3; \
ldxa [%g3] 0x25, %g5; \
mov 0x3c0, %g3; \
stxa %g5, [%g3] 0x25; \
retry; \
nop; \
nop; \
nop
#define H_T1_Dev_Mondo_Trap_0x7d
#define My_T1_Dev_Mondo_Trap_0x7d \
mov 0x3d8, %g3; \
ldxa [%g3] 0x25, %g5; \
mov 0x3d0, %g3; \
stxa %g5, [%g3] 0x25; \
retry; \
nop; \
nop; \
nop
#define H_T1_Resumable_Error_0x7e
#define My_T1_Resumable_Error_0x7e \
mov 0x3e8, %g3; \
ldxa [%g3] 0x25, %g5; \
mov 0x3e0, %g3; \
stxa %g5, [%g3] 0x25; \
retry; \
nop; \
nop; \
nop
#define H_HT0_Reserved_0x7c
#define SUN_H_HT0_Reserved_0x7c \
mov 0x3c8, %g3; \
ldxa [%g3] 0x25, %g5; \
mov 0x3c0, %g3; \
stxa %g5, [%g3] 0x25; \
retry; \
nop; \
nop; \
nop
#define H_HT0_Reserved_0x7d
#define SUN_H_HT0_Reserved_0x7d \
mov 0x3d8, %g3; \
ldxa [%g3] 0x25, %g5; \
mov 0x3d0, %g3; \
stxa %g5, [%g3] 0x25; \
retry; \
nop; \
nop; \
nop
#define H_HT0_Reserved_0x7e
#define SUN_H_HT0_Reserved_0x7e \
mov 0x3e8, %g3; \
ldxa [%g3] 0x25, %g5; \
mov 0x3e0, %g3; \
stxa %g5, [%g3] 0x25; \
retry; \
nop; \
nop; \
nop
!!!!! Hstick-match trap handler
#define H_T0_Reserved_0x5e
#define My_T0_Reserved_0x5e \
rdhpr %hintp, %g3; \
wrhpr %g3, %g3, %hintp; \
retry; \
nop; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Hstick_Match_0x5e
#define My_HT0_Hstick_Match_0x5e \
rdhpr %hintp, %g3; \
wrhpr %g3, %g3, %hintp; \
retry; \
nop; \
nop; \
nop; \
nop; \
nop
#define H_T0_Reserved_0x5e
#define My_T0_Reserved_0x5e \
rdhpr %hintp, %g3; \
wrhpr %g3, %g3, %hintp; \
retry; \
nop; \
nop; \
nop; \
nop; \
nop
#define H_T1_Reserved_0x5e
#define My_T1_Reserved_0x5e \
rdhpr %hintp, %g3; \
wrhpr %g3, %g3, %hintp; \
retry; \
nop; \
nop; \
nop; \
nop; \
nop
!!!!! SW interuupt handlers
#define H_T0_Interrupt_Level_14_0x4e
#define My_T0_Interrupt_Level_14_0x4e \
rd %softint, %g3; \
sethi %hi(0x14000), %g3; \
or %g3, 0x1, %g3; \
wr %g3, %g0, %clear_softint; \
rd %tick, %g3 ;\
retry; \
#define H_T0_Interrupt_Level_1_0x41
#define My_T0_Interrupt_Level_1_0x41 \
rd %softint, %g3; \
or %g0, 0x2, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_2_0x42
#define My_T0_Interrupt_Level_2_0x42 \
rd %softint, %g3; \
or %g0, 0x4, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_3_0x43
#define My_T0_Interrupt_Level_3_0x43 \
rd %softint, %g3; \
or %g0, 0x8, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_4_0x44
#define My_T0_Interrupt_Level_4_0x44 \
rd %softint, %g3; \
or %g0, 0x10, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_5_0x45
#define My_T0_Interrupt_Level_5_0x45 \
rd %softint, %g3; \
or %g0, 0x20, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_6_0x46
#define My_T0_Interrupt_Level_6_0x46 \
rd %softint, %g3; \
or %g0, 0x40, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_7_0x47
#define My_T0_Interrupt_Level_7_0x47 \
rd %softint, %g3; \
or %g0, 0x80, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_8_0x48
#define My_T0_Interrupt_Level_8_0x48 \
rd %softint, %g3; \
or %g0, 0x100, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_9_0x49
#define My_T0_Interrupt_Level_9_0x49 \
rd %softint, %g3; \
or %g0, 0x200, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_10_0x4a
#define My_T0_Interrupt_Level_10_0x4a \
rd %softint, %g3; \
or %g0, 0x400, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_11_0x4b
#define My_T0_Interrupt_Level_11_0x4b \
rd %softint, %g3; \
or %g0, 0x800, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_12_0x4c
#define My_T0_Interrupt_Level_12_0x4c \
rd %softint, %g3; \
sethi %hi(0x1000), %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_13_0x4d
#define My_T0_Interrupt_Level_13_0x4d \
rd %softint, %g3; \
sethi %hi(0x2000), %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T0_Interrupt_Level_15_0x4f
#define My_T0_Interrupt_Level_15_0x4f \
sethi %hi(0x8000), %g3; \
wr %g3, %g0, %clear_softint; \
wr %g0, %g0, %pic;\
sethi %hi(0x80040000), %g2;\
rd %pcr, %g3;\
andn %g3, %g2, %g3;\
wr %g3, %g0, %pcr;\
retry;
#define H_T1_Interrupt_Level_14_0x4e
#define My_T1_Interrupt_Level_14_0x4e \
rd %softint, %g3; \
sethi %hi(0x14000), %g3; \
or %g3, 0x1, %g3; \
wr %g3, %g0, %clear_softint; \
rd %tick, %g3 ;\
retry; \
#define H_T1_Interrupt_Level_1_0x41
#define My_T1_Interrupt_Level_1_0x41 \
rd %softint, %g3; \
or %g0, 0x2, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_2_0x42
#define My_T1_Interrupt_Level_2_0x42 \
rd %softint, %g3; \
or %g0, 0x4, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_3_0x43
#define My_T1_Interrupt_Level_3_0x43 \
rd %softint, %g3; \
or %g0, 0x8, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_4_0x44
#define My_T1_Interrupt_Level_4_0x44 \
rd %softint, %g3; \
or %g0, 0x10, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_5_0x45
#define My_T1_Interrupt_Level_5_0x45 \
rd %softint, %g3; \
or %g0, 0x20, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_6_0x46
#define My_T1_Interrupt_Level_6_0x46 \
rd %softint, %g3; \
or %g0, 0x40, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_7_0x47
#define My_T1_Interrupt_Level_7_0x47 \
rd %softint, %g3; \
or %g0, 0x80, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_8_0x48
#define My_T1_Interrupt_Level_8_0x48 \
rd %softint, %g3; \
or %g0, 0x100, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_9_0x49
#define My_T1_Interrupt_Level_9_0x49 \
rd %softint, %g3; \
or %g0, 0x200, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_10_0x4a
#define My_T1_Interrupt_Level_10_0x4a \
rd %softint, %g3; \
or %g0, 0x400, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_11_0x4b
#define My_T1_Interrupt_Level_11_0x4b \
rd %softint, %g3; \
or %g0, 0x800, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_12_0x4c
#define My_T1_Interrupt_Level_12_0x4c \
rd %softint, %g3; \
sethi %hi(0x1000), %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_13_0x4d
#define My_T1_Interrupt_Level_13_0x4d \
rd %softint, %g3; \
sethi %hi(0x2000), %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_T1_Interrupt_Level_15_0x4f
#define My_T1_Interrupt_Level_15_0x4f \
sethi %hi(0x8000), %g3; \
wr %g3, %g0, %clear_softint; \
wr %g0, %g0, %pic;\
sethi %hi(0x80040000), %g2;\
rd %pcr, %g3;\
andn %g3, %g2, %g3;\
wr %g3, %g0, %pcr;\
retry;
#define H_HT0_Interrupt_Level_14_0x4e
#define My_HT0_Interrupt_Level_14_0x4e \
rd %softint, %g3; \
sethi %hi(0x14000), %g3; \
or %g3, 0x1, %g3; \
wr %g3, %g0, %clear_softint; \
rd %tick, %g3 ;\
sub %g3, 0x80, %g3;\
wrpr %g3, %g0, %tick;\
retry; \
#define H_HT0_Interrupt_Level_1_0x41
#define My_HT0_Interrupt_Level_1_0x41 \
rd %softint, %g3; \
or %g0, 0x2, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_2_0x42
#define My_HT0_Interrupt_Level_2_0x42 \
rd %softint, %g3; \
or %g0, 0x4, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_3_0x43
#define My_HT0_Interrupt_Level_3_0x43 \
rd %softint, %g3; \
or %g0, 0x8, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_4_0x44
#define My_HT0_Interrupt_Level_4_0x44 \
rd %softint, %g3; \
or %g0, 0x10, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_5_0x45
#define My_HT0_Interrupt_Level_5_0x45 \
rd %softint, %g3; \
or %g0, 0x20, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_6_0x46
#define My_HT0_Interrupt_Level_6_0x46 \
rd %softint, %g3; \
or %g0, 0x40, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_7_0x47
#define My_HT0_Interrupt_Level_7_0x47 \
rd %softint, %g3; \
or %g0, 0x80, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_8_0x48
#define My_HT0_Interrupt_Level_8_0x48 \
rd %softint, %g3; \
or %g0, 0x100, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_9_0x49
#define My_HT0_Interrupt_Level_9_0x49 \
rd %softint, %g3; \
or %g0, 0x200, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_10_0x4a
#define My_HT0_Interrupt_Level_10_0x4a \
rd %softint, %g3; \
or %g0, 0x400, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_11_0x4b
#define My_HT0_Interrupt_Level_11_0x4b \
rd %softint, %g3; \
or %g0, 0x800, %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_12_0x4c
#define My_HT0_Interrupt_Level_12_0x4c \
rd %softint, %g3; \
sethi %hi(0x1000), %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_13_0x4d
#define My_HT0_Interrupt_Level_13_0x4d \
rd %softint, %g3; \
sethi %hi(0x2000), %g3; \
wr %g3, %g0, %clear_softint; \
retry; \
nop; \
nop; \
nop; \
nop
#define H_HT0_Interrupt_Level_15_0x4f
#define My_HT0_Interrupt_Level_15_0x4f \
sethi %hi(0x8000), %g3; \
wr %g3, %g0, %clear_softint; \
wr %g0, %g0, %pic;\
sethi %hi(0x80040000), %g2;\
rd %pcr, %g3;\
andn %g3, %g2, %g3;\
wr %g3, %g0, %pcr;\
retry;
!!!!!!!!!!!!!!!!!!!!!! END of all handlers !!!!!!!!!!!!!!!!!!!
!# Steer towards main TBA on these errors ..
!# These are redefines ...
#undef My_RED_Mode_Other_Reset
#define My_RED_Mode_Other_Reset
#define My_RED_Mode_Other_Reset \
mov ZRED_Mode_Other_Reset ,%r1;\
jmp %g1; nop;retry;nop;nop;nop;nop
#undef SUN_H_HT0_IAE_unauth_access_0x0b
#define SUN_H_HT0_IAE_unauth_access_0x0b \
set resolve_bad_tte, %g3;\
jmp %g3;\
nop
#undef My_HT0_IAE_privilege_violation_0x08
#define My_HT0_IAE_privilege_violation_0x08 \
set resolve_bad_tte, %g3;\
jmp %g3;\
nop
#define H_HT0_Instruction_address_range_0x0d
#define SUN_H_HT0_Instruction_address_range_0x0d \
rdpr %tpc, %g1;\
rdpr %tnpc, %g2;\
stw %g1, [%i7];\
stw %g2, [%i7+4];\
jmpl %r27+8, %r27;\
fdivd %f0, %f4, %f4;\
nop;
#define H_HT0_Instruction_real_range_0x0e
#define SUN_H_HT0_Instruction_real_range_0x0e \
rdpr %tpc, %g1;\
rdpr %tnpc, %g2;\
stw %g1, [%i7];\
stw %g2, [%i7+4];\
jmpl %r27+8, %r27;\
fdivd %f0, %f4, %f4;\
nop;
#undef SUN_H_HT0_IAE_nfo_page_0x0c
#define SUN_H_HT0_IAE_nfo_page_0x0c \
set resolve_bad_tte, %g3;\
jmp %g3;\
nop
#define H_HT0_Instruction_Invalid_TSB_Entry_0x2a
#define SUN_H_HT0_Instruction_Invalid_TSB_Entry_0x2a \
set restore_range_regs, %g3;\
jmp %g3;\
nop
#define H_HT0_Data_Invalid_TSB_Entry_0x2b
#define SUN_H_HT0_Data_Invalid_TSB_Entry_0x2b \
set restore_range_regs, %g3;\
jmp %g3;\
nop
#define H_T1_Reserved_0x00
#define SUN_H_T1_Reserved_0x00 \
nop;\
jmpl %r27+8, %r0;\
nop;
#undef FAST_BOOT
#include "hboot.s"
#ifndef MULTIPASS
#define MULTIPASS 0
#endif
#define LOMEIN_TEXT_VA [0x]mpeval(MAIN_BASE_TEXT_VA&0xffffffff,16)
#define LOMEIN_DATA_VA [0x]mpeval(MAIN_BASE_DATA_VA&0xffffffff,16)
changequote([, ])dnl
SECTION .LOMEIN TEXT_VA=LOMEIN_TEXT_VA, DATA_VA=LOMEIN_DATA_VA
attr_text {
Name = .LOMEIN,
VA= LOMEIN_TEXT_VA,
RA= MAIN_BASE_TEXT_RA,
PA= ra2pa2(MAIN_BASE_TEXT_RA, 0),
part_0_ctx_nonzero_tsb_config_1,
part_0_ctx_zero_tsb_config_1,
TTE_G=1, TTE_Context=0x44, TTE_V=1,
TTE_Size=0, TTE_NFO=0, TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=1,
tsbonly
}
attr_data {
Name = .LOMEIN,
VA= LOMEIN_DATA_VA,
RA= MAIN_BASE_DATA_RA,
PA= ra2pa2(MAIN_BASE_DATA_RA, 0),
part_0_ctx_nonzero_tsb_config_2,
part_0_ctx_zero_tsb_config_2
TTE_G=1, TTE_Context=0x44, TTE_V=1, TTE_Size=0, TTE_NFO=0,
TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
tsbonly
}
attr_data {
Name = .LOMEIN,
VA= LOMEIN_DATA_VA,
RA= MAIN_BASE_DATA_RA,
PA= ra2pa2(MAIN_BASE_DATA_RA, 0),
part_0_ctx_nonzero_tsb_config_3,
part_0_ctx_zero_tsb_config_3
TTE_G=1, TTE_Context=0x55, TTE_V=1, TTE_Size=0, TTE_NFO=0,
TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
tsbonly
}
.text
.align 0x100000
nop
.data
.word 0x0
SECTION .MAIN TEXT_VA=MAIN_BASE_TEXT_VA, DATA_VA=MAIN_BASE_DATA_VA
attr_text {
Name = .MAIN,
VA=MAIN_BASE_TEXT_VA,
RA= LOMEIN_TEXT_VA,
PA= LOMEIN_TEXT_VA,
part_0_ctx_nonzero_tsb_config_2,
part_0_ctx_zero_tsb_config_2,
TTE_G=1, TTE_Context=0x44, TTE_V=1,
TTE_Size=0, TTE_NFO=0, TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=1,
}
attr_data {
Name = .MAIN,
VA=MAIN_BASE_DATA_VA
RA= LOMEIN_DATA_VA,
PA= LOMEIN_DATA_VA,
part_0_ctx_nonzero_tsb_config_1,
part_0_ctx_zero_tsb_config_1
TTE_G=1, TTE_Context=0x44, TTE_V=1, TTE_Size=0, TTE_NFO=0,
TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
}
attr_data {
Name = .MAIN,
VA=MAIN_BASE_DATA_VA
RA= LOMEIN_DATA_VA,
PA= LOMEIN_DATA_VA,
part_0_ctx_nonzero_tsb_config_3,
part_0_ctx_zero_tsb_config_3
TTE_G=1, TTE_Context=0x55, TTE_V=1, TTE_Size=0, TTE_NFO=0,
TTE_IE=0, TTE_Soft2=0, TTE_Diag=0, TTE_Soft=0,
TTE_L=0, TTE_CP=1, TTE_CV=0, TTE_E=0, TTE_P=0, TTE_W=1, TTE_X=0,
tsbonly
}
attr_text {
Name = .MAIN,
VA=MAIN_BASE_TEXT_VA,
hypervisor
}
attr_data {
Name = .MAIN,
VA=MAIN_BASE_DATA_VA
hypervisor
}
changequote(`,')dnl'
.text
.global main
main:
! Set up ld/st area per thread
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %o2
and %o2, 0x7, %o1
brnz %o1, init_start
mov 0xff, %r11
lock_sync_thds:
set sync_thr_counter4, %r23
#if(!defined SPC && !defined PORTABLE_CORE)
and %o2, 0x38, %o2
add %o2,%r23,%r23 !Core's sync counter
#endif
st %r11, [%r23] !lock sync_thr_counter4
add %r23, 64, %r23
st %r11, [%r23] !lock sync_thr_counter5
add %r23, 64, %r23
st %r11, [%r23] !lock sync_thr_counter6
init_start:
wrhpr %g0, 0x0, %hpstate ! ta T_CHANGE_NONHPRIV
umul %r9, 256, %r31
setx user_data_start, %r1, %r3
add %r31, %r3, %r31
wr %r0, 0x4, %asi
!Initializing integer registers
ldx [%r31+0], %r0
ldx [%r31+8], %r1
ldx [%r31+16], %r2
ldx [%r31+24], %r3
ldx [%r31+32], %r4
ldx [%r31+40], %r5
ldx [%r31+48], %r6
ldx [%r31+56], %r7
ldx [%r31+64], %r8
ldx [%r31+72], %r9
ldx [%r31+80], %r10
ldx [%r31+88], %r11
ldx [%r31+96], %r12
ldx [%r31+104], %r13
ldx [%r31+112], %r14
mov %r31, %r15
ldx [%r31+128], %r16
ldx [%r31+136], %r17
ldx [%r31+144], %r18
ldx [%r31+152], %r19
ldx [%r31+160], %r20
ldx [%r31+168], %r21
ldx [%r31+176], %r22
ldx [%r31+184], %r23
ldx [%r31+192], %r24
ldx [%r31+200], %r25
ldx [%r31+208], %r26
ldx [%r31+216], %r27
ldx [%r31+224], %r28
ldx [%r31+232], %r29
mov 0xb3, %r14
mov 0xb5, %r30
save %r31, %r0, %r31
ldx [%r31+0], %r0
ldx [%r31+8], %r1
ldx [%r31+16], %r2
ldx [%r31+24], %r3
ldx [%r31+32], %r4
ldx [%r31+40], %r5
ldx [%r31+48], %r6
ldx [%r31+56], %r7
ldx [%r31+64], %r8
ldx [%r31+72], %r9
ldx [%r31+80], %r10
ldx [%r31+88], %r11
ldx [%r31+96], %r12
ldx [%r31+104], %r13
ldx [%r31+112], %r14
mov %r31, %r15
ldx [%r31+128], %r16
ldx [%r31+136], %r17
ldx [%r31+144], %r18
ldx [%r31+152], %r19
ldx [%r31+160], %r20
ldx [%r31+168], %r21
ldx [%r31+176], %r22
ldx [%r31+184], %r23
ldx [%r31+192], %r24
ldx [%r31+200], %r25
ldx [%r31+208], %r26
ldx [%r31+216], %r27
ldx [%r31+224], %r28
ldx [%r31+232], %r29
mov 0xb3, %r14
mov 0xb4, %r30
save %r31, %r0, %r31
ldx [%r31+0], %r0
ldx [%r31+8], %r1
ldx [%r31+16], %r2
ldx [%r31+24], %r3
ldx [%r31+32], %r4
ldx [%r31+40], %r5
ldx [%r31+48], %r6
ldx [%r31+56], %r7
ldx [%r31+64], %r8
ldx [%r31+72], %r9
ldx [%r31+80], %r10
ldx [%r31+88], %r11
ldx [%r31+96], %r12
ldx [%r31+104], %r13
ldx [%r31+112], %r14
mov %r31, %r15
ldx [%r31+128], %r16
ldx [%r31+136], %r17
ldx [%r31+144], %r18
ldx [%r31+152], %r19
ldx [%r31+160], %r20
ldx [%r31+168], %r21
ldx [%r31+176], %r22
ldx [%r31+184], %r23
ldx [%r31+192], %r24
ldx [%r31+200], %r25
ldx [%r31+208], %r26
ldx [%r31+216], %r27
ldx [%r31+224], %r28
ldx [%r31+232], %r29
mov 0xb4, %r14
mov 0x33, %r30
save %r31, %r0, %r31
ldx [%r31+0], %r0
ldx [%r31+8], %r1
ldx [%r31+16], %r2
ldx [%r31+24], %r3
ldx [%r31+32], %r4
ldx [%r31+40], %r5
ldx [%r31+48], %r6
ldx [%r31+56], %r7
ldx [%r31+64], %r8
ldx [%r31+72], %r9
ldx [%r31+80], %r10
ldx [%r31+88], %r11
ldx [%r31+96], %r12
ldx [%r31+104], %r13
ldx [%r31+112], %r14
mov %r31, %r15
ldx [%r31+128], %r16
ldx [%r31+136], %r17
ldx [%r31+144], %r18
ldx [%r31+152], %r19
ldx [%r31+160], %r20
ldx [%r31+168], %r21
ldx [%r31+176], %r22
ldx [%r31+184], %r23
ldx [%r31+192], %r24
ldx [%r31+200], %r25
ldx [%r31+208], %r26
ldx [%r31+216], %r27
ldx [%r31+224], %r28
ldx [%r31+232], %r29
mov 0xb2, %r14
mov 0xb5, %r30
save %r31, %r0, %r31
ldx [%r31+0], %r0
ldx [%r31+8], %r1
ldx [%r31+16], %r2
ldx [%r31+24], %r3
ldx [%r31+32], %r4
ldx [%r31+40], %r5
ldx [%r31+48], %r6
ldx [%r31+56], %r7
ldx [%r31+64], %r8
ldx [%r31+72], %r9
ldx [%r31+80], %r10
ldx [%r31+88], %r11
ldx [%r31+96], %r12
ldx [%r31+104], %r13
ldx [%r31+112], %r14
mov %r31, %r15
ldx [%r31+128], %r16
ldx [%r31+136], %r17
ldx [%r31+144], %r18
ldx [%r31+152], %r19
ldx [%r31+160], %r20
ldx [%r31+168], %r21
ldx [%r31+176], %r22
ldx [%r31+184], %r23
ldx [%r31+192], %r24
ldx [%r31+200], %r25
ldx [%r31+208], %r26
ldx [%r31+216], %r27
ldx [%r31+224], %r28
ldx [%r31+232], %r29
mov 0xb5, %r14
mov 0x30, %r30
save %r31, %r0, %r31
ldx [%r31+0], %r0
ldx [%r31+8], %r1
ldx [%r31+16], %r2
ldx [%r31+24], %r3
ldx [%r31+32], %r4
ldx [%r31+40], %r5
ldx [%r31+48], %r6
ldx [%r31+56], %r7
ldx [%r31+64], %r8
ldx [%r31+72], %r9
ldx [%r31+80], %r10
ldx [%r31+88], %r11
ldx [%r31+96], %r12
ldx [%r31+104], %r13
ldx [%r31+112], %r14
mov %r31, %r15
ldx [%r31+128], %r16
ldx [%r31+136], %r17
ldx [%r31+144], %r18
ldx [%r31+152], %r19
ldx [%r31+160], %r20
ldx [%r31+168], %r21
ldx [%r31+176], %r22
ldx [%r31+184], %r23
ldx [%r31+192], %r24
ldx [%r31+200], %r25
ldx [%r31+208], %r26
ldx [%r31+216], %r27
ldx [%r31+224], %r28
ldx [%r31+232], %r29
mov 0xb0, %r14
mov 0x31, %r30
save %r31, %r0, %r31
ldx [%r31+0], %r0
ldx [%r31+8], %r1
ldx [%r31+16], %r2
ldx [%r31+24], %r3
ldx [%r31+32], %r4
ldx [%r31+40], %r5
ldx [%r31+48], %r6
ldx [%r31+56], %r7
ldx [%r31+64], %r8
ldx [%r31+72], %r9
ldx [%r31+80], %r10
ldx [%r31+88], %r11
ldx [%r31+96], %r12
ldx [%r31+104], %r13
ldx [%r31+112], %r14
mov %r31, %r15
ldx [%r31+128], %r16
ldx [%r31+136], %r17
ldx [%r31+144], %r18
ldx [%r31+152], %r19
ldx [%r31+160], %r20
ldx [%r31+168], %r21
ldx [%r31+176], %r22
ldx [%r31+184], %r23
ldx [%r31+192], %r24
ldx [%r31+200], %r25
ldx [%r31+208], %r26
ldx [%r31+216], %r27
ldx [%r31+224], %r28
ldx [%r31+232], %r29
mov 0x34, %r14
mov 0x30, %r30
save %r31, %r0, %r31
restore
restore
restore
!Initializing float registers
ldd [%r31+0], %f0
ldd [%r31+16], %f2
ldd [%r31+32], %f4
ldd [%r31+48], %f6
ldd [%r31+64], %f8
ldd [%r31+80], %f10
ldd [%r31+96], %f12
ldd [%r31+112], %f14
ldd [%r31+128], %f16
ldd [%r31+144], %f18
ldd [%r31+160], %f20
ldd [%r31+176], %f22
ldd [%r31+192], %f24
ldd [%r31+208], %f26
ldd [%r31+224], %f28
ldd [%r31+240], %f30
!! Set TPC/TNPC to diag-finish in case we get to a strange TL ..
ta T_CHANGE_HPRIV
setx diag_finish, %r29, %r28
add %r28, 4, %r29
wrpr %g0, 1, %tl
wrpr %r28, %tpc
wrpr %r29, %tnpc
wrpr %g0, 2, %tl
wrpr %r28, %tpc
wrpr %r29, %tnpc
wrpr %g0, 3, %tl
wrpr %r28, %tpc
wrpr %r29, %tnpc
wrpr %g0, 4, %tl
wrpr %r28, %tpc
wrpr %r29, %tnpc
wrpr %g0, 5, %tl
wrpr %r28, %tpc
wrpr %r29, %tnpc
wrpr %g0, 6, %tl
wrpr %r28, %tpc
wrpr %r29, %tnpc
wrpr %g0, 0, %tl
!Initializing Tick Cmprs
mov 1, %g2
sllx %g2, 63, %g2
or %g1, %g2, %g1
wrhpr %g1, %g0, %hsys_tick_cmpr
wr %g1, %g0, %tick_cmpr
wr %g1, %g0, %sys_tick_cmpr
#if (MULTIPASS > 0)
mov 0x38, %g1
stxa %r0, [%g1]ASI_SCRATCHPAD
#endif
! Set up fpr PMU traps
set 0x2130c939, %g2
b fork_threads
wr %g2, %g0, %pcr
.align 128
common_target:
nop
sub %r27, 8, %r27
and %r27, 8, %r12
mov HIGHVA_HIGHNUM, %r11
sllx %r11, 32, %r11
or %r27, %r11, %r27
brz,a %r12, .+8
lduw [%r27], %r12 ! load jmp dest into dcache - xinval
return %r27
.word 0x819c7a2a ! 1: WRHPR_HPSTATE_I wrhpr %r17, 0x1a2a, %hpstate
nop
jmp %r27
nop
!$EV trig_pc_d(1,@VA(.MAIN.fork_threads)) -> marker(bootEnd, *, 1)
fork_threads:
rd %tick, %r17
mov 0x40, %g1
setup_hwtw_config:
stxa %r17, [%g1]0x58
ta %icc, T_RD_THID
! fork: source strm = 0xffffffffffffffff; target strm = 0x1
cmp %o1, 0
setx fork_lbl_0_1, %g2, %g3
be,a .+8
jmp %g3
nop
! fork: source strm = 0xffffffffffffffff; target strm = 0x2
cmp %o1, 1
setx fork_lbl_0_2, %g2, %g3
be,a .+8
jmp %g3
nop
! fork: source strm = 0xffffffffffffffff; target strm = 0x4
cmp %o1, 2
setx fork_lbl_0_3, %g2, %g3
be,a .+8
jmp %g3
nop
! fork: source strm = 0xffffffffffffffff; target strm = 0x8
cmp %o1, 3
setx fork_lbl_0_4, %g2, %g3
be,a .+8
jmp %g3
nop
! fork: source strm = 0xffffffffffffffff; target strm = 0x10
cmp %o1, 4
setx fork_lbl_0_5, %g2, %g3
be,a .+8
jmp %g3
nop
! fork: source strm = 0xffffffffffffffff; target strm = 0x20
cmp %o1, 5
setx fork_lbl_0_6, %g2, %g3
be,a .+8
jmp %g3
nop
! fork: source strm = 0xffffffffffffffff; target strm = 0x40
cmp %o1, 6
setx fork_lbl_0_7, %g2, %g3
be,a .+8
jmp %g3
nop
! fork: source strm = 0xffffffffffffffff; target strm = 0x80
cmp %o1, 7
setx fork_lbl_0_8, %g2, %g3
be,a .+8
jmp %g3
nop
.text
setx join_lbl_0_0, %g1, %g2
jmp %g2
nop
.text
setx join_lbl_0_0, %g1, %g2
jmp %g2
nop
fork_lbl_0_8:
wrhpr %g0, 0xe42, %hpstate ! ta T_CHANGE_NONHPRIV
nop
nop
mov 0x1, %r11
splash_cmpr_80_0:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0xc00, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_80_0)+8 , 16, 16)) -> intp(2,0,22,*,744,*,fb,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_80_0)&0xffffffff)+8 , 16, 16)) -> intp(5,0,18,*,688,*,fb,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 1: SIAM siam 1
intveclr_80_2:
nop
nop
ta T_CHANGE_HPRIV
setx 0x755f399a872031ba, %r1, %r28
stxa %r28, [%g0] 0x72
.word 0x25400001 ! 5: FBPLG fblg,a,pn %fcc0, <label_0x1>
.word 0xe027e0ec ! 9: STW_I stw %r16, [%r31 + 0x00ec]
.word 0x91950012 ! 13: WRPR_PIL_R wrpr %r20, %r18, %pil
frzptr_80_11:
nop
nop
best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
ldx [%r27+0xc], %r20
jmpl %r27+4, %r27
.word 0xa1702030 ! 1: POPC_I popc 0x0030, %r16
best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
jmpl %r27, %r27
.word 0x20800001 ! 17: BN bn,a <label_0x1>
.word 0xe19fdf00 ! 21: LDDFA_R ldda [%r31, %r0], %f16
splash_hpstate_80_16:
.word 0x81983c46 ! 25: WRHPR_HPSTATE_I wrhpr %r0, 0x1c46, %hpstate
.word 0xe0bfdf00 ! 29: STDA_R stda %r16, [%r31 + %r0] 0xf8
nop
nop
mov 0x1, %r11
splash_cmpr_80_20:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x100, %r10
#else
add %r10, 0xc00, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x250, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_80_20)+8 , 16, 16)) -> intp(0,0,11,*,696,*,da,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_80_20)&0xffffffff)+8 , 16, 16)) -> intp(5,0,1,*,688,*,da,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 33: SIAM siam 1
brcommon3_80_23:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-0], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-0] ! Load common dest into dcache ..
ba,a .+12
.word 0xe06fe130 ! 1: LDSTUB_I ldstub %r16, [%r31 + 0x0130]
ba,a .+8
jmpl %r27-0, %r27
.word 0xe097dd40 ! 37: LDUHA_R lduha [%r31, %r0] 0xea, %r16
nop
nop
mov 0x1, %r11
splash_cmpr_80_26:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x100, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
ta T_CHANGE_PRIV
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_80_26)+8 , 16, 16)) -> intp(0,0,22,*,656,*,a8,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_80_26)&0xffffffff)+8 , 16, 16)) -> intp(5,0,1,*,656,*,a8,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 41: SIAM siam 1
demap_80_28:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x24ccc001 ! 1: BRLEZ brlez,a,pt %r19,<label_0xcc001>
stxa %g3, [%g3] 0x57
.word 0xc09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r0
stxa %g3, [%g3] 0x5f
stxa %g3, [%g3] 0x5f
.word 0xe19fdb40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
.word 0xc09fdb20 ! 1: LDDA_R ldda [%r31, %r0] 0xd9, %r0
.word 0xc09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r0
stxa %g3, [%g3] 0x5f
.word 0xc19fc2c0 ! 1: LDDFA_R ldda [%r31, %r0], %f0
.word 0xc0bfdc40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xe2
wrhpr %g0, 0x858, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe01fe0f8 ! 45: LDD_I ldd [%r31 + 0x00f8], %r16
.word 0x8980000a ! 49: WRTICK_R wr %r0, %r10, %tick
memptr_80_31:
set 0x60740000, %r31
.word 0x8584f178 ! 53: WRCCR_I wr %r19, 0x1178, %ccr
.word 0x2ac8c001 ! 1: BRNZ brnz,a,pt %r3,<label_0x8c001>
.word 0x8d902bc1 ! 57: WRPR_PSTATE_I wrpr %r0, 0x0bc1, %pstate
splash_hpstate_80_36:
.word 0x8198279c ! 61: WRHPR_HPSTATE_I wrhpr %r0, 0x079c, %hpstate
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_80_38:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_80_38-donret_80_38+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
andn %r12, %r10, %r12 ! low VA tpc
wrpr %g0, 0x2, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00157c00 | (22 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x755, %htstate
best_set_reg(0x146a, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (80)
retry
.align 1024
donretarg_80_38:
.word 0x81982c0f ! 65: WRHPR_HPSTATE_I wrhpr %r0, 0x0c0f, %hpstate
nop
nop
mov 0x0, %r11
splash_cmpr_80_39:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x100, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
ta T_CHANGE_PRIV
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x250, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
.word 0x81b01021 ! 69: SIAM siam 1
demap_80_41:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %g3, [%g3] 0x57
.word 0xc09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r0
.word 0xe09fde20 ! 1: LDDA_R ldda [%r31, %r0] 0xf1, %r16
.word 0xc0bfdb40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xda
stxa %g3, [%g3] 0x57
wrhpr %g0, 0xa43, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe01fe0b0 ! 73: LDD_I ldd [%r31 + 0x00b0], %r16
.word 0xe0d7d160 ! 77: LDSHA_R ldsha [%r31, %r0] 0x8b, %r16
cancelint_80_45:
rdhpr %halt, %r16
.word 0x85880000 ! 81: ALLCLEAN <illegal instruction>
cancelint_80_47:
rdhpr %halt, %r12
.word 0x85880000 ! 85: ALLCLEAN <illegal instruction>
demap_80_48:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x0d400001 ! 1: FBPG fbg
stxa %g3, [%g3] 0x57
.word 0xc09fda00 ! 1: LDDA_R ldda [%r31, %r0] 0xd0, %r0
stxa %g3, [%g3] 0x57
.word 0xc0bfde20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf1
.word 0xe1bfdb20 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xe0bfde00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf0
stxa %g3, [%g3] 0x5f
.word 0xc0bfdc00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xe0
.word 0xc0bfc2c0 ! 1: STDA_R stda %r0, [%r31 + %r0] 0x16
.word 0xc19fdb40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
stxa %g3, [%g3] 0x57
.word 0xc0bfde20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf1
.word 0xc0bfdb20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd9
wrhpr %g0, 0x681, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe61fe06e ! 89: LDD_I ldd [%r31 + 0x006e], %r19
.word 0x1b400001 ! 1: FBPLE fble
.word 0x8d903617 ! 93: WRPR_PSTATE_I wrpr %r0, 0x1617, %pstate
.word 0xc19fe020 ! 97: LDDFA_I ldda [%r31, 0x0020], %f0
memptr_80_54:
set user_data_start, %r31
.word 0x85812a4a ! 101: WRCCR_I wr %r4, 0x0a4a, %ccr
.word 0xe677c000 ! 105: STX_R stx %r19, [%r31 + %r0]
.word 0xe677e018 ! 109: STX_I stx %r19, [%r31 + 0x0018]
.word 0x1c800001 ! 113: BPOS bpos <label_0x1>
.word 0xc19fda60 ! 117: LDDFA_R ldda [%r31, %r0], %f0
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_80_62) , 16, 16)) -> intp(2,0,19,*,960,*,f5,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_80_62)&0xffffffff) , 16, 16)) -> intp(7,0,27,*,720,*,f5,1)
#else
nop
nop
set 0xb270bb2b, %r28 !TTID : 3 (mask2tid(0x80))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(3,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
ifelse(3,mask2tid(0x80),`.align 16')
stxa %r28, [%g0] 0x73
#endif
intvec_80_62:
#if (defined SPC || defined CMP1)
wrhpr %g0, 0x0, %halt ! HALT
#else
ifelse(3,mask2tid(0x80),`wrhpr %g0, 0x0, %halt ! HALT')
#endif
.word 0x93a089c3 ! 121: FDIVd fdivd %f2, %f34, %f40
.word 0xd08008a0 ! 125: LDUWA_R lduwa [%r0, %r0] 0x45, %r8
demap_80_64:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x0ac8c001 ! 1: BRNZ brnz,pt %r3,<label_0x8c001>
stxa %g3, [%g3] 0x5f
.word 0xe0bfdd40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xea
.word 0xe19fda00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
stxa %g3, [%g3] 0x57
.word 0xc09fda00 ! 1: LDDA_R ldda [%r31, %r0] 0xd0, %r0
.word 0xe19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
stxa %g3, [%g3] 0x57
.word 0xc1bfdd40 ! 1: STDFA_R stda %f0, [%r0, %r31]
.word 0xe0bfda60 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd3
stxa %g3, [%g3] 0x57
.word 0xe1bfc2c0 ! 1: STDFA_R stda %f16, [%r0, %r31]
stxa %g3, [%g3] 0x5f
.word 0xc09fc3e0 ! 1: LDDA_R ldda [%r31, %r0] 0x1f, %r0
.word 0xc1bfdc40 ! 1: STDFA_R stda %f0, [%r0, %r31]
.word 0xc09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r0
stxa %g3, [%g3] 0x5f
.word 0xc1bfdc40 ! 1: STDFA_R stda %f0, [%r0, %r31]
.word 0xe09fde20 ! 1: LDDA_R ldda [%r31, %r0] 0xf1, %r16
.word 0xc19fda00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
wrhpr %g0, 0x712, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd01fe068 ! 129: LDD_I ldd [%r31 + 0x0068], %r8
cancelint_80_66:
rdhpr %halt, %r19
.word 0x85880000 ! 133: ALLCLEAN <illegal instruction>
jmptr_80_68:
nop
nop
best_set_reg(0xe0a00000, %r20, %r27)
.word 0xb7c6c000 ! 137: JMPL_R jmpl %r27 + %r0, %r27
dvapa_80_70:
nop
nop
ta T_CHANGE_HPRIV
mov 0xa9a, %r20
mov 0x9, %r19
sllx %r20, 23, %r20
or %r19, %r20, %r19
stxa %r19, [%g0] ASI_LSU_CONTROL
mov 0x38, %r18
stxa %r31, [%r18]0x58
wrhpr %g0, 0x491, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xc1bfdc00 ! 141: STDFA_R stda %f0, [%r0, %r31]
memptr_80_73:
set 0x60340000, %r31
.word 0x8584fd6b ! 145: WRCCR_I wr %r19, 0x1d6b, %ccr
nop
nop
mov 0x1, %r11
splash_cmpr_80_75:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_80_75)+8 , 16, 16)) -> intp(4,0,5,*,952,*,aa,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_80_75)&0xffffffff)+8 , 16, 16)) -> intp(7,0,7,*,1000,*,aa,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 149: SIAM siam 1
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_80_77:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_80_77-donret_80_77+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
andn %r11, %r10, %r11 ! low VA tnpc
wrpr %g0, 0x2, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00579f00 | (4 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x1c15, %htstate
wrhpr %g0, 0xe01, %hpstate ! rand=1 (80)
ldx [%r11+%r0], %g1
done
donretarg_80_77:
.word 0x95a249cc ! 153: FDIVd fdivd %f40, %f12, %f10
br_longdelay2_80_79:
.word 0x21400001 ! 1: FBPN fbn,a,pn %fcc0, <label_0x1>
.word 0x04cfc002 ! 157: BRLEZ brlez,pt %r31,<label_0xfc002>
memptr_80_81:
set user_data_start, %r31
.word 0x85852827 ! 161: WRCCR_I wr %r20, 0x0827, %ccr
.word 0x8d802000 ! 165: WRFPRS_I wr %r0, 0x0000, %fprs
.word 0x87ac8a45 ! 169: FCMPd fcmpd %fcc<n>, %f18, %f36
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_80_90:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_80_90-donret_80_90), %r12
add %r12, 0x8, %r11 ! nonseq tnpc
wrpr %g0, 0x2, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00f31a00 | (4 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x287, %htstate
wrhpr %g0, 0xa09, %hpstate ! rand=1 (80)
retry
donretarg_80_90:
.word 0xa1a149d3 ! 173: FDIVd fdivd %f36, %f50, %f16
nop
nop
set 0xd800f5f, %r28 !TTID : 7 (mask2tid(0x80))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(7,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(7,mask2tid(0x80),`.align 16')
stxa %r28, [%g0] 0x73
intvec_80_93:
.word 0x91a449c3 ! 177: FDIVd fdivd %f48, %f34, %f8
splash_hpstate_80_94:
.word 0x2b400002 ! 1: FBPUG fbug,a,pn %fcc0, <label_0x2>
.word 0x819834c5 ! 181: WRHPR_HPSTATE_I wrhpr %r0, 0x14c5, %hpstate
nop
nop
ta T_CHANGE_HPRIV
mov 0x1, %r11
splash_cmpr_80_96:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
wrhpr %r10, %g0, %hsys_tick_cmpr
wrhpr %g0, 0x0, %halt ! HALT
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_80_96)+8 , 16, 16)) -> intp(0,0,5,*,720,*,a9,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_80_96)&0xffffffff)+8 , 16, 16)) -> intp(3,0,1,*,728,*,a9,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81983f15 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1f15, %hpstate
.word 0x81b01021 ! 185: SIAM siam 1
jmptr_80_98:
nop
nop
best_set_reg(0xe1a00000, %r20, %r27)
.word 0xb7c6c000 ! 189: JMPL_R jmpl %r27 + %r0, %r27
nop
nop
set 0x12a0134c, %r28 !TTID : 3 (mask2tid(0x80))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(3,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(3,mask2tid(0x80),`.align 16')
stxa %r28, [%g0] 0x73
intvec_80_101:
.word 0xa7a449d4 ! 193: FDIVd fdivd %f48, %f20, %f50
memptr_80_104:
set 0x60340000, %r31
.word 0x8584305c ! 197: WRCCR_I wr %r16, 0x105c, %ccr
jmptr_80_106:
nop
nop
best_set_reg(0xe0a00000, %r20, %r27)
.word 0xb7c6c000 ! 201: JMPL_R jmpl %r27 + %r0, %r27
cancelint_80_108:
rdhpr %halt, %r8
.word 0x85880000 ! 205: ALLCLEAN <illegal instruction>
.word 0xd337e1c0 ! 209: STQF_I - %f9, [0x01c0, %r31]
splash_hpstate_80_110:
.word 0x36800001 ! 1: BGE bge,a <label_0x1>
.word 0x81983706 ! 213: WRHPR_HPSTATE_I wrhpr %r0, 0x1706, %hpstate
.word 0x9f8027fe ! 217: SIR sir 0x07fe
br_badelay2_80_114:
.word 0x14800002 ! 1: BG bg <label_0x2>
allclean
.word 0x91b1c313 ! 221: ALIGNADDRESS alignaddr %r7, %r19, %r8
.word 0xc1bfdf20 ! 225: STDFA_R stda %f0, [%r0, %r31]
demap_80_116:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x26cac001 ! 1: BRLZ brlz,a,pt %r11,<label_0xac001>
stxa %g3, [%g3] 0x57
.word 0xc0bfdb20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd9
.word 0xc09fde00 ! 1: LDDA_R ldda [%r31, %r0] 0xf0, %r0
.word 0xe19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
stxa %g3, [%g3] 0x5f
.word 0xc0bfdb40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xda
.word 0xe09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r16
.word 0xe0bfdf20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf9
stxa %g3, [%g3] 0x57
.word 0xe0bfde00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf0
wrhpr %g0, 0x65b, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd61fe083 ! 229: LDD_I ldd [%r31 + 0x0083], %r11
cancelint_80_118:
rdhpr %halt, %r13
.word 0x85880000 ! 233: ALLCLEAN <illegal instruction>
demap_80_119:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %g3, [%g3] 0x5f
stxa %g3, [%g3] 0x5f
.word 0xe1bfc2c0 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xc0bfdb40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xda
wrhpr %g0, 0x301, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd21fe120 ! 237: LDD_I ldd [%r31 + 0x0120], %r9
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_80_120:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_80_120-donret_80_120), %r12
add %r12, 0x8, %r11 ! nonseq tnpc
wrpr %g0, 0x2, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00574800 | (0x8b << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x1d99, %htstate
best_set_reg(0x562, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (80)
ldx [%r12+%r0], %g1
retry
donretarg_80_120:
.word 0x0b400001 ! 241: FBPUG fbug
splash_lsu_80_122:
nop
nop
ta T_CHANGE_HPRIV
set 0xce039f7c, %r2
mov 0x5, %r1
sllx %r1, 32, %r1
or %r1, %r2, %r2
.word 0x22800001 ! 1: BE be,a <label_0x1>
stxa %r2, [%r0] ASI_LSU_CONTROL
ta T_CHANGE_NONHPRIV
.word 0x3d400001 ! 245: FBPULE fbule,a,pn %fcc0, <label_0x1>
cancelint_80_125:
rdhpr %halt, %r8
.word 0x85880000 ! 249: ALLCLEAN <illegal instruction>
.word 0xd327e105 ! 253: STF_I st %f9, [0x0105, %r31]
.word 0xd28008a0 ! 257: LDUWA_R lduwa [%r0, %r0] 0x45, %r9
.word 0x91930013 ! 261: WRPR_PIL_R wrpr %r12, %r19, %pil
brcommon3_80_136:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-4], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-0] ! Load common dest into dcache ..
ba,a .+12
.word 0xd337c000 ! 1: STQF_R - %f9, [%r0, %r31]
ba,a .+8
jmpl %r27+0, %r27
.word 0x8d903ac3 ! 265: WRPR_PSTATE_I wrpr %r0, 0x1ac3, %pstate
demap_80_138:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %g3, [%g3] 0x5f
.word 0xc19fde20 ! 1: LDDFA_R ldda [%r31, %r0], %f0
.word 0xe1bfc2c0 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xe19fdf20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
stxa %g3, [%g3] 0x5f
stxa %g3, [%g3] 0x57
.word 0xe09fde00 ! 1: LDDA_R ldda [%r31, %r0] 0xf0, %r16
.word 0xe1bfdc00 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xc0bfde20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf1
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x57
.word 0xe0bfdb40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xda
stxa %g3, [%g3] 0x5f
.word 0xe0bfdb40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xda
.word 0xc0bfdd40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xea
wrhpr %g0, 0x618, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd21fe077 ! 269: LDD_I ldd [%r31 + 0x0077], %r9
.word 0x91940006 ! 273: WRPR_PIL_R wrpr %r16, %r6, %pil
.word 0xc19fc2c0 ! 277: LDDFA_R ldda [%r31, %r0], %f0
dvapa_80_145:
nop
nop
ta T_CHANGE_HPRIV
mov 0x980, %r20
mov 0x1e, %r19
sllx %r20, 23, %r20
or %r19, %r20, %r19
stxa %r19, [%g0] ASI_LSU_CONTROL
mov 0x38, %r18
stxa %r31, [%r18]0x58
wrhpr %g0, 0xd49, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xa1b14494 ! 281: FCMPLE32 fcmple32 %d36, %d20, %r16
intveclr_80_146:
nop
nop
ta T_CHANGE_HPRIV
setx 0xe66b1f97e4e72c7f, %r1, %r28
stxa %r28, [%g0] 0x72
.word 0x25400001 ! 285: FBPLG fblg,a,pn %fcc0, <label_0x1>
intveclr_80_148:
nop
nop
ta T_CHANGE_HPRIV
setx 0x16dea9d2f353f3eb, %r1, %r28
stxa %r28, [%g0] 0x72
wrhpr %g0, 0x98a, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0x25400001 ! 289: FBPLG fblg,a,pn %fcc0, <label_0x1>
nop
nop
mov 0x1, %r11
splash_cmpr_80_150:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x300, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_80_150)+8 , 16, 16)) -> intp(1,0,13,*,648,*,99,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_80_150)&0xffffffff)+8 , 16, 16)) -> intp(6,0,19,*,1008,*,99,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 293: SIAM siam 1
memptr_80_153:
set user_data_start, %r31
.word 0x8582ae90 ! 297: WRCCR_I wr %r10, 0x0e90, %ccr
splash_hpstate_80_156:
.word 0x819837e5 ! 301: WRHPR_HPSTATE_I wrhpr %r0, 0x17e5, %hpstate
.word 0x93450000 ! 305: RD_SET_SOFTINT rd %set_softint, %r9
.word 0xe89fd160 ! 309: LDDA_R ldda [%r31, %r0] 0x8b, %r20
brcommon3_80_162:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-4], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xe86fe100 ! 1: LDSTUB_I ldstub %r20, [%r31 + 0x0100]
ba,a .+8
jmpl %r27+0, %r27
.word 0x81982fd6 ! 313: WRHPR_HPSTATE_I wrhpr %r0, 0x0fd6, %hpstate
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_80_166) , 16, 16)) -> intp(3,0,8,*,960,*,8d,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_80_166)&0xffffffff) , 16, 16)) -> intp(6,0,7,*,712,*,8d,1)
#else
nop
nop
set 0x79e08f00, %r28 !TTID : 7 (mask2tid(0x80))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(7,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
ifelse(7,mask2tid(0x80),`.align 16')
stxa %r28, [%g0] 0x73
#endif
intvec_80_166:
.word 0x91b444c4 ! 317: FCMPNE32 fcmpne32 %d48, %d4, %r8
br_badelay1_80_168:
.word 0x87afca40 ! 1: FCMPd fcmpd %fcc<n>, %f62, %f0
.word 0x23400002 ! 1: FBPNE fbne,a,pn %fcc0, <label_0x2>
.word 0x20800001 ! 1: BN bn,a <label_0x1>
normalw
.word 0xa9458000 ! 321: RD_SOFTINT_REG rd %softint, %r20
nop
nop
set 0x9130af26, %r28 !TTID : 7 (mask2tid(0x80))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(7,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(7,mask2tid(0x80),`.align 16')
stxa %r28, [%g0] 0x73
intvec_80_171:
.word 0x91b144c6 ! 325: FCMPNE32 fcmpne32 %d36, %d6, %r8
.word 0xd80fe1e8 ! 329: LDUB_I ldub [%r31 + 0x01e8], %r12
.word 0xf16fe188 ! 333: PREFETCH_I prefetch [%r31 + 0x0188], #24
brcommon3_80_178:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-0], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-0] ! Load common dest into dcache ..
ba,a .+12
.word 0xd9e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r12
ba,a .+8
jmpl %r27-0, %r27
.word 0xd91fe110 ! 337: LDDF_I ldd [%r31, 0x0110], %f12
.word 0xd91fc000 ! 341: LDDF_R ldd [%r31, %r0], %f12
iaw_80_183:
nop
nop
ta T_CHANGE_HPRIV
mov 8, %r18
rd %asi, %r12
wr %r0, 0x41, %asi
set sync_thr_counter4, %r23
#ifndef SPC
ldxa [%g0]0x63, %r8
and %r8, 0x38, %r8 ! Core ID
#ifndef PORTABLE_CORE
add %r8, %r23, %r23
#endif
#else
mov 0, %r8
#endif
mov 0x80, %r16
iaw_startwait80_183:
cas [%r23],%g0,%r16 !lock
brz,a %r16, continue_iaw_80_183
mov (~0x80&0xf0), %r16
ld [%r23], %r16
iaw_wait80_183:
brnz %r16, iaw_wait80_183
ld [%r23], %r16
ba iaw_startwait80_183
mov 0x80, %r16
continue_iaw_80_183:
sllx %r16, %r8, %r16 !Mask for my core only
ldxa [0x58]%asi, %r17 !Running_status
wait_for_stat_80_183:
ldxa [0x50]%asi, %r13 !Running_rw
cmp %r13, %r17
bne,a %xcc, wait_for_stat_80_183
ldxa [0x58]%asi, %r17 !Running_status
stxa %r16, [0x68]%asi !Park (W1C)
ldxa [0x50]%asi, %r14 !Running_rw
wait_for_iaw_80_183:
ldxa [0x58]%asi, %r17 !Running_status
cmp %r14, %r17
bne,a %xcc, wait_for_iaw_80_183
ldxa [0x50]%asi, %r14 !Running_rw
iaw_doit80_183:
mov 0x38, %r18
iaw4_80_183:
setx common_target, %r20, %r19
or %r19, 0x1, %r19
stxa %r19, [%r18]0x50
stxa %r16, [0x60] %asi ! Unpark (W1S)
st %g0, [%r23] !clear lock
wr %r0, %r12, %asi ! restore %asi
wrhpr %g0, 0x3d9, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0x91a349ab ! 345: FDIVs fdivs %f13, %f11, %f8
.word 0xe2d7dc40 ! 349: LDSHA_R ldsha [%r31, %r0] 0xe2, %r17
.word 0xe19fdf00 ! 353: LDDFA_R ldda [%r31, %r0], %f16
nop
nop
set 0xa390d25c, %r28 !TTID : 2 (mask2tid(0x80))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(2,mask2tid(0x80),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(2,mask2tid(0x80),`.align 16')
stxa %r28, [%g0] 0x73
intvec_80_189:
.word 0x9ba449c6 ! 357: FDIVd fdivd %f48, %f6, %f44
.word 0x8980000a ! 361: WRTICK_R wr %r0, %r10, %tick
brcommon3_80_195:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-4], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-0] ! Load common dest into dcache ..
ba,a .+12
.word 0xe3e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r17
ba,a .+8
jmpl %r27-0, %r27
.word 0xe3e7df00 ! 365: CASA_I casa [%r31] 0xf8, %r0, %r17
.word 0xe2800b80 ! 369: LDUWA_R lduwa [%r0, %r0] 0x5c, %r17
cancelint_80_199:
rdhpr %halt, %r11
.word 0x85880000 ! 373: ALLCLEAN <illegal instruction>
.word 0xd60fc000 ! 377: LDUB_R ldub [%r31 + %r0], %r11
iaw_80_204:
nop
nop
ta T_CHANGE_HPRIV
mov 8, %r18
rd %asi, %r12
wr %r0, 0x41, %asi
set sync_thr_counter4, %r23
#ifndef SPC
ldxa [%g0]0x63, %r8
and %r8, 0x38, %r8 ! Core ID
#ifndef PORTABLE_CORE
add %r8, %r23, %r23
#endif
#else
mov 0, %r8
#endif
mov 0x80, %r16
iaw_startwait80_204:
cas [%r23],%g0,%r16 !lock
brz,a %r16, continue_iaw_80_204
mov (~0x80&0xf0), %r16
ld [%r23], %r16
iaw_wait80_204:
brnz %r16, iaw_wait80_204
ld [%r23], %r16
ba iaw_startwait80_204
mov 0x80, %r16
continue_iaw_80_204:
sllx %r16, %r8, %r16 !Mask for my core only
ldxa [0x58]%asi, %r17 !Running_status
wait_for_stat_80_204:
ldxa [0x50]%asi, %r13 !Running_rw
cmp %r13, %r17
bne,a %xcc, wait_for_stat_80_204
ldxa [0x58]%asi, %r17 !Running_status
stxa %r16, [0x68]%asi !Park (W1C)
ldxa [0x50]%asi, %r14 !Running_rw
wait_for_iaw_80_204:
ldxa [0x58]%asi, %r17 !Running_status
cmp %r14, %r17
bne,a %xcc, wait_for_iaw_80_204
ldxa [0x50]%asi, %r14 !Running_rw
iaw_doit80_204:
mov 0x38, %r18
iaw2_80_204:
rdpr %tba, %r19
mov 0x11, %r20
sllx %r20, 5, %r20
add %r20, %r19, %r19
stxa %r19, [%r18]0x50
stxa %r16, [0x60] %asi ! Unpark (W1S)
st %g0, [%r23] !clear lock
wr %r0, %r12, %asi ! restore %asi
wrhpr %g0, 0xa12, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xc19fdf20 ! 381: LDDFA_R ldda [%r31, %r0], %f0
.word 0x8f902000 ! 1: WRPR_TL_I wrpr %r0, 0x0000, %tl
reduce_priv_lvl_80_208:
ta T_CHANGE_NONHPRIV ! macro
jmptr_80_209:
nop
nop
best_set_reg(0xe1a00000, %r20, %r27)
.word 0xb7c6c000 ! 389: JMPL_R jmpl %r27 + %r0, %r27
.word 0xd65fe020 ! 393: LDX_I ldx [%r31 + 0x0020], %r11
cancelint_80_212:
rdhpr %halt, %r18
.word 0x85880000 ! 397: ALLCLEAN <illegal instruction>
nop
nop
ta T_CHANGE_PRIV
wrpr %g0, %g0, %gl
nop
nop
.text
setx join_lbl_0_0, %g1, %g2
jmp %g2
nop
fork_lbl_0_7:
! Code for Template instance: _t1_
#ifndef PORTABLE_CORE
#define SPU_8
#endif
.text
.global _t1_main
_t1_main:
!# Switch to hpriv mode
ta T_CHANGE_HPRIV
!# trap counter
mov 0, %i6
set 0x3d9b, %g3
stxa %g3, [%g0] ASI_SPARC_PWR_MGMT
! Get core ID & offset
#ifndef PORTABLE_CORE
ldxa [%g0]0x63, %o1
srlx %o1, 3, %o1
sllx %o1, 20, %o1 !! %o1 has core ID offset
#else
mov %g0, %o1
#endif
! Set up for PMU
set 0x3b0152f5, %g2
wr %g2, %g0, %pcr
setx 0xffffffb9ffffffa0, %g2, %g7
wr %g7, %g0, %pic
!# setup ASI register to point to SPU
wr %g0, 0x40, %asi
!# Make sure CWQ is currently disabled, not busy, not terminated, no protocol error; else fail
ldxa [%g0 + ASI_SPU_CWQ_CSR] %asi, %l1
and %l1, 0xf, %l2
cmp %g0, %l2
bne,pn %xcc, _t1_fail
nop
!# allocate control word queue (e.g., setup head/tail/first/last registers)
setx _t1_cwq_base, %g1, %l6
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l6, %o1, %l6
#endif
#endif
!# write base addr to first, head, and tail ptr
!# first store to first
stxa %l6, [%g0 + ASI_SPU_CWQ_FIRST] %asi
ldxa [%g0 + ASI_SPU_CWQ_FIRST] %asi, %l1
!# Mask off upper 16 bits
setx 0x0000ffffffffffff, %l5, %l0
and %l0, %l6, %l2
cmp %l1, %l2
bne,pn %xcc, _t1_fail
nop
!# then to head
stxa %l6, [%g0 + ASI_SPU_CWQ_HEAD] %asi
ldxa [%g0 + ASI_SPU_CWQ_HEAD] %asi, %l1
cmp %l1, %l2
bne,pn %xcc, _t1_fail
nop
!# then to tail
stxa %l6, [%g0 + ASI_SPU_CWQ_TAIL] %asi
ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l1
cmp %l1, %l2
bne,pn %xcc, _t1_fail
nop
!# then end of CWQ region to LAST
setx _t1_cwq_last, %g1, %l5
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l5, %o1, %l5
#endif
#endif
stxa %l5, [%g0 + ASI_SPU_CWQ_LAST] %asi
ldxa [%g0 + ASI_SPU_CWQ_LAST] %asi, %l1
!# Mask off upper 16 bits
and %l0, %l5, %l2
cmp %l1, %l2
bne,pn %xcc, _t1_fail
nop
or %g0, 0x0, %i4 !# _t1_counter : Increment _t1_by 1 _t1_to _t1_step _t1_over CWs
or %g0, 0x0, %i5 !# _t1_offset : Increment _t1_by 8 _t1_to _t1_step _t1_over CWs
_t1_main_loop:
setx _t1_spu_op_array, %l1, %l2
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l2, %o1, %l2
#endif
#endif
ldx [%l2 + %i5], %i1
cmp %i1, 7
bne _t1_not_ssl
mov %i5, %g5 !# Save _t1_real _t1_offset - _t1_if _t1_sslkey _t1_it _t1_has _t1_to _t1_be 0x10 _t1_aligned
btst 8, %i5
bz _t1_not_ssl
nop
add %i5, 8, %i5
_t1_not_ssl:
cmp %i1, 8
bg _t1_fail
mulx %i1, 8, %i1 !# Calc _t1_index _t1_into _t1_toc
setx _t1_table_of_context, %l1, %l2
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l2, %o1, %l2
#endif
#endif
ldx [%l2 + %i1], %l3 !# l3 = _t1_toc _t1_of _t1_current _t1_operation
ldx [%l3 + 0x40], %l4 !# l4 = alignment array
!# set CWQ data
ldx [%l3], %l2
ldx [%l2 + %i5], %l2 !# Get Control Word _t1_from _t1_array
mov %l2, %i7 !# Save _t1_it _t1_for _t1_later
srlx %i7, 48, %l1
and %l1, 1, %l1
cmp %l1, 1
bne,pn %xcc, _t1_write_cwq
nop
inc %i6 !# increase _t1_interrupt _t1_counter
_t1_write_cwq:
!# write CWQ entry (%l6 points to CWQ)
stx %l2, [%l6 + 0x0]
!# source address
ldx [%l3 + 0x8], %l2
ldx [%l4 + 0x8], %l1
add %l2, %i5, %l2
add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
stx %l2, [%l6 + 0x8]
!# Authentication Key Address (40-bit)
ldx [%l3 + 0x10], %l2
ldx [%l4 + 0x10], %l1
add %l2, %i5, %l2
add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
stx %l2, [%l6 + 0x10]
!# Authentication IV Address (40-bit)
ldx [%l3 + 0x18], %l2
ldx [%l4 + 0x18], %l1
add %l2, %i5, %l2
add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
stx %l2, [%l6 + 0x18]
!# Authentication FSAS Address (40-bit)
ldx [%l3 + 0x20], %l2
ldx [%l4 + 0x20], %l1
add %l2, %i5, %l2
add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
stx %l2, [%l6 + 0x20]
!# Encryption Key Address (40-bit)
ldx [%l3 + 0x28], %l2
ldx [%l4 + 0x28], %l1
add %l2, %i5, %l2
add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
stx %l2, [%l6 + 0x28]
!# Encryption Initialization Vector Address (40-bit)
ldx [%l3 + 0x30], %l2
ldx [%l4 + 0x30], %l1
add %l2, %i5, %l2
add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
stx %l2, [%l6 + 0x30]
!# Destination Address (40-bit)
ldx [%l3 + 0x38], %l2
ldx [%l4 + 0x38], %l1
add %l2, %i5, %l2
add %l2, %l1, %l2 !# _t1_WARNING : Misaligned _t1_address
stx %l2, [%l6 + 0x38]
!# Make sure all these stores get to memory before we start
membar #Sync
ldx [%l6 + 0x20], %l2
ldx [%l6 + 0x28], %l2
ldx [%l6 + 0x30], %l2
ldx [%l6 + 0x38], %l2
membar #Sync
wrpr %g0, 0x57, %pstate
!# Set the enabled bit and reset the other bits
or %g0, 0x1, %g1
stxa %g1, [%g0 + ASI_SPU_CWQ_CSR] %asi
!# Kick off the CWQ operation by writing to the CWQ_TAIL
!# Now add 1 (actually 8*8B) to tail pointer
ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l2
add %l2, 0x40, %l2
stxa %l2, [%g0 + ASI_SPU_CWQ_TAIL] %asi
ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l1
cmp %l1, %l2
bne,pn %xcc, _t1_fail
nop
!# CWQ_SYNC operation...
ldxa [%g0 + 0x30] %asi, %l1
rdhpr %halt, %g7
wrpr %g0, 0x97, %pstate
andn %l1, 0x10, %l1 !# clear interrupt request bit
addcc %l1, -1, %i0
bne _t1_fail !# test for unexpected protocal error
nop
ldxa [%g0 + ASI_SPU_CWQ_HEAD] %asi, %l1
ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l1
!# I want to check all the data
or %g0, 260, %i0
or %g0, %g0, %g3
_t1_check_msg:
ldx [%l3 + 0x8], %l5 !# Needed _t1_for Inplace
add %l5, %i5, %l5
ldx [%l5 + %g3], %l1
add %g3, 0x8, %g3 !# i++
addcc %i0, -1, %i0
bgt _t1_check_msg
nop
!# I want to check all the data
or %g0, 260, %i0
or %g0, %g0, %g3
_t1_check_results:
ldx [%l3 + 0x38], %l5 !# Needed _t1_for Copy
add %l5, %i5, %l5
ldx [%l5 + %g3], %l1
add %g3, 0x8, %g3 !# i++
addcc %i0, -1, %i0
bgt _t1_check_results
nop
or %g0, 0x8, %i0
or %g0, %g0, %g3
_t1_check_sfas:
ldx [%l3 + 0x20], %l5
ldx [%l5 + %g3], %l1
add %g3, 0x8, %g3 !# i++
addcc %i0, -1, %i0
bgt _t1_check_sfas
nop
!# I want to check all the State 32 words + 2 bytes XY
or %g0, 0x23, %i0
or %g0, %g0, %g3
_t1_check_state:
ldx [%l3 + 0x28], %l5 !# Needed _t1_for Streamout
ldx [%l5 + %g3], %l1
add %g3, 0x8, %g3 !# i++
addcc %i0, -1, %i0
bgt _t1_check_state
nop
mov %g5, %i5
add %l6, 0x40, %l6 !# next CWQ address
add %i5, 8, %i5 !# next _t1_offset
add %i4, 1, %i4 !# loop _t1_counter
cmp %i4, 7
bl _t1_main_loop
nop
!call check_int_cnt !# Check #ints (assuming all have happened at this time!)
nop
EXIT_GOOD
_t1_fail:
EXIT_BAD
_t1_check_int_cnt:
cmp %g0, %i6
bne,pn %xcc, _t1_fail
nop
retl
nop
! diag source
nop
nop
ta T_CHANGE_PRIV
wrpr %g0, %g0, %gl
nop
nop
.text
setx join_lbl_0_0, %g1, %g2
jmp %g2
nop
fork_lbl_0_6:
wrhpr %g0, 0x580, %hpstate ! ta T_CHANGE_NONHPRIV
nop
nop
mov 0x1, %r11
splash_cmpr_20_0:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
ta T_CHANGE_PRIV
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_20_0)+8 , 16, 16)) -> intp(5,0,31,*,952,*,7b,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_20_0)&0xffffffff)+8 , 16, 16)) -> intp(3,0,25,*,904,*,7b,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 1: SIAM siam 1
intveclr_20_2:
nop
nop
ta T_CHANGE_HPRIV
setx 0x20234d02baa5800b, %r1, %r28
stxa %r28, [%g0] 0x72
.word 0x05400001 ! 5: FBPLG fblg
.word 0xe027e192 ! 9: STW_I stw %r16, [%r31 + 0x0192]
.word 0x91924002 ! 13: WRPR_PIL_R wrpr %r9, %r2, %pil
frzptr_20_11:
nop
nop
best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
ldx [%r27+0xc], %r20
jmpl %r27+4, %r27
.word 0xa17021c0 ! 1: POPC_I popc 0x01c0, %r16
best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
jmpl %r27, %r27
.word 0xe1bfdc00 ! 17: STDFA_R stda %f16, [%r0, %r31]
.word 0xc19fdb40 ! 21: LDDFA_R ldda [%r31, %r0], %f0
splash_hpstate_20_16:
.word 0x81982e9e ! 25: WRHPR_HPSTATE_I wrhpr %r0, 0x0e9e, %hpstate
.word 0xa1a00160 ! 29: FABSq dis not found
nop
nop
mov 0x1, %r11
splash_cmpr_20_20:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x250, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_20_20)+8 , 16, 16)) -> intp(6,0,16,*,984,*,e2,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_20_20)&0xffffffff)+8 , 16, 16)) -> intp(5,0,14,*,648,*,e2,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 33: SIAM siam 1
brcommon3_20_23:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-0], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xe06fe1b0 ! 1: LDSTUB_I ldstub %r16, [%r31 + 0x01b0]
ba,a .+8
jmpl %r27-0, %r27
.word 0xc32fe0e0 ! 37: STXFSR_I st-sfr %f1, [0x00e0, %r31]
nop
nop
mov 0x1, %r11
splash_cmpr_20_26:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x250, %r10
#else
add %r10, 0xc00, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_20_26)+8 , 16, 16)) -> intp(6,0,9,*,992,*,21,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_20_26)&0xffffffff)+8 , 16, 16)) -> intp(4,0,23,*,936,*,21,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 41: SIAM siam 1
demap_20_28:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x28800001 ! 1: BLEU bleu,a <label_0x1>
stxa %g3, [%g3] 0x5f
.word 0xc19fdb20 ! 1: LDDFA_R ldda [%r31, %r0], %f0
stxa %g3, [%g3] 0x5f
stxa %g3, [%g3] 0x5f
.word 0xe19fdc40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
.word 0xe0bfda60 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd3
.word 0xe09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r16
stxa %g3, [%g3] 0x57
.word 0xe09fde00 ! 1: LDDA_R ldda [%r31, %r0] 0xf0, %r16
.word 0xc09fdb20 ! 1: LDDA_R ldda [%r31, %r0] 0xd9, %r0
wrhpr %g0, 0xac8, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe01fe148 ! 45: LDD_I ldd [%r31 + 0x0148], %r16
.word 0x8980000a ! 49: WRTICK_R wr %r0, %r10, %tick
memptr_20_31:
set 0x60540000, %r31
.word 0x85836f54 ! 53: WRCCR_I wr %r13, 0x0f54, %ccr
.word 0x04ca8002 ! 1: BRLEZ brlez,pt %r10,<label_0xa8002>
.word 0x8d9034a7 ! 57: WRPR_PSTATE_I wrpr %r0, 0x14a7, %pstate
splash_hpstate_20_36:
.word 0x81983785 ! 61: WRHPR_HPSTATE_I wrhpr %r0, 0x1785, %hpstate
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_20_38:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_20_38-donret_20_38+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
andn %r12, %r10, %r12 ! low VA tpc
wrpr %g0, 0x2, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00f10700 | (0x89 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0xc0f, %htstate
best_set_reg(0x4fb, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (20)
retry
.align 1024
donretarg_20_38:
.word 0x81983417 ! 65: WRHPR_HPSTATE_I wrhpr %r0, 0x1417, %hpstate
nop
nop
mov 0x1, %r11
splash_cmpr_20_39:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x100, %r10
#else
add %r10, 0xc00, %r10
#endif
and %r10, %r11, %r10
ta T_CHANGE_PRIV
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0xc00, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_20_39)+8 , 16, 16)) -> intp(0,0,4,*,896,*,b4,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_20_39)&0xffffffff)+8 , 16, 16)) -> intp(7,0,29,*,992,*,b4,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 69: SIAM siam 1
demap_20_41:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %r9, [%r0] ASI_LSU_CONTROL
stxa %g3, [%g3] 0x57
.word 0xc09fc2c0 ! 1: LDDA_R ldda [%r31, %r0] 0x16, %r0
.word 0xe19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
.word 0xc1bfde00 ! 1: STDFA_R stda %f0, [%r0, %r31]
stxa %g3, [%g3] 0x57
wrhpr %g0, 0x251, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe01fe142 ! 73: LDD_I ldd [%r31 + 0x0142], %r16
.word 0xe0d7c720 ! 77: LDSHA_R ldsha [%r31, %r0] 0x39, %r16
cancelint_20_45:
rdhpr %halt, %r13
.word 0x85880000 ! 81: ALLCLEAN <illegal instruction>
cancelint_20_47:
rdhpr %halt, %r8
.word 0x85880000 ! 85: ALLCLEAN <illegal instruction>
demap_20_48:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x0b400001 ! 1: FBPUG fbug
stxa %g3, [%g3] 0x57
.word 0xc1bfdc00 ! 1: STDFA_R stda %f0, [%r0, %r31]
stxa %g3, [%g3] 0x5f
.word 0xc0bfda60 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd3
.word 0xe19fdb40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
.word 0xe09fc3e0 ! 1: LDDA_R ldda [%r31, %r0] 0x1f, %r16
stxa %g3, [%g3] 0x57
.word 0xe1bfdf00 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xe19fdb40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
.word 0xc0bfdc00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xe0
stxa %g3, [%g3] 0x57
.word 0xc09fda00 ! 1: LDDA_R ldda [%r31, %r0] 0xd0, %r0
.word 0xc09fda60 ! 1: LDDA_R ldda [%r31, %r0] 0xd3, %r0
wrhpr %g0, 0x543, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe61fe190 ! 89: LDD_I ldd [%r31 + 0x0190], %r19
.word 0x2c800001 ! 1: BNEG bneg,a <label_0x1>
.word 0x8d903045 ! 93: WRPR_PSTATE_I wrpr %r0, 0x1045, %pstate
.word 0xe19fe140 ! 97: LDDFA_I ldda [%r31, 0x0140], %f16
memptr_20_54:
set user_data_start, %r31
.word 0x85816871 ! 101: WRCCR_I wr %r5, 0x0871, %ccr
.word 0xe677c000 ! 105: STX_R stx %r19, [%r31 + %r0]
.word 0xe677e162 ! 109: STX_I stx %r19, [%r31 + 0x0162]
.word 0x3c800002 ! 113: BPOS bpos,a <label_0x2>
.word 0xc19fde20 ! 117: LDDFA_R ldda [%r31, %r0], %f0
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_20_62) , 16, 16)) -> intp(3,0,13,*,952,*,b3,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_20_62)&0xffffffff) , 16, 16)) -> intp(2,0,8,*,984,*,b3,1)
#else
nop
nop
set 0x40301e7d, %r28 !TTID : 6 (mask2tid(0x20))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(6,mask2tid(0x20),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
ifelse(6,mask2tid(0x20),`.align 16')
stxa %r28, [%g0] 0x73
#endif
intvec_20_62:
#if (defined SPC || defined CMP1)
wrhpr %g0, 0x0, %halt ! HALT
#else
ifelse(6,mask2tid(0x20),`wrhpr %g0, 0x0, %halt ! HALT')
#endif
.word 0x95a249c9 ! 121: FDIVd fdivd %f40, %f40, %f10
.word 0xd0800c20 ! 125: LDUWA_R lduwa [%r0, %r0] 0x61, %r8
demap_20_64:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x02800001 ! 1: BE be <label_0x1>
stxa %g3, [%g3] 0x57
.word 0xc09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r0
.word 0xe1bfdb40 ! 1: STDFA_R stda %f16, [%r0, %r31]
stxa %g3, [%g3] 0x5f
.word 0xc1bfdb40 ! 1: STDFA_R stda %f0, [%r0, %r31]
.word 0xe19fdb40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
stxa %g3, [%g3] 0x57
.word 0xc1bfdd40 ! 1: STDFA_R stda %f0, [%r0, %r31]
.word 0xc1bfdc40 ! 1: STDFA_R stda %f0, [%r0, %r31]
stxa %g3, [%g3] 0x57
.word 0xe09fde00 ! 1: LDDA_R ldda [%r31, %r0] 0xf0, %r16
stxa %g3, [%g3] 0x5f
.word 0xe09fde00 ! 1: LDDA_R ldda [%r31, %r0] 0xf0, %r16
.word 0xc09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r0
.word 0xe09fdb20 ! 1: LDDA_R ldda [%r31, %r0] 0xd9, %r16
stxa %g3, [%g3] 0x5f
.word 0xe09fde00 ! 1: LDDA_R ldda [%r31, %r0] 0xf0, %r16
.word 0xc09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r0
.word 0xc09fdf20 ! 1: LDDA_R ldda [%r31, %r0] 0xf9, %r0
wrhpr %g0, 0x2ca, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd01fe0c8 ! 129: LDD_I ldd [%r31 + 0x00c8], %r8
cancelint_20_66:
rdhpr %halt, %r20
.word 0x85880000 ! 133: ALLCLEAN <illegal instruction>
jmptr_20_68:
nop
nop
best_set_reg(0xe1200000, %r20, %r27)
.word 0xb7c6c000 ! 137: JMPL_R jmpl %r27 + %r0, %r27
dvapa_20_70:
nop
nop
ta T_CHANGE_HPRIV
mov 0xd32, %r20
mov 0xc, %r19
sllx %r20, 23, %r20
or %r19, %r20, %r19
stxa %r19, [%g0] ASI_LSU_CONTROL
mov 0x38, %r18
stxa %r31, [%r18]0x58
wrhpr %g0, 0xf8b, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe09fde00 ! 141: LDDA_R ldda [%r31, %r0] 0xf0, %r16
memptr_20_73:
set 0x60740000, %r31
.word 0x85853371 ! 145: WRCCR_I wr %r20, 0x1371, %ccr
nop
nop
mov 0x1, %r11
splash_cmpr_20_75:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x300, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_20_75)+8 , 16, 16)) -> intp(4,0,16,*,912,*,7c,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_20_75)&0xffffffff)+8 , 16, 16)) -> intp(3,0,8,*,720,*,7c,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 149: SIAM siam 1
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_20_77:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_20_77-donret_20_77+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
andn %r11, %r10, %r11 ! low VA tnpc
wrpr %g0, 0x1, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00e3da00 | (54 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x1a45, %htstate
wrhpr %g0, 0xeda, %hpstate ! rand=1 (20)
ldx [%r11+%r0], %g1
done
donretarg_20_77:
.word 0xa5a4c9ca ! 153: FDIVd fdivd %f50, %f10, %f18
br_longdelay2_20_79:
.word 0x2f400002 ! 1: FBPU fbu,a,pn %fcc0, <label_0x2>
.word 0xf16fe090 ! 157: PREFETCH_I prefetch [%r31 + 0x0090], #24
memptr_20_81:
set user_data_start, %r31
.word 0x8581fcf4 ! 161: WRCCR_I wr %r7, 0x1cf4, %ccr
.word 0x8d802004 ! 165: WRFPRS_I wr %r0, 0x0004, %fprs
fbg skip_20_87
brlez,a,pt %r18, skip_20_87
.align 2048
skip_20_87:
.word 0xa7b444d1 ! 169: FCMPNE32 fcmpne32 %d48, %d48, %r19
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_20_90:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_20_90-donret_20_90), %r12
add %r12, 0x8, %r11 ! nonseq tnpc
wrpr %g0, 0x1, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x0033f800 | (0x58 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0xb5b, %htstate
wrhpr %g0, 0xb02, %hpstate ! rand=1 (20)
retry
donretarg_20_90:
.word 0x9ba049cd ! 173: FDIVd fdivd %f32, %f44, %f44
nop
nop
set 0x6b3018b2, %r28 !TTID : 0 (mask2tid(0x20))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(0,mask2tid(0x20),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(0,mask2tid(0x20),`.align 16')
stxa %r28, [%g0] 0x73
intvec_20_93:
.word 0x39400001 ! 177: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
splash_hpstate_20_94:
.word 0x37400001 ! 1: FBPGE fbge,a,pn %fcc0, <label_0x1>
.word 0x8198260c ! 181: WRHPR_HPSTATE_I wrhpr %r0, 0x060c, %hpstate
nop
nop
ta T_CHANGE_HPRIV
mov 0x1, %r11
splash_cmpr_20_96:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
wrhpr %r10, %g0, %hsys_tick_cmpr
wrhpr %g0, 0x0, %halt ! HALT
ta T_CHANGE_PRIV
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x300, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_20_96)+8 , 16, 16)) -> intp(0,0,31,*,696,*,b3,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_20_96)&0xffffffff)+8 , 16, 16)) -> intp(4,0,16,*,744,*,b3,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x8198380b ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x180b, %hpstate
.word 0x81b01021 ! 185: SIAM siam 1
jmptr_20_98:
nop
nop
best_set_reg(0xe0200000, %r20, %r27)
.word 0xb7c6c000 ! 189: JMPL_R jmpl %r27 + %r0, %r27
nop
nop
set 0xa1305c69, %r28 !TTID : 4 (mask2tid(0x20))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(4,mask2tid(0x20),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(4,mask2tid(0x20),`.align 16')
stxa %r28, [%g0] 0x73
intvec_20_101:
.word 0xa7b404d0 ! 193: FCMPNE32 fcmpne32 %d16, %d16, %r19
memptr_20_104:
set 0x60540000, %r31
.word 0x8581f495 ! 197: WRCCR_I wr %r7, 0x1495, %ccr
jmptr_20_106:
nop
nop
best_set_reg(0xe1200000, %r20, %r27)
.word 0xb7c6c000 ! 201: JMPL_R jmpl %r27 + %r0, %r27
cancelint_20_108:
rdhpr %halt, %r12
.word 0x85880000 ! 205: ALLCLEAN <illegal instruction>
.word 0xd337e059 ! 209: STQF_I - %f9, [0x0059, %r31]
splash_hpstate_20_110:
.word 0x28800001 ! 1: BLEU bleu,a <label_0x1>
.word 0x8198241f ! 213: WRHPR_HPSTATE_I wrhpr %r0, 0x041f, %hpstate
.word 0x9f8038d6 ! 217: SIR sir 0x18d6
br_badelay2_20_114:
.word 0x34800001 ! 1: BG bg,a <label_0x1>
allclean
.word 0x95b40314 ! 221: ALIGNADDRESS alignaddr %r16, %r20, %r10
.word 0xe1bfda60 ! 225: STDFA_R stda %f16, [%r0, %r31]
demap_20_116:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x24c94001 ! 1: BRLEZ brlez,a,pt %r5,<label_0x94001>
stxa %g3, [%g3] 0x5f
.word 0xc0bfda00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd0
.word 0xc09fdf00 ! 1: LDDA_R ldda [%r31, %r0] 0xf8, %r0
.word 0xe09fdb20 ! 1: LDDA_R ldda [%r31, %r0] 0xd9, %r16
stxa %g3, [%g3] 0x5f
.word 0xe0bfdf20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf9
.word 0xe0bfde20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf1
.word 0xe1bfde20 ! 1: STDFA_R stda %f16, [%r0, %r31]
stxa %g3, [%g3] 0x5f
.word 0xc19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
wrhpr %g0, 0xb43, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd61fe191 ! 229: LDD_I ldd [%r31 + 0x0191], %r11
cancelint_20_118:
rdhpr %halt, %r9
.word 0x85880000 ! 233: ALLCLEAN <illegal instruction>
demap_20_119:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %r18, [%r0] ASI_LSU_CONTROL
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x57
.word 0xe1bfda00 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xe09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r16
wrhpr %g0, 0xd42, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd21fe020 ! 237: LDD_I ldd [%r31 + 0x0020], %r9
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_20_120:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_20_120-donret_20_120), %r12
add %r12, 0x8, %r11 ! nonseq tnpc
wrpr %g0, 0x2, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00068000 | (0x83 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0xc5, %htstate
best_set_reg(0x102, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (20)
ldx [%r12+%r0], %g1
retry
donretarg_20_120:
.word 0x0acc0001 ! 241: BRNZ brnz,pt %r16,<label_0xc0001>
splash_lsu_20_122:
nop
nop
ta T_CHANGE_HPRIV
set 0x87983346, %r2
mov 0x5, %r1
sllx %r1, 32, %r1
or %r1, %r2, %r2
.word 0x21400001 ! 1: FBPN fbn,a,pn %fcc0, <label_0x1>
stxa %r2, [%r0] ASI_LSU_CONTROL
ta T_CHANGE_NONHPRIV
.word 0x3d400001 ! 245: FBPULE fbule,a,pn %fcc0, <label_0x1>
cancelint_20_125:
rdhpr %halt, %r10
.word 0x85880000 ! 249: ALLCLEAN <illegal instruction>
.word 0xd327e1a0 ! 253: STF_I st %f9, [0x01a0, %r31]
.word 0xd2800c00 ! 257: LDUWA_R lduwa [%r0, %r0] 0x60, %r9
.word 0x91910011 ! 261: WRPR_PIL_R wrpr %r4, %r17, %pil
brcommon3_20_136:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-0], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xd337c000 ! 1: STQF_R - %f9, [%r0, %r31]
ba,a .+8
jmpl %r27+0, %r27
.word 0x8d90278d ! 265: WRPR_PSTATE_I wrpr %r0, 0x078d, %pstate
demap_20_138:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %r13, [%r0] ASI_LSU_CONTROL
stxa %g3, [%g3] 0x57
.word 0xe19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
.word 0xc0bfde20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf1
.word 0xc19fda00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x5f
.word 0xc0bfdd40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xea
.word 0xe1bfc3e0 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xe19fde20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
stxa %g3, [%g3] 0x5f
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x5f
.word 0xc19fdc40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
stxa %g3, [%g3] 0x57
.word 0xc0bfde20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf1
.word 0xe1bfdb40 ! 1: STDFA_R stda %f16, [%r0, %r31]
wrhpr %g0, 0xa89, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd21fe059 ! 269: LDD_I ldd [%r31 + 0x0059], %r9
.word 0x9192c00d ! 273: WRPR_PIL_R wrpr %r11, %r13, %pil
.word 0xe19fdf20 ! 277: LDDFA_R ldda [%r31, %r0], %f16
dvapa_20_145:
nop
nop
ta T_CHANGE_HPRIV
mov 0xe7a, %r20
mov 0x18, %r19
sllx %r20, 23, %r20
or %r19, %r20, %r19
stxa %r19, [%g0] ASI_LSU_CONTROL
mov 0x38, %r18
stxa %r31, [%r18]0x58
wrhpr %g0, 0xc2, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xa1b407d1 ! 281: PDIST pdistn %d16, %d48, %d16
intveclr_20_146:
nop
nop
ta T_CHANGE_HPRIV
setx 0x0aa6a2a73c4e31eb, %r1, %r28
stxa %r28, [%g0] 0x72
.word 0x25400001 ! 285: FBPLG fblg,a,pn %fcc0, <label_0x1>
intveclr_20_148:
nop
nop
ta T_CHANGE_HPRIV
setx 0x1d4ddae521329131, %r1, %r28
stxa %r28, [%g0] 0x72
wrhpr %g0, 0x2c1, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0x25400001 ! 289: FBPLG fblg,a,pn %fcc0, <label_0x1>
nop
nop
mov 0x1, %r11
splash_cmpr_20_150:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x700, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_20_150)+8 , 16, 16)) -> intp(0,0,28,*,1000,*,7d,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_20_150)&0xffffffff)+8 , 16, 16)) -> intp(2,0,22,*,992,*,7d,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 293: SIAM siam 1
memptr_20_153:
set user_data_start, %r31
.word 0x85823936 ! 297: WRCCR_I wr %r8, 0x1936, %ccr
splash_hpstate_20_156:
.word 0x81983f0d ! 301: WRHPR_HPSTATE_I wrhpr %r0, 0x1f0d, %hpstate
.word 0xa1450000 ! 305: RD_SET_SOFTINT rd %set_softint, %r16
.word 0xa9a00160 ! 309: FABSq dis not found
brcommon3_20_162:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-4], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-0] ! Load common dest into dcache ..
ba,a .+12
.word 0xe86fe1e0 ! 1: LDSTUB_I ldstub %r20, [%r31 + 0x01e0]
ba,a .+8
jmpl %r27+0, %r27
.word 0x8d903e57 ! 313: WRPR_PSTATE_I wrpr %r0, 0x1e57, %pstate
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_20_166) , 16, 16)) -> intp(2,0,8,*,904,*,f5,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_20_166)&0xffffffff) , 16, 16)) -> intp(2,0,6,*,648,*,f5,1)
#else
nop
nop
set 0xf110bbae, %r28 !TTID : 3 (mask2tid(0x20))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(3,mask2tid(0x20),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
ifelse(3,mask2tid(0x20),`.align 16')
stxa %r28, [%g0] 0x73
#endif
intvec_20_166:
.word 0x39400001 ! 317: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
br_badelay1_20_168:
.word 0x87afca40 ! 1: FCMPd fcmpd %fcc<n>, %f62, %f0
.word 0x2ecd0001 ! 1: BRGEZ brgez,a,pt %r20,<label_0xd0001>
.word 0x3e800001 ! 1: BVC bvc,a <label_0x1>
normalw
.word 0xa3458000 ! 321: RD_SOFTINT_REG rd %softint, %r17
nop
nop
set 0x75b0e595, %r28 !TTID : 5 (mask2tid(0x20))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(5,mask2tid(0x20),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(5,mask2tid(0x20),`.align 16')
stxa %r28, [%g0] 0x73
intvec_20_171:
.word 0x39400001 ! 325: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0xd80fe1f0 ! 329: LDUB_I ldub [%r31 + 0x01f0], %r12
bneg,a skip_20_175
stxa %r9, [%r0] ASI_LSU_CONTROL
.word 0xa3a309c2 ! 1: FDIVd fdivd %f12, %f2, %f48
stxa %r14, [%r0] ASI_LSU_CONTROL
.align 1024
skip_20_175:
.word 0xf16fe0c8 ! 333: PREFETCH_I prefetch [%r31 + 0x00c8], #24
brcommon3_20_178:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-0], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-0] ! Load common dest into dcache ..
ba,a .+12
.word 0xd9e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r12
ba,a .+8
jmpl %r27-0, %r27
.word 0xd897c180 ! 337: LDUHA_R lduha [%r31, %r0] 0x0c, %r12
.word 0xd91fc000 ! 341: LDDF_R ldd [%r31, %r0], %f12
.word 0xa1b2c486 ! 345: FCMPLE32 fcmple32 %d42, %d6, %r16
.word 0xe2d7c540 ! 349: LDSHA_R ldsha [%r31, %r0] 0x2a, %r17
.word 0xc19fc3e0 ! 353: LDDFA_R ldda [%r31, %r0], %f0
nop
nop
set 0x9500da7b, %r28 !TTID : 2 (mask2tid(0x20))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(2,mask2tid(0x20),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(2,mask2tid(0x20),`.align 16')
stxa %r28, [%g0] 0x73
intvec_20_189:
.word 0x39400001 ! 357: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0x8980000a ! 361: WRTICK_R wr %r0, %r10, %tick
brcommon3_20_195:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-4], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xe3e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r17
ba,a .+8
jmpl %r27-4, %r27
.word 0xe23fe0d0 ! 365: STD_I std %r17, [%r31 + 0x00d0]
.word 0xe2800c20 ! 369: LDUWA_R lduwa [%r0, %r0] 0x61, %r17
cancelint_20_199:
rdhpr %halt, %r19
.word 0x85880000 ! 373: ALLCLEAN <illegal instruction>
.word 0xd60fc000 ! 377: LDUB_R ldub [%r31 + %r0], %r11
.word 0xe0bfda60 ! 381: STDA_R stda %r16, [%r31 + %r0] 0xd3
.word 0x8f902001 ! 1: WRPR_TL_I wrpr %r0, 0x0001, %tl
reduce_priv_lvl_20_208:
ta T_CHANGE_NONHPRIV ! macro
jmptr_20_209:
nop
nop
best_set_reg(0xe0200000, %r20, %r27)
.word 0xb7c6c000 ! 389: JMPL_R jmpl %r27 + %r0, %r27
.word 0xd65fe120 ! 393: LDX_I ldx [%r31 + 0x0120], %r11
cancelint_20_212:
rdhpr %halt, %r10
.word 0x85880000 ! 397: ALLCLEAN <illegal instruction>
nop
nop
ta T_CHANGE_PRIV
wrpr %g0, %g0, %gl
nop
nop
.text
setx join_lbl_0_0, %g1, %g2
jmp %g2
nop
fork_lbl_0_5:
master_thread_stuff:
setup_tick:
setx 0xe303b5e0cc3ca824, %r1, %r17
wrpr %g0, %r17, %tick
rd %asi, %r12
#ifdef XIR_RND_CORES
setup_xir_10:
setx 0x7a31cb5a56985fce, %r1, %r28
mov 0x30, %r17
stxa %r28, [%r17] 0x41
#endif
#ifdef SPLASH_HIDECR
mov 8, %r1
set SPLASH_HIDECR, %r2
sllx %r2, 32, %r2
stxa %r2, [%r1] 0x45
#endif
#if (MULTIPASS > 0)
mov 0x38, %g1
ldxa [%g1]ASI_SCRATCHPAD, %r10
brnz %g1, unlock_sync_thds_10
wrpr %g0, %g0, %pstate
#endif
#ifndef NO_INTERNAL_SPU
setup_spu_10:
wr %g0, 0x40, %asi
!# allocate control word queue (e.g., setup head/tail/first/last registers)
set CWQ_BASE, %l6
#ifndef SPC
ldxa [%g0]0x63, %o2
and %o2, 0x38, %o2
#ifndef PORTABLE_CORE
sllx %o2, 5, %o2 !(CID*256)
add %l6, %o2, %l6
#endif
#endif
!# write base addr to first, head, and tail ptr
!# first store to first
stxa %l6, [%g0 + ASI_SPU_CWQ_FIRST] %asi !# first store to first
stxa %l6, [%g0 + ASI_SPU_CWQ_HEAD] %asi !# then to head
stxa %l6, [%g0 + ASI_SPU_CWQ_TAIL] %asi !# then to tail
setx CWQ_LAST, %g1, %l5 !# then end of CWQ region to LAST
#ifndef SPC
add %l5, %o2, %l5
#endif
stxa %l5, [%g0 + ASI_SPU_CWQ_LAST] %asi
!# set CWQ control word ([39:37] is strand ID ..)
best_set_reg(0x20610070, %l1, %l2) !# Control Word
sllx %l2, 32, %l2
!# write CWQ entry (%l6 points to CWQ)
stx %l2, [%l6 + 0x0]
setx msg, %g1, %l2
stx %l2, [%l6 + 0x8] !# source address
stx %g0, [%l6 + 0x10] !# Authentication Key Address (40-bit)
stx %g0, [%l6 + 0x18] !# Authentication IV Address (40-bit)
stx %g0, [%l6 + 0x20] !# Authentication FSAS Address (40-bit)
stx %g0, [%l6 + 0x28] !# Encryption Key Address (40-bit)
stx %g0, [%l6 + 0x30] !# Encryption Initialization Vector Address (40-bit)
setx results, %g1, %o3
stx %o3, [%l6 + 0x38] !# Destination Address (40-bit)
membar #Sync
ldxa [%g0 + ASI_SPU_CWQ_TAIL] %asi, %l2
add %l2, 0x40, %l2
stxa %l2, [%g0 + ASI_SPU_CWQ_TAIL] %asi
!# Kick off the CWQ operation by writing to the CWQ_CSR
!# Set the enabled bit and reset the other bits
or %g0, 0x1, %g1
stxa %g1, [%g0 + ASI_SPU_CWQ_CSR] %asi
#endif
unlock_sync_thds_10:
set sync_thr_counter6, %r23
#if (!defined SPC && ! defined PORTABLE_CORE)
ldxa [%g0]0x63, %o2
and %o2, 0x38, %o2
add %o2, %r23, %r23
#endif
st %r0, [%r23] !unlock sync_thr_counter6
sub %r23, 64, %r23
st %r0, [%r23] !unlock sync_thr_counter5
sub %r23, 64, %r23
st %r0, [%r23] !unlock sync_thr_counter4
wr %r0, %r12, %asi
wrhpr %g0, 0x74a, %hpstate ! ta T_CHANGE_NONHPRIV
nop
nop
mov 0x1, %r11
splash_cmpr_10_0:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x100, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0xc00, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_10_0)+8 , 16, 16)) -> intp(5,0,11,*,1008,*,17,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_10_0)&0xffffffff)+8 , 16, 16)) -> intp(2,0,23,*,736,*,17,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 1: SIAM siam 1
intveclr_10_2:
nop
nop
ta T_CHANGE_HPRIV
setx 0x2306e8ea2dff84bf, %r1, %r28
stxa %r28, [%g0] 0x72
.word 0x25400002 ! 5: FBPLG fblg,a,pn %fcc0, <label_0x2>
.word 0xe027e1b6 ! 9: STW_I stw %r16, [%r31 + 0x01b6]
cmp_10_7:
nop
nop
ta T_CHANGE_HPRIV
rd %asi, %r12
wr %r0, 0x41, %asi
set sync_thr_counter4, %r23
#ifndef SPC
ldxa [%g0]0x63, %r8
and %r8, 0x38, %r8 ! Core ID
#ifndef PORTABLE_CORE
add %r8, %r23, %r23
#endif
mov 0xff, %r9
#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
xor %r9, 0x10, %r9 ! My core mask
#else
xor %r9, 0x10, %r9
#endif
sllx %r9, %r8, %r9 ! My core mask
#else
mov 0, %r8
mov 0xff, %r9
xor %r9, 0x10, %r9 ! My core mask
#endif
mov 0x10, %r10
cmp_startwait10_7:
cas [%r23],%g0,%r10 !lock
brz,a %r10, continue_cmp_10_7
ldxa [0x50]%asi, %r13 !Running_rw
ld [%r23], %r10
cmp_wait10_7:
brnz,a %r10, cmp_wait10_7
ld [%r23], %r10
ba cmp_startwait10_7
mov 0x10, %r10
continue_cmp_10_7:
ldxa [0x58]%asi, %r14 !Running_status
xnor %r14, %r13, %r14 !Bits equal
#ifndef PORTABLE_CORE
brz,a %r8, cmp_multi_core_10_7
#endif
mov 0xbd, %r17
#ifndef PORTABLE_CORE
best_set_reg(0xca98b5edd383ec90, %r16, %r17)
#else
sllx %r17, %r8, %r17
#endif
cmp_multi_core_10_7:
and %r14, %r17, %r14 !Apply set/clear mask to bits equal
and %r14, %r9, %r14 !Apply core-mask
stxa %r14, [0x60]%asi
st %g0, [%r23] !clear lock
wr %g0, %r12, %asi
wrhpr %g0, 0x919, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0x91948012 ! 13: WRPR_PIL_R wrpr %r18, %r18, %pil
frzptr_10_11:
nop
nop
best_set_reg(0x3cb00000+0x1ffc, %r20, %r27)
ldx [%r27+0xc], %r20
jmpl %r27+4, %r27
.word 0xa17020a0 ! 1: POPC_I popc 0x00a0, %r16
best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
jmpl %r27, %r27
.word 0x20800001 ! 17: BN bn,a <label_0x1>
.word 0xc19fda60 ! 21: LDDFA_R ldda [%r31, %r0], %f0
splash_hpstate_10_16:
.word 0x8198272a ! 25: WRHPR_HPSTATE_I wrhpr %r0, 0x072a, %hpstate
cmp_10_17:
nop
nop
ta T_CHANGE_HPRIV
rd %asi, %r12
wr %r0, 0x41, %asi
set sync_thr_counter4, %r23
#ifndef SPC
ldxa [%g0]0x63, %r8
and %r8, 0x38, %r8 ! Core ID
#ifndef PORTABLE_CORE
add %r8, %r23, %r23
#endif
mov 0xff, %r9
#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
xor %r9, 0x10, %r9 ! My core mask
#else
xor %r9, 0x10, %r9
#endif
sllx %r9, %r8, %r9 ! My core mask
#else
mov 0, %r8
mov 0xff, %r9
xor %r9, 0x10, %r9 ! My core mask
#endif
mov 0x10, %r10
cmp_startwait10_17:
cas [%r23],%g0,%r10 !lock
brz,a %r10, continue_cmp_10_17
ldxa [0x50]%asi, %r13 !Running_rw
ld [%r23], %r10
cmp_wait10_17:
brnz,a %r10, cmp_wait10_17
ld [%r23], %r10
ba cmp_startwait10_17
mov 0x10, %r10
continue_cmp_10_17:
ldxa [0x58]%asi, %r14 !Running_status
xnor %r14, %r13, %r14 !Bits equal
#ifndef PORTABLE_CORE
brz,a %r8, cmp_multi_core_10_17
#endif
mov 0x95, %r17
#ifndef PORTABLE_CORE
best_set_reg(0xdd6f605e46949a5b, %r16, %r17)
#else
sllx %r17, %r8, %r17
#endif
cmp_multi_core_10_17:
and %r14, %r17, %r14 !Apply set/clear mask to bits equal
and %r14, %r9, %r14 !Apply core-mask
stxa %r14, [0x60]%asi
st %g0, [%r23] !clear lock
wr %g0, %r12, %asi
.word 0xe1e7dc40 ! 29: CASA_I casa [%r31] 0xe2, %r0, %r16
nop
nop
mov 0x1, %r11
splash_cmpr_10_20:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x300, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x100, %r10
#else
add %r10, 0x700, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_10_20)+8 , 16, 16)) -> intp(2,0,25,*,992,*,11,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_10_20)&0xffffffff)+8 , 16, 16)) -> intp(7,0,19,*,640,*,11,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 33: SIAM siam 1
brcommon3_10_23:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-4], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xe06fe110 ! 1: LDSTUB_I ldstub %r16, [%r31 + 0x0110]
ba,a .+8
jmpl %r27-4, %r27
.word 0x20800002 ! 37: BN bn,a <label_0x2>
nop
nop
mov 0x1, %r11
splash_cmpr_10_26:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x250, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_10_26)+8 , 16, 16)) -> intp(1,0,12,*,920,*,5f,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_10_26)&0xffffffff)+8 , 16, 16)) -> intp(6,0,21,*,712,*,5f,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 41: SIAM siam 1
demap_10_28:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x2eca8002 ! 1: BRGEZ brgez,a,pt %r10,<label_0xa8002>
stxa %g3, [%g3] 0x5f
.word 0xe09fdb20 ! 1: LDDA_R ldda [%r31, %r0] 0xd9, %r16
stxa %g3, [%g3] 0x5f
stxa %g3, [%g3] 0x57
.word 0xe09fdf00 ! 1: LDDA_R ldda [%r31, %r0] 0xf8, %r16
.word 0xe1bfdc00 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xe0bfdb40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xda
stxa %g3, [%g3] 0x5f
.word 0xe1bfdf20 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xe19fdb40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
wrhpr %g0, 0x698, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe01fe17d ! 45: LDD_I ldd [%r31 + 0x017d], %r16
splash_tick_10_29:
nop
nop
ta T_CHANGE_HPRIV
best_set_reg(0x746fa3691709110f, %r16, %r17)
.word 0x8980000a ! 49: WRTICK_R wr %r0, %r10, %tick
memptr_10_31:
set 0x60540000, %r31
.word 0x8584649b ! 53: WRCCR_I wr %r17, 0x049b, %ccr
.word 0x1e800001 ! 1: BVC bvc <label_0x1>
.word 0x8d902943 ! 57: WRPR_PSTATE_I wrpr %r0, 0x0943, %pstate
splash_hpstate_10_36:
.word 0x81982ced ! 61: WRHPR_HPSTATE_I wrhpr %r0, 0x0ced, %hpstate
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_10_38:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_10_38-donret_10_38+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
andn %r12, %r10, %r12 ! low VA tpc
wrpr %g0, 0x1, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00143300 | (0x83 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0xe5e, %htstate
best_set_reg(0xae8, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (10)
retry
.align 1024
donretarg_10_38:
.word 0x81983757 ! 65: WRHPR_HPSTATE_I wrhpr %r0, 0x1757, %hpstate
nop
nop
mov 0x1, %r11
splash_cmpr_10_39:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0xc00, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_10_39)+8 , 16, 16)) -> intp(3,0,0,*,984,*,bf,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_10_39)&0xffffffff)+8 , 16, 16)) -> intp(3,0,31,*,656,*,bf,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 69: SIAM siam 1
demap_10_41:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %r8, [%r0] ASI_LSU_CONTROL
stxa %g3, [%g3] 0x5f
.word 0xe1bfdc40 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xe0bfde00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf0
.word 0xe19fdf20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
stxa %g3, [%g3] 0x5f
wrhpr %g0, 0x91, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe01fe1f0 ! 73: LDD_I ldd [%r31 + 0x01f0], %r16
.word 0xe0d7c2c0 ! 77: LDSHA_R ldsha [%r31, %r0] 0x16, %r16
cancelint_10_45:
rdhpr %halt, %r17
.word 0x85880000 ! 81: ALLCLEAN <illegal instruction>
cancelint_10_47:
rdhpr %halt, %r19
.word 0x85880000 ! 85: ALLCLEAN <illegal instruction>
demap_10_48:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x3d400001 ! 1: FBPULE fbule,a,pn %fcc0, <label_0x1>
stxa %g3, [%g3] 0x57
.word 0xe0bfdc00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe0
stxa %g3, [%g3] 0x57
.word 0xc09fdb20 ! 1: LDDA_R ldda [%r31, %r0] 0xd9, %r0
.word 0xe1bfc3e0 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xe09fc2c0 ! 1: LDDA_R ldda [%r31, %r0] 0x16, %r16
stxa %g3, [%g3] 0x5f
.word 0xe0bfdb40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xda
.word 0xc0bfde00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf0
.word 0xe1bfdf20 ! 1: STDFA_R stda %f16, [%r0, %r31]
stxa %g3, [%g3] 0x5f
.word 0xc09fda00 ! 1: LDDA_R ldda [%r31, %r0] 0xd0, %r0
.word 0xc19fdb40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
wrhpr %g0, 0xec3, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe61fe018 ! 89: LDD_I ldd [%r31 + 0x0018], %r19
.word 0x3e800001 ! 1: BVC bvc,a <label_0x1>
.word 0x8d903b1b ! 93: WRPR_PSTATE_I wrpr %r0, 0x1b1b, %pstate
.word 0xe19fe140 ! 97: LDDFA_I ldda [%r31, 0x0140], %f16
memptr_10_54:
set user_data_start, %r31
.word 0x8582e333 ! 101: WRCCR_I wr %r11, 0x0333, %ccr
.word 0xe677c000 ! 105: STX_R stx %r19, [%r31 + %r0]
.word 0xe677e18c ! 109: STX_I stx %r19, [%r31 + 0x018c]
.word 0x3c800001 ! 113: BPOS bpos,a <label_0x1>
.word 0xc19fc2c0 ! 117: LDDFA_R ldda [%r31, %r0], %f0
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_62) , 16, 16)) -> intp(0,0,12,*,936,*,95,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_62)&0xffffffff) , 16, 16)) -> intp(4,0,27,*,1008,*,95,1)
#else
nop
nop
set 0xfb009454, %r28 !TTID : 4 (mask2tid(0x10))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(4,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
ifelse(4,mask2tid(0x10),`.align 16')
stxa %r28, [%g0] 0x73
#endif
intvec_10_62:
#if (defined SPC || defined CMP1)
wrhpr %g0, 0x0, %halt ! HALT
#else
ifelse(4,mask2tid(0x10),`wrhpr %g0, 0x0, %halt ! HALT')
#endif
.word 0x93b2c4d1 ! 121: FCMPNE32 fcmpne32 %d42, %d48, %r9
.word 0xd0800c80 ! 125: LDUWA_R lduwa [%r0, %r0] 0x64, %r8
demap_10_64:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x34800001 ! 1: BG bg,a <label_0x1>
stxa %g3, [%g3] 0x57
.word 0xe0bfde20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf1
.word 0xc0bfdc40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xe2
stxa %g3, [%g3] 0x5f
.word 0xc09fde20 ! 1: LDDA_R ldda [%r31, %r0] 0xf1, %r0
.word 0xc09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r0
stxa %g3, [%g3] 0x5f
.word 0xc09fc2c0 ! 1: LDDA_R ldda [%r31, %r0] 0x16, %r0
.word 0xe1bfda60 ! 1: STDFA_R stda %f16, [%r0, %r31]
stxa %g3, [%g3] 0x5f
.word 0xc1bfde20 ! 1: STDFA_R stda %f0, [%r0, %r31]
stxa %g3, [%g3] 0x57
.word 0xe19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
.word 0xe19fde00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
.word 0xc0bfda60 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd3
stxa %g3, [%g3] 0x5f
.word 0xe0bfdb20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd9
.word 0xc0bfda60 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd3
.word 0xe09fde20 ! 1: LDDA_R ldda [%r31, %r0] 0xf1, %r16
wrhpr %g0, 0xe52, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd01fe148 ! 129: LDD_I ldd [%r31 + 0x0148], %r8
cancelint_10_66:
rdhpr %halt, %r19
.word 0x85880000 ! 133: ALLCLEAN <illegal instruction>
jmptr_10_68:
nop
nop
best_set_reg(0xe1a00000, %r20, %r27)
.word 0xb7c6c000 ! 137: JMPL_R jmpl %r27 + %r0, %r27
dvapa_10_70:
nop
nop
ta T_CHANGE_HPRIV
mov 0xa89, %r20
mov 0x5, %r19
sllx %r20, 23, %r20
or %r19, %r20, %r19
stxa %r19, [%g0] ASI_LSU_CONTROL
mov 0x38, %r18
stxa %r31, [%r18]0x58
wrhpr %g0, 0xa50, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xc1bfdb20 ! 141: STDFA_R stda %f0, [%r0, %r31]
memptr_10_73:
set 0x60140000, %r31
.word 0x85843bf9 ! 145: WRCCR_I wr %r16, 0x1bf9, %ccr
nop
nop
mov 0x1, %r11
splash_cmpr_10_75:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
ta T_CHANGE_PRIV
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x700, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_10_75)+8 , 16, 16)) -> intp(5,0,22,*,680,*,f3,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_10_75)&0xffffffff)+8 , 16, 16)) -> intp(6,0,24,*,920,*,f3,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 149: SIAM siam 1
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_10_77:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_10_77-donret_10_77+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
andn %r11, %r10, %r11 ! low VA tnpc
wrpr %g0, 0x1, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00992a00 | (28 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x1e51, %htstate
wrhpr %g0, 0x43, %hpstate ! rand=1 (10)
ldx [%r11+%r0], %g1
done
donretarg_10_77:
.word 0xa9a0c9d0 ! 153: FDIVd fdivd %f34, %f16, %f20
br_longdelay2_10_79:
.word 0x16800001 ! 1: BGE bge <label_0x1>
.word 0xe3e7c280 ! 157: CASA_I casa [%r31] 0x14, %r0, %r17
memptr_10_81:
set user_data_start, %r31
.word 0x8584fb2e ! 161: WRCCR_I wr %r19, 0x1b2e, %ccr
.word 0x8d802000 ! 165: WRFPRS_I wr %r0, 0x0000, %fprs
be,a skip_10_87
fbuge,a,pn %fcc0, skip_10_87
.align 2048
skip_10_87:
.word 0x95b444c8 ! 169: FCMPNE32 fcmpne32 %d48, %d8, %r10
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_10_90:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_10_90-donret_10_90), %r12
add %r12, 0x8, %r11 ! nonseq tnpc
wrpr %g0, 0x1, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00821800 | (20 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x178d, %htstate
wrhpr %g0, 0xb12, %hpstate ! rand=1 (10)
retry
donretarg_10_90:
.word 0x99a1c9d3 ! 173: FDIVd fdivd %f38, %f50, %f12
nop
nop
set 0xe150cff1, %r28 !TTID : 7 (mask2tid(0x10))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(7,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(7,mask2tid(0x10),`.align 16')
stxa %r28, [%g0] 0x73
intvec_10_93:
.word 0xa3b484c5 ! 177: FCMPNE32 fcmpne32 %d18, %d36, %r17
splash_hpstate_10_94:
.word 0x07400001 ! 1: FBPUL fbul
.word 0x81982c5f ! 181: WRHPR_HPSTATE_I wrhpr %r0, 0x0c5f, %hpstate
nop
nop
ta T_CHANGE_HPRIV
mov 0x1, %r11
splash_cmpr_10_96:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x300, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
wrhpr %r10, %g0, %hsys_tick_cmpr
wrhpr %g0, 0x0, %halt ! HALT
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0xc00, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_10_96)+8 , 16, 16)) -> intp(6,0,14,*,640,*,fc,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_10_96)&0xffffffff)+8 , 16, 16)) -> intp(5,0,28,*,696,*,fc,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81983e95 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1e95, %hpstate
.word 0x81b01021 ! 185: SIAM siam 1
jmptr_10_98:
nop
nop
best_set_reg(0xe0a00000, %r20, %r27)
.word 0xb7c6c000 ! 189: JMPL_R jmpl %r27 + %r0, %r27
nop
nop
set 0xd0800e23, %r28 !TTID : 6 (mask2tid(0x10))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(6,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(6,mask2tid(0x10),`.align 16')
stxa %r28, [%g0] 0x73
intvec_10_101:
.word 0x19400001 ! 193: FBPUGE fbuge
memptr_10_104:
set 0x60140000, %r31
.word 0x85843197 ! 197: WRCCR_I wr %r16, 0x1197, %ccr
jmptr_10_106:
nop
nop
best_set_reg(0xe1a00000, %r20, %r27)
.word 0xb7c6c000 ! 201: JMPL_R jmpl %r27 + %r0, %r27
cancelint_10_108:
rdhpr %halt, %r20
.word 0x85880000 ! 205: ALLCLEAN <illegal instruction>
.word 0xd337e061 ! 209: STQF_I - %f9, [0x0061, %r31]
splash_hpstate_10_110:
.word 0x0e800002 ! 1: BVS bvs <label_0x2>
.word 0x81983c97 ! 213: WRHPR_HPSTATE_I wrhpr %r0, 0x1c97, %hpstate
.word 0xd31fe050 ! 1: LDDF_I ldd [%r31, 0x0050], %f9
.word 0x9f802f6f ! 217: SIR sir 0x0f6f
br_badelay2_10_114:
.word 0x34800001 ! 1: BG bg,a <label_0x1>
allclean
.word 0x97b50302 ! 221: ALIGNADDRESS alignaddr %r20, %r2, %r11
.word 0xc1bfda00 ! 225: STDFA_R stda %f0, [%r0, %r31]
demap_10_116:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x03400001 ! 1: FBPNE fbne
stxa %g3, [%g3] 0x57
.word 0xe09fdf20 ! 1: LDDA_R ldda [%r31, %r0] 0xf9, %r16
.word 0xe1bfda00 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xe19fda60 ! 1: LDDFA_R ldda [%r31, %r0], %f16
stxa %g3, [%g3] 0x57
.word 0xe19fc2c0 ! 1: LDDFA_R ldda [%r31, %r0], %f16
.word 0xc1bfdc00 ! 1: STDFA_R stda %f0, [%r0, %r31]
.word 0xe1bfdb20 ! 1: STDFA_R stda %f16, [%r0, %r31]
stxa %g3, [%g3] 0x5f
.word 0xe19fda60 ! 1: LDDFA_R ldda [%r31, %r0], %f16
wrhpr %g0, 0xb0b, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd61fe05c ! 229: LDD_I ldd [%r31 + 0x005c], %r11
cancelint_10_118:
rdhpr %halt, %r17
.word 0x85880000 ! 233: ALLCLEAN <illegal instruction>
demap_10_119:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %r17, [%r0] ASI_LSU_CONTROL
stxa %g3, [%g3] 0x5f
stxa %g3, [%g3] 0x57
.word 0xc09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r0
.word 0xe09fde00 ! 1: LDDA_R ldda [%r31, %r0] 0xf0, %r16
wrhpr %g0, 0xf98, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd21fe019 ! 237: LDD_I ldd [%r31 + 0x0019], %r9
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_10_120:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_10_120-donret_10_120), %r12
add %r12, 0x8, %r11 ! nonseq tnpc
wrpr %g0, 0x1, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00bc1700 | (48 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0xc1b, %htstate
best_set_reg(0x14d2, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (10)
ldx [%r12+%r0], %g1
retry
donretarg_10_120:
.word 0x0f400001 ! 241: FBPU fbu
splash_lsu_10_122:
nop
nop
ta T_CHANGE_HPRIV
set 0xfec37fc2, %r2
mov 0x1, %r1
sllx %r1, 32, %r1
or %r1, %r2, %r2
.word 0x2aca0001 ! 1: BRNZ brnz,a,pt %r8,<label_0xa0001>
stxa %r2, [%r0] ASI_LSU_CONTROL
ta T_CHANGE_NONHPRIV
.word 0x1d400001 ! 245: FBPULE fbule
cancelint_10_125:
rdhpr %halt, %r10
.word 0x85880000 ! 249: ALLCLEAN <illegal instruction>
.word 0xd327e084 ! 253: STF_I st %f9, [0x0084, %r31]
.word 0xd28008a0 ! 257: LDUWA_R lduwa [%r0, %r0] 0x45, %r9
cmp_10_132:
nop
nop
ta T_CHANGE_HPRIV
rd %asi, %r12
wr %r0, 0x41, %asi
set sync_thr_counter4, %r23
#ifndef SPC
ldxa [%g0]0x63, %r8
and %r8, 0x38, %r8 ! Core ID
#ifndef PORTABLE_CORE
add %r8, %r23, %r23
#endif
mov 0xff, %r9
#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
xor %r9, 0x10, %r9 ! My core mask
#else
xor %r9, 0x10, %r9
#endif
sllx %r9, %r8, %r9 ! My core mask
#else
mov 0, %r8
mov 0xff, %r9
xor %r9, 0x10, %r9 ! My core mask
#endif
mov 0x10, %r10
cmp_startwait10_132:
cas [%r23],%g0,%r10 !lock
brz,a %r10, continue_cmp_10_132
ldxa [0x50]%asi, %r13 !Running_rw
ld [%r23], %r10
cmp_wait10_132:
brnz,a %r10, cmp_wait10_132
ld [%r23], %r10
ba cmp_startwait10_132
mov 0x10, %r10
continue_cmp_10_132:
ldxa [0x58]%asi, %r14 !Running_status
xnor %r14, %r13, %r14 !Bits equal
#ifndef PORTABLE_CORE
brz,a %r8, cmp_multi_core_10_132
#endif
mov 0x97, %r17
#ifndef PORTABLE_CORE
best_set_reg(0xc3529c95ac97095c, %r16, %r17)
#else
sllx %r17, %r8, %r17
#endif
cmp_multi_core_10_132:
and %r14, %r17, %r14 !Apply set/clear mask to bits equal
and %r14, %r9, %r14 !Apply core-mask
stxa %r14, [0x68]%asi
st %g0, [%r23] !clear lock
wr %g0, %r12, %asi
wrhpr %g0, 0x89, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0x9190800a ! 261: WRPR_PIL_R wrpr %r2, %r10, %pil
brcommon3_10_136:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-0], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xd337c000 ! 1: STQF_R - %f9, [%r0, %r31]
ba,a .+8
jmpl %r27+0, %r27
.word 0x20800001 ! 265: BN bn,a <label_0x1>
demap_10_138:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %r6, [%r0] ASI_LSU_CONTROL
stxa %g3, [%g3] 0x5f
.word 0xe1bfdf20 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xc19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
.word 0xc09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r0
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x5f
.word 0xe09fdf00 ! 1: LDDA_R ldda [%r31, %r0] 0xf8, %r16
.word 0xc1bfdd40 ! 1: STDFA_R stda %f0, [%r0, %r31]
.word 0xe0bfdd40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xea
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x5f
.word 0xe1bfde20 ! 1: STDFA_R stda %f16, [%r0, %r31]
stxa %g3, [%g3] 0x5f
.word 0xe09fc3e0 ! 1: LDDA_R ldda [%r31, %r0] 0x1f, %r16
.word 0xe19fdf00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
wrhpr %g0, 0x513, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd21fe178 ! 269: LDD_I ldd [%r31 + 0x0178], %r9
cmp_10_142:
nop
nop
ta T_CHANGE_HPRIV
rd %asi, %r12
wr %r0, 0x41, %asi
set sync_thr_counter4, %r23
#ifndef SPC
ldxa [%g0]0x63, %r8
and %r8, 0x38, %r8 ! Core ID
#ifndef PORTABLE_CORE
add %r8, %r23, %r23
#endif
mov 0xff, %r9
#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
xor %r9, 0x10, %r9 ! My core mask
#else
xor %r9, 0x10, %r9
#endif
sllx %r9, %r8, %r9 ! My core mask
#else
mov 0, %r8
mov 0xff, %r9
xor %r9, 0x10, %r9 ! My core mask
#endif
mov 0x10, %r10
cmp_startwait10_142:
cas [%r23],%g0,%r10 !lock
brz,a %r10, continue_cmp_10_142
ldxa [0x50]%asi, %r13 !Running_rw
ld [%r23], %r10
cmp_wait10_142:
brnz,a %r10, cmp_wait10_142
ld [%r23], %r10
ba cmp_startwait10_142
mov 0x10, %r10
continue_cmp_10_142:
ldxa [0x58]%asi, %r14 !Running_status
xnor %r14, %r13, %r14 !Bits equal
#ifndef PORTABLE_CORE
brz,a %r8, cmp_multi_core_10_142
#endif
mov 0xf3, %r17
#ifndef PORTABLE_CORE
best_set_reg(0x0d7e5826623ed76e, %r16, %r17)
#else
sllx %r17, %r8, %r17
#endif
cmp_multi_core_10_142:
and %r14, %r17, %r14 !Apply set/clear mask to bits equal
and %r14, %r9, %r14 !Apply core-mask
stxa %r14, [0x68]%asi
st %g0, [%r23] !clear lock
wr %g0, %r12, %asi
.word 0x91950011 ! 273: WRPR_PIL_R wrpr %r20, %r17, %pil
.word 0xc19fdc00 ! 277: LDDFA_R ldda [%r31, %r0], %f0
dvapa_10_145:
nop
nop
ta T_CHANGE_HPRIV
mov 0xfe7, %r20
mov 0x1f, %r19
sllx %r20, 23, %r20
or %r19, %r20, %r19
stxa %r19, [%g0] ASI_LSU_CONTROL
mov 0x38, %r18
stxa %r31, [%r18]0x58
wrhpr %g0, 0x651, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xa7703fde ! 281: POPC_I popc 0x1fde, %r19
intveclr_10_146:
nop
nop
ta T_CHANGE_HPRIV
setx 0x385457b70c070c97, %r1, %r28
stxa %r28, [%g0] 0x72
.word 0x25400001 ! 285: FBPLG fblg,a,pn %fcc0, <label_0x1>
intveclr_10_148:
nop
nop
ta T_CHANGE_HPRIV
setx 0x9fd4fc97c50c32a8, %r1, %r28
stxa %r28, [%g0] 0x72
wrhpr %g0, 0x151, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0x05400001 ! 289: FBPLG fblg
nop
nop
mov 0x1, %r11
splash_cmpr_10_150:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x700, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_10_150)+8 , 16, 16)) -> intp(6,0,22,*,968,*,d2,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_10_150)&0xffffffff)+8 , 16, 16)) -> intp(4,0,5,*,744,*,d2,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 293: SIAM siam 1
memptr_10_153:
set user_data_start, %r31
.word 0x85816183 ! 297: WRCCR_I wr %r5, 0x0183, %ccr
splash_hpstate_10_156:
.word 0x81982f8d ! 301: WRHPR_HPSTATE_I wrhpr %r0, 0x0f8d, %hpstate
.word 0xa7450000 ! 305: RD_SET_SOFTINT rd %set_softint, %r19
cmp_10_159:
nop
nop
ta T_CHANGE_HPRIV
rd %asi, %r12
wr %r0, 0x41, %asi
set sync_thr_counter4, %r23
#ifndef SPC
ldxa [%g0]0x63, %r8
and %r8, 0x38, %r8 ! Core ID
#ifndef PORTABLE_CORE
add %r8, %r23, %r23
#endif
mov 0xff, %r9
#if (!defined PORTABLE_CORE || MAX_THREADS == 8)
xor %r9, 0x10, %r9 ! My core mask
#else
xor %r9, 0x10, %r9
#endif
sllx %r9, %r8, %r9 ! My core mask
#else
mov 0, %r8
mov 0xff, %r9
xor %r9, 0x10, %r9 ! My core mask
#endif
mov 0x10, %r10
cmp_startwait10_159:
cas [%r23],%g0,%r10 !lock
brz,a %r10, continue_cmp_10_159
ldxa [0x50]%asi, %r13 !Running_rw
ld [%r23], %r10
cmp_wait10_159:
brnz,a %r10, cmp_wait10_159
ld [%r23], %r10
ba cmp_startwait10_159
mov 0x10, %r10
continue_cmp_10_159:
ldxa [0x58]%asi, %r14 !Running_status
xnor %r14, %r13, %r14 !Bits equal
#ifndef PORTABLE_CORE
brz,a %r8, cmp_multi_core_10_159
#endif
mov 0x46, %r17
#ifndef PORTABLE_CORE
best_set_reg(0x55297aa126387a0a, %r16, %r17)
#else
sllx %r17, %r8, %r17
#endif
cmp_multi_core_10_159:
and %r14, %r17, %r14 !Apply set/clear mask to bits equal
and %r14, %r9, %r14 !Apply core-mask
stxa %r14, [0x60]%asi
st %g0, [%r23] !clear lock
wr %g0, %r12, %asi
wrhpr %g0, 0xbd3, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe8dfd920 ! 309: LDXA_R ldxa [%r31, %r0] 0xc9, %r20
brcommon3_10_162:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-4], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-0] ! Load common dest into dcache ..
ba,a .+12
.word 0xe86fe1f0 ! 1: LDSTUB_I ldstub %r20, [%r31 + 0x01f0]
ba,a .+8
jmpl %r27+0, %r27
.word 0x20800001 ! 313: BN bn,a <label_0x1>
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_10_166) , 16, 16)) -> intp(2,0,23,*,968,*,3a,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_10_166)&0xffffffff) , 16, 16)) -> intp(2,0,13,*,1016,*,3a,1)
#else
nop
nop
set 0xf9b0f2a9, %r28 !TTID : 2 (mask2tid(0x10))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(2,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
ifelse(2,mask2tid(0x10),`.align 16')
stxa %r28, [%g0] 0x73
#endif
intvec_10_166:
.word 0x39400001 ! 317: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
br_badelay1_10_168:
.word 0x87afca40 ! 1: FCMPd fcmpd %fcc<n>, %f62, %f0
.word 0x26800001 ! 1: BL bl,a <label_0x1>
.word 0x33400001 ! 1: FBPE fbe,a,pn %fcc0, <label_0x1>
normalw
.word 0x93458000 ! 321: RD_SOFTINT_REG rd %softint, %r9
nop
nop
set 0x4090e27b, %r28 !TTID : 2 (mask2tid(0x10))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(2,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(2,mask2tid(0x10),`.align 16')
stxa %r28, [%g0] 0x73
intvec_10_171:
.word 0x9ba489d2 ! 325: FDIVd fdivd %f18, %f18, %f44
.word 0xd80fe150 ! 329: LDUB_I ldub [%r31 + 0x0150], %r12
fbg,a,pn %fcc0, skip_10_175
stxa %r16, [%r0] ASI_LSU_CONTROL
brlez,a,pn %r17, skip_10_175
stxa %r18, [%r0] ASI_LSU_CONTROL
.align 1024
skip_10_175:
.word 0xc30fc000 ! 333: LDXFSR_R ld-fsr [%r31, %r0], %f1
brcommon3_10_178:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-4], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xd9e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r12
ba,a .+8
jmpl %r27-0, %r27
.word 0xd91fe100 ! 337: LDDF_I ldd [%r31, 0x0100], %f12
.word 0xd91fc000 ! 341: LDDF_R ldd [%r31, %r0], %f12
.word 0xa3b40489 ! 345: FCMPLE32 fcmple32 %d16, %d40, %r17
.word 0xe2d7dc40 ! 349: LDSHA_R ldsha [%r31, %r0] 0xe2, %r17
.word 0xe19fde20 ! 353: LDDFA_R ldda [%r31, %r0], %f16
nop
nop
set 0x9370c37f, %r28 !TTID : 3 (mask2tid(0x10))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(3,mask2tid(0x10),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(3,mask2tid(0x10),`.align 16')
stxa %r28, [%g0] 0x73
intvec_10_189:
.word 0xa7a409d3 ! 357: FDIVd fdivd %f16, %f50, %f50
splash_tick_10_193:
nop
nop
ta T_CHANGE_HPRIV
best_set_reg(0x310c5cccbc2b1ea5, %r16, %r17)
.word 0x8980000a ! 361: WRTICK_R wr %r0, %r10, %tick
brcommon3_10_195:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-4], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xe3e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r17
ba,a .+8
jmpl %r27-0, %r27
.word 0xe29fc240 ! 365: LDDA_R ldda [%r31, %r0] 0x12, %r17
.word 0xe28008a0 ! 369: LDUWA_R lduwa [%r0, %r0] 0x45, %r17
cancelint_10_199:
rdhpr %halt, %r10
.word 0x85880000 ! 373: ALLCLEAN <illegal instruction>
.word 0xd60fc000 ! 377: LDUB_R ldub [%r31 + %r0], %r11
.word 0xc0bfda00 ! 381: STDA_R stda %r0, [%r31 + %r0] 0xd0
.word 0x8f902000 ! 1: WRPR_TL_I wrpr %r0, 0x0000, %tl
reduce_priv_lvl_10_208:
ta T_CHANGE_NONHPRIV ! macro
jmptr_10_209:
nop
nop
best_set_reg(0xe0a00000, %r20, %r27)
.word 0xb7c6c000 ! 389: JMPL_R jmpl %r27 + %r0, %r27
.word 0xd65fe1e0 ! 393: LDX_I ldx [%r31 + 0x01e0], %r11
cancelint_10_212:
rdhpr %halt, %r8
.word 0x85880000 ! 397: ALLCLEAN <illegal instruction>
cmpenall_10_214:
nop
nop
ta T_CHANGE_HPRIV
rd %asi, %r12
wr %r0, 0x41, %asi
set sync_thr_counter4, %r23
#ifndef SPC
ldxa [%g0]0x63, %r8
and %r8, 0x38, %r8 ! Core ID
#ifndef PORTABLE_CORE
add %r8, %r23, %r23
#endif
mov 0xff, %r9
sllx %r9, %r8, %r9 ! My core mask
#else
mov 0xff, %r9 ! My core mask
#endif
cmpenall_startwait10_214:
mov 0x10, %r10
cas [%r23],%g0,%r10 !lock
brz,a %r10, continue_cmpenall_10_214
nop
cmpenall_wait10_214:
ld [%r23], %r10
brnz %r10, cmpenall_wait10_214
nop
ba,a cmpenall_startwait10_214
continue_cmpenall_10_214:
ldxa [0x58]%asi, %r14 !Running_status
wait_for_cmpstat_10_214:
ldxa [0x50]%asi, %r13 !Running_rw
cmp %r13, %r14
bne,a %xcc, wait_for_cmpstat_10_214
ldxa [0x58]%asi, %r14 !Running_status
ldxa [0x10]%asi, %r14 !Get enabled threads
and %r14, %r9, %r14 !My core mask
stxa %r14, [0x60]%asi !W1S
ldxa [0x58]%asi, %r16 !Running_status
wait_for_cmpstat2_10_214:
and %r16, %r9, %r16 !My core mask
cmp %r14, %r16
bne,a %xcc, wait_for_cmpstat2_10_214
ldxa [0x58]%asi, %r16 !Running_status
st %g0, [%r23] !clear lock
!! Send interrupt to all threads in my core in case of halted threads.
intall_mast:
mov 7, %r14
#ifdef SPC
mov %g0, %r8
#endif
intall_loop_mast:
add %r8, %r14, %r16
sllx %r16, 8, %r16
stxa %r16, [%g0]0x73
brnz %r14, intall_loop_mast
dec %r14
#if (MULTIPASS > 0)
multipass_check_mt:
rd %asi, %r12
wr %g0, ASI_SCRATCHPAD, %asi
ldxa [0x38]%asi, %r10
cmp %r10, MULTIPASS
inc %r10
stxa %r10, [0x38]%asi
be finish_diag
wr %g0, %r12, %asi
lock_sync_thds_again:
mov 0xff, %r10
set sync_thr_counter4, %r23
#ifndef SPC
add %r23,%r8,%r23 !Core's sync counter
#endif
! st %r10, [%r23] !lock sync_thr_counter4 !! Still locked from cmpenall
add %r23, 64, %r23
st %r10, [%r23] !lock sync_thr_counter5
add %r23, 64, %r23
st %r10, [%r23] !lock sync_thr_counter6
ba fork_threads
wrpr %g0, %g0, %gl
#endif
nop
nop
ta T_CHANGE_PRIV
wrpr %g0, %g0, %gl
nop
nop
.text
setx join_lbl_0_0, %g1, %g2
jmp %g2
nop
fork_lbl_0_4:
wrhpr %g0, 0xd9a, %hpstate ! ta T_CHANGE_NONHPRIV
nop
nop
mov 0x1, %r11
splash_cmpr_8_0:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x250, %r10
#else
add %r10, 0xc00, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_8_0)+8 , 16, 16)) -> intp(7,0,23,*,1008,*,7b,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_8_0)&0xffffffff)+8 , 16, 16)) -> intp(4,0,12,*,944,*,7b,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 1: SIAM siam 1
intveclr_8_2:
nop
nop
ta T_CHANGE_HPRIV
setx 0x05f955c835e377a6, %r1, %r28
stxa %r28, [%g0] 0x72
.word 0x25400001 ! 5: FBPLG fblg,a,pn %fcc0, <label_0x1>
.word 0xe027e0e4 ! 9: STW_I stw %r16, [%r31 + 0x00e4]
.word 0x9194000b ! 13: WRPR_PIL_R wrpr %r16, %r11, %pil
frzptr_8_11:
nop
nop
best_set_reg(0x3cb40000+0x1ffc, %r20, %r27)
ldx [%r27+0xc], %r20
jmpl %r27+4, %r27
.word 0xa1702000 ! 1: POPC_I popc 0x0000, %r16
best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
jmpl %r27, %r27
.word 0xc19fc3e0 ! 17: LDDFA_R ldda [%r31, %r0], %f0
.word 0xe19fda60 ! 21: LDDFA_R ldda [%r31, %r0], %f16
splash_hpstate_8_16:
.word 0x819825d5 ! 25: WRHPR_HPSTATE_I wrhpr %r0, 0x05d5, %hpstate
.word 0xe09fc2e0 ! 29: LDDA_R ldda [%r31, %r0] 0x17, %r16
nop
nop
mov 0x1, %r11
splash_cmpr_8_20:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0xc00, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_8_20)+8 , 16, 16)) -> intp(4,0,9,*,976,*,1c,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_8_20)&0xffffffff)+8 , 16, 16)) -> intp(3,0,28,*,688,*,1c,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 33: SIAM siam 1
brcommon3_8_23:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-4], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xe06fe030 ! 1: LDSTUB_I ldstub %r16, [%r31 + 0x0030]
ba,a .+8
jmpl %r27-0, %r27
.word 0xa1b7c7c0 ! 37: PDIST pdistn %d62, %d0, %d16
nop
nop
mov 0x1, %r11
splash_cmpr_8_26:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x700, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_8_26)+8 , 16, 16)) -> intp(5,0,25,*,720,*,df,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_8_26)&0xffffffff)+8 , 16, 16)) -> intp(5,0,10,*,728,*,df,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 41: SIAM siam 1
demap_8_28:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x3e800001 ! 1: BVC bvc,a <label_0x1>
stxa %g3, [%g3] 0x57
.word 0xe1bfde00 ! 1: STDFA_R stda %f16, [%r0, %r31]
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x57
.word 0xc19fdb40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
.word 0xc0bfdf20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf9
.word 0xe09fdb40 ! 1: LDDA_R ldda [%r31, %r0] 0xda, %r16
stxa %g3, [%g3] 0x5f
.word 0xe0bfda60 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd3
.word 0xc09fdc00 ! 1: LDDA_R ldda [%r31, %r0] 0xe0, %r0
wrhpr %g0, 0x91, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe01fe1d9 ! 45: LDD_I ldd [%r31 + 0x01d9], %r16
.word 0x8980000a ! 49: WRTICK_R wr %r0, %r10, %tick
memptr_8_31:
set 0x60140000, %r31
.word 0x85812305 ! 53: WRCCR_I wr %r4, 0x0305, %ccr
.word 0x02800001 ! 1: BE be <label_0x1>
.word 0x8d9023a1 ! 57: WRPR_PSTATE_I wrpr %r0, 0x03a1, %pstate
splash_hpstate_8_36:
.word 0x81983e9d ! 61: WRHPR_HPSTATE_I wrhpr %r0, 0x1e9d, %hpstate
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_8_38:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_8_38-donret_8_38+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
andn %r12, %r10, %r12 ! low VA tpc
wrpr %g0, 0x2, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00afe000 | (22 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x1046, %htstate
best_set_reg(0x1539, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (8)
retry
.align 1024
donretarg_8_38:
.word 0x8d9038d7 ! 65: WRPR_PSTATE_I wrpr %r0, 0x18d7, %pstate
nop
nop
mov 0x1, %r11
splash_cmpr_8_39:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x300, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_8_39)+8 , 16, 16)) -> intp(4,0,9,*,696,*,ec,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_8_39)&0xffffffff)+8 , 16, 16)) -> intp(1,0,21,*,720,*,ec,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 69: SIAM siam 1
demap_8_41:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %r17, [%r0] ASI_LSU_CONTROL
stxa %g3, [%g3] 0x5f
.word 0xe19fdd40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
.word 0xc09fde00 ! 1: LDDA_R ldda [%r31, %r0] 0xf0, %r0
.word 0xc0bfdf00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf8
stxa %g3, [%g3] 0x57
wrhpr %g0, 0x980, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe01fe0f4 ! 73: LDD_I ldd [%r31 + 0x00f4], %r16
.word 0xe0d7d160 ! 77: LDSHA_R ldsha [%r31, %r0] 0x8b, %r16
cancelint_8_45:
rdhpr %halt, %r16
.word 0x85880000 ! 81: ALLCLEAN <illegal instruction>
cancelint_8_47:
rdhpr %halt, %r18
.word 0x85880000 ! 85: ALLCLEAN <illegal instruction>
demap_8_48:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x2ecac002 ! 1: BRGEZ brgez,a,pt %r11,<label_0xac002>
stxa %g3, [%g3] 0x57
.word 0xc0bfdb40 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xda
stxa %g3, [%g3] 0x5f
.word 0xe0bfde20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf1
.word 0xe09fdc40 ! 1: LDDA_R ldda [%r31, %r0] 0xe2, %r16
.word 0xc19fde20 ! 1: LDDFA_R ldda [%r31, %r0], %f0
stxa %g3, [%g3] 0x5f
.word 0xc19fde20 ! 1: LDDFA_R ldda [%r31, %r0], %f0
.word 0xc1bfde20 ! 1: STDFA_R stda %f0, [%r0, %r31]
.word 0xc0bfdf00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf8
stxa %g3, [%g3] 0x5f
.word 0xc0bfc3e0 ! 1: STDA_R stda %r0, [%r31 + %r0] 0x1f
.word 0xc19fc2c0 ! 1: LDDFA_R ldda [%r31, %r0], %f0
wrhpr %g0, 0x11b, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe61fe111 ! 89: LDD_I ldd [%r31 + 0x0111], %r19
.word 0x09400001 ! 1: FBPL fbl
.word 0x8d903a49 ! 93: WRPR_PSTATE_I wrpr %r0, 0x1a49, %pstate
.word 0xe19fe120 ! 97: LDDFA_I ldda [%r31, 0x0120], %f16
memptr_8_54:
set user_data_start, %r31
.word 0x8584a258 ! 101: WRCCR_I wr %r18, 0x0258, %ccr
.word 0xe677c000 ! 105: STX_R stx %r19, [%r31 + %r0]
.word 0xe677e0b4 ! 109: STX_I stx %r19, [%r31 + 0x00b4]
.word 0x3c800001 ! 113: BPOS bpos,a <label_0x1>
.word 0xe19fdb40 ! 117: LDDFA_R ldda [%r31, %r0], %f16
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_62) , 16, 16)) -> intp(2,0,2,*,984,*,98,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_62)&0xffffffff) , 16, 16)) -> intp(0,0,7,*,664,*,98,1)
#else
nop
nop
set 0x4c70e8ae, %r28 !TTID : 0 (mask2tid(0x8))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(0,mask2tid(0x8),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
ifelse(0,mask2tid(0x8),`.align 16')
stxa %r28, [%g0] 0x73
#endif
intvec_8_62:
.word 0xa7b304d0 ! 121: FCMPNE32 fcmpne32 %d12, %d16, %r19
.word 0xd08008a0 ! 125: LDUWA_R lduwa [%r0, %r0] 0x45, %r8
demap_8_64:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x2ecc4001 ! 1: BRGEZ brgez,a,pt %r17,<label_0xc4001>
stxa %g3, [%g3] 0x5f
.word 0xc09fdf20 ! 1: LDDA_R ldda [%r31, %r0] 0xf9, %r0
.word 0xc1bfde20 ! 1: STDFA_R stda %f0, [%r0, %r31]
stxa %g3, [%g3] 0x5f
.word 0xe0bfc2c0 ! 1: STDA_R stda %r16, [%r31 + %r0] 0x16
.word 0xc19fdb40 ! 1: LDDFA_R ldda [%r31, %r0], %f0
stxa %g3, [%g3] 0x5f
.word 0xc0bfde00 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf0
.word 0xc09fc3e0 ! 1: LDDA_R ldda [%r31, %r0] 0x1f, %r0
stxa %g3, [%g3] 0x57
.word 0xc09fc2c0 ! 1: LDDA_R ldda [%r31, %r0] 0x16, %r0
stxa %g3, [%g3] 0x5f
.word 0xe1bfdf20 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xc0bfc2c0 ! 1: STDA_R stda %r0, [%r31 + %r0] 0x16
.word 0xc09fc3e0 ! 1: LDDA_R ldda [%r31, %r0] 0x1f, %r0
stxa %g3, [%g3] 0x5f
.word 0xe0bfdc40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xe2
.word 0xe0bfc3e0 ! 1: STDA_R stda %r16, [%r31 + %r0] 0x1f
.word 0xe1bfdd40 ! 1: STDFA_R stda %f16, [%r0, %r31]
wrhpr %g0, 0xd81, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd01fe10a ! 129: LDD_I ldd [%r31 + 0x010a], %r8
cancelint_8_66:
rdhpr %halt, %r16
.word 0x85880000 ! 133: ALLCLEAN <illegal instruction>
jmptr_8_68:
nop
nop
best_set_reg(0xe0200000, %r20, %r27)
.word 0xb7c6c000 ! 137: JMPL_R jmpl %r27 + %r0, %r27
dvapa_8_70:
nop
nop
ta T_CHANGE_HPRIV
mov 0xa79, %r20
mov 0x19, %r19
sllx %r20, 23, %r20
or %r19, %r20, %r19
stxa %r19, [%g0] ASI_LSU_CONTROL
mov 0x38, %r18
stxa %r31, [%r18]0x58
wrhpr %g0, 0x812, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xc09fda60 ! 141: LDDA_R ldda [%r31, %r0] 0xd3, %r0
memptr_8_73:
set 0x60140000, %r31
.word 0x8581f59d ! 145: WRCCR_I wr %r7, 0x159d, %ccr
nop
nop
mov 0x1, %r11
splash_cmpr_8_75:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0xc00, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x250, %r10
#else
add %r10, 0x700, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_8_75)+8 , 16, 16)) -> intp(3,0,19,*,720,*,1e,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_8_75)&0xffffffff)+8 , 16, 16)) -> intp(2,0,8,*,912,*,1e,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 149: SIAM siam 1
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_8_77:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_8_77-donret_8_77+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
andn %r11, %r10, %r11 ! low VA tnpc
wrpr %g0, 0x2, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x001d0400 | (54 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0xfcd, %htstate
wrhpr %g0, 0x3c9, %hpstate ! rand=1 (8)
ldx [%r11+%r0], %g1
done
donretarg_8_77:
.word 0x97a1c9d1 ! 153: FDIVd fdivd %f38, %f48, %f42
br_longdelay2_8_79:
.word 0x37400001 ! 1: FBPGE fbge,a,pn %fcc0, <label_0x1>
.word 0xa3b7c4c0 ! 157: FCMPNE32 fcmpne32 %d62, %d0, %r17
memptr_8_81:
set user_data_start, %r31
.word 0x85806b8f ! 161: WRCCR_I wr %r1, 0x0b8f, %ccr
.word 0x8d802000 ! 165: WRFPRS_I wr %r0, 0x0000, %fprs
fbue skip_8_87
brlez,pt %r17, skip_8_87
.align 2048
skip_8_87:
.word 0x04c88001 ! 169: BRLEZ brlez,pt %r2,<label_0x88001>
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_8_90:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_8_90-donret_8_90), %r12
add %r12, 0x8, %r11 ! nonseq tnpc
wrpr %g0, 0x2, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00857200 | (0x80 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x1fd5, %htstate
wrhpr %g0, 0x300, %hpstate ! rand=1 (8)
retry
donretarg_8_90:
.word 0xa7a0c9c7 ! 173: FDIVd fdivd %f34, %f38, %f50
nop
nop
set 0xf6b00600, %r28 !TTID : 6 (mask2tid(0x8))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(6,mask2tid(0x8),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(6,mask2tid(0x8),`.align 16')
stxa %r28, [%g0] 0x73
intvec_8_93:
.word 0x19400001 ! 177: FBPUGE fbuge
splash_hpstate_8_94:
.word 0x36800001 ! 1: BGE bge,a <label_0x1>
.word 0x81982ac5 ! 181: WRHPR_HPSTATE_I wrhpr %r0, 0x0ac5, %hpstate
nop
nop
ta T_CHANGE_HPRIV
mov 0x1, %r11
splash_cmpr_8_96:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
wrhpr %r10, %g0, %hsys_tick_cmpr
wrhpr %g0, 0x0, %halt ! HALT
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x700, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_8_96)+8 , 16, 16)) -> intp(7,0,28,*,736,*,2c,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_8_96)&0xffffffff)+8 , 16, 16)) -> intp(4,0,25,*,704,*,2c,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81982cdd ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x0cdd, %hpstate
.word 0x81b01021 ! 185: SIAM siam 1
jmptr_8_98:
nop
nop
best_set_reg(0xe1200000, %r20, %r27)
.word 0xb7c6c000 ! 189: JMPL_R jmpl %r27 + %r0, %r27
nop
nop
set 0x80e034d4, %r28 !TTID : 4 (mask2tid(0x8))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(4,mask2tid(0x8),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(4,mask2tid(0x8),`.align 16')
stxa %r28, [%g0] 0x73
intvec_8_101:
.word 0xa1a489d2 ! 193: FDIVd fdivd %f18, %f18, %f16
memptr_8_104:
set 0x60540000, %r31
.word 0x85832db0 ! 197: WRCCR_I wr %r12, 0x0db0, %ccr
jmptr_8_106:
nop
nop
best_set_reg(0xe0200000, %r20, %r27)
.word 0xb7c6c000 ! 201: JMPL_R jmpl %r27 + %r0, %r27
cancelint_8_108:
rdhpr %halt, %r11
.word 0x85880000 ! 205: ALLCLEAN <illegal instruction>
.word 0xd337e0c0 ! 209: STQF_I - %f9, [0x00c0, %r31]
splash_hpstate_8_110:
.word 0x36800001 ! 1: BGE bge,a <label_0x1>
.word 0x819835c6 ! 213: WRHPR_HPSTATE_I wrhpr %r0, 0x15c6, %hpstate
.word 0x9f803823 ! 217: SIR sir 0x1823
br_badelay2_8_114:
.word 0x34800001 ! 1: BG bg,a <label_0x1>
allclean
.word 0x99b4c30d ! 221: ALIGNADDRESS alignaddr %r19, %r13, %r12
.word 0xc1bfda60 ! 225: STDFA_R stda %f0, [%r0, %r31]
demap_8_116:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x0c800002 ! 1: BNEG bneg <label_0x2>
stxa %g3, [%g3] 0x5f
.word 0xe0bfda60 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd3
.word 0xc1bfc3e0 ! 1: STDFA_R stda %f0, [%r0, %r31]
.word 0xe19fdc00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
stxa %g3, [%g3] 0x57
.word 0xe19fc2c0 ! 1: LDDFA_R ldda [%r31, %r0], %f16
.word 0xc1bfde20 ! 1: STDFA_R stda %f0, [%r0, %r31]
.word 0xc19fda60 ! 1: LDDFA_R ldda [%r31, %r0], %f0
stxa %g3, [%g3] 0x5f
.word 0xc1bfde00 ! 1: STDFA_R stda %f0, [%r0, %r31]
wrhpr %g0, 0x542, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd61fe0b2 ! 229: LDD_I ldd [%r31 + 0x00b2], %r11
cancelint_8_118:
rdhpr %halt, %r9
.word 0x85880000 ! 233: ALLCLEAN <illegal instruction>
demap_8_119:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %r6, [%r0] ASI_LSU_CONTROL
stxa %g3, [%g3] 0x5f
stxa %g3, [%g3] 0x57
.word 0xc1bfda60 ! 1: STDFA_R stda %f0, [%r0, %r31]
.word 0xc1bfc3e0 ! 1: STDFA_R stda %f0, [%r0, %r31]
wrhpr %g0, 0x500, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd21fe109 ! 237: LDD_I ldd [%r31 + 0x0109], %r9
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_8_120:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_8_120-donret_8_120), %r12
add %r12, 0x8, %r11 ! nonseq tnpc
wrpr %g0, 0x1, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00c72c00 | (16 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0xd5d, %htstate
best_set_reg(0x61a, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (8)
ldx [%r12+%r0], %g1
retry
donretarg_8_120:
.word 0x2e800001 ! 241: BVS bvs,a <label_0x1>
splash_lsu_8_122:
nop
nop
ta T_CHANGE_HPRIV
set 0x4a062f2b, %r2
mov 0x4, %r1
sllx %r1, 32, %r1
or %r1, %r2, %r2
.word 0x34800002 ! 1: BG bg,a <label_0x2>
stxa %r2, [%r0] ASI_LSU_CONTROL
ta T_CHANGE_NONHPRIV
.word 0x3d400001 ! 245: FBPULE fbule,a,pn %fcc0, <label_0x1>
cancelint_8_125:
rdhpr %halt, %r11
.word 0x85880000 ! 249: ALLCLEAN <illegal instruction>
.word 0xd327e01b ! 253: STF_I st %f9, [0x001b, %r31]
.word 0xd2800c80 ! 257: LDUWA_R lduwa [%r0, %r0] 0x64, %r9
.word 0x91904011 ! 261: WRPR_PIL_R wrpr %r1, %r17, %pil
brcommon3_8_136:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-0], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xd337c000 ! 1: STQF_R - %f9, [%r0, %r31]
ba,a .+8
jmpl %r27+0, %r27
.word 0x8d9037cf ! 265: WRPR_PSTATE_I wrpr %r0, 0x17cf, %pstate
demap_8_138:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %r11, [%r0] ASI_LSU_CONTROL
stxa %g3, [%g3] 0x5f
.word 0xe0bfdd40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xea
.word 0xe1bfc3e0 ! 1: STDFA_R stda %f16, [%r0, %r31]
.word 0xe09fdd40 ! 1: LDDA_R ldda [%r31, %r0] 0xea, %r16
stxa %g3, [%g3] 0x5f
stxa %g3, [%g3] 0x5f
.word 0xe19fdc40 ! 1: LDDFA_R ldda [%r31, %r0], %f16
.word 0xe0bfdb20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd9
.word 0xc1bfc3e0 ! 1: STDFA_R stda %f0, [%r0, %r31]
stxa %g3, [%g3] 0x5f
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x5f
.word 0xc09fda60 ! 1: LDDA_R ldda [%r31, %r0] 0xd3, %r0
stxa %g3, [%g3] 0x5f
.word 0xc19fde00 ! 1: LDDFA_R ldda [%r31, %r0], %f0
.word 0xe0bfde00 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xf0
wrhpr %g0, 0x448, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd21fe093 ! 269: LDD_I ldd [%r31 + 0x0093], %r9
.word 0x91944007 ! 273: WRPR_PIL_R wrpr %r17, %r7, %pil
.word 0xe19fc3e0 ! 277: LDDFA_R ldda [%r31, %r0], %f16
dvapa_8_145:
nop
nop
ta T_CHANGE_HPRIV
mov 0xf9a, %r20
mov 0x8, %r19
sllx %r20, 23, %r20
or %r19, %r20, %r19
stxa %r19, [%g0] ASI_LSU_CONTROL
mov 0x38, %r18
stxa %r31, [%r18]0x58
wrhpr %g0, 0xe00, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xa9a489b2 ! 281: FDIVs fdivs %f18, %f18, %f20
intveclr_8_146:
nop
nop
ta T_CHANGE_HPRIV
setx 0x424bb7894896084a, %r1, %r28
stxa %r28, [%g0] 0x72
.word 0x25400001 ! 285: FBPLG fblg,a,pn %fcc0, <label_0x1>
intveclr_8_148:
nop
nop
ta T_CHANGE_HPRIV
setx 0x723d8e2087572543, %r1, %r28
stxa %r28, [%g0] 0x72
wrhpr %g0, 0x483, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0x25400001 ! 289: FBPLG fblg,a,pn %fcc0, <label_0x1>
nop
nop
mov 0x0, %r11
splash_cmpr_8_150:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
ta T_CHANGE_PRIV
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
.word 0x81b01021 ! 293: SIAM siam 1
memptr_8_153:
set user_data_start, %r31
.word 0x8584fc84 ! 297: WRCCR_I wr %r19, 0x1c84, %ccr
splash_hpstate_8_156:
.word 0x81982db7 ! 301: WRHPR_HPSTATE_I wrhpr %r0, 0x0db7, %hpstate
.word 0x97450000 ! 305: RD_SET_SOFTINT rd %set_softint, %r11
.word 0xe81fe070 ! 309: LDD_I ldd [%r31 + 0x0070], %r20
brcommon3_8_162:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-4], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-0] ! Load common dest into dcache ..
ba,a .+12
.word 0xe86fe0c0 ! 1: LDSTUB_I ldstub %r20, [%r31 + 0x00c0]
ba,a .+8
jmpl %r27+0, %r27
.word 0x8d90294f ! 313: WRPR_PSTATE_I wrpr %r0, 0x094f, %pstate
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_8_166) , 16, 16)) -> intp(3,0,12,*,680,*,ac,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_8_166)&0xffffffff) , 16, 16)) -> intp(6,0,3,*,968,*,ac,1)
#else
nop
nop
set 0xc2c0816d, %r28 !TTID : 1 (mask2tid(0x8))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(1,mask2tid(0x8),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
ifelse(1,mask2tid(0x8),`.align 16')
stxa %r28, [%g0] 0x73
#endif
intvec_8_166:
#if (defined SPC || defined CMP1)
wrhpr %g0, 0x0, %halt ! HALT
#else
ifelse(1,mask2tid(0x8),`wrhpr %g0, 0x0, %halt ! HALT')
#endif
.word 0x95a089d0 ! 317: FDIVd fdivd %f2, %f16, %f10
br_badelay1_8_168:
.word 0xe03fe180 ! 1: STD_I std %r16, [%r31 + 0x0180]
.word 0x27400001 ! 1: FBPUL fbul,a,pn %fcc0, <label_0x1>
.word 0x0ec90001 ! 1: BRGEZ brgez,pt %r4,<label_0x90001>
normalw
.word 0x95458000 ! 321: RD_SOFTINT_REG rd %softint, %r10
nop
nop
set 0xd70051b7, %r28 !TTID : 1 (mask2tid(0x8))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(1,mask2tid(0x8),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(1,mask2tid(0x8),`.align 16')
stxa %r28, [%g0] 0x73
intvec_8_171:
.word 0x19400001 ! 325: FBPUGE fbuge
.word 0xd80fe040 ! 329: LDUB_I ldub [%r31 + 0x0040], %r12
bgu skip_8_175
stxa %r18, [%r0] ASI_LSU_CONTROL
.word 0x87ad0a51 ! 1: FCMPd fcmpd %fcc<n>, %f20, %f48
stxa %r10, [%r0] ASI_LSU_CONTROL
.align 1024
skip_8_175:
.word 0xf16fe15a ! 333: PREFETCH_I prefetch [%r31 + 0x015a], #24
brcommon3_8_178:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-4], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xd9e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r12
ba,a .+8
jmpl %r27-0, %r27
.word 0xd93fe150 ! 337: STDF_I std %f12, [0x0150, %r31]
.word 0xd91fc000 ! 341: LDDF_R ldd [%r31, %r0], %f12
.word 0xa57021c0 ! 345: POPC_I popc 0x01c0, %r18
.word 0xe2d7df00 ! 349: LDSHA_R ldsha [%r31, %r0] 0xf8, %r17
.word 0xe19fdc00 ! 353: LDDFA_R ldda [%r31, %r0], %f16
nop
nop
set 0x5cd0fb7a, %r28 !TTID : 3 (mask2tid(0x8))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(3,mask2tid(0x8),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(3,mask2tid(0x8),`.align 16')
stxa %r28, [%g0] 0x73
intvec_8_189:
.word 0x93b344c2 ! 357: FCMPNE32 fcmpne32 %d44, %d2, %r9
.word 0x8980000a ! 361: WRTICK_R wr %r0, %r10, %tick
brcommon3_8_195:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-0], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xe3e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r17
ba,a .+8
jmpl %r27-4, %r27
.word 0xe23fe0e0 ! 365: STD_I std %r17, [%r31 + 0x00e0]
.word 0xe28008a0 ! 369: LDUWA_R lduwa [%r0, %r0] 0x45, %r17
cancelint_8_199:
rdhpr %halt, %r10
.word 0x85880000 ! 373: ALLCLEAN <illegal instruction>
.word 0xd60fc000 ! 377: LDUB_R ldub [%r31 + %r0], %r11
.word 0xc0bfdb20 ! 381: STDA_R stda %r0, [%r31 + %r0] 0xd9
.word 0x8f902001 ! 1: WRPR_TL_I wrpr %r0, 0x0001, %tl
reduce_priv_lvl_8_208:
ta T_CHANGE_NONHPRIV ! macro
jmptr_8_209:
nop
nop
best_set_reg(0xe1200000, %r20, %r27)
.word 0xb7c6c000 ! 389: JMPL_R jmpl %r27 + %r0, %r27
.word 0xd65fe188 ! 393: LDX_I ldx [%r31 + 0x0188], %r11
cancelint_8_212:
rdhpr %halt, %r10
.word 0x85880000 ! 397: ALLCLEAN <illegal instruction>
nop
nop
ta T_CHANGE_PRIV
wrpr %g0, %g0, %gl
nop
nop
.text
setx join_lbl_0_0, %g1, %g2
jmp %g2
nop
fork_lbl_0_3:
wrhpr %g0, 0xfd1, %hpstate ! ta T_CHANGE_NONHPRIV
nop
nop
mov 0x1, %r11
splash_cmpr_4_0:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x100, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_4_0)+8 , 16, 16)) -> intp(7,0,23,*,656,*,f,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_4_0)&0xffffffff)+8 , 16, 16)) -> intp(2,0,21,*,656,*,f,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 1: SIAM siam 1
intveclr_4_2:
nop
nop
ta T_CHANGE_HPRIV
setx 0x16fc352f9f4bcdf2, %r1, %r28
stxa %r28, [%g0] 0x72
.word 0x25400001 ! 5: FBPLG fblg,a,pn %fcc0, <label_0x1>
.word 0xe027e1cc ! 9: STW_I stw %r16, [%r31 + 0x01cc]
.word 0x91914014 ! 13: WRPR_PIL_R wrpr %r5, %r20, %pil
frzptr_4_11:
nop
nop
best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
ldx [%r27+0xc], %r20
jmpl %r27+4, %r27
.word 0xa1702150 ! 1: POPC_I popc 0x0150, %r16
best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
jmpl %r27, %r27
.word 0xe19fdb40 ! 17: LDDFA_R ldda [%r31, %r0], %f16
.word 0xc19fde00 ! 21: LDDFA_R ldda [%r31, %r0], %f0
splash_hpstate_4_16:
.word 0x8198264b ! 25: WRHPR_HPSTATE_I wrhpr %r0, 0x064b, %hpstate
.word 0xe1e7c6c0 ! 29: CASA_I casa [%r31] 0x36, %r0, %r16
nop
nop
mov 0x1, %r11
splash_cmpr_4_20:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x250, %r10
#else
add %r10, 0xc00, %r10
#endif
and %r10, %r11, %r10
ta T_CHANGE_PRIV
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_4_20)+8 , 16, 16)) -> intp(1,0,15,*,688,*,cd,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_4_20)&0xffffffff)+8 , 16, 16)) -> intp(4,0,6,*,952,*,cd,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 33: SIAM siam 1
brcommon3_4_23:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-0], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xe06fe0b0 ! 1: LDSTUB_I ldstub %r16, [%r31 + 0x00b0]
ba,a .+8
jmpl %r27-0, %r27
.word 0xa1b7c7c0 ! 37: PDIST pdistn %d62, %d0, %d16
nop
nop
mov 0x1, %r11
splash_cmpr_4_26:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x100, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x700, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_4_26)+8 , 16, 16)) -> intp(4,0,27,*,1008,*,be,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_4_26)&0xffffffff)+8 , 16, 16)) -> intp(3,0,0,*,960,*,be,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 41: SIAM siam 1
demap_4_28:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x21400001 ! 1: FBPN fbn,a,pn %fcc0, <label_0x1>
stxa %g3, [%g3] 0x57
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
stxa %g3, [%g3] 0x5f
stxa %g3, [%g3] 0x57
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
stxa %g3, [%g3] 0x5f
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
wrhpr %g0, 0xa13, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe01fe130 ! 45: LDD_I ldd [%r31 + 0x0130], %r16
.word 0x8980000a ! 49: WRTICK_R wr %r0, %r10, %tick
memptr_4_31:
set 0x60140000, %r31
.word 0x8582e207 ! 53: WRCCR_I wr %r11, 0x0207, %ccr
.word 0x2f400001 ! 1: FBPU fbu,a,pn %fcc0, <label_0x1>
.word 0x8d902c83 ! 57: WRPR_PSTATE_I wrpr %r0, 0x0c83, %pstate
splash_hpstate_4_36:
.word 0x81983644 ! 61: WRHPR_HPSTATE_I wrhpr %r0, 0x1644, %hpstate
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_4_38:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_4_38-donret_4_38+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
andn %r12, %r10, %r12 ! low VA tpc
wrpr %g0, 0x1, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00dd4e00 | (0x80 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x1085, %htstate
best_set_reg(0xb40, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (4)
retry
.align 1024
donretarg_4_38:
.word 0x8d9025df ! 65: WRPR_PSTATE_I wrpr %r0, 0x05df, %pstate
nop
nop
mov 0x1, %r11
splash_cmpr_4_39:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x250, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
ta T_CHANGE_PRIV
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x250, %r10
#else
add %r10, 0xc00, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_4_39)+8 , 16, 16)) -> intp(0,0,4,*,712,*,f7,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_4_39)&0xffffffff)+8 , 16, 16)) -> intp(3,0,7,*,960,*,f7,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 69: SIAM siam 1
demap_4_41:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %g3, [%g3] 0x57
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
stxa %g3, [%g3] 0x5f
wrhpr %g0, 0xb01, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe01fe188 ! 73: LDD_I ldd [%r31 + 0x0188], %r16
.word 0xe0d7c600 ! 77: LDSHA_R ldsha [%r31, %r0] 0x30, %r16
cancelint_4_45:
rdhpr %halt, %r20
.word 0x85880000 ! 81: ALLCLEAN <illegal instruction>
cancelint_4_47:
rdhpr %halt, %r13
.word 0x85880000 ! 85: ALLCLEAN <illegal instruction>
demap_4_48:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x0c800001 ! 1: BNEG bneg <label_0x1>
stxa %g3, [%g3] 0x57
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
stxa %g3, [%g3] 0x57
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
stxa %g3, [%g3] 0x5f
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
stxa %g3, [%g3] 0x57
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
wrhpr %g0, 0x349, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe61fe188 ! 89: LDD_I ldd [%r31 + 0x0188], %r19
.word 0x35400001 ! 1: FBPUE fbue,a,pn %fcc0, <label_0x1>
.word 0x8d90344f ! 93: WRPR_PSTATE_I wrpr %r0, 0x144f, %pstate
.word 0xc19fe100 ! 97: LDDFA_I ldda [%r31, 0x0100], %f0
memptr_4_54:
set user_data_start, %r31
.word 0x858530a1 ! 101: WRCCR_I wr %r20, 0x10a1, %ccr
.word 0xe677c000 ! 105: STX_R stx %r19, [%r31 + %r0]
.word 0xe677e196 ! 109: STX_I stx %r19, [%r31 + 0x0196]
.word 0x3c800002 ! 113: BPOS bpos,a <label_0x2>
.word 0xe19fdc00 ! 117: LDDFA_R ldda [%r31, %r0], %f16
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_4_62) , 16, 16)) -> intp(1,0,26,*,952,*,b5,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_4_62)&0xffffffff) , 16, 16)) -> intp(1,0,13,*,936,*,b5,1)
#else
nop
nop
set 0xa03014ed, %r28 !TTID : 4 (mask2tid(0x4))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(4,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
ifelse(4,mask2tid(0x4),`.align 16')
stxa %r28, [%g0] 0x73
#endif
intvec_4_62:
.word 0x91a409ca ! 121: FDIVd fdivd %f16, %f10, %f8
.word 0xd0800c00 ! 125: LDUWA_R lduwa [%r0, %r0] 0x60, %r8
demap_4_64:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x32800001 ! 1: BNE bne,a <label_0x1>
stxa %g3, [%g3] 0x5f
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
stxa %g3, [%g3] 0x57
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
stxa %g3, [%g3] 0x57
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
stxa %g3, [%g3] 0x57
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
stxa %g3, [%g3] 0x5f
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
stxa %g3, [%g3] 0x57
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
wrhpr %g0, 0xd4b, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd01fe0d4 ! 129: LDD_I ldd [%r31 + 0x00d4], %r8
cancelint_4_66:
rdhpr %halt, %r9
.word 0x85880000 ! 133: ALLCLEAN <illegal instruction>
jmptr_4_68:
nop
nop
best_set_reg(0xe0a00000, %r20, %r27)
.word 0xb7c6c000 ! 137: JMPL_R jmpl %r27 + %r0, %r27
dvapa_4_70:
nop
nop
ta T_CHANGE_HPRIV
mov 0xb68, %r20
mov 0x0, %r19
sllx %r20, 23, %r20
or %r19, %r20, %r19
stxa %r19, [%g0] ASI_LSU_CONTROL
mov 0x38, %r18
stxa %r31, [%r18]0x58
wrhpr %g0, 0x70a, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xc19fdd40 ! 141: LDDFA_R ldda [%r31, %r0], %f0
memptr_4_73:
set 0x60340000, %r31
.word 0x8581e7f6 ! 145: WRCCR_I wr %r7, 0x07f6, %ccr
nop
nop
mov 0x1, %r11
splash_cmpr_4_75:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x300, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_4_75)+8 , 16, 16)) -> intp(0,0,10,*,952,*,ef,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_4_75)&0xffffffff)+8 , 16, 16)) -> intp(0,0,11,*,976,*,ef,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 149: SIAM siam 1
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_4_77:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_4_77-donret_4_77+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
andn %r11, %r10, %r11 ! low VA tnpc
wrpr %g0, 0x1, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00fd5000 | (0x82 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x15dd, %htstate
wrhpr %g0, 0xcd2, %hpstate ! rand=1 (4)
ldx [%r11+%r0], %g1
done
donretarg_4_77:
.word 0x93a089d1 ! 153: FDIVd fdivd %f2, %f48, %f40
br_longdelay2_4_79:
.word 0x2ccc4001 ! 1: BRGZ brgz,a,pt %r17,<label_0xc4001>
.word 0x19400001 ! 157: FBPUGE fbuge
memptr_4_81:
set user_data_start, %r31
.word 0x8581e6a1 ! 161: WRCCR_I wr %r7, 0x06a1, %ccr
.word 0x8d802004 ! 165: WRFPRS_I wr %r0, 0x0004, %fprs
.word 0xa3a489d0 ! 169: FDIVd fdivd %f18, %f16, %f48
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_4_90:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_4_90-donret_4_90), %r12
add %r12, 0x8, %r11 ! nonseq tnpc
wrpr %g0, 0x1, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00d45200 | (0x8b << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0xd0b, %htstate
wrhpr %g0, 0xf40, %hpstate ! rand=1 (4)
retry
donretarg_4_90:
.word 0x91a189c4 ! 173: FDIVd fdivd %f6, %f4, %f8
nop
nop
set 0x14c0ee8b, %r28 !TTID : 6 (mask2tid(0x4))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(6,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(6,mask2tid(0x4),`.align 16')
stxa %r28, [%g0] 0x73
intvec_4_93:
.word 0x39400001 ! 177: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
splash_hpstate_4_94:
.word 0x2ccc8001 ! 1: BRGZ brgz,a,pt %r18,<label_0xc8001>
.word 0x8198269f ! 181: WRHPR_HPSTATE_I wrhpr %r0, 0x069f, %hpstate
nop
nop
ta T_CHANGE_HPRIV
mov 0x1, %r11
splash_cmpr_4_96:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x700, %r10
#endif
and %r10, %r11, %r10
wrhpr %r10, %g0, %hsys_tick_cmpr
wrhpr %g0, 0x0, %halt ! HALT
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x250, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_4_96)+8 , 16, 16)) -> intp(1,0,27,*,944,*,cf,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_4_96)&0xffffffff)+8 , 16, 16)) -> intp(5,0,15,*,920,*,cf,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81983fc7 ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1fc7, %hpstate
.word 0x81b01021 ! 185: SIAM siam 1
jmptr_4_98:
nop
nop
best_set_reg(0xe1a00000, %r20, %r27)
.word 0xb7c6c000 ! 189: JMPL_R jmpl %r27 + %r0, %r27
nop
nop
set 0xb06072ed, %r28 !TTID : 2 (mask2tid(0x4))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(2,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(2,mask2tid(0x4),`.align 16')
stxa %r28, [%g0] 0x73
intvec_4_101:
.word 0xa9a2c9d2 ! 193: FDIVd fdivd %f42, %f18, %f20
memptr_4_104:
set 0x60140000, %r31
.word 0x858071fb ! 197: WRCCR_I wr %r1, 0x11fb, %ccr
jmptr_4_106:
nop
nop
best_set_reg(0xe0a00000, %r20, %r27)
.word 0xb7c6c000 ! 201: JMPL_R jmpl %r27 + %r0, %r27
cancelint_4_108:
rdhpr %halt, %r12
.word 0x85880000 ! 205: ALLCLEAN <illegal instruction>
.word 0xd337e050 ! 209: STQF_I - %f9, [0x0050, %r31]
splash_hpstate_4_110:
.word 0x24cc4002 ! 1: BRLEZ brlez,a,pt %r17,<label_0xc4002>
.word 0x819824dc ! 213: WRHPR_HPSTATE_I wrhpr %r0, 0x04dc, %hpstate
.word 0x9f8032ed ! 217: SIR sir 0x12ed
br_badelay2_4_114:
.word 0x34800002 ! 1: BG bg,a <label_0x2>
allclean
.word 0xa1b40310 ! 221: ALIGNADDRESS alignaddr %r16, %r16, %r16
.word 0xe1bfdb40 ! 225: STDFA_R stda %f16, [%r0, %r31]
demap_4_116:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x3f400001 ! 1: FBPO fbo,a,pn %fcc0, <label_0x1>
stxa %g3, [%g3] 0x57
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
stxa %g3, [%g3] 0x5f
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
stxa %g3, [%g3] 0x5f
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
wrhpr %g0, 0xc19, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd61fe070 ! 229: LDD_I ldd [%r31 + 0x0070], %r11
cancelint_4_118:
rdhpr %halt, %r12
.word 0x85880000 ! 233: ALLCLEAN <illegal instruction>
demap_4_119:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x57
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
wrhpr %g0, 0x71a, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd21fe124 ! 237: LDD_I ldd [%r31 + 0x0124], %r9
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_4_120:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_4_120-donret_4_120), %r12
add %r12, 0x8, %r11 ! nonseq tnpc
wrpr %g0, 0x1, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x000e1a00 | (48 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x2dc, %htstate
best_set_reg(0x74b, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (4)
ldx [%r12+%r0], %g1
retry
donretarg_4_120:
.word 0x2acd0001 ! 241: BRNZ brnz,a,pt %r20,<label_0xd0001>
splash_lsu_4_122:
nop
nop
ta T_CHANGE_HPRIV
set 0xcfb8123f, %r2
mov 0x4, %r1
sllx %r1, 32, %r1
or %r1, %r2, %r2
.word 0x24800001 ! 1: BLE ble,a <label_0x1>
stxa %r2, [%r0] ASI_LSU_CONTROL
ta T_CHANGE_NONHPRIV
.word 0x1d400001 ! 245: FBPULE fbule
cancelint_4_125:
rdhpr %halt, %r17
.word 0x85880000 ! 249: ALLCLEAN <illegal instruction>
.word 0xd327e0ae ! 253: STF_I st %f9, [0x00ae, %r31]
.word 0xd2800b40 ! 257: LDUWA_R lduwa [%r0, %r0] 0x5a, %r9
.word 0x9194c005 ! 261: WRPR_PIL_R wrpr %r19, %r5, %pil
brcommon3_4_136:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-4], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-0] ! Load common dest into dcache ..
ba,a .+12
.word 0xd337c000 ! 1: STQF_R - %f9, [%r0, %r31]
ba,a .+8
jmpl %r27+0, %r27
.word 0x8d903797 ! 265: WRPR_PSTATE_I wrpr %r0, 0x1797, %pstate
demap_4_138:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %g3, [%g3] 0x57
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x57
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x5f
stxa %g3, [%g3] 0x5f
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
stxa %g3, [%g3] 0x57
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
wrhpr %g0, 0xc93, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd21fe13c ! 269: LDD_I ldd [%r31 + 0x013c], %r9
.word 0x91928012 ! 273: WRPR_PIL_R wrpr %r10, %r18, %pil
.word 0xc19fdf20 ! 277: LDDFA_R ldda [%r31, %r0], %f0
dvapa_4_145:
nop
nop
ta T_CHANGE_HPRIV
mov 0x924, %r20
mov 0x18, %r19
sllx %r20, 23, %r20
or %r19, %r20, %r19
stxa %r19, [%g0] ASI_LSU_CONTROL
mov 0x38, %r18
stxa %r31, [%r18]0x58
wrhpr %g0, 0x5c0, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0x97702f41 ! 281: POPC_I popc 0x0f41, %r11
intveclr_4_146:
nop
nop
ta T_CHANGE_HPRIV
setx 0x531cf96a1084cad3, %r1, %r28
stxa %r28, [%g0] 0x72
.word 0x05400001 ! 285: FBPLG fblg
intveclr_4_148:
nop
nop
ta T_CHANGE_HPRIV
setx 0x0b6227f49bc406a7, %r1, %r28
stxa %r28, [%g0] 0x72
wrhpr %g0, 0x589, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0x25400001 ! 289: FBPLG fblg,a,pn %fcc0, <label_0x1>
nop
nop
mov 0x1, %r11
splash_cmpr_4_150:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
ta T_CHANGE_PRIV
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x700, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_4_150)+8 , 16, 16)) -> intp(5,0,26,*,984,*,47,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_4_150)&0xffffffff)+8 , 16, 16)) -> intp(3,0,2,*,688,*,47,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 293: SIAM siam 1
memptr_4_153:
set user_data_start, %r31
.word 0x8580e2cf ! 297: WRCCR_I wr %r3, 0x02cf, %ccr
splash_hpstate_4_156:
.word 0x819834cf ! 301: WRHPR_HPSTATE_I wrhpr %r0, 0x14cf, %hpstate
.word 0xa9450000 ! 305: RD_SET_SOFTINT rd %set_softint, %r20
.word 0xc32fe0f0 ! 309: STXFSR_I st-sfr %f1, [0x00f0, %r31]
brcommon3_4_162:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-4], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xe86fe180 ! 1: LDSTUB_I ldstub %r20, [%r31 + 0x0180]
ba,a .+8
jmpl %r27+0, %r27
.word 0x20800001 ! 313: BN bn,a <label_0x1>
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_4_166) , 16, 16)) -> intp(4,0,10,*,1016,*,b7,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_4_166)&0xffffffff) , 16, 16)) -> intp(7,0,0,*,712,*,b7,1)
#else
nop
nop
set 0x66607bb7, %r28 !TTID : 3 (mask2tid(0x4))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(3,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
ifelse(3,mask2tid(0x4),`.align 16')
stxa %r28, [%g0] 0x73
#endif
intvec_4_166:
#if (defined SPC || defined CMP1)
wrhpr %g0, 0x0, %halt ! HALT
#else
ifelse(3,mask2tid(0x4),`wrhpr %g0, 0x0, %halt ! HALT')
#endif
.word 0x97a049c9 ! 317: FDIVd fdivd %f32, %f40, %f42
br_badelay1_4_168:
.word 0x39400001 ! 1: FBPUGE fbuge,a,pn %fcc0, <label_0x1>
.word 0x33400002 ! 1: FBPE fbe,a,pn %fcc0, <label_0x2>
.word 0x26ca0001 ! 1: BRLZ brlz,a,pt %r8,<label_0xa0001>
normalw
.word 0xa1458000 ! 321: RD_SOFTINT_REG rd %softint, %r16
nop
nop
set 0xa9e0978f, %r28 !TTID : 7 (mask2tid(0x4))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(7,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(7,mask2tid(0x4),`.align 16')
stxa %r28, [%g0] 0x73
intvec_4_171:
.word 0xa5a509d1 ! 325: FDIVd fdivd %f20, %f48, %f18
.word 0xd80fe170 ! 329: LDUB_I ldub [%r31 + 0x0170], %r12
.word 0xd9e7dd40 ! 333: CASA_I casa [%r31] 0xea, %r0, %r12
brcommon3_4_178:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-0], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-0] ! Load common dest into dcache ..
ba,a .+12
.word 0xd9e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r12
ba,a .+8
jmpl %r27-4, %r27
.word 0xd9e7d100 ! 337: CASA_I casa [%r31] 0x88, %r0, %r12
.word 0xd91fc000 ! 341: LDDF_R ldd [%r31, %r0], %f12
iaw_4_183:
nop
nop
ta T_CHANGE_HPRIV
mov 8, %r18
rd %asi, %r12
wr %r0, 0x41, %asi
set sync_thr_counter4, %r23
#ifndef SPC
ldxa [%g0]0x63, %r8
and %r8, 0x38, %r8 ! Core ID
#ifndef PORTABLE_CORE
add %r8, %r23, %r23
#endif
#else
mov 0, %r8
#endif
mov 0x4, %r16
iaw_startwait4_183:
cas [%r23],%g0,%r16 !lock
brz,a %r16, continue_iaw_4_183
mov (~0x4&0xf), %r16
ld [%r23], %r16
iaw_wait4_183:
brnz %r16, iaw_wait4_183
ld [%r23], %r16
ba iaw_startwait4_183
mov 0x4, %r16
continue_iaw_4_183:
sllx %r16, %r8, %r16 !Mask for my core only
ldxa [0x58]%asi, %r17 !Running_status
wait_for_stat_4_183:
ldxa [0x50]%asi, %r13 !Running_rw
cmp %r13, %r17
bne,a %xcc, wait_for_stat_4_183
ldxa [0x58]%asi, %r17 !Running_status
stxa %r16, [0x68]%asi !Park (W1C)
ldxa [0x50]%asi, %r14 !Running_rw
wait_for_iaw_4_183:
ldxa [0x58]%asi, %r17 !Running_status
cmp %r14, %r17
bne,a %xcc, wait_for_iaw_4_183
ldxa [0x50]%asi, %r14 !Running_rw
iaw_doit4_183:
mov 0x38, %r18
iaw4_4_183:
setx common_target, %r20, %r19
or %r19, 0x1, %r19
stxa %r19, [%r18]0x50
stxa %r16, [0x60] %asi ! Unpark (W1S)
st %g0, [%r23] !clear lock
wr %r0, %r12, %asi ! restore %asi
wrhpr %g0, 0xcd1, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xa5b207cb ! 345: PDIST pdistn %d8, %d42, %d18
.word 0xe2d7c720 ! 349: LDSHA_R ldsha [%r31, %r0] 0x39, %r17
.word 0xc19fdf20 ! 353: LDDFA_R ldda [%r31, %r0], %f0
nop
nop
set 0x7e10c245, %r28 !TTID : 2 (mask2tid(0x4))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(2,mask2tid(0x4),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(2,mask2tid(0x4),`.align 16')
stxa %r28, [%g0] 0x73
intvec_4_189:
.word 0xa7a449c2 ! 357: FDIVd fdivd %f48, %f2, %f50
.word 0x8980000a ! 361: WRTICK_R wr %r0, %r10, %tick
brcommon3_4_195:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-0], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xe3e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r17
ba,a .+8
jmpl %r27-0, %r27
.word 0xe31fe100 ! 365: LDDF_I ldd [%r31, 0x0100], %f17
.word 0xe2800c40 ! 369: LDUWA_R lduwa [%r0, %r0] 0x62, %r17
cancelint_4_199:
rdhpr %halt, %r17
.word 0x85880000 ! 373: ALLCLEAN <illegal instruction>
.word 0xd60fc000 ! 377: LDUB_R ldub [%r31 + %r0], %r11
iaw_4_204:
nop
nop
ta T_CHANGE_HPRIV
mov 8, %r18
rd %asi, %r12
wr %r0, 0x41, %asi
set sync_thr_counter4, %r23
#ifndef SPC
ldxa [%g0]0x63, %r8
and %r8, 0x38, %r8 ! Core ID
#ifndef PORTABLE_CORE
add %r8, %r23, %r23
#endif
#else
mov 0, %r8
#endif
mov 0x4, %r16
iaw_startwait4_204:
cas [%r23],%g0,%r16 !lock
brz,a %r16, continue_iaw_4_204
mov (~0x4&0xf), %r16
ld [%r23], %r16
iaw_wait4_204:
brnz %r16, iaw_wait4_204
ld [%r23], %r16
ba iaw_startwait4_204
mov 0x4, %r16
continue_iaw_4_204:
sllx %r16, %r8, %r16 !Mask for my core only
ldxa [0x58]%asi, %r17 !Running_status
wait_for_stat_4_204:
ldxa [0x50]%asi, %r13 !Running_rw
cmp %r13, %r17
bne,a %xcc, wait_for_stat_4_204
ldxa [0x58]%asi, %r17 !Running_status
stxa %r16, [0x68]%asi !Park (W1C)
ldxa [0x50]%asi, %r14 !Running_rw
wait_for_iaw_4_204:
ldxa [0x58]%asi, %r17 !Running_status
cmp %r14, %r17
bne,a %xcc, wait_for_iaw_4_204
ldxa [0x50]%asi, %r14 !Running_rw
iaw_doit4_204:
mov 0x38, %r18
iaw2_4_204:
rdpr %tba, %r19
mov 0x102, %r20
sllx %r20, 5, %r20
add %r20, %r19, %r19
stxa %r19, [%r18]0x50
stxa %r16, [0x60] %asi ! Unpark (W1S)
st %g0, [%r23] !clear lock
wr %r0, %r12, %asi ! restore %asi
wrhpr %g0, 0xa89, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xc0bfde20 ! 381: STDA_R stda %r0, [%r31 + %r0] 0xf1
.word 0x8f902000 ! 1: WRPR_TL_I wrpr %r0, 0x0000, %tl
reduce_priv_lvl_4_208:
ta T_CHANGE_NONHPRIV ! macro
jmptr_4_209:
nop
nop
best_set_reg(0xe1a00000, %r20, %r27)
.word 0xb7c6c000 ! 389: JMPL_R jmpl %r27 + %r0, %r27
.word 0xd65fe1c0 ! 393: LDX_I ldx [%r31 + 0x01c0], %r11
cancelint_4_212:
rdhpr %halt, %r9
.word 0x85880000 ! 397: ALLCLEAN <illegal instruction>
nop
nop
ta T_CHANGE_PRIV
wrpr %g0, %g0, %gl
nop
nop
.text
setx join_lbl_0_0, %g1, %g2
jmp %g2
nop
fork_lbl_0_2:
wrhpr %g0, 0x940, %hpstate ! ta T_CHANGE_NONHPRIV
nop
nop
mov 0x1, %r11
splash_cmpr_2_0:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_2_0)+8 , 16, 16)) -> intp(2,0,11,*,736,*,1e,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_2_0)&0xffffffff)+8 , 16, 16)) -> intp(6,0,28,*,1000,*,1e,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 1: SIAM siam 1
intveclr_2_2:
nop
nop
ta T_CHANGE_HPRIV
setx 0x42b257b51fdc487d, %r1, %r28
stxa %r28, [%g0] 0x72
.word 0x25400001 ! 5: FBPLG fblg,a,pn %fcc0, <label_0x1>
.word 0xe027e14c ! 9: STW_I stw %r16, [%r31 + 0x014c]
.word 0x91948010 ! 13: WRPR_PIL_R wrpr %r18, %r16, %pil
frzptr_2_11:
nop
nop
best_set_reg(0x3cbc0000+0x1ffc, %r20, %r27)
ldx [%r27+0xc], %r20
jmpl %r27+4, %r27
.word 0xa17020a0 ! 1: POPC_I popc 0x00a0, %r16
best_set_reg(0x3cb80000+0x1ffc, %r20, %r27)
jmpl %r27, %r27
.word 0x20800001 ! 17: BN bn,a <label_0x1>
.word 0xe19fdf20 ! 21: LDDFA_R ldda [%r31, %r0], %f16
splash_hpstate_2_16:
.word 0x8198259f ! 25: WRHPR_HPSTATE_I wrhpr %r0, 0x059f, %hpstate
.word 0xe03fe190 ! 29: STD_I std %r16, [%r31 + 0x0190]
nop
nop
mov 0x1, %r11
splash_cmpr_2_20:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x100, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x700, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_2_20)+8 , 16, 16)) -> intp(7,0,1,*,712,*,a6,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_2_20)&0xffffffff)+8 , 16, 16)) -> intp(1,0,21,*,712,*,a6,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 33: SIAM siam 1
brcommon3_2_23:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-0], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xe06fe1f0 ! 1: LDSTUB_I ldstub %r16, [%r31 + 0x01f0]
ba,a .+8
jmpl %r27-4, %r27
.word 0xe09fc380 ! 37: LDDA_R ldda [%r31, %r0] 0x1c, %r16
nop
nop
mov 0x1, %r11
splash_cmpr_2_26:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x100, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x100, %r10
#else
add %r10, 0x700, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_2_26)+8 , 16, 16)) -> intp(0,0,9,*,704,*,5e,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_2_26)&0xffffffff)+8 , 16, 16)) -> intp(4,0,1,*,896,*,5e,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 41: SIAM siam 1
demap_2_28:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x2acb4001 ! 1: BRNZ brnz,a,pt %r13,<label_0xb4001>
stxa %g3, [%g3] 0x57
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x57
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
stxa %g3, [%g3] 0x5f
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
wrhpr %g0, 0xe18, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe01fe061 ! 45: LDD_I ldd [%r31 + 0x0061], %r16
.word 0x8980000a ! 49: WRTICK_R wr %r0, %r10, %tick
memptr_2_31:
set 0x60540000, %r31
.word 0x858076c3 ! 53: WRCCR_I wr %r1, 0x16c3, %ccr
.word 0x37400001 ! 1: FBPGE fbge,a,pn %fcc0, <label_0x1>
.word 0x8d903391 ! 57: WRPR_PSTATE_I wrpr %r0, 0x1391, %pstate
splash_hpstate_2_36:
.word 0x8198275d ! 61: WRHPR_HPSTATE_I wrhpr %r0, 0x075d, %hpstate
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_2_38:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_2_38-donret_2_38+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
andn %r12, %r10, %r12 ! low VA tpc
wrpr %g0, 0x1, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x009b4500 | (0x55 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x60f, %htstate
best_set_reg(0xb39, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (2)
retry
.align 1024
donretarg_2_38:
.word 0x81983c4d ! 65: WRHPR_HPSTATE_I wrhpr %r0, 0x1c4d, %hpstate
nop
nop
mov 0x1, %r11
splash_cmpr_2_39:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x250, %r10
#else
add %r10, 0x900, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_2_39)+8 , 16, 16)) -> intp(1,0,20,*,944,*,fe,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_2_39)&0xffffffff)+8 , 16, 16)) -> intp(1,0,17,*,672,*,fe,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 69: SIAM siam 1
demap_2_41:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %r17, [%r0] ASI_LSU_CONTROL
stxa %g3, [%g3] 0x57
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
stxa %g3, [%g3] 0x5f
wrhpr %g0, 0xb81, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe01fe074 ! 73: LDD_I ldd [%r31 + 0x0074], %r16
.word 0xe0d7df00 ! 77: LDSHA_R ldsha [%r31, %r0] 0xf8, %r16
cancelint_2_45:
rdhpr %halt, %r11
.word 0x85880000 ! 81: ALLCLEAN <illegal instruction>
cancelint_2_47:
rdhpr %halt, %r19
.word 0x85880000 ! 85: ALLCLEAN <illegal instruction>
demap_2_48:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x2d400001 ! 1: FBPG fbg,a,pn %fcc0, <label_0x1>
stxa %g3, [%g3] 0x57
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
stxa %g3, [%g3] 0x57
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
stxa %g3, [%g3] 0x57
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
stxa %g3, [%g3] 0x57
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
wrhpr %g0, 0x199, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xe61fe144 ! 89: LDD_I ldd [%r31 + 0x0144], %r19
.word 0x0ec98001 ! 1: BRGEZ brgez,pt %r6,<label_0x98001>
.word 0x8d903431 ! 93: WRPR_PSTATE_I wrpr %r0, 0x1431, %pstate
.word 0xe19fe080 ! 97: LDDFA_I ldda [%r31, 0x0080], %f16
memptr_2_54:
set user_data_start, %r31
.word 0x8584a68d ! 101: WRCCR_I wr %r18, 0x068d, %ccr
.word 0xe677c000 ! 105: STX_R stx %r19, [%r31 + %r0]
.word 0xe677e1bf ! 109: STX_I stx %r19, [%r31 + 0x01bf]
.word 0x3c800001 ! 113: BPOS bpos,a <label_0x1>
.word 0xc19fc2c0 ! 117: LDDFA_R ldda [%r31, %r0], %f0
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_62) , 16, 16)) -> intp(2,0,23,*,728,*,1f,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_62)&0xffffffff) , 16, 16)) -> intp(5,0,20,*,976,*,1f,1)
#else
nop
nop
set 0x7250b78b, %r28 !TTID : 7 (mask2tid(0x2))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(7,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
ifelse(7,mask2tid(0x2),`.align 16')
stxa %r28, [%g0] 0x73
#endif
intvec_2_62:
#if (defined SPC || defined CMP1)
wrhpr %g0, 0x0, %halt ! HALT
#else
ifelse(7,mask2tid(0x2),`wrhpr %g0, 0x0, %halt ! HALT')
#endif
.word 0x19400002 ! 121: FBPUGE fbuge
.word 0xd0800a60 ! 125: LDUWA_R lduwa [%r0, %r0] 0x53, %r8
demap_2_64:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x36800001 ! 1: BGE bge,a <label_0x1>
stxa %g3, [%g3] 0x57
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
stxa %g3, [%g3] 0x5f
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
stxa %g3, [%g3] 0x57
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
stxa %g3, [%g3] 0x5f
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
stxa %g3, [%g3] 0x57
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
stxa %g3, [%g3] 0x57
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
wrhpr %g0, 0xf89, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd01fe0e0 ! 129: LDD_I ldd [%r31 + 0x00e0], %r8
cancelint_2_66:
rdhpr %halt, %r17
.word 0x85880000 ! 133: ALLCLEAN <illegal instruction>
jmptr_2_68:
nop
nop
best_set_reg(0xe1200000, %r20, %r27)
.word 0xb7c6c000 ! 137: JMPL_R jmpl %r27 + %r0, %r27
dvapa_2_70:
nop
nop
ta T_CHANGE_HPRIV
mov 0x92f, %r20
mov 0x17, %r19
sllx %r20, 23, %r20
or %r19, %r20, %r19
stxa %r19, [%g0] ASI_LSU_CONTROL
mov 0x38, %r18
stxa %r31, [%r18]0x58
wrhpr %g0, 0x241, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xc09fda00 ! 141: LDDA_R ldda [%r31, %r0] 0xd0, %r0
memptr_2_73:
set 0x60540000, %r31
.word 0x85812133 ! 145: WRCCR_I wr %r4, 0x0133, %ccr
nop
nop
mov 0x1, %r11
splash_cmpr_2_75:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x250, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x550, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_2_75)+8 , 16, 16)) -> intp(0,0,22,*,928,*,86,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_2_75)&0xffffffff)+8 , 16, 16)) -> intp(0,0,6,*,744,*,86,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 149: SIAM siam 1
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_2_77:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_2_77-donret_2_77+4), %r12
add %r12, 0x4, %r11 ! seq tnpc
andn %r11, %r10, %r11 ! low VA tnpc
wrpr %g0, 0x1, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x00b24f00 | (0x4f << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x1196, %htstate
wrhpr %g0, 0x60b, %hpstate ! rand=1 (2)
ldx [%r11+%r0], %g1
done
donretarg_2_77:
.word 0xa3a1c9d1 ! 153: FDIVd fdivd %f38, %f48, %f48
br_longdelay2_2_79:
.word 0x15400001 ! 1: FBPUE fbue
.word 0x04cfc001 ! 157: BRLEZ brlez,pt %r31,<label_0xfc001>
memptr_2_81:
set user_data_start, %r31
.word 0x85827066 ! 161: WRCCR_I wr %r9, 0x1066, %ccr
.word 0x8d802000 ! 165: WRFPRS_I wr %r0, 0x0000, %fprs
ba,a skip_2_87
brlez,pn %r17, skip_2_87
.align 2048
skip_2_87:
.word 0xa1b444d2 ! 169: FCMPNE32 fcmpne32 %d48, %d18, %r16
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_2_90:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_2_90-donret_2_90), %r12
add %r12, 0x8, %r11 ! nonseq tnpc
wrpr %g0, 0x1, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x006d9100 | (57 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0xf13, %htstate
wrhpr %g0, 0x79b, %hpstate ! rand=1 (2)
retry
donretarg_2_90:
.word 0xa9a289d3 ! 173: FDIVd fdivd %f10, %f50, %f20
nop
nop
set 0xeef0d9cd, %r28 !TTID : 1 (mask2tid(0x2))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(1,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(1,mask2tid(0x2),`.align 16')
stxa %r28, [%g0] 0x73
intvec_2_93:
.word 0xa5b0c4d1 ! 177: FCMPNE32 fcmpne32 %d34, %d48, %r18
splash_hpstate_2_94:
.word 0x24800001 ! 1: BLE ble,a <label_0x1>
.word 0x8198275c ! 181: WRHPR_HPSTATE_I wrhpr %r0, 0x075c, %hpstate
nop
nop
ta T_CHANGE_HPRIV
mov 0x0, %r11
splash_cmpr_2_96:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x700, %r10
#endif
and %r10, %r11, %r10
wrhpr %r10, %g0, %hsys_tick_cmpr
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x200, %r10
#else
add %r10, 0x380, %r10
#endif
and %r10, %r11, %r10
.word 0xb380000a ! 1: WR_STICK_CMPR_REG_R wr %r0, %r10, %-
.word 0x81983d4f ! 1: WRHPR_HPSTATE_I wrhpr %r0, 0x1d4f, %hpstate
.word 0x81b01021 ! 185: SIAM siam 1
jmptr_2_98:
nop
nop
best_set_reg(0xe0200000, %r20, %r27)
.word 0xb7c6c000 ! 189: JMPL_R jmpl %r27 + %r0, %r27
nop
nop
set 0xed60c5f7, %r28 !TTID : 5 (mask2tid(0x2))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(5,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(5,mask2tid(0x2),`.align 16')
stxa %r28, [%g0] 0x73
intvec_2_101:
.word 0x97b504d0 ! 193: FCMPNE32 fcmpne32 %d20, %d16, %r11
memptr_2_104:
set 0x60140000, %r31
.word 0x8581a600 ! 197: WRCCR_I wr %r6, 0x0600, %ccr
jmptr_2_106:
nop
nop
best_set_reg(0xe1200000, %r20, %r27)
.word 0xb7c6c000 ! 201: JMPL_R jmpl %r27 + %r0, %r27
cancelint_2_108:
rdhpr %halt, %r9
.word 0x85880000 ! 205: ALLCLEAN <illegal instruction>
.word 0xd337e009 ! 209: STQF_I - %f9, [0x0009, %r31]
splash_hpstate_2_110:
.word 0x2c800002 ! 1: BNEG bneg,a <label_0x2>
.word 0x8198361d ! 213: WRHPR_HPSTATE_I wrhpr %r0, 0x161d, %hpstate
.word 0x9f80256e ! 217: SIR sir 0x056e
br_badelay2_2_114:
.word 0x34800001 ! 1: BG bg,a <label_0x1>
allclean
.word 0x97b28314 ! 221: ALIGNADDRESS alignaddr %r10, %r20, %r11
.word 0xe1bfda60 ! 225: STDFA_R stda %f16, [%r0, %r31]
demap_2_116:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
.word 0x06cb4002 ! 1: BRLZ brlz,pt %r13,<label_0xb4002>
stxa %g3, [%g3] 0x5f
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
stxa %g3, [%g3] 0x5f
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
stxa %g3, [%g3] 0x5f
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
wrhpr %g0, 0xad0, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd61fe084 ! 229: LDD_I ldd [%r31 + 0x0084], %r11
cancelint_2_118:
rdhpr %halt, %r9
.word 0x85880000 ! 233: ALLCLEAN <illegal instruction>
demap_2_119:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %r7, [%r0] ASI_LSU_CONTROL
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x5f
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
wrhpr %g0, 0x988, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd21fe1b4 ! 237: LDD_I ldd [%r31 + 0x01b4], %r9
nop
nop
ta T_CHANGE_HPRIV ! macro
donret_2_120:
rd %pc, %r12
mov HIGHVA_HIGHNUM, %r10
sllx %r10, 32, %r10
or %r12, %r10, %r12
add %r12, (donretarg_2_120-donret_2_120), %r12
add %r12, 0x8, %r11 ! nonseq tnpc
wrpr %g0, 0x2, %tl
wrpr %g0, %r12, %tpc
wrpr %g0, %r11, %tnpc
set (0x0021a500 | (16 << 24)), %r13
and %r12, 0xfff, %r14
sllx %r14, 32, %r14
or %r13, %r14, %r20
wrpr %r20, %g0, %tstate
wrhpr %g0, 0x1b97, %htstate
best_set_reg(0x14a0, %g1, %g2)
wrpr %g0, %g2, %pstate ! rand=0 (2)
ldx [%r12+%r0], %g1
retry
donretarg_2_120:
.word 0x29400001 ! 241: FBPL fbl,a,pn %fcc0, <label_0x1>
splash_lsu_2_122:
nop
nop
ta T_CHANGE_HPRIV
set 0x28f2fc53, %r2
mov 0x4, %r1
sllx %r1, 32, %r1
or %r1, %r2, %r2
.word 0x0d400001 ! 1: FBPG fbg
stxa %r2, [%r0] ASI_LSU_CONTROL
ta T_CHANGE_NONHPRIV
.word 0x3d400001 ! 245: FBPULE fbule,a,pn %fcc0, <label_0x1>
cancelint_2_125:
rdhpr %halt, %r9
.word 0x85880000 ! 249: ALLCLEAN <illegal instruction>
.word 0xd327e16c ! 253: STF_I st %f9, [0x016c, %r31]
.word 0xd28008a0 ! 257: LDUWA_R lduwa [%r0, %r0] 0x45, %r9
.word 0x9190800c ! 261: WRPR_PIL_R wrpr %r2, %r12, %pil
brcommon3_2_136:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-4], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-0] ! Load common dest into dcache ..
ba,a .+12
.word 0xd337c000 ! 1: STQF_R - %f9, [%r0, %r31]
ba,a .+8
jmpl %r27+0, %r27
.word 0x819837e5 ! 265: WRHPR_HPSTATE_I wrhpr %r0, 0x17e5, %hpstate
demap_2_138:
nop
mov 0x80, %g3
ta T_CHANGE_HPRIV
stxa %r8, [%r0] ASI_LSU_CONTROL
stxa %g3, [%g3] 0x57
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
stxa %g3, [%g3] 0x5f
stxa %g3, [%g3] 0x57
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
stxa %g3, [%g3] 0x57
stxa %g3, [%g3] 0x5f
stxa %g3, [%g3] 0x5f
.word 0xbfe7c000 ! 1: SAVE_R save %r31, %r0, %r31
stxa %g3, [%g3] 0x57
.word 0xbfefc000 ! 1: RESTORE_R restore %r31, %r0, %r31
.word 0x9d97c000 ! 1: WRPR_WSTATE_R wrpr %r31, %r0, %wstate
wrhpr %g0, 0x389, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xd21fe019 ! 269: LDD_I ldd [%r31 + 0x0019], %r9
.word 0x91914001 ! 273: WRPR_PIL_R wrpr %r5, %r1, %pil
.word 0xc19fdb40 ! 277: LDDFA_R ldda [%r31, %r0], %f0
dvapa_2_145:
nop
nop
ta T_CHANGE_HPRIV
mov 0xc67, %r20
mov 0xb, %r19
sllx %r20, 23, %r20
or %r19, %r20, %r19
stxa %r19, [%g0] ASI_LSU_CONTROL
mov 0x38, %r18
stxa %r31, [%r18]0x58
wrhpr %g0, 0xa91, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0xa5a4c9a1 ! 281: FDIVs fdivs %f19, %f1, %f18
intveclr_2_146:
nop
nop
ta T_CHANGE_HPRIV
setx 0x90cc4c9156984492, %r1, %r28
stxa %r28, [%g0] 0x72
.word 0x05400001 ! 285: FBPLG fblg
intveclr_2_148:
nop
nop
ta T_CHANGE_HPRIV
setx 0xbfc738c14d6899c3, %r1, %r28
stxa %r28, [%g0] 0x72
wrhpr %g0, 0x41, %hpstate ! ta T_CHANGE_NONHPRIV
.word 0x25400001 ! 289: FBPLG fblg,a,pn %fcc0, <label_0x1>
nop
nop
mov 0x1, %r11
splash_cmpr_2_150:
sllx %r11, 63, %r11
not %r11, %r11
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0x700, %r10
#endif
and %r10, %r11, %r10
rd %tick, %r10
#if (defined SPC || defined CMP1)
add %r10, 0x150, %r10
#else
add %r10, 0xc00, %r10
#endif
and %r10, %r11, %r10
.word 0xaf80000a ! 1: WR_TICK_CMPR_REG_R wr %r0, %r10, %-
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.splash_cmpr_2_150)+8 , 16, 16)) -> intp(5,0,10,*,656,*,43,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.splash_cmpr_2_150)&0xffffffff)+8 , 16, 16)) -> intp(0,0,11,*,992,*,43,1)
#endif
wrhpr %g0, 0x0, %halt ! HALT
.word 0x81b01021 ! 293: SIAM siam 1
memptr_2_153:
set user_data_start, %r31
.word 0x85806af2 ! 297: WRCCR_I wr %r1, 0x0af2, %ccr
splash_hpstate_2_156:
.word 0x81982ddd ! 301: WRHPR_HPSTATE_I wrhpr %r0, 0x0ddd, %hpstate
.word 0xa9450000 ! 305: RD_SET_SOFTINT rd %set_softint, %r20
.word 0xe9e7c3c0 ! 309: CASA_I casa [%r31] 0x1e, %r0, %r20
brcommon3_2_162:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-0], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-4] ! Load common dest into dcache ..
ba,a .+12
.word 0xe86fe1a0 ! 1: LDSTUB_I ldstub %r20, [%r31 + 0x01a0]
ba,a .+8
jmpl %r27+0, %r27
.word 0x81983a4f ! 313: WRHPR_HPSTATE_I wrhpr %r0, 0x1a4f, %hpstate
#if (defined SPC || defined CMP1)
!$EV trig_pc_d(1, expr(@VA(.MAIN.intvec_2_166) , 16, 16)) -> intp(7,0,8,*,680,*,d6,1)
!$EV trig_pc_d(1, expr((@VA(.MAIN.intvec_2_166)&0xffffffff) , 16, 16)) -> intp(4,0,21,*,896,*,d6,1)
#else
nop
nop
set 0x26a0bb1a, %r28 !TTID : 3 (mask2tid(0x2))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(3,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
ifelse(3,mask2tid(0x2),`.align 16')
stxa %r28, [%g0] 0x73
#endif
intvec_2_166:
#if (defined SPC || defined CMP1)
wrhpr %g0, 0x0, %halt ! HALT
#else
ifelse(3,mask2tid(0x2),`wrhpr %g0, 0x0, %halt ! HALT')
#endif
.word 0xa1b504d3 ! 317: FCMPNE32 fcmpne32 %d20, %d50, %r16
br_badelay1_2_168:
.word 0xe1e7d140 ! 1: CASA_I casa [%r31] 0x8a, %r0, %r16
.word 0x2accc001 ! 1: BRNZ brnz,a,pt %r19,<label_0xcc001>
.word 0x33400001 ! 1: FBPE fbe,a,pn %fcc0, <label_0x1>
normalw
.word 0xa9458000 ! 321: RD_SOFTINT_REG rd %softint, %r20
nop
nop
set 0xd9807c46, %r28 !TTID : 4 (mask2tid(0x2))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(4,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(4,mask2tid(0x2),`.align 16')
stxa %r28, [%g0] 0x73
intvec_2_171:
.word 0x99a149c1 ! 325: FDIVd fdivd %f36, %f32, %f12
.word 0xd80fe060 ! 329: LDUB_I ldub [%r31 + 0x0060], %r12
brnz,pn %r2, skip_2_175
stxa %r11, [%r0] ASI_LSU_CONTROL
.word 0xa9a049c4 ! 1: FDIVd fdivd %f32, %f4, %f20
stxa %r13, [%r0] ASI_LSU_CONTROL
.align 1024
skip_2_175:
.word 0xd83fe008 ! 333: STD_I std %r12, [%r31 + 0x0008]
brcommon3_2_178:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-4], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-0] ! Load common dest into dcache ..
ba,a .+12
.word 0xd9e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r12
ba,a .+8
jmpl %r27-4, %r27
.word 0xd89fdf00 ! 337: LDDA_R ldda [%r31, %r0] 0xf8, %r12
.word 0xd91fc000 ! 341: LDDF_R ldd [%r31, %r0], %f12
.word 0xa3a2c9ab ! 345: FDIVs fdivs %f11, %f11, %f17
.word 0xe2d7c540 ! 349: LDSHA_R ldsha [%r31, %r0] 0x2a, %r17
.word 0xc19fdd40 ! 353: LDDFA_R ldda [%r31, %r0], %f0
nop
nop
set 0x3f206a61, %r28 !TTID : 2 (mask2tid(0x2))
#if (defined PORTABLE_CORE || MAX_THREADS == 8)
sethi %hi(0x3800), %r27
andn %r28, %r27, %r28
#ifdef PORTABLE_CORE
! Add CID to vector
ta T_CHANGE_HPRIV
ldxa [%g0]0x63, %r27
sllx %r27, 8, %r27
or %r27, %r28, %r28
#endif
#else
! Add CID IF tid matches
ifelse(2,mask2tid(0x2),`ta T_CHANGE_HPRIV;sethi %hi(0x3800), %r27;andn %r28, %r27, %r28;ldxa [%g0]0x63, %r27;sllx %r27, 8, %r27;or %r27, %r28, %r28 ')
#endif
sethi %hi(0x30000), %r27
andn %r28, %r27, %r28
ta T_CHANGE_HPRIV
ifelse(2,mask2tid(0x2),`.align 16')
stxa %r28, [%g0] 0x73
intvec_2_189:
.word 0xa3a089d1 ! 357: FDIVd fdivd %f2, %f48, %f48
.word 0x8980000a ! 361: WRTICK_R wr %r0, %r10, %tick
brcommon3_2_195:
nop
nop
setx common_target, %r12, %r27
lduw [%r27-0], %r12 ! Load common dest into dcache ..
stuw %r12, [%r27-0] ! Load common dest into dcache ..
ba,a .+12
.word 0xe3e7e000 ! 1: CASA_R casa [%r31] %asi, %r0, %r17
ba,a .+8
jmpl %r27-4, %r27
.word 0xe2bfdf00 ! 365: STDA_R stda %r17, [%r31 + %r0] 0xf8
.word 0xe2800c80 ! 369: LDUWA_R lduwa [%r0, %r0] 0x64, %r17
cancelint_2_199:
rdhpr %halt, %r11
.word 0x85880000 ! 373: ALLCLEAN <illegal instruction>
.word 0xd60fc000 ! 377: LDUB_R ldub [%r31 + %r0], %r11
.word 0xe19fdb20 ! 381: LDDFA_R ldda [%r31, %r0], %f16
.word 0x8f902000 ! 1: WRPR_TL_I wrpr %r0, 0x0000, %tl
reduce_priv_lvl_2_208:
ta T_CHANGE_NONHPRIV ! macro
jmptr_2_209:
nop
nop
best_set_reg(0xe0200000, %r20, %r27)
.word 0xb7c6c000 ! 389: JMPL_R jmpl %r27 + %r0, %r27
.word 0xd65fe0e0 ! 393: LDX_I ldx [%r31 + 0x00e0], %r11
cancelint_2_212:
rdhpr %halt, %r11
.word 0x85880000 ! 397: ALLCLEAN <illegal instruction>
nop
nop
ta T_CHANGE_PRIV
wrpr %g0, %g0, %gl
nop
nop
.text
setx join_lbl_0_0, %g1, %g2
jmp %g2
nop
fork_lbl_0_1:
#ifndef PORTABLE_CORE
#define SPU_8
#endif
#define MAX_TIMEOUT 0x002
#define WAIT_LOOP 0x100
.text
.global _t2_main
_t2_main:
setx 0x0000deadbeefbad0, %l5, %l4
!# Switch to hpriv mode
ta T_CHANGE_HPRIV
set 42, %g3
stxa %g3, [%g0] ASI_SPARC_PWR_MGMT
! Set up for PMU
set 0x31918d75, %g2
wr %g2, %g0, %pcr
setx 0xffffffb9ffffffac, %g2, %g7
wr %g7, %g0, %pic
! Get core ID & offset
#ifndef PORTABLE_CORE
ldxa [%g0]0x63, %o1
srlx %o1, 3, %o1
sllx %o1, 20, %o1 !! %o1 has core ID offset
#else
mov %g0, %o1
#endif
or %g0, 0x0, %g2 !# Operation Step
or %g0, 0x0, %g4 !# Operand Step
!# Execute Main Diag ..
!# Write address of data region to load from in MA_PA reg, and check
setx _t2_ma_operands, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
add %l7, 7, %l7 !# _t2_WARNING : Misaligned _t2_address
add %l7, %g4, %l7
wr %g0, 0x40, %asi
stxa %l7, [%g0 + 0x88] %asi
_t2_ma_load:
!# Write MAMEM address, start at 0
or %g0, 0x0, %l2
stxa %l2, [%g0 + 0x90] %asi
!# write MA_CTL
set 0x00020027, %l1
mov 0, %g7
sllx %g7, 18, %g7
or %l1, %g7, %l1
stxa %l1, [%g0 + 0x80] %asi !# LOAD
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x80] %asi, %l1
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
_t2_loop_0:
wrpr %g0, 0xe7, %pstate
!# write NPRIME
setx 0x245a5179bb1a6a70, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 2, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_0:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 39, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_1:
wrpr %g0, 0x81, %pstate
!# write NPRIME
setx 0xb0f9da75ee1eb10e, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 5, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_1:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x42, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_2:
wrpr %g0, 0x72, %pstate
!# write NPRIME
setx 0x87ba8f5a61f5ab32, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 0, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_2:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 32, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_3:
wrpr %g0, 1, %pstate
!# write NPRIME
setx 0x7d4f46edd49e5054, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 4, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_3:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xb6, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_4:
wrpr %g0, 0xc0, %pstate
!# write NPRIME
setx 0x277a5032feb1d004, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 2, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_4:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x64, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_5:
wrpr %g0, 0xc2, %pstate
!# write NPRIME
setx 0x1360490d97cddab9, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 7, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_5:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x57, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_6:
wrpr %g0, 22, %pstate
!# write NPRIME
setx 0x3a84af1a190c22e9, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 2, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_6:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x91, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_7:
wrpr %g0, 0x85, %pstate
!# write NPRIME
setx 0x3de915ff3bdf2741, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 10, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_7:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xc1, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_8:
wrpr %g0, 0x65, %pstate
!# write NPRIME
setx 0xe787ee72825236c9, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 7, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_8:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xd5, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_9:
wrpr %g0, 0xc4, %pstate
!# write NPRIME
setx 0x93475cec44127c6d, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 14, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_9:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xb7, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_10:
wrpr %g0, 0xb5, %pstate
!# write NPRIME
setx 0xd7c38f9161219b8c, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 1, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_10:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xe3, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_11:
wrpr %g0, 0xa5, %pstate
!# write NPRIME
setx 0xd44ccdddb670a72f, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 7, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_11:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x45, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_12:
wrpr %g0, 0xe1, %pstate
!# write NPRIME
setx 0x3673d25f663117f8, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 15, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_12:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 1, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_13:
wrpr %g0, 0x70, %pstate
!# write NPRIME
setx 0x66c311a8665d4467, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 0, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_13:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x94, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_14:
wrpr %g0, 3, %pstate
!# write NPRIME
setx 0xf4e721bee9ba2364, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 1, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_14:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x91, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_15:
wrpr %g0, 0xa5, %pstate
!# write NPRIME
setx 0x7e3cd7db8ff1a9da, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 14, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_15:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x42, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_16:
wrpr %g0, 0x73, %pstate
!# write NPRIME
setx 0x80db02003256561b, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 7, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_16:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xa2, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_17:
wrpr %g0, 0x57, %pstate
!# write NPRIME
setx 0xf45f9902036e72f2, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 1, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_17:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xb4, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_18:
wrpr %g0, 0x75, %pstate
!# write NPRIME
setx 0x1010ba9e00350885, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 5, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_18:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xa7, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_19:
wrpr %g0, 0xd6, %pstate
!# write NPRIME
setx 0x5c652d3146861e3a, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 2, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_19:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x91, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_20:
wrpr %g0, 0xa2, %pstate
!# write NPRIME
setx 0x3a953bb56804374d, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 6, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_20:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xd6, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_21:
wrpr %g0, 0xb2, %pstate
!# write NPRIME
setx 0xbc478df002af58af, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 13, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_21:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0x86, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_22:
wrpr %g0, 0xa6, %pstate
!# write NPRIME
setx 0xf19cd74c93ae0475, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 5, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_22:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xf6, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_23:
wrpr %g0, 0xe0, %pstate
!# write NPRIME
setx 0x0ccf2b7b97d390eb, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 7, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_23:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 1, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_24:
wrpr %g0, 0xf7, %pstate
!# write NPRIME
setx 0x4ddf70208b5fe1f4, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 14, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_24:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 35, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_25:
wrpr %g0, 0x51, %pstate
!# write NPRIME
setx 0xe9ee56b9e2be1477, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 11, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_25:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xa2, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_loop_26:
wrpr %g0, 5, %pstate
!# write NPRIME
setx 0x5d4671e82fefe9d2, %g7, %l2
stxa %l2, [%g0 + 0x98] %asi
setx _t2_ma_operations, %g6, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, 15, %i0 !# OPSELECT
umul %i0, 16, %i0
add %i0, %g2, %i0
!# write MA_ADDR
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x90] %asi
!# write MA_CTL
add %i0, 8, %i0
ldx [%l7 + %i0], %l1
stxa %l1, [%g0 + 0x80] %asi !# OPERATION
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait2_26:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x98] %asi, %l1 !# MA PA
ldxa [%g0 + 0x90] %asi, %l1 !# MA Addr
ldxa [%g0 + 0x88] %asi, %l1 !# MA PA
ldxa [%g0 + 0x80] %asi, %l1 !# MA CTL
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
rdhpr %halt, %g7
wrpr %g0, 0xd2, %pstate
add %g2, 288, %g2 !# Operation Step (16 * # _t2_of _t2_operations _t2_in _t2_queue)
add %g4, 164, %g4 !# Operand Step (_t2_always 164)
_t2_ma_store:
!# write MA_ADDR
or %g0, 0x00, %l2
stxa %l2, [%g0 + 0x90] %asi
!# Write result address into MA_PA reg
setx _t2_ma_results, %g7, %l6
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l6, %o1, %l6
#endif
#endif
add %l6, 13, %l6 !# _t2_WARNING : Misaligned _t2_address
stxa %l6, [%g0 + 0x88] %asi
!# write MA_CTL
set 0x00020157, %l1
mov 0, %g7
sllx %g7, 18, %g7
or %l1, %g7, %l1
stxa %l1, [%g0 + 0x80] %asi !# STORE
!# setup mask to check for INVOP
or %g0, 0x1, %l2
sllx %l2, 21, %l2
#! Try MA_SYNC operation...
_t2_wait3:
ldxa [%g0 + 0xA0] %asi, %l1
ldxa [%g0 + 0x80] %asi, %l1
andcc %l1, %l2, %l1
bne,pn %xcc, _t2_fail
nop
_t2_idle3:
#ifndef NO_MA_CHECK
!# do dummy loads into %g1
setx _t2_ma_results, %g7, %l7
#ifdef SPU_8
#if (MAX_THREADS > 8)
! Add core ID offset
or %l7, %o1, %l7
#endif
#endif
or %g0, %g0, %l3
!# setup loop count
or %g0, 164, %i0
_t2_more:
ldx [%l7+%l3], %l0 !# a[_t2_i]
addcc %i0, -1, %i0
bgt _t2_more
add %l3, 0x8, %l3 !# i++
#endif
b _t2_done_spu_ma_rand5
_t2_fail:
EXIT_BAD
nop
nop
_t2_done_spu_ma_rand5:
nop
nop
ta T_CHANGE_PRIV
wrpr %g0, %g0, %gl
nop
nop
join_lbl_0_0:
SECTION .MAIN
.text
diag_finish:
nop
nop
nop
ta T_CHANGE_HPRIV
#ifndef SPC
ldxa [%g0]0x63, %r8
and %r8, 0x38, %r8 ! Core ID
#else
mov %g0, %r8
#endif
!! Send interrupt to all threads in my core in case of halted threads.
intall_nomast:
mov 7, %r14
intall_loop_nomast:
add %r8, %r14, %r16
sllx %r16, 8, %r16
stxa %r16, [%g0]0x73
brnz %r14, intall_loop_nomast
dec %r14
#if (MULTIPASS > 0)
multipass_check:
rd %asi, %r12
wr %g0, ASI_SCRATCHPAD, %asi
ldxa [0x38]%asi, %r10
cmp %r10, MULTIPASS
inc %r10
stxa %r10, [0x38]%asi
wr %g0, %r12, %asi
bne fork_threads
wrpr %g0, %g0, %gl
#endif
finish_diag:
best_set_reg(HV_TRAP_BASE_PA, %r1, %r2)
wrhpr %g2, %g0, %htba
ta T_GOOD_TRAP
nop
nop
nop
.data
.xword 0x0
! fp data rs1, rs2, fsr, gsr quads ..
.global fp_data_quads
fp_data_quads:
.xword 0x0044000000000000
.xword 0x4028000000000000
.xword 0x0fc0400400000000
.xword 0x0000000000000000
.xword 0x0041000000000000
.xword 0x4022000000000000
.xword 0x0600800000000000
.xword 0x0000000000000000
.xword 0x0220000000000000
.xword 0x4140000000000000
.xword 0x4fc0400400000000
.xword 0x0000000000000000
.xword 0x4090000000000000
.xword 0x0090000000000000
.xword 0x0f80400800000000
.xword 0x0a00000000000000
.align 128
.global user_data_start
.data
user_data_start:
.xword 0xd136e6a6ce97639b
.xword 0xdb291ea815341c70
.xword 0x432d11fa5229183e
.xword 0xc615dfbe911bb15e
.xword 0xd839186e288df268
.xword 0x96099c8df480a779
.xword 0x1e94748fdc150eb5
.xword 0xf7d631c29734e4ca
.xword 0x81f2bc236b47d17a
.xword 0x63c733c6248e91dc
.xword 0xc73d24076cf6f6a7
.xword 0x2ae49285ebe2ad42
.xword 0x61f7125b18f32b50
.xword 0x3f66db24ecfadcad
.xword 0xc637b9c34f34e02b
.xword 0xec51f582f752f840
.xword 0xd7957461d88a7e6b
.xword 0xc647ea15cdea7a6f
.xword 0xf1a2b7415f7c9004
.xword 0x900925ee4be60105
.xword 0x8e1319884ebbff52
.xword 0x81abde4c6db76bdf
.xword 0xd815b6bea136c04e
.xword 0x9fad9f1782930b1b
.xword 0x5d406285e844df1f
.xword 0xbfc844fcdc262dad
.xword 0xc50c4f44bff924fa
.xword 0xb391ae64f35ecb86
.xword 0xa91cea7736b8e137
.xword 0xa01f704aff471321
.xword 0x61435b7451634402
.xword 0xd6f3a5570189a904
.xword 0x47946a00199ef9a3
.xword 0x8291cf8104b0b55a
.xword 0xe2748041cc066027
.xword 0x20dd30ee94902833
.xword 0xf28286f240d3b63d
.xword 0xd2a8bdf50a5aa035
.xword 0xafc28f7f40d9e4ae
.xword 0x9f04fffe28f206df
.xword 0x157607836835c13d
.xword 0x30dda88ca058dfe0
.xword 0x7165a2b5573fb4a1
.xword 0xd420f065b6e34ec0
.xword 0x32f4664b2c12ddd5
.xword 0xeac2c9f36117f308
.xword 0xb5ce2e202df72906
.xword 0x6c9636d4be24d8b2
.xword 0x5453b324f0be0081
.xword 0x8df3084b1919512d
.xword 0x6997a1984e3dd75c
.xword 0xa0c3765e3c6051e2
.xword 0xf3f64274da1ba114
.xword 0xe8b018eb17d0f8cf
.xword 0xc47a2eb3466dff84
.xword 0x3fa596b0e59db507
.xword 0xfc04553b001e7449
.xword 0x56c7c645cfc16123
.xword 0xaf1ba7fe6725f78a
.xword 0xbc286f820fb86846
.xword 0xbddbe4bf3635fac9
.xword 0xba6c7ebd71f02677
.xword 0xf3359c227673e98b
.xword 0x4a49d1205b4e7684
.xword 0x82192a79a9ff3faa
.xword 0x08467f12f1284a2f
.xword 0xd3b0ba4292eee621
.xword 0xcf1e37e95091b616
.xword 0xa316379e42dcedda
.xword 0x3ac3141a384b8c3c
.xword 0xb4eebef4053b5639
.xword 0xa3158ad887c5003b
.xword 0x43d6cd764afa9f73
.xword 0xee2a6abbd46a5399
.xword 0x4ee055ed3afe063a
.xword 0x55fe663b741f44c6
.xword 0x6b284499b7a9f3fb
.xword 0xfdd97e6aa054e834
.xword 0x570c1f6db3fb8578
.xword 0x93c45a83a5c8f989
.xword 0xd9e8e1a79590621d
.xword 0xfc95815c7e60867d
.xword 0xcb5466201baceceb
.xword 0x17e89b44a389b2f6
.xword 0x74f3858856e3868a
.xword 0x9fab45b7ec820b08
.xword 0x197a91fc15afbdc0
.xword 0xcf335fdc9432c0fd
.xword 0xbf0a7f2f23abb5b1
.xword 0xf28b35978404a886
.xword 0x60f63dc5d6b4cd18
.xword 0xb0105e1451e58042
.xword 0x1e9aff6ba57c03ed
.xword 0x00f62f9daf6706cc
.xword 0xac18720503df2f92
.xword 0x50d0db90ee554f29
.xword 0x372d17f2feb6b772
.xword 0x136a6746224614bd
.xword 0x6508bcba718bc39a
.xword 0x8f7082ee4aeca295
.xword 0x31f64f59743076e1
.xword 0x01ba2e97c4c1e4d9
.xword 0x352db5a3bab98cd9
.xword 0xf8df84f0c1a796d3
.xword 0x67c56fafdeb546db
.xword 0x5d54d3c4c29a8a95
.xword 0x8e41e48b26f08905
.xword 0x2bcd4cd532561d86
.xword 0x24884c7c691a2f8a
.xword 0x2468066efd25d5e1
.xword 0x4012cdc7cc04a841
.xword 0x86e79d07ac9846b2
.xword 0x73f4435667aae424
.xword 0x4a36a26eadcc5956
.xword 0x8618354db6f9b324
.xword 0x9860b75ecf1c1a8a
.xword 0x0aebbfa7f80e9c30
.xword 0x0eef3acd8363a1e9
.xword 0xc3b7f30e4649a0cb
.xword 0xcba1662a63302455
.xword 0xa0912a4d53a16095
.xword 0x315eb1c5de1c987b
.xword 0x2e22c707178861c1
.xword 0x475165fc176e1f8c
.xword 0xd298df9ab2305b5f
.xword 0xfda155f649cb64a6
.xword 0xec585440256bc36b
.xword 0xc17a97fd0d985a92
.xword 0x6dbe46da34b59542
.xword 0x9f1214390fe3079e
.xword 0x8ad0f8f2195718c9
.xword 0x888467891d6a46e2
.xword 0x02ed285756d35f34
.xword 0xdb8d2a464089c6a1
.xword 0xf092990b20bbb3b0
.xword 0xe1dc2f752ed6c7cf
.xword 0x8821d96f8e5ad3b2
.xword 0x23618713c8a20c90
.xword 0x1277d55edecdc87b
.xword 0x2deda35e1c8234cd
.xword 0xc379eb004f177a2a
.xword 0xcff688949404dc34
.xword 0x7ff9128626594a6b
.xword 0x1697e71ae78f1274
.xword 0x87ab3e42c1e74cef
.xword 0x373dd75a690f2ab8
.xword 0xdcb43ff7ed425d63
.xword 0x39a3e59397536e2e
.xword 0x211891f9077a8258
.xword 0xf89e6b2f236d9409
.xword 0xc9d30015d3f5d819
.xword 0x6aa9e457bebcf2d5
.xword 0x22cac26e3c0cb059
.xword 0xcd49a40d8c54cb6d
.xword 0x427ce85bb9947729
.xword 0xe82cec0e56727100
.xword 0x2a653df94ba8c5a8
.xword 0x360d7063b2abbc41
.xword 0xae95f0fc29e099ef
.xword 0x7e04b16a0d77703f
.xword 0x1b811c8455cb165c
.xword 0x71ca2fd5647311fc
.xword 0xf65c168c65bfbaee
.xword 0xa3b8a99ac4c5f1f0
.xword 0xaf3a7eae68f5ccb6
.xword 0x357bc986c79f9938
.xword 0x274b7269fddb45bb
.xword 0xd925abff2bed866e
.xword 0x8fc11e197ccfba71
.xword 0xf34c9c8d49d07e43
.xword 0x6e04d8176a057813
.xword 0x4a1e178ecf4293f1
.xword 0x8a7743044c6b2716
.xword 0x5670802eeb6fb920
.xword 0x20bedff6c5ab3675
.xword 0xe44defbf7f1168d4
.xword 0x7fb5eddbe1b57a9e
.xword 0x183580f831fb467e
.xword 0x8346884cad7aefa2
.xword 0x9279dec73fdae8b2
.xword 0x5a0863f10f798893
.xword 0xa46619ec5383c11f
.xword 0x6705901cbfee36c0
.xword 0xb6e4c99333150541
.xword 0x5261c0c248e5f396
.xword 0x6328fdc540c450df
.xword 0xa0e68e8e11b7d1c5
.xword 0xfd1cf9d514d446c6
.xword 0xe6abff97871d2b8b
.xword 0xe0220736d1d8d11c
.xword 0x7d61c648bfa5f06d
.xword 0xf3bf5ddd82d9869d
.xword 0x9f246e5e22157a73
.xword 0x90e63c12c3de6410
.xword 0x4d0abeafe5554c0e
.xword 0x54699f5f4597ef00
.xword 0x681ac45536b22883
.xword 0x3f2788ec150583aa
.xword 0x0eb92162aa5266e3
.xword 0xf976bbd9d8d34348
.xword 0xfe8474cce12c5b3d
.xword 0x172e440bc6731e65
.xword 0xa768783314cc8ce6
.xword 0x03e9a731e9355586
.xword 0x1df009a1bb1cf0a3
.xword 0xd635057aac9f3f04
.xword 0xa52444bc4ff9ab9a
.xword 0x774929f42add2d92
.xword 0x7ee67728b82e72f9
.xword 0xa90c9d1e4d1dd4d0
.xword 0xde9827627bffd73d
.xword 0x01f7428bb8993ab4
.xword 0x8b761e1c03938447
.xword 0xbedc686347d2d175
.xword 0xcaf13dacf55314f7
.xword 0xdce6d0de8d32887e
.xword 0x0d66c7a3a097a4d3
.xword 0x211b75851f085828
.xword 0x957ba1a7c36dd1ce
.xword 0x31a200a242f1dff7
.xword 0x7b15ade5eb9d3c1b
.xword 0xd939e4f51fa3f236
.xword 0x150eb64a0c26325d
.xword 0x9e7f6bafc613aa9c
.xword 0xb1bdbb8dffe52d3e
.xword 0x9e802ff11f2bef8d
.xword 0x2a982426cd1a1385
.xword 0x71f2b5f853fb945c
.xword 0x1dde73536e8ffd18
.xword 0x8ffc8a17738fad50
.xword 0x35ed00f6ca3c450c
.xword 0x514b7d98834183b9
.xword 0xa9641b55d987bbc8
.xword 0x880b96bb996fb507
.xword 0x41174750dcb3e9cd
.xword 0x977459573992c3d3
.xword 0xb81e8a6cbb1e12ba
.xword 0x61f96fafcdaab91d
.xword 0x3a4dc4f6c8fd60cf
.xword 0x9ffdbf4481925247
.xword 0x80b9f847acfd95de
.xword 0xc7a2de8c7ccfcd77
.xword 0xee09215c2f4ad09f
.xword 0x46fafe60c5607943
.xword 0x451e47418034551c
.xword 0xbea2cf47f4a7c603
.xword 0x956d9985df044df0
.xword 0x94d99ba6d8b45b5a
.xword 0xf0d07ce9f3e6ecb2
.xword 0x8f0ea64359c821da
.xword 0x0add8c9f3c24a305
.xword 0xff6b703f0c0e913f
.xword 0xff28021133d71a60
.xword 0x30dbc2d0db38f14c
.xword 0x6f5d72d6abb66858
.xword 0xa43b0c5e8bd7ffc3
SECTION .HTRAPS
.text
.global restore_range_regs
restore_range_regs:
wr %g0, ASI_MMU_REAL_RANGE, %asi
mov 1, %g1
sllx %g1, 63, %g1
ldxa [ASI_MMU_REAL_RANGE_0] %asi, %g2
or %g2 ,%g1, %g2
stxa %g2, [ASI_MMU_REAL_RANGE_0] %asi
ldxa [ASI_MMU_REAL_RANGE_1] %asi, %g2
or %g2 ,%g1, %g2
stxa %g2, [ASI_MMU_REAL_RANGE_1] %asi
ldxa [ASI_MMU_REAL_RANGE_2] %asi, %g2
or %g2 ,%g1, %g2
stxa %g2, [ASI_MMU_REAL_RANGE_2] %asi
ldxa [ASI_MMU_REAL_RANGE_3] %asi, %g2
or %g2 ,%g1, %g2
stxa %g2, [ASI_MMU_REAL_RANGE_3] %asi
retry
.global wdog_2_ext
SECTION .HTRAPS
.global wdog_2_ext
.global retry_with_base_tba
.global resolve_bad_tte
.text
resolve_bad_tte:
!if pc[63:15] matches tba, then relocated handler ..
rdpr %tpc, %r4
check_tba:
set 0x7fff, %r5
andn %r4, %r5, %r5 !clear 14:0
rdpr %tba, %r6 !compare pc[63:15] to tba
cmp %r5, %r6
bne,a not_a_reloc_handler
andn %r27, 0x1f, %r6
retry_with_base_tba:
best_set_reg(TRAP_BASE_VA, %r3, %r5)
cmp %r4, %r5
bz htrap_5_ext_done
set 0x7fff, %r3
and %r4, %r3, %r4
or %r5, %r4, %r4
wrpr %r4, %tpc
rdpr %tnpc, %r4
and %r4, %r3, %r4
or %r5, %r4, %r4
wrpr %r4, %tnpc
retry
!assume %r27 is where we came from ..
not_a_reloc_handler:
stxa %r27, [%r6] 0x57
add %r27, 8, %r27
wrpr %r27, %tnpc
done
htrap_5_ext:
rd %pc, %l2
inc %l3
add %l2, htrap_5_ext_done-htrap_5_ext, %l2
rdpr %tl, %l3
rdpr %tstate, %l4
rdhpr %htstate, %l5
or %l5, 0x4, %l5
inc %l3
wrpr %l3, %tl
wrpr %l2, %tpc
add %l2, 4, %l2
wrpr %l2, %tnpc
wrpr %l4, %tstate
wrhpr %l5, %htstate
retry
htrap_5_ext_done:
done
wdog_2_ext:
mov 0x1f, %l1
stxa %l1, [%g0] ASI_LSU_CTL_REG
! If TT != 2, then goto trap handler
rdpr %tt, %l1
cmp %l1, 0x2
bne wdog_2_goto_handler
nop
! else done
done
wdog_2_goto_handler:
rdhpr %htstate, %l3
and %l3, 0x4, %l3 ! If previously in hpriv mode, go to hpriv
brnz,a %l3, wdog_2_goto_handler_1
rdhpr %htba, %l3
srlx %l1, 7, %l3 ! Send priv sw traps to priv mode ..
cmp %l3, 0x2 ! 0x2 = priv sw trap, 0x3=hpriv sw trap ..
be,a wdog_2_goto_handler_1
rdpr %tba, %l3
rdhpr %htba, %l3
wdog_2_goto_handler_1:
sllx %l1, 5, %l1
add %l1, %l3, %l3
jmp %l3
nop
! Red mode other reset handler
! Get htba, and tt and make trap address
! Jump to trap handler ..
SECTION .RED_SEC
.global red_other_ext
.global wdog_red_ext
.text
red_other_ext:
! IF TL=6, shift stack by one ..
rdpr %tl, %l1
cmp %l1, 6
be start_tsa_shift
nop
continue_red_other:
mov 0x1f, %l1
stxa %l1, [%g0] ASI_LSU_CTL_REG
rdpr %tt, %l1
rdhpr %htstate, %l2
and %l2, 0x4, %l2 ! If previously in hpriv mode, go to hpriv
brnz,a %l2, red_goto_handler
rdhpr %htba, %l2
srlx %l1, 7, %l2 ! Send priv sw traps to priv mode ..
cmp %l2, 0x2 ! 0x2 = priv sw trap, 0x3=hpriv sw trap ..
be,a red_goto_handler
rdpr %tba, %l2
rdhpr %htba, %l2
red_goto_handler:
sllx %l1, 5, %l1
add %l1, %l2, %l2
rdhpr %htstate, %l1
andn %l1, 0x20, %l1
wrhpr %g0, %l1, %htstate
rdhpr %hpstate, %l1
jmp %l2
wrhpr %l1, 0x20, %hpstate
nop
wdog_red_ext:
! Shift stack down by 1 ...
rdpr %tl, %l1
cmp %l1, 6
bl wdog_end
start_tsa_shift:
mov 0x2, %l2
tsa_shift:
wrpr %l2, %tl
rdpr %tt, %l3
rdpr %tpc, %l4
rdpr %tnpc, %l5
rdpr %tstate, %l6
rdhpr %htstate, %l7
dec %l2
wrpr %l2, %tl
wrpr %l3, %tt
wrpr %l4, %tpc
wrpr %l5, %tnpc
wrpr %l6, %tstate
wrhpr %l7, %htstate
add %l2, 2, %l2
cmp %l2, %l1
ble tsa_shift
nop
tsa_shift_done:
dec %l1
wrpr %l1, %tl
wdog_end:
! If TT != 2, then goto trap handler
rdpr %tt, %l1
cmp %l1, 0x2
bne continue_red_other
nop
! else done
mov 0x1f, %l1
stxa %l1, [%g0] ASI_LSU_CTL_REG
done
SECTION .T_CWQ_DATA DATA_VA=0x11000000
attr_data {
Name = .T_CWQ_DATA
hypervisor
}
.data
.global _t1_user_data_start
_t1_user_data_start:
.global _t1_scratch_area
_t1_scratch_area:
.align 16
.global _t1_spu_op_array
_t1_spu_op_array:
.xword 5
.xword 5
.xword 2
.xword 6
.xword 7
.xword 4
.xword 4
.xword 1
.xword 7
.xword 3
.xword 1
.xword 1
.xword 2
.xword 3
.xword 4
.align 16
.global _t1_aes_cwd_array
_t1_aes_cwd_array:
.xword 0x40e000c01300000f
.xword 0x40e100401b00001f
.xword 0xc06000e01b00000f
.xword 0x40e000601500003f
.xword 0x40e000201800000f
.xword 0xc06100001000001f
.xword 0xc0e000201100003f
.xword 0x406100801b00003f
.xword 0x40e100a01900002f
.xword 0xc06100001100001f
.xword 0x406100801400000f
.xword 0xc0e000001b00001f
.xword 0xc0e000e01100003f
.xword 0x40e000e01b00002f
.xword 0x406100401900002f
.align 16
.global _t1_des_cwd_array
_t1_des_cwd_array:
.xword 0x406100600c00000f
.xword 0xc06000e00a000017
.xword 0x406100600d00000f
.xword 0xc06100800800000f
.xword 0x40e000000800000f
.xword 0xc060002008000007
.xword 0x406000a00800001f
.xword 0x40e100c008000007
.xword 0x4060006008000007
.xword 0x40e100400e000017
.xword 0xc0e000800a00001f
.xword 0x4060002008000007
.xword 0x40e000200c000017
.xword 0x4061006008000017
.xword 0x40e000200e00000f
.align 16
.global _t1_copy_cwd_array
_t1_copy_cwd_array:
.xword 0x206000c00000000e
.xword 0xa06100200000000c
.xword 0xa06100200000000f
.xword 0xa060008000000008
.xword 0xa061004000000009
.xword 0xa06100800000000d
.xword 0xa06100a000000000
.xword 0x206000c00000000b
.xword 0xa06100600000000c
.xword 0x206000e00000000b
.xword 0x206000600000000f
.xword 0xa060002000000003
.xword 0xa061000000000009
.xword 0x2060008000000008
.xword 0x2060000000000000
.align 16
.global _t1_crc_cwd_array
_t1_crc_cwd_array:
.xword 0x4163038400000009
.xword 0xc163018800000007
.xword 0xc16103c40000000a
.xword 0x4163012800000007
.xword 0xc16103e40000000a
.xword 0x4160016800000003
.xword 0xc16003240000000b
.xword 0x416001c800000002
.xword 0x416003c40000000a
.xword 0x416301a800000004
.xword 0xc16003640000000f
.xword 0x416001080000000f
.xword 0xc16103a40000000b
.xword 0xc16301280000000a
.align 16
.global _t1_hash_cwd_array
_t1_hash_cwd_array:
.xword 0x416005c200000015
.xword 0xc16008e10000000e
.xword 0xc163078100000039
.xword 0xc16107a30000000e
.xword 0xc163068100000022
.xword 0xc161134200000023
.xword 0xc16202230000003a
.xword 0x41610b210000000a
.xword 0x416103610000001a
.xword 0xc16105e10000001e
.xword 0xc1600ee100000040
.xword 0x416008410000001f
.xword 0xc16008a100000026
.xword 0x416009c10000000c
.xword 0x416307c10000002c
.align 16
.global _t1_hmac_cwd_array
_t1_hmac_cwd_array:
.xword 0x41620249000f0015
.xword 0xc1601167001f000c
.xword 0x41600229000f0018
.xword 0x41620a05000f001d
.xword 0xc1600385000f0031
.xword 0x41610b09000f0025
.xword 0x416202a9000f001f
.xword 0x41601aeb001f003d
.xword 0x416319a7001f0013
.xword 0xc1620eca0013002c
.xword 0x41600105000f0028
.xword 0xc16304a5000f0027
.xword 0xc1610745000f0011
.xword 0x41610f29000f003d
.xword 0x416207c9000f0038
.align 16
.global _t1_rc4_cwd_array
_t1_rc4_cwd_array:
.xword 0xc0e000c004000008
.xword 0xc0e1004004000005
.xword 0x40e0008004000003
.xword 0xc0e100c00400000b
.xword 0xc0e1006000000003
.xword 0x40e000000000000a
.xword 0xc0e0000004000009
.xword 0xc0e000c00000000d
.xword 0xc0e000e000000009
.xword 0x40e000200000000c
.xword 0x40e1006004000008
.xword 0xc0e0002004000000
.xword 0xc0e000200400000f
.xword 0xc0e100200000000f
.xword 0xc0e000a004000006
.global _t1_sslkey_cwd_array
.align 16
_t1_sslkey_cwd_array:
.xword 0x1060294000000000, 0
.xword 0x106028c000000000, 0
.xword 0x9060164000000000, 0
.xword 0x106003a000000000, 0
.xword 0x9060408000000000, 0
.xword 0x106013e000000000, 0
.xword 0x1060348000000000, 0
.xword 0x9060032000000000, 0
.xword 0x106037e000000000, 0
.xword 0x1060398000000000, 0
.xword 0x9060188000000000, 0
.xword 0x106002c000000000, 0
.xword 0x10600e6000000000, 0
.xword 0x1060252000000000, 0
.xword 0x1060138000000000, 0
.align 16
_t1_aes_key_array:
.xword 0xddfe1a2715f02643
.xword 0x542a231123314492
.xword 0x8e10e3dc3b5014e4
.xword 0x74c33c7ec42355d5
.xword 0xd08b75f38ffd8ced
.xword 0xc7022ae9e4a65b7b
.xword 0xae8bec22f1778642
.xword 0x4521db4e9efaeeb0
.xword 0xb77617c402e13642
.xword 0x8bcbdc93c12d34eb
.xword 0xfe4fc1cec81f9927
.xword 0xa719186778c22959
.xword 0xa8179cae02a958a6
.xword 0x96e257b905af8d0c
.xword 0xaddf98e10e0f9d05
.xword 0xf910667ddfaa0142
.xword 0xf342c32b726f2561
.xword 0x5563f673a3280c08
.xword 0x559c7c992855a457
.xword 0x4a8dd0e2509d063b
.xword 0xc431975a82373f90
.xword 0x5f4ddb4d396413d4
.xword 0x78384d37c45d2638
.xword 0x60398bfde3f0018a
.xword 0xa3290d1d6324d94f
.xword 0xbfd7469774500451
.xword 0x303ca1240ed2340e
.xword 0xe4d505f022b3a856
.xword 0x4fe4305ac153efad
.xword 0x2b3a8a9a184dff84
.xword 0xabbc20918b76643c
.xword 0x16eecf4367f1fe7e
.xword 0x589f4086f1abc88c
.xword 0x7bc97d27e84cb48f
.xword 0x7cd54c2f85785cca
.xword 0xab8e9d3113a893d9
.xword 0x53e5cbbe93bd8d31
.xword 0x2e35e4383979e552
.xword 0x1dbe342ef5120294
.xword 0x50d38c025ec863f9
.xword 0x9102a259f9d921a8
.xword 0x62ae5064c5b90423
.xword 0x3680746dd52e2434
.xword 0xb7b06842ffbf622d
.xword 0x53a6dd410c98c8f5
.xword 0xc802fbacf8e5bf8d
.xword 0x7989f7ed55a8142d
.xword 0x456bfeb5e411d2a6
.xword 0x8a820da1d3caeb5b
.xword 0xe88dfb8b45645933
.xword 0x80d3f36803133d45
.align 16
_t1_aes_iv_array:
.xword 0xdaf4aec30813e2bf
.xword 0x8c9dd498d399644a
.xword 0xfcf3b9129c1aa898
.xword 0xb3ef9f1b50c3108d
.xword 0xf290c80b9b9bd4b6
.xword 0x376916714eed11e5
.xword 0x12e50ece5b5a3f1b
.xword 0xfca6ada4a068583a
.xword 0xb4c406dfd5da52c7
.xword 0xa09d19186fff1b4c
.xword 0xfbed9c3ca652a6f8
.xword 0xe92c10dc85ceefc1
.xword 0xbd0f12e4e0b7412b
.xword 0xc41af35994008b03
.xword 0x924e38e858bf1bdb
.xword 0x04af20783fbe44a9
.xword 0x742e70758c7f3041
.xword 0xac4f1a9731889689
.xword 0xdd33f579fa6c1fc2
.xword 0x6b6c6044f756bd49
.xword 0x84edf2cc21d4fd8b
.xword 0xd9513ce019aedc36
.xword 0xe470dada6eb36a3f
.xword 0x051361c2eb10d799
.xword 0x6943099822f9e35a
.xword 0x4259b14d72b1d42f
.xword 0x48c227c741040fb2
.xword 0x6a0625b956980c6d
.xword 0x2d45c3bbb6275a29
.xword 0x8fb332a791fdda67
.xword 0xb51d3db3aff78e6a
.xword 0x6e22e3c40b0cc611
.xword 0x86cae8dcfc029fc1
.xword 0x559fad89f279a9a0
.xword 0xe281953b2f70b3b5
.xword 0x9bd5751f1ac72621
.xword 0x6ab6094e3c017cd8
.xword 0x7275aa05d57ba90c
.xword 0x683b3cd01f182068
.xword 0x04c48d152112e965
.xword 0x5e5cac19755cd5b3
.xword 0xe08584c4dbfac37f
.xword 0xd1a505a78bdf8353
.xword 0xf3f6573b268e5c26
.xword 0x5bd667e1787c5be5
.align 16
_t1_aes_alignment_array:
.xword 14
.xword 4
.xword 12
.xword 8
.xword 1
.xword 10
.xword 8
.xword 11
.xword 0
.xword 5
.xword 3
.xword 14
.xword 11
.xword 11
.xword 9
.xword 12
.xword 7
.xword 12
.xword 2
.xword 5
.xword 0
.xword 13
.xword 4
.xword 11
.xword 2
.xword 3
.xword 12
.xword 11
.xword 7
.xword 3
.xword 5
.xword 5
.xword 0
.xword 13
.xword 6
.xword 11
.xword 11
.xword 3
.xword 10
.xword 15
.xword 15
.xword 13
.xword 9
.xword 15
.xword 10
.xword 7
.xword 14
.xword 5
.xword 11
.xword 1
.xword 5
.xword 1
.xword 15
.xword 3
.xword 6
.xword 6
.xword 1
.xword 13
.xword 3
.xword 12
.xword 12
.xword 5
.xword 0
.xword 6
.xword 15
.xword 5
.xword 13
.xword 12
.xword 11
.xword 4
.xword 9
.xword 1
.xword 12
.xword 1
.xword 6
.xword 13
.xword 12
.xword 15
.xword 6
.xword 15
.xword 12
.xword 2
.xword 4
.xword 2
.xword 4
.xword 6
.xword 3
.xword 15
.xword 10
.xword 0
.xword 4
.xword 11
.xword 1
.xword 7
.xword 8
.xword 9
.xword 0
.xword 12
.xword 6
.xword 14
.xword 13
.xword 8
.xword 1
.xword 9
.xword 5
.align 16
_t1_aes_src:
.xword 0x3a358006a9d6f521
.xword 0x4ca1931d692df687
.xword 0x417773201a6104bd
.xword 0x4150e32899855a8f
.xword 0x0ca71bd51abf6b7b
.xword 0x404d77d3078bcdd4
.xword 0x8530e6a56a015eae
.xword 0xce1ba17c8e5319ab
.xword 0x186526315aa65898
.xword 0x618eeecb8a9fa87d
.xword 0x577ed5a4f5e79a68
.xword 0x384c05e0d0092031
.xword 0x783c1f482d5fe8b3
.xword 0x490518b2572c3873
.xword 0xcf49e5d9afca0460
.xword 0x3a92b42455b4488f
.xword 0xddf692e8f4f94ecb
.xword 0xcb46bdb43526d4e2
.xword 0xf3af7ebf5d0ed022
.xword 0xfe10e7c7807f6900
.xword 0xad9a7a3906e38c75
.xword 0xa22366346c199095
.xword 0xe0f5f202cd04af28
.xword 0xe23a226eefe752ad
.xword 0x6076a4c3efc74c16
.xword 0x405673d08d34afc7
.xword 0x3b0559f963f3a8d4
.xword 0xc4545d14a40838a4
.xword 0x009a44891f7d5f0a
.xword 0xd3a3d8da5725cbeb
.xword 0xf1b33c5a947ed0ef
.xword 0x9e7e2f1cf3493967
.xword 0x5a8353e3eaa8bbdc
.xword 0x520237dc1e0a0acd
.xword 0x0149663d78c9d339
.xword 0x01e33fbe5e8a56f3
.xword 0x32768c1e18f4e8e4
.xword 0x76fdf36d900f7d4c
.xword 0x1e7ce81746644ba8
.xword 0xc405581b9e47e3ff
.xword 0x133793c03a06b583
.xword 0x9f14897533919206
.xword 0x9b2e2bd2c9320a59
.xword 0x2bb099b4e413f945
.xword 0x5f6c9360be2230c5
.xword 0x2edc5d7125ca943d
.xword 0xa685b5ff0a2382bc
.xword 0xe55e8e39dbc017a7
.xword 0x4e8df2d840a42358
.xword 0xc4a3802be734d9f4
.xword 0x22c6ee1eb6c2852c
.xword 0x7c3c56659aedfa34
.xword 0x92d6b1a9822b7089
.xword 0x78ae21838e92246c
.xword 0x71a88ef8d70d4777
.xword 0xd6c7372ee366dd24
.xword 0x4bf71bdc102ba83e
.xword 0x1e9336693b14c16f
.xword 0x5edf1d878b88c987
.xword 0x51eefaceaf729d98
.xword 0xa143549333ae5866
.xword 0x595bee7355f2975c
.xword 0x83b1d3818ef8f900
.xword 0xd6b0fcc37864b6b6
.xword 0xbd922c8ccca73db7
.xword 0x9f754418576332bb
.xword 0x4c7085b1c64f4080
.xword 0xe61969df870fa085
.xword 0x5be5c07085447428
.xword 0x9b1d2400c1139bcb
.xword 0xa91d0661b5a50669
.xword 0xbe59f4aed789693d
.xword 0x804897eb86f7d8d2
.xword 0x962b092928946f13
.xword 0xc691a7c155185464
.xword 0x3f2bcc9699e73248
.xword 0x61151c52ceb22471
.xword 0x4365da290751fe65
.xword 0x2b316e0f1e93ee62
.xword 0x5723683ead163e87
.xword 0xbf1be53cfe7fc170
.xword 0x3c13503bfe9bf3d5
.xword 0xcf86526690e7d01a
.xword 0x118013d914d13de2
.xword 0xf32057fe948da58b
.xword 0xcc931e032422d68c
.xword 0xbed6f7bddfd69b41
.xword 0xa233746644b53cd6
.xword 0x60ae983b7bcee340
.xword 0xf8fc6bc80ee79805
.xword 0x25e6d918be416a37
.xword 0x0260b3b945a9375e
.xword 0xba03bb68c8233075
.xword 0x9778c9415b080724
.xword 0xa07518d4e22085d5
.xword 0x443f9fb169d8dfe9
.xword 0xe8a03beb258b7488
.xword 0x089d637a16dad280
.xword 0xb69dfe48a73889ce
.xword 0xff90b4e7bb1621bf
.xword 0xed780d82d663d47b
.xword 0x6370405cd20ed297
.xword 0x18571e969be47ec8
.xword 0x0c9d65dcbdd1ebf4
.xword 0xe16759386f14995b
.xword 0x0d126eda9669f0de
.xword 0x260f4578fdf27ba0
.xword 0x97d5ce3963d7cd55
.xword 0xa5103b730386df10
.xword 0x5e810c55c42b0c43
.xword 0xb76757d5ff3c88ad
.xword 0x9d86e8b3ae68495b
.xword 0xcbc32e39371a2b1b
.xword 0xe88ec203800636a1
.xword 0xf4ebd38d9a5dee74
.xword 0xd1789bd89484b01a
.xword 0x5022a5efa5e96ecc
.xword 0x9f959621dae1d0ef
.xword 0x74601ba2bb7ec50a
.xword 0x58ce7644617178e4
.xword 0x2d17ca691e72d1e3
.xword 0xf04f453c2db7b18d
.xword 0x9344093f356f69e5
.xword 0xe1dabd0bf1ca96ec
.xword 0x5de6b9599a440727
.xword 0x3c4bc8bf2a8dc7be
.xword 0x9f2ab3e380a09ff2
.xword 0xde59e0d07658de85
.xword 0x4bfebe61d7cb8541
.xword 0x1ee8546de993e3e7
.xword 0x2ddf5b8c7761629d
.xword 0xb03593a7411675a1
.xword 0x4b5253c8257c2924
.xword 0xfab0b0e096d1c64d
.xword 0x448ebfe0668e24e1
.xword 0x4bc141ed658a5afd
.xword 0x9d60d9042de52e65
.xword 0x9b7efd2f355ad72c
.xword 0xe77afdd6780de189
.xword 0x92d2128b4b6f4084
.xword 0x3cb829faa4721cac
.xword 0xd41965a4991e19bf
.xword 0x10af8ec2d3af9575
.xword 0xdc941709bc0b4011
.xword 0x03215cd7dac32aa8
.xword 0x39022533eb556127
.xword 0x3c8740cdc6f04a1e
.xword 0x2abf333e835602d2
.xword 0xa050d6045a43e4ea
.xword 0x7bec23a3bdecb061
.xword 0x4eb5ae86a084c333
.xword 0x1a48114d68c0191a
.xword 0x505a5a70665eed3c
.xword 0x41ccde0a99ccfc5a
.xword 0x7ee0700eb5f97bb2
.xword 0xc0afac85eafc78e9
.xword 0xf653c5e234372a1a
.xword 0x3d5b38290eb44b53
.xword 0x164eb3f206c7d045
.xword 0xe28c83d5d018489b
.xword 0x7e0cdf0b728e1e43
.xword 0x12e4896b2315351d
.xword 0xa6cb343b300a31cf
.xword 0x026a992910676153
.xword 0xfa75db8b810e954b
.xword 0x7fbc5efa2a6021f6
.xword 0xf62bd7330a28f492
.xword 0x5117ffe86c652f54
.xword 0x9019ae40bd196ee8
.xword 0x70fae21100561ce8
.xword 0x0e5e07182a0b8240
.xword 0x1f26a9d47c319e10
.xword 0xe3d91b619edd13e9
.xword 0xae8ec63f3694aacd
.xword 0x2405c533dac34957
.xword 0x9cc3b9d3b4c41b1b
.xword 0xfdadec289094803d
.xword 0xb35d372adab6f870
.xword 0x70deca63fbd96b54
.xword 0xfc5f8e93a3f996bd
.xword 0xb1bd45025fecb47b
.xword 0x52cc8a133d4a3435
.xword 0x19e80ad87d703c03
.xword 0x87182ff8b686f449
.xword 0xc1d26e9bd26c04fa
.xword 0x3bd48da3823e215e
.xword 0xf037a5300436bcd5
.xword 0x61b0bfd1c650585a
.xword 0x65f5cba9fcbbf953
.xword 0x1ab424146938677a
.xword 0x9347e206c87826be
.xword 0x60f2f88ca160a660
.xword 0x63d57c1962b8c10c
.xword 0x7e9c4a10ff1a4456
.xword 0x0fcd4691d745fe79
.xword 0x60101e2655e580b9
.xword 0xf79ef4e2a6019789
.xword 0xae0d40bc1fced793
.xword 0x14a7f7e11b3c824e
.xword 0x1647cc21393e7af4
.xword 0x56206d10dff3fd99
.xword 0xdaec95b468222bd4
.xword 0x444ff08b49ea26fe
.xword 0xb9832be29244fdfa
.xword 0x2b35bc051b709f99
.xword 0xb8270b14910083db
.xword 0x1ba426b78c973250
.xword 0xb86c1094641bd911
.xword 0x296e3a837a7b1299
.xword 0xe5441dcead29326a
.xword 0x7daf8a7b3e2db940
.xword 0x682bde620a760972
.xword 0x0015e6f34e5d7999
.xword 0x9fffc357c9ebe5ec
.xword 0xde546388be51c37e
.xword 0x68062dc89be9697f
.xword 0x59af28830382df42
.xword 0x818fee7637b61d12
.xword 0x96e833ecf36e8c54
.xword 0xeac42632140b4349
.xword 0xf9e5aa9388c3b298
.xword 0xbffd0ed134aa4467
.xword 0x867cfc3ddebaf056
.xword 0x01e6740fbebc6911
.xword 0x6a95d92ca25f66e1
.xword 0xb832404a12cf6ee9
.xword 0x493c37e4fcf5653f
.xword 0xb3a73bc53fe2cb59
.xword 0x09a46164bb316893
.xword 0x6419976f99b4f072
.xword 0x1e49272a905dc40f
.xword 0x7f90bc187407002f
.xword 0x88ba32430a25d259
.xword 0xdd741966486579a5
.xword 0xd401e1a93cd739f0
.xword 0xcef2fb3b18851710
.xword 0x230fb3093f916ea9
.xword 0xa66a269a47b0ab26
.xword 0x4bb129d9ecba9248
.xword 0x317173c5937e91cc
.xword 0x9a3f8ebcb2464983
.xword 0x4bbe4ee681d66f9b
.xword 0x2eb1aeb835beec4e
.xword 0xc53384a03c8357ee
.xword 0x627d3a1df9908c45
.xword 0x7192ddfef6effc3d
.xword 0x31a850b992709a02
.xword 0xc3749f3f3ed813ff
.xword 0x13abf8fc56f1b00b
.xword 0x320e5c1adf0b3591
.xword 0xb3cd6a5ee71fc302
.xword 0xa4f21a3fd78fcfa1
.xword 0xe11ee2b995a1aa9f
.xword 0x8a3f067c07d8f330
.xword 0x7a6d8623d84d63b1
.xword 0xfc44e439462993c6
.xword 0xe6352abc6b237253
.xword 0x2863b86ace1d0ec1
.xword 0x521993de8206d72e
.xword 0x6eab2dc58bbc8d9c
.xword 0x3d2e62a283663445
.xword 0xc65896ea69ccc7e0
.xword 0x9802dacbab014923
.xword 0x79e18d41b63e6387
.xword 0x8596e94b38a6141b
.xword 0x17f8779f30ad38d1
.xword 0xbc9f3775176add75
.xword 0x5eef06e817cfd507
.xword 0x7fd6ed5178d6b5d0
.xword 0xb9dcc9becce92982
.xword 0x7ae9408402f77393
.xword 0xe294f0f20a1b8de5
.xword 0x57ad092df2c8771e
.xword 0x86af1abae3ed0d62
.xword 0x57df4d3fb4fc0802
.align 16
_t1_aes_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_aes_auth_key:
.xword 0xd550d0cc4792c627
.xword 0x375d914ef2d481c2
.xword 0x8aff522d9916783b
.xword 0xc5a5052483cb19ca
.xword 0xc96885d7323ddeb3
.xword 0x0377c9a6057afcfd
.xword 0xf817e6852b7f306d
.xword 0x96435cf4f3e17021
.xword 0x7b7478925f451502
.xword 0x208f5a8a452331c4
.xword 0x919f8edf6f393406
.xword 0xd4a706815bc276fb
.xword 0xdd9293a3293e67a4
.xword 0x66b99517460e1827
.xword 0x2d48063d58cdc098
.xword 0xffc201cbfe54f7e7
.xword 0xae6918bd587a58af
.xword 0xa343ca6f57e71219
.xword 0x822c3eb67bd7b140
.xword 0x2e8d153fdb48f0ad
.xword 0x7da84655ae68ee84
.xword 0x4df2b1cd93617668
.xword 0x2ad9366c01759311
.align 16
_t1_aes_auth_iv:
.xword 0xcd74caff36d85ac2
.xword 0x966dfd3f1d96ec5b
.xword 0x754c3a1282cee135
.xword 0xbba5e08969b9cbe6
.xword 0x6dda6a9ae0dff697
.xword 0xc4cc07d4833ac4fc
.xword 0x8f1ea3ed5931e6e9
.xword 0x4cb33661f9267b3c
.xword 0x09e9b26248ed439f
.xword 0x7ed58cef654bc7f8
.xword 0xad5386fcaccf9719
.xword 0x3cd71283f7f851c7
.xword 0x49b4f1b936b249f9
.xword 0xd265e7e8f54272c9
.xword 0xe0d1e8ff9a5bac21
.xword 0x1a22d2a97b0f615f
.xword 0x49e6ac71feaebd63
.xword 0x171532066566425c
.xword 0xe78cba00a9702b88
.xword 0x835981dc12ff7b7e
.xword 0x2940e8403edfbc71
.xword 0x4718bd611cf22d23
.xword 0xf3b088e3673c5fbc
.align 16
_t1_aes_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_des_key_array:
.xword 0x19c331efd5f28793
.xword 0x070443eedbc4cef8
.xword 0x6871f54198c221aa
.xword 0x366866762071488b
.xword 0x3016c8bd9b4ef65f
.xword 0x0a3fd8e09c76fc49
.xword 0xba1b489841ca5cf7
.xword 0x9175fd55a1d155aa
.xword 0xc59926d00e64a6a6
.xword 0x581fdc7a7f0e8fe0
.xword 0x8c8c04e93eeec944
.xword 0x0f74161d14095042
.xword 0x2a7cb4179eb3c080
.xword 0x7600c1427d4afc5f
.xword 0x14fcaf05bca994da
.xword 0x5ed002f8ddbb9fbe
.xword 0x4040b4cba44f4a41
.xword 0xc9254a01191b0d03
.xword 0x8f8bf35197800b3f
.xword 0x7c4429de87fe048c
.xword 0x704e501c6b748653
.xword 0x7e785c597748d8e1
.xword 0x04ffb5c41566a5e3
.xword 0xeeb6928f34974d19
.xword 0x5eba7cab2e3ee9d1
.xword 0x93b3c88792e330fb
.xword 0xe1612bd43fb0860d
.xword 0xce4d0ee7cd6ff137
.xword 0xdadc60d25c19fb14
.xword 0xdb6173e377c184d0
.xword 0x20590c9c3f68b5f9
.xword 0x4f3324b781e18f16
.xword 0xe845605f81c029a1
.xword 0xe34e81f3c68ca9a6
.xword 0xae47c96e1abbdc5f
.xword 0x9538e819c8e94d6e
.xword 0xc6ef0004c4c8a99d
.xword 0x6342b049d6fb89f4
.xword 0xa6fab73163edadd1
.xword 0x564392c08939222a
.xword 0x9e62984def427b79
.xword 0x1c17fd68c6aba3e8
.xword 0xef8ab7eb608ac243
.xword 0x495f38f161a0761f
.xword 0x12f0cbe827119449
.xword 0x06ba5c2626efdb85
.xword 0xc0d690c340bb5d9d
.xword 0x8d87c8b89a58c7b8
.xword 0x1b634381b635c979
.xword 0xc9796ece1033d83c
.xword 0x7c1ced7593a7a192
.align 16
_t1_des_iv_array:
.xword 0xfb4e76e7ea46e050
.xword 0x220ed7f852221979
.xword 0x2cf823468c98b459
.xword 0x3db54f357a17e80b
.xword 0x87b4fc75e98d61d8
.xword 0xa23dea39aef6ccc8
.xword 0xae0811c3ac80bc19
.xword 0x5e07763d959e82cb
.xword 0x3a85a4e2b1c71a7e
.xword 0x84446fd0737e8327
.xword 0x5077a38a054ee1ff
.xword 0x1df61f0bcb139e91
.xword 0x2aa1e08e7ab57d2b
.xword 0x6babd1b4aedc9214
.xword 0x3d3e894b256221bf
.xword 0x4b5367e51deadff4
.xword 0x1eb5bcda8b51edc0
.xword 0xe8eef49d1b247822
.xword 0x334bea750b6361ad
.xword 0x53a75b18c745b46b
.xword 0x575e34738b5eff47
.xword 0x6e4c30fa9a5c190e
.xword 0x09529b7ea81bf1a0
.xword 0xb52e977a4f4a08fb
.xword 0x7c5b525211f35639
.xword 0x66ee0d87d4868c61
.xword 0x7dc0c529188a7d10
.xword 0x5c545b93c0495ef4
.xword 0xf267785fb0536692
.xword 0xcb65bb9d5a92efba
.xword 0xbe41ac590f7acddb
.xword 0xc420c76d33f41c47
.xword 0xfce3bda2094ffa21
.xword 0xf0a43c6f9c04a804
.xword 0x2190da479f1ef905
.xword 0x2e8dfbc1ef99cde0
.xword 0x0ac45eca5713bef4
.xword 0x218057eb839b4886
.xword 0xd50eed0c92d9c16b
.xword 0xe27642516896b3d9
.xword 0x51af5410f5b485e8
.xword 0x138b70bd1f39be50
.xword 0x8e520862c89535b8
.xword 0x4bff2ca27a941db5
.xword 0x138f531a5ac48950
.align 16
_t1_des_alignment_array:
.xword 11
.xword 1
.xword 6
.xword 11
.xword 0
.xword 4
.xword 11
.xword 3
.xword 2
.xword 2
.xword 6
.xword 0
.xword 0
.xword 10
.xword 15
.xword 8
.xword 12
.xword 5
.xword 10
.xword 4
.xword 3
.xword 2
.xword 6
.xword 7
.xword 8
.xword 6
.xword 7
.xword 15
.xword 1
.xword 7
.xword 8
.xword 14
.xword 13
.xword 9
.xword 2
.xword 9
.xword 3
.xword 0
.xword 10
.xword 0
.xword 2
.xword 1
.xword 9
.xword 9
.xword 3
.xword 11
.xword 12
.xword 13
.xword 1
.xword 15
.xword 0
.xword 3
.xword 5
.xword 14
.xword 14
.xword 14
.xword 1
.xword 6
.xword 5
.xword 7
.xword 2
.xword 14
.xword 15
.xword 14
.xword 8
.xword 0
.xword 8
.xword 14
.xword 9
.xword 2
.xword 12
.xword 9
.xword 6
.xword 11
.xword 10
.xword 8
.xword 12
.xword 6
.xword 10
.xword 0
.xword 7
.xword 12
.xword 14
.xword 15
.xword 7
.xword 12
.xword 11
.xword 8
.xword 11
.xword 9
.xword 4
.xword 8
.xword 15
.xword 11
.xword 2
.xword 9
.xword 1
.xword 8
.xword 6
.xword 13
.xword 4
.xword 15
.xword 7
.xword 10
.xword 12
.align 16
_t1_des_src:
.xword 0xa475a44a574f567e
.xword 0x66407fa6e91aabac
.xword 0x93701c637f3b3fc2
.xword 0x287f469e1620aeec
.xword 0x88655e444efc8a17
.xword 0xaab8d5f6645bfc21
.xword 0x4cc5caf6dd031685
.xword 0x0eb997137061dc13
.xword 0xb3b50019ffa962a4
.xword 0xfd79ce1ea41ef420
.xword 0xba2cc43dfae38ebf
.xword 0x71d714dd7983fa78
.xword 0x4aa9b87a403c67e3
.xword 0x62f67c3c602f046c
.xword 0x26b958cc1f57b9a8
.xword 0xe0ed96f8fd73401c
.xword 0x8a58d048158e6a83
.xword 0x5cec02abddd92926
.xword 0x5be3246f98628695
.xword 0x7950f7306ae9f639
.xword 0x75f19be90a6fed1e
.xword 0xe233f2780fdac155
.xword 0xc5ec2fded91fe097
.xword 0x162bbe16da4f826f
.xword 0xaebb6d9a871594f9
.xword 0x8647ac9a876a49e3
.xword 0x5847fd4a395d9b32
.xword 0xdf03980bf10dbe55
.xword 0xba9f4f4a31ff59fc
.xword 0x3d418b9006a620f2
.xword 0xff759ec17748f7ca
.xword 0x6288d585e246567e
.xword 0x269154a6fc509444
.xword 0xae50a402c1582830
.xword 0xefb20ead2a774020
.xword 0x21b5458fde9ed6d1
.xword 0x8cdbada2d2c114ce
.xword 0xfce7e237db0231d5
.xword 0xaf5b9e679b5950fb
.xword 0x20c54bc102882fac
.xword 0x9b73dd32b045ca46
.xword 0x52e9b0f3e01ff799
.xword 0x44923589e828938c
.xword 0xd428aca4ba80363c
.xword 0xb0f46a9f62382764
.xword 0xf5b3255436fd6cdc
.xword 0x385e83a36cb24e6e
.xword 0x277fedef820f1fde
.xword 0x33b1aa438bf37ff0
.xword 0x88a15344be12ddbd
.xword 0x16202b7a3cb208d5
.xword 0x689cfb24c8cd7205
.xword 0x3567b7a274eb0acd
.xword 0xeadc77b75ce4cf68
.xword 0x4071eac27c6c4445
.xword 0x95e325bdf6828e69
.xword 0x0f33b65bae78376f
.xword 0xaa5e89a248036d6f
.xword 0xec8d71452c45f531
.xword 0xac551fffdec465f8
.xword 0x8abdfce300fa6b31
.xword 0xb918fc16f1fee680
.xword 0x981685a8bcdc1497
.xword 0x28bcb790af4b5a8a
.xword 0x270b500d2dd448e5
.xword 0x917a16f742d88b3e
.xword 0x7142b9d982d34f95
.xword 0xef723fade99c09c6
.xword 0x18a8b36407911cda
.xword 0x0d76104b367ecebc
.xword 0xfda595329049623e
.xword 0x505e64b9d0ab6057
.xword 0xabe0bd56878575ea
.xword 0xb804e0b8971c8775
.xword 0xb7cc5d68bd304ba9
.xword 0xe053c037e5b622e7
.xword 0xec1049cd1db8e134
.xword 0x78bd1a3be890aca1
.xword 0x636cb1dad754b025
.xword 0x79b5d24466b1dfb6
.xword 0x7226f5e3d81c4e53
.xword 0xbd75832ca8f6df04
.xword 0xfb8f278c68568d17
.xword 0x8337c7c42363d3b5
.xword 0x25b14a049044bf89
.xword 0x5f51f5ac68f6cca6
.xword 0x3c030a9f521fd680
.xword 0x82be6f41c58f619c
.xword 0xf228b1c69c13d6c4
.xword 0x50cfb2c29f3c2aef
.xword 0x0a5bac2d0b9c2223
.xword 0xd639eb36b94b287c
.xword 0xaec5a439d3fac6ef
.xword 0xd0b51a1d2aae0b32
.xword 0xbf7c7bb0d3bba035
.xword 0x0dd1381170c798d6
.xword 0xba44af6d5b613a93
.xword 0x47a469c4bfc25194
.xword 0xc0d7e8bcd9f27854
.xword 0x5c20df29b2600180
.xword 0xcdc32b520b22e594
.xword 0x40881cb4422e6ced
.xword 0xeef414397f13e70e
.xword 0xef40703f4d7c8094
.xword 0xe16640a54a7ddc15
.xword 0x4f43154b36313398
.xword 0xfd8251e82b6733b0
.xword 0xd817f8720fe0cba4
.xword 0x8ec306d704364333
.xword 0xea9e7269682245f5
.xword 0x4d0a34bde66b15f6
.xword 0x20c2cd377c25f7bf
.xword 0xd1a2febcba4f83d3
.xword 0x7f255d4b91e4b4ac
.xword 0x64c6a1b95d7f3531
.xword 0xb38144b269965e05
.xword 0x3cd07051a2f69af9
.xword 0x3a913c6739a7d6bf
.xword 0x839d50c0032dda6d
.xword 0x516f59b720ca15dd
.xword 0x07a734f82cd329de
.xword 0x2de930d6b8c9cc75
.xword 0x01af64ff695c430d
.xword 0x7f3b1fc3110abff0
.xword 0x1139b51c8946606c
.xword 0xde92dfaecbd73410
.xword 0xd98206c875df45b4
.xword 0x97750edf8ab7997f
.xword 0x5f527d0362efd944
.xword 0x32cc5b13595f678d
.xword 0xadca931a98b4132c
.xword 0x7fd7a3b2e09585bf
.xword 0x015f28f2a5b76169
.xword 0x7ad56f202a32c1a0
.xword 0x63a6145ca6500b40
.xword 0xd6058857cf34202e
.xword 0xc2c0e1785f77b495
.xword 0x9f481b98bb72a37a
.xword 0xa6fa4b48e69c5600
.xword 0x897db06d233c14c4
.xword 0xc7707746050181cc
.xword 0xbbfca166e9f5cf10
.xword 0x938146728c7b735d
.xword 0x493db960de308c3f
.xword 0xa10e97f796fa51e3
.xword 0xc00101df6a988720
.xword 0x3175868b789e6a37
.xword 0x9355def524ca6628
.xword 0x8e3ba80423c1b60e
.xword 0xf514c47b1460afd8
.xword 0xcaf6d20bf7bf2900
.xword 0x0e1ea890bde3266f
.xword 0x1fc079c8ff7c1267
.xword 0x9eee68d7a378f910
.xword 0x985807b6d713c369
.xword 0xa961b7f84667f5ff
.xword 0x6b49330cc4f6cc61
.xword 0xc3d7298a1c4cc021
.xword 0xd6d731bcd0b3e119
.xword 0xfcedc08b1c735989
.xword 0x54156e24b9a5d38f
.xword 0x42cfe0eaa88e328a
.xword 0xd552a8b2aa679df0
.xword 0x6a3ab0f22c2269b7
.xword 0x24a3b439763f05a4
.xword 0x35fc7926e8e24575
.xword 0x0972507f673aec89
.xword 0xb89f01f79bcd627b
.xword 0xcdbc4935e28dbdb0
.xword 0x5b65ae0f28cdf3b3
.xword 0x85d4732077917a03
.xword 0xae89eacdc4e8edd0
.xword 0x5e092c79df52adc3
.xword 0xde43742b115c28ff
.xword 0xea2ff6972255965a
.xword 0xbb79262faab6d889
.xword 0xc9809c84674b6cf3
.xword 0xad1c7953be88c797
.xword 0xbfa819af19f16565
.xword 0xa4349552ea9f00b9
.xword 0xa7d46959869a018a
.xword 0x700b7f2486649df4
.xword 0x9a1a86a9d2b12bc2
.xword 0x6754a96b5c512e2c
.xword 0xb8a9bb0514104c74
.xword 0x8eb8b0ad5368595e
.xword 0xd12fddf1e7b664bf
.xword 0xcb5fe868e8e3856e
.xword 0x0b0b95a17105403a
.xword 0x5a685513393866fc
.xword 0xc8c585a048a11513
.xword 0x88427f89a6870401
.xword 0xac380e2ca7de7a4d
.xword 0x0b0abbecfc2e85c1
.xword 0x27c85fabd5da9dc3
.xword 0xbf09d83525b49010
.xword 0x4ee5f737f21e23bc
.xword 0xdc45468851e3edc6
.xword 0x9da3702e96d6b384
.xword 0x481692408933fe58
.xword 0xd1dc1de063c57f14
.xword 0x4561d2180783e3ff
.xword 0xdae2f4c0175cf812
.xword 0x227bfbd7808572f6
.xword 0x75f7e9e9aaf1f19e
.xword 0x22a38dbed89367bf
.xword 0xde1887b24bd9a4ac
.xword 0xc003ca088b034abe
.xword 0xf134417cac2aa1bd
.xword 0x5bf038ec5c1dd291
.xword 0x164cb6413f50ca22
.xword 0x1d98cd7e4a916b09
.xword 0x65844bb466d589aa
.xword 0x63ba0a13fb660252
.xword 0xbcbc812a0bea73a5
.xword 0x5e64cb577a4da7aa
.xword 0x3c11a5e6188dff96
.xword 0x0d19f178a517a64f
.xword 0x2ffba27ec25c9665
.xword 0xf94d73ecb7c804b4
.xword 0x6e5af8749beb0194
.xword 0xd45c1f62503f49cb
.xword 0x9e1d64a0a42d5ab3
.xword 0x39e98ec0472d5392
.xword 0x4dace265bd0f0488
.xword 0xe18a098af26abdbe
.xword 0x4c90838423a0d725
.xword 0xd7c5ca672e1490a3
.xword 0x80d0bb0ed9d8bb93
.xword 0x0ed26ea29ac5d140
.xword 0x4a87ee2d274a038f
.xword 0x2fdd08efb5502dde
.xword 0x6d5971947ecf84bd
.xword 0xafe021dfbcd3e2d4
.xword 0xf32c5a0c58d50109
.xword 0xa590b37ab6be3cbf
.xword 0x2a35c823c52c8a9e
.xword 0x739eb56ae476a41d
.xword 0x19fdc01a0ac6a270
.xword 0x4ef25fb4d6d409b6
.xword 0x3f31164f3f9ba27a
.xword 0x170d6f3d14ad680e
.xword 0xe3be65b63fe0322e
.xword 0x0777686ec033d41e
.xword 0x2037d5e86a5417cc
.xword 0xdd467d52f87ea160
.xword 0xb13cd9fec756474a
.xword 0x91707bf8b405ec3e
.xword 0x841d7dfb483fc38c
.xword 0x80948ec242570a5b
.xword 0xad1a80cae5509864
.xword 0xa7c1f29aa4a51ea8
.xword 0x64250b5df2df68be
.xword 0x44b63169f7ba9169
.xword 0x11701d64989aa120
.xword 0xc807e2f1c3777c03
.xword 0xe5f8f6d48e0aa1b6
.xword 0x3856326d6bd9b0d9
.xword 0xb5446d83bc00e151
.xword 0x45fd5095a193a822
.xword 0x3c174507f277a281
.xword 0xcfa3f34d471647ff
.xword 0x36df28caea580615
.xword 0xa02a9ef8ae467dfc
.xword 0x6f1755864e9f2d10
.xword 0xe9f294b9d905d3b2
.xword 0x92b9d4854992b802
.xword 0x03e5343dcdd0ed1b
.xword 0x77f7cf497444680c
.xword 0x9906ec34773a4d42
.xword 0x1b190eea4a2b371d
.xword 0xeb129a5cf551ad82
.xword 0xd7d06f07a4248d2c
.xword 0x2a020bb3f3c4ad3d
.xword 0x86a2bd00783bfdb6
.align 16
_t1_des_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_des_auth_key:
.xword 0xc2d5bd31ea5d6433
.xword 0x38dd7f84972a3745
.xword 0x395ce5194335cee7
.xword 0xa4feaba5b63dde14
.xword 0x8bc232107e8315af
.xword 0x01ec84d547e360b7
.xword 0xb6ded4bce1e0b539
.xword 0x577088b48f323b2f
.xword 0x4e2216b3ba9370c6
.xword 0x338ae1f8024c706c
.xword 0x7e5c90033dd4ddc6
.xword 0x7de6e477e238e74b
.xword 0x2abd948fe0d43dab
.xword 0x625b74ea897f36df
.xword 0x85b90b398c4ae316
.xword 0xb6be82b83ac3134c
.xword 0x39c8f6f449af23b3
.xword 0x8b2627f94423c398
.xword 0x3b273b070cbdc54b
.xword 0x822d93f3e34041e9
.xword 0xddf2ab8554e9affe
.xword 0x8df559ba3defcae2
.xword 0xc13cf65250eaa9dc
.align 16
_t1_des_auth_iv:
.xword 0x08458582a3756e3a
.xword 0x34d610b2928ff19e
.xword 0xda564016b1706590
.xword 0x9799e04e9dfa023e
.xword 0x95b1240c448e4c38
.xword 0x23299d161f7ebad9
.xword 0x5aa5e8e0cb9ab54d
.xword 0x84b7f0eeeac2c46c
.xword 0x49293703b4d11127
.xword 0x9266769fddfbfb45
.xword 0x48e3af989650260a
.xword 0x3180337a0e4628eb
.xword 0x6596c2bc94621f4b
.xword 0x46d7ccc964563a20
.xword 0x4dc7ba28d0ab8483
.xword 0xccfee17c049c1be6
.xword 0x9070b560be0e1094
.xword 0x9f1b6aeb5fd86bb4
.xword 0x2d5d8a54a3038b0a
.xword 0x309cc6f5a35301f6
.xword 0x585ea4f7ddc32c92
.xword 0x326e31528b91a9cb
.xword 0xc5d9ba8feaa217d5
.align 16
_t1_des_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_copy_key_array:
.xword 0xc71e26db0cdaf865
.xword 0x03b72b8c5b322469
.xword 0xdb56cdda63381a05
.xword 0x347a41f698e64857
.xword 0x1643c6b57b8ead44
.xword 0xa2854d429ec85828
.xword 0x1a23663e6290b344
.xword 0x634ee52fb6cbce73
.xword 0x29b766094e6f66f5
.xword 0x836adff14d86104a
.xword 0x7224610e6617af52
.xword 0x8a24ace371837879
.xword 0xff04da950e9e6c48
.xword 0x1e1d757731c2171b
.xword 0xd4161c2af1e82c11
.xword 0xc74ac7b867dd7e0a
.xword 0xd955ac02a19dc8c3
.xword 0xf38dafe989067ea1
.xword 0x6752f5f4cfc545bd
.xword 0xf648736eb6e3d331
.xword 0x80303c2099d70a1d
.xword 0x1887882dd92e3f10
.xword 0x172a8a9426bc063d
.xword 0x91c805824e06f93f
.xword 0xb3c78667f5269e31
.xword 0x2f81852dc77f8235
.xword 0xe195867641cd9490
.xword 0xc922a26216ce9f19
.xword 0xe71f2bfd00ec76fc
.xword 0xb2ce57eafb17b4a5
.xword 0x849cbbd35fa107cb
.xword 0xdfda2a175aa67ca4
.xword 0x872ad0a3dd72c300
.xword 0x95e6e8eafa2cc6fa
.xword 0x4438680c7612a179
.xword 0x6ecb5d4bfeeabefb
.xword 0x545d287f16a812d4
.xword 0xaa0ca1d7380b18a6
.xword 0x692270286ebca086
.xword 0xec5be47cde23c573
.xword 0x1121cf9cdbc0bf39
.xword 0xc0201ddbcd37b090
.xword 0x3a8935c643b28641
.xword 0x4abb2d8067b17c09
.xword 0x9b8f1cb7165610a6
.xword 0x5ea058c784580fcc
.xword 0xd229af5842de19f3
.xword 0x3cba5acdc1740272
.xword 0x71cf0b4a5ee3853d
.xword 0x02cbda7f76ce2c14
.xword 0x0f05859a2e15b3f4
.align 16
_t1_copy_iv_array:
.xword 0x41227104ebfe09e5
.xword 0x7c8f396a7fd42be1
.xword 0x1e969f6866011c6d
.xword 0xc9416529adcd93a7
.xword 0x5bdd2c3bd5228073
.xword 0x4f017f09fdda3dcf
.xword 0x993f9167c5eecdf7
.xword 0x1ddf39cd4de41e9d
.xword 0x8f5b19ff17f08632
.xword 0x95c930b320002060
.xword 0x6ab0f818b0d21e82
.xword 0x1111b86db1c6b710
.xword 0x4f75c293b4e47e3b
.xword 0x0e2d71ab6436e5f1
.xword 0xf79ed2780b6f99d9
.xword 0x604976e13c853fe0
.xword 0x1e6fae24cdae3f49
.xword 0x6c02b3fe41705def
.xword 0xc18a0c0bbf165263
.xword 0x0bf6ae23897ef1f6
.xword 0x2e82059b26d4e32f
.xword 0xc7538a7d3643532c
.xword 0xac519f965bd7e5db
.xword 0x4a07138530b5b4da
.xword 0x0d66bcd97bc4cffb
.xword 0x5f28f440b22353c6
.xword 0x20a9009b5717cadd
.xword 0x8ac9dea9ca15fe89
.xword 0xfbe515ca7016caa4
.xword 0x9443fb52a3da357f
.xword 0xbbaa086a2db5fbcc
.xword 0x9b75bcc351a3dde9
.xword 0x2e1a8b1a2dea1971
.xword 0xe6c608c9033d7882
.xword 0xd55151275d8f8dd2
.xword 0x49a69afd0a0f7069
.xword 0x0dc758933ff2d3e0
.xword 0x68257460735c095e
.xword 0xce7f07563e34f7e2
.xword 0x7cd7b3f5479d8a08
.xword 0x93dfe61b20bf2819
.xword 0x2c2007a1a037012d
.xword 0x05194742639660a4
.xword 0xe40433bb96710ed3
.xword 0x49a67132e65a4373
.align 16
_t1_copy_alignment_array:
.xword 11
.xword 2
.xword 4
.xword 8
.xword 11
.xword 13
.xword 7
.xword 5
.xword 14
.xword 5
.xword 0
.xword 2
.xword 4
.xword 4
.xword 8
.xword 3
.xword 6
.xword 12
.xword 5
.xword 9
.xword 7
.xword 14
.xword 13
.xword 9
.xword 13
.xword 2
.xword 9
.xword 10
.xword 1
.xword 11
.xword 13
.xword 0
.xword 13
.xword 11
.xword 13
.xword 11
.xword 6
.xword 6
.xword 3
.xword 9
.xword 15
.xword 1
.xword 7
.xword 11
.xword 6
.xword 8
.xword 15
.xword 12
.xword 13
.xword 14
.xword 7
.xword 4
.xword 1
.xword 2
.xword 0
.xword 8
.xword 13
.xword 10
.xword 0
.xword 10
.xword 15
.xword 12
.xword 12
.xword 12
.xword 0
.xword 6
.xword 12
.xword 13
.xword 13
.xword 0
.xword 2
.xword 9
.xword 15
.xword 7
.xword 1
.xword 0
.xword 13
.xword 1
.xword 2
.xword 0
.xword 13
.xword 3
.xword 9
.xword 15
.xword 8
.xword 0
.xword 15
.xword 4
.xword 5
.xword 2
.xword 5
.xword 15
.xword 15
.xword 15
.xword 4
.xword 14
.xword 0
.xword 11
.xword 5
.xword 3
.xword 7
.xword 13
.xword 5
.xword 14
.xword 3
.align 16
_t1_copy_src:
.xword 0x280b7468af20c3a5
.xword 0x8285c52e38d67afd
.xword 0x04271643f49f6f4e
.xword 0x591c43b58195ee7a
.xword 0x96370e4226376535
.xword 0x70475ddfa29645e7
.xword 0x04c9fa552b90bf89
.xword 0x5cb457cc885f1b56
.xword 0x0d0bda049ee9474a
.xword 0xbab7509bd7c4c0e8
.xword 0xe1cba173958399f4
.xword 0xf84e4874b543b9cb
.xword 0x080642396e1f549f
.xword 0x927840b3dce1eff8
.xword 0x3a30204272fff316
.xword 0xd55e375d0b61fb0c
.xword 0x0e482306000ad990
.xword 0xc31c49e644ce11c9
.xword 0x2791e44b46c5b68d
.xword 0x6f1fb146739e6d12
.xword 0xfef3a96426a53458
.xword 0x3bfddf88cd1c505b
.xword 0x8aa2644acc6d5167
.xword 0x5a0159324b47e707
.xword 0x223981e1f5925d9c
.xword 0xa771e85a2a3346a4
.xword 0x304d2bb92e191d9b
.xword 0xe7560dbfd7f145b7
.xword 0x8a5e9e875448fb51
.xword 0x50ce5598de24fa04
.xword 0x7481cf04b82305fa
.xword 0x539d351678ed7c02
.xword 0xe586edfae29241d1
.xword 0xb923091da4d67273
.xword 0x8f7666bfdc0f964e
.xword 0xfc070029a7d8ae3e
.xword 0xe087d170cb2f42f6
.xword 0xd762ff518a0c346b
.xword 0xc77a8867a4cca1df
.xword 0xec7572aaf944f876
.xword 0x099e887413ee4e9b
.xword 0x58094ebf89961ced
.xword 0x4d131f6b8be5df8d
.xword 0x4bf6a1d58844225f
.xword 0xa0e0ae3fa65070da
.xword 0x427c1aa90b7d5e20
.xword 0x7491e84d22729489
.xword 0x88bcc844bc5a7baf
.xword 0x9c240dc6ea8589e1
.xword 0x6e17c5b2c055490b
.xword 0x521b9010ca8dbaac
.xword 0x0c2fb66e62537dc2
.xword 0x82aabbc52d111e1c
.xword 0x20faf98f60807d3d
.xword 0x8398746cae08662e
.xword 0x13d66d7bca9a1910
.xword 0x404ebb9a48256940
.xword 0xd3b5ea0d758d9745
.xword 0xef948ecabb63c5ec
.xword 0x7b3395b4233b34db
.xword 0xb37b0dab0a9fc311
.xword 0xb92218a156efcf6a
.xword 0x5b0e8a84a71df5c2
.xword 0x08512271e5a6c04f
.xword 0x1bc1e52716f6fecc
.xword 0x84f8314f1c1c8c24
.xword 0x1cd8e66f8394fda5
.xword 0x7e3baa767a0d59f2
.xword 0x7792990ea60726da
.xword 0x172b4f789fe8f2dc
.xword 0xe4e72ce24e2de46d
.xword 0xf794716e4e907b91
.xword 0xac6d7762b8b05b56
.xword 0xda4f7a85cef674e6
.xword 0x0f246d0e63caeba3
.xword 0x5c073694dc39e83e
.xword 0x85e4b96cb91bc8cb
.xword 0x27caa11abfa0784f
.xword 0x45af53105f603edb
.xword 0x6f61c4621576ef20
.xword 0xe997786adbc8faea
.xword 0x20987577034331e0
.xword 0xe00d036a6ea0fa57
.xword 0x4e0d07320e338742
.xword 0x4d8b077b9afbd4d8
.xword 0x6cb5d84a2b206496
.xword 0xef0f3b4be25618e8
.xword 0x938d7ed3a7be3b4d
.xword 0xf7cd31ee661a45d7
.xword 0x40c2738ecadc1910
.xword 0x2c534481102ea215
.xword 0x68cdd31204dec2d0
.xword 0x3b4eecb6cbd4dcb4
.xword 0x23960404f17cf28e
.xword 0x4aeca3f73a32ba5d
.xword 0x6cfa15a4319d6ca7
.xword 0xa346ea24a326cfe2
.xword 0x967dc8b73e250998
.xword 0x4d240158c882b607
.xword 0x6c678d86417ddb57
.xword 0x5b562ef2bf13ff94
.xword 0xb40c6c97fb97d791
.xword 0x6f76acb527e32f8e
.xword 0xc4c04e8386ca26c1
.xword 0xe26266473f835e5e
.xword 0x75b42f0ab7fb1c84
.xword 0x759d3eeca7b09fda
.xword 0x29f21ddd922887cc
.xword 0x164a68a1bf6f1bac
.xword 0xb14dcd7294be6bb7
.xword 0x3537ec78c627a3c1
.xword 0x74c90c8d5a8177ae
.xword 0x8ae26265c9f38c37
.xword 0x68155ad28a2fcbeb
.xword 0xd4e7cace04ac2374
.xword 0x2120fbbbc94bd8ce
.xword 0x7b23b1157985a799
.xword 0x0c7429312eeb15f2
.xword 0x93f34d265af6c47c
.xword 0xf8147c5d3961cff3
.xword 0x77b9af12bde70d1f
.xword 0xb38a16443080e7ce
.xword 0x5eb77d1c8a1ab1b1
.xword 0x63be19f4d59700bd
.xword 0x126e870c9040ffad
.xword 0xd33250995570d811
.xword 0xa09424703fefe980
.xword 0xf367b9f77ef352e2
.xword 0x98bdbb33cf300644
.xword 0x7cf8b060c806cb04
.xword 0x62298e90366f78b7
.xword 0xe0f42fa8c81c6458
.xword 0xb7d7b5a81d7f2f62
.xword 0x32535ee7a3624326
.xword 0xba804f9ba35bbc73
.xword 0xd56da3f56ea0b492
.xword 0x6836ebdc388bfc30
.xword 0xfb7a3d762ccb1262
.xword 0xb189c4bcab1609f8
.xword 0xbf6036475702579b
.xword 0x954b626b1bb61bab
.xword 0xa988e23f8478de0f
.xword 0xb2c97cf324c8a0c9
.xword 0x336fc38ad45c0bf0
.xword 0x3a85da22a8e93bbf
.xword 0x0e2ebcb463c39ab8
.xword 0x3945aee4f52b54d5
.xword 0x46208d6033a0b2b3
.xword 0xca0bc37ce37df854
.xword 0x39ef1e37a115bfad
.xword 0x5847801ee8dad4ad
.xword 0xa8b3e1eef87fc6d4
.xword 0x981e3dc6454d3ffa
.xword 0x2ef56774c2e592d3
.xword 0x3fc258366ef0da08
.xword 0x1bd0dec6b180dbae
.xword 0xe653969c0d59627e
.xword 0xb604523550b6b9fc
.xword 0x35621cb75a2ca9b7
.xword 0x79e7eb7ebe4b4ef7
.xword 0x19d6ddd6a747470c
.xword 0x7fbdfcd782a9ec7a
.xword 0xa2881c8e673f4034
.xword 0x5ac650af85957c51
.xword 0x7d5c28088ecfedaa
.xword 0x29cdbe195a398473
.xword 0x5a5ff57e570baa3a
.xword 0xa6c4ab28f00d23b2
.xword 0x904e57c904218e25
.xword 0xf572fa5447551277
.xword 0x1973beedea1ab561
.xword 0xb4697cf4ab0e743e
.xword 0x43b8d3f7cc957e9f
.xword 0x7f9e54807492e07b
.xword 0x015dd3db1f02a310
.xword 0x27e01103e20c2779
.xword 0xc1ac92917a9b0df6
.xword 0xe888e46107b458af
.xword 0xe6420389bf6da22f
.xword 0x6daf047fbb6db873
.xword 0xb7f681ed1ef46cd0
.xword 0xf3523eec72526b7d
.xword 0x046a022486814557
.xword 0x67206d2982f9d994
.xword 0x7115a1ae22923da8
.xword 0xa328721e3b5401ba
.xword 0x6dc7c881ad5fa218
.xword 0xfe46a753070b15d0
.xword 0x1919e41e9c852f7f
.xword 0xfd91c7899fa9209f
.xword 0xd14b647513a5ff16
.xword 0x918c38026c9f21b3
.xword 0x7abcaa674e05c730
.xword 0x638e7685b70c1fcf
.xword 0xcc7049fe10fefb7c
.xword 0x5629179918680049
.xword 0x2ea6db4ad0202673
.xword 0xaa06e9809e919e15
.xword 0x967b315c83fbf5f6
.xword 0x47b45d6d17269100
.xword 0x288a4660f334c614
.xword 0x3d4342f9da0e43ac
.xword 0x3fde3a44dfccc5dd
.xword 0xedba4fa5129a436d
.xword 0x11f2909e8cc10b3e
.xword 0x8df266f326041c34
.xword 0x19d39cae1bc96279
.xword 0xc998525847b917da
.xword 0xb4be2427094a94f6
.xword 0xeda15af2327a53b4
.xword 0xfd0befdaccfdb54a
.xword 0x7d0d72b6d98a8328
.xword 0xa23640c336b30a38
.xword 0x37c5a7c964677bf4
.xword 0xa178fddcc7b6f31b
.xword 0x59286db85933e647
.xword 0xc2eea1857d429279
.xword 0xc3bed1325db52633
.xword 0xf39e6e568a382ee7
.xword 0x71ae39480726b864
.xword 0xd0e7137956f8d312
.xword 0xe826b168723fce29
.xword 0x35c6db7a647804ef
.xword 0xbd80cd9800ea5427
.xword 0x00bec8ed859d5d78
.xword 0x098ea976178db412
.xword 0x8902870c48bc46e2
.xword 0x6005857022d7d659
.xword 0xdaae0ff3a13c7e7f
.xword 0x187ed0fb1cdc77cb
.xword 0x3444b5d27fe24d73
.xword 0xe5ee41de6dc7fa9a
.xword 0x48a3aa35e94ba2f7
.xword 0x6daacde6e5ca1bf4
.xword 0x74aad911b46edd21
.xword 0x16fbec3ecb68725f
.xword 0xf496c15056b2ce43
.xword 0xb637139278e06dd3
.xword 0xcad882346e19ad7a
.xword 0xf7effd933f0bc777
.xword 0x48099de1c47de972
.xword 0x6281f67e22b7a49e
.xword 0xa12ae31da0f6b06d
.xword 0xccf91f6be17def58
.xword 0x43acdfd1cfb3cfc2
.xword 0xa2f4cd228b03609f
.xword 0xcff014240b7c14cf
.xword 0xd4c5211f7fa9ffbd
.xword 0x89f052f6cf72e5f5
.xword 0x6be3d8e34efb3e6c
.xword 0xfccf3859e10613b2
.xword 0xc4f0ea2832f3f9cd
.xword 0x07e86f8de205b1a0
.xword 0xdb75dc206f65501d
.xword 0x057c32a82b0e11b5
.xword 0x4e637665f5c3e0e3
.xword 0x889ec0ea060da573
.xword 0xbf2fa12d6a0ac39e
.xword 0xa0b4a91d83cb4604
.xword 0x6f9ad0ae3dd7699e
.xword 0xdd1edce74db50f46
.xword 0x8e8eae4bcd48be0e
.xword 0x1e78c107dbcf600d
.xword 0xd9b15a25a15dfaa7
.xword 0xcb9df75d96838ff9
.xword 0x9f9b39490d1e69a1
.xword 0xa7a36a8ddf4b2dfb
.xword 0x369d8c79e92cab24
.xword 0x12188825b307f2bd
.xword 0xc2e5fb9d54dbae7a
.xword 0x975b15d7ebff238b
.xword 0xe8d7dc3c4aa80093
.xword 0x0362c612e1320181
.xword 0xf4eda9db99c923f1
.xword 0x46942f44e9890f26
.align 16
_t1_copy_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_copy_auth_key:
.xword 0xabb3b494c3a915a6
.xword 0x0be10b4c10d44199
.xword 0x8914a130ca84434f
.xword 0xa1048d55c627a6cc
.xword 0x2cd555a47de2e204
.xword 0x23cd46c05bc8c918
.xword 0xafb369346662633a
.xword 0x48807b43dc91aee3
.xword 0x220478646ba67623
.xword 0x9a564237d43d61bb
.xword 0x89db1f4f66b52727
.xword 0xa0880f5facfd1896
.xword 0x6c01337da211d18b
.xword 0x1b616340f6e84efb
.xword 0xe1d55b49d13285ca
.xword 0x95bbcaa76fa457f6
.xword 0xb96cf8fee9cd9bd1
.xword 0x0851bac7eebea746
.xword 0x64eddf83e187d967
.xword 0x2b862bf69276ae69
.xword 0xfd9e725297fadc4a
.xword 0xfa7be25ace12a2a7
.xword 0x3b3a807bae80da04
.align 16
_t1_copy_auth_iv:
.xword 0xe08a735c9b14240f
.xword 0x81c39917c6ffa63f
.xword 0x5ac2f8864bafa9bf
.xword 0xb32dee12302bbfc7
.xword 0x85293baf55bbb0ed
.xword 0x87a6aa2c41b701f7
.xword 0xb4d8b51af349d9bd
.xword 0xbe4d2d6ef8a49188
.xword 0x27cde5e2c4c08678
.xword 0x2a434eff7d8a6f5f
.xword 0xea7e5e1200b25890
.xword 0xd6216bfdb795447d
.xword 0x4dc5b8b9dc383ae4
.xword 0x72d1234841c4bb91
.xword 0x5001dff9bf029e3f
.xword 0xac4e498c66346ab3
.xword 0xb7a4cd8e4e212462
.xword 0x5476dd8b08dfb230
.xword 0xce174c13490d81b7
.xword 0x694eb52cf0c6bbd5
.xword 0xc9457325c00a363f
.xword 0xb5640b59e57997b7
.xword 0x0727c74b00725c07
.align 16
_t1_copy_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_crc_key_array:
.xword 0x00313baaf778bf5e
.xword 0x33e8eb4cef892a70
.xword 0xfd14da15926a5159
.xword 0xc0a646fcec1255df
.xword 0x850493b7331299b1
.xword 0x297a8dc4967fbc10
.xword 0xa784a1cc70696acf
.xword 0x55a8c1b1643ac475
.xword 0x956662ea7504b5a3
.xword 0x3069e67eaea3c501
.xword 0x5123f27e6833f60d
.xword 0xf83aba6062ee9c6d
.xword 0x96063e32e0624cb5
.xword 0x5ad0bfbf34a5c19a
.xword 0x28799fda4f672228
.xword 0xeb8a89446d0153c2
.xword 0xd7e8a5647f84e4af
.xword 0x54fe651e8b0679b4
.xword 0x21811136026462df
.xword 0x7d3cd901fd91d236
.xword 0x16d7f265cf521a70
.xword 0x36f001cefe7de0fd
.xword 0x4c854c1aecf64ff2
.xword 0x35be2e9a0709b010
.xword 0xb9384daa06fc8e5d
.xword 0xa8769afee4cd550a
.xword 0xc47ae383f257c3c1
.xword 0x78bdad882dadd4e2
.xword 0x8a44e99355cda392
.xword 0xdda30a117a934bb8
.xword 0xebe41906301ebc43
.xword 0x5a164fe2ffdf1b61
.xword 0x185a7395a5eb47ba
.xword 0x2adab9b593ad77ba
.xword 0x644c8f8235058c44
.xword 0x42b9fa88606d2717
.xword 0x746f010460f9efc4
.xword 0xf42ad740d1868157
.xword 0x824ba0fd08ecab7e
.xword 0x7bb1d54c69aa4dbf
.xword 0x2f3829b8d360ebe1
.xword 0xab88149177f496ca
.xword 0x23072ee0aebe04a0
.xword 0x63cb466e0eba1e06
.xword 0x75707b3b9225a24f
.xword 0x55029e88c01dae72
.xword 0x12616cc82983b7d4
.xword 0xeaaa046d089c2309
.xword 0xeddd08198de62af4
.xword 0x3680a22034479d5e
.xword 0x59b8d90952203fd4
.align 16
_t1_crc_iv_array:
.xword 0xb24cabb5881a6694
.xword 0xda91133e214d88ae
.xword 0x0007a13725279a65
.xword 0xf040bd4162f6a1c4
.xword 0x6f8c0e616bf72906
.xword 0xf0f966a2ea244e44
.xword 0xe7ea57037094c0fa
.xword 0xe710cf294cc36b7a
.xword 0x3065232b977bf744
.xword 0x7202b84ea2758f32
.xword 0xa74a2dbb1ab36e1e
.xword 0x35f20e3cfe93cbe8
.xword 0x177b80ca05722ec6
.xword 0xf482fdd0b65f4364
.xword 0x9706469891e02d41
.xword 0x5e6a5f2e6314980e
.xword 0x2dec233b603b7b5a
.xword 0x1432c0cfa8f37a1c
.xword 0xc91caa16df4062f8
.xword 0xa3d12ce6b170b36e
.xword 0x73cdd4818b3e8a53
.xword 0xa8a006f20c6ea33c
.xword 0x57c973e1d059313c
.xword 0x2030286c9ee4970c
.xword 0x7be006059666282b
.xword 0xec26923aba8c6ded
.xword 0x3b9b6a021f2284f7
.xword 0xfe9af22ff8d93b63
.xword 0x880caac07d467a60
.xword 0x209d855439d34944
.xword 0x407d53b8805d5834
.xword 0xe001793ca82beb4c
.xword 0xf9e103b754e32967
.xword 0x3fdde2c4d22b1c57
.xword 0xf471ac78c9d05d1e
.xword 0xbd28996f9119669c
.xword 0x64fd218ca65f5ab4
.xword 0x4d3be1fdb37f8a71
.xword 0xebad5386ac053797
.xword 0xa9d268a0f684aed0
.xword 0xb722f0cdea2298d8
.xword 0xdfab8b3ea9cd99dd
.xword 0x230d347a59b1ea92
.xword 0xa3b5c4b10fe39b01
.xword 0x7fa590e9597065f9
.align 16
_t1_crc_alignment_array:
.xword 14
.xword 5
.xword 11
.xword 0
.xword 12
.xword 2
.xword 3
.xword 15
.xword 13
.xword 7
.xword 7
.xword 15
.xword 2
.xword 8
.xword 8
.xword 6
.xword 6
.xword 14
.xword 13
.xword 12
.xword 6
.xword 13
.xword 10
.xword 5
.xword 5
.xword 13
.xword 6
.xword 8
.xword 13
.xword 12
.xword 2
.xword 8
.xword 0
.xword 13
.xword 0
.xword 15
.xword 0
.xword 6
.xword 12
.xword 1
.xword 4
.xword 4
.xword 12
.xword 10
.xword 8
.xword 0
.xword 14
.xword 6
.xword 14
.xword 8
.xword 8
.xword 8
.xword 12
.xword 3
.xword 8
.xword 7
.xword 1
.xword 5
.xword 15
.xword 5
.xword 8
.xword 1
.xword 10
.xword 3
.xword 5
.xword 5
.xword 9
.xword 13
.xword 9
.xword 6
.xword 9
.xword 2
.xword 5
.xword 3
.xword 14
.xword 11
.xword 9
.xword 9
.xword 14
.xword 0
.xword 10
.xword 5
.xword 15
.xword 0
.xword 14
.xword 8
.xword 13
.xword 2
.xword 6
.xword 14
.xword 11
.xword 11
.xword 1
.xword 2
.xword 12
.xword 4
.xword 2
.xword 8
.xword 1
.xword 15
.xword 0
.xword 8
.xword 10
.xword 7
.xword 3
.align 16
_t1_crc_src:
.xword 0x34b5439c6cf609c3
.xword 0xcaf707d6e99e5885
.xword 0xdcb131b29179ea9f
.xword 0x9ded4f9391f05cf4
.xword 0x35629c969ac5feee
.xword 0x9a61b617d223dab2
.xword 0x6049ecd01d23e140
.xword 0x454e63e78a06e7ad
.xword 0x964aa6d80dfbdd86
.xword 0x43517f8c3d1c9758
.xword 0x923fa8c4ece3aa37
.xword 0x6c2b31c87a3fc401
.xword 0xcebe6bca7a7bdce5
.xword 0xd1d69892d9f36fda
.xword 0xcc40e8c356926aaf
.xword 0x43fcf131208e8507
.xword 0x68b61464a97674c3
.xword 0x16e5b630f6dff205
.xword 0xe553aa8176c6fa36
.xword 0x9746401c0d57fb5c
.xword 0x9b91ca3a2476d04a
.xword 0xb8e861873f0f9efa
.xword 0x9c8ad400bf6c24f8
.xword 0x98a1c74397745975
.xword 0xc5e5733f03917e88
.xword 0x6315d353cbb6d246
.xword 0x4d2dbad2b561f805
.xword 0xf2f40deea3e59c13
.xword 0xec4e9fa315c191b6
.xword 0xc6300e19a8f8fb2c
.xword 0xd915928d24959b89
.xword 0x17cc848feeea850a
.xword 0xd8140ef05ab99db1
.xword 0x4cc321a0594036a0
.xword 0x5a3065b19078ec75
.xword 0x6ac2aa7fb24b84a7
.xword 0x431b70aa36cf5961
.xword 0x3d897c54be895ef6
.xword 0x7625a91dd505f31b
.xword 0xffd2a1e8aa74475b
.xword 0xccd4128344870266
.xword 0x04d6ac0e539111cc
.xword 0xa651f4462db32f14
.xword 0x9d1632df6606247a
.xword 0x111597f268a8b1f3
.xword 0x831098d2be6a45ca
.xword 0xc179c5801ac79320
.xword 0x3c72dd3241422a7c
.xword 0x24590cab5c5f6341
.xword 0x8a70d1fab1e19f8e
.xword 0x1c08fcb91fc7aa66
.xword 0x3a5b85f69bec655f
.xword 0x60b0632349d77c19
.xword 0x3e1931f30846359e
.xword 0x99c9c729079f7ffa
.xword 0xe3ee172d2eff0c69
.xword 0x0e29d7907da5cfc3
.xword 0x8d5237773f9d921a
.xword 0xc8d735fc55d31a10
.xword 0x08a7f9398397433c
.xword 0xfe3ceaf5c02d6837
.xword 0xe75e9f2f9c2ac539
.xword 0x36d43f8052d71bbe
.xword 0xb261ff255ef09ded
.xword 0x2ca7d92a24ee27dd
.xword 0xe34cda7541dded81
.xword 0x39f9b05078f1fee9
.xword 0xd37b0cf9b7aa07ba
.xword 0xc3192da6b984de1b
.xword 0x411a648edbe145f3
.xword 0x1401e11c4ac857b0
.xword 0x69ca5c3a8b5ca679
.xword 0xa29b16b11ec85a40
.xword 0x0b2878fa953af1b8
.xword 0xd2e662e7894abea8
.xword 0x4116c9335948ca23
.xword 0x0c41edcf9b113b04
.xword 0x103207ae3953c839
.xword 0xea5ecd56c26ab8ab
.xword 0xd6aaf24a62d8c5b7
.xword 0x54ad3b90c14aca5e
.xword 0x1f800b69e18f7f98
.xword 0xb3d747fa087452cb
.xword 0x5e98a9e92485f96e
.xword 0x4300dc450079ce47
.xword 0x15ccf19d04a6b551
.xword 0x8fb798bf008d5115
.xword 0x5384182f373148e4
.xword 0x06d1b424188cd778
.xword 0xcaec8d42a8e1a615
.xword 0x72095c59b2609225
.xword 0x9a0eba56a3cdde22
.xword 0x9a9cfcf8d2e765fd
.xword 0x44f127e0fee67f2c
.xword 0x8dc2940d9a9e1be6
.xword 0xb7a3200b26c97bd5
.xword 0x5757bbe2314be694
.xword 0x0d823ac22fee3bdc
.xword 0xea28869845a3ed03
.xword 0xc969b01c997910c9
.xword 0x0cde047becb077b5
.xword 0x1e050d1245e1ebe8
.xword 0xb69eb7efe0ba691c
.xword 0xfe7921dec17e9f7e
.xword 0x5c92f92f8aeaebf7
.xword 0xbf89e889e0e5fd6d
.xword 0x6c4166327d5b8263
.xword 0xf74cca41bcfdb7c5
.xword 0x28a336fc4b16cc99
.xword 0xa6885b7b516f4ddf
.xword 0x9c3de7c580ca44dc
.xword 0xf76fe16597478806
.xword 0x3ff285a8b20fdae9
.xword 0x3fb1f9c5e9b36276
.xword 0x1623d4c9695391b1
.xword 0xe6a6a4c4bca12955
.xword 0x9eef4a56572bd420
.xword 0xe290eb19a8f01ed5
.xword 0x9ca9fe40e82470ef
.xword 0x5a825d1c5db9eab4
.xword 0x9947eb5c7688a79b
.xword 0xd4b678d7b2d1c3c9
.xword 0x32f66b56ddc2f8c9
.xword 0xee14b27395b222f9
.xword 0xf0501155e9afaf22
.xword 0xd2b9c2deb1695e70
.xword 0x1f1553b544db3c87
.xword 0x2a6cdcd81b8f339d
.xword 0xf7a180041c005fed
.xword 0x2c7a34e6078ff67d
.xword 0x31adf2e0df3440e4
.xword 0x96add7c8f29192d8
.xword 0x942de5d54c610a7c
.xword 0x88b694f6ecc6592d
.xword 0x9e518616675826d7
.xword 0x672cd6b7b0196080
.xword 0x9c5cb2e634e7c587
.xword 0x9dce8a1ea7e2abe0
.xword 0x56688248e87c6d72
.xword 0x76087cf5c1811980
.xword 0xf636b56f6a870e5d
.xword 0x8c0c754cf9fe569c
.xword 0xba8073e03f10991a
.xword 0x1a687c6f8da89f77
.xword 0xbd0c3b93ac8fcfb8
.xword 0xb59f2b35b1991635
.xword 0xbeefdd6485752b4a
.xword 0x64829e97923941d4
.xword 0x82c80c0e43e42b21
.xword 0xcafc9f9aa3d5550d
.xword 0xeeb9b808839ffa40
.xword 0xa7a075cabfa7055a
.xword 0xca4390407a840e35
.xword 0x1a4cb0d9be54e86b
.xword 0x969954811310be5d
.xword 0x22a922411a2ef4da
.xword 0x966d814ff7662c2b
.xword 0x236d75f170ab2cb4
.xword 0xfc8f2e6df409d7f6
.xword 0x0e6942f6d5b3023e
.xword 0x0048b0ed48531748
.xword 0x038be0fd55d35c0f
.xword 0xc23f0e8cfd48d3f9
.xword 0x884e279f6fa59f31
.xword 0x206308124a586c3b
.xword 0xfd87499ba12ae631
.xword 0xf01d29719cda8fa3
.xword 0x8d2435296a96b1d4
.xword 0xe1522c38b2c3559e
.xword 0x4459e1d44130bccb
.xword 0xf401c3bee87e5194
.xword 0x6bb6b8b6af220bf9
.xword 0x1f5d48ca2bfc84c0
.xword 0x136800021bde9817
.xword 0x8788d0cea1cb1fe9
.xword 0xa940cde96e039831
.xword 0x187c47246340fac8
.xword 0xec04bbd699d26fc4
.xword 0x3d6c0121798973cd
.xword 0x8e4c510484b57836
.xword 0xb1e8c31e2d604f9a
.xword 0x0dc5de576037bf00
.xword 0x17f9c6c6f0b17b95
.xword 0x91cb12f85b11e84c
.xword 0x6b99733852a5c4c1
.xword 0x47d059cee1156a93
.xword 0x04f93aebd747b10d
.xword 0xa5a643354d69c106
.xword 0xe062d6ce2acd5160
.xword 0x5f5f00cf4a5b1b18
.xword 0x6ea2aaa9dc4b193b
.xword 0x5e1bf5f13a743899
.xword 0x7ecf855674d585b7
.xword 0xba3284351f53f416
.xword 0x8027a883aae7b463
.xword 0xc20179464295ae35
.xword 0x68853cf68f99e0fe
.xword 0x3c49e1da7c75c209
.xword 0x0872cc0150e973d9
.xword 0x18e6912a84e08cf1
.xword 0x7fcde61ce45586d4
.xword 0xe4bd0be7b2332e5e
.xword 0x058f988bd03701da
.xword 0xe8ef1040df4af5e1
.xword 0x9c60b927af47ed13
.xword 0xd70ad2bd54acc485
.xword 0x1469019e0a5c3e90
.xword 0x8305951c3016e77c
.xword 0x2cb22b6c77f3697c
.xword 0xe77e877b98946b1a
.xword 0xb4f6e51c9e0f1735
.xword 0x1854839e50480903
.xword 0xce75f7855049014c
.xword 0xe89296e8de54cad7
.xword 0xfb5d9abe42a7a298
.xword 0xf63b3ff855f7c427
.xword 0x8a633051fcf2f14c
.xword 0x200f092fd0a44b77
.xword 0x3e98303f4a067f54
.xword 0x357c7d85b13cddf5
.xword 0x9e561ef376e60ac8
.xword 0x121c2eceb5933e7d
.xword 0x14f6506fbfbcd5d8
.xword 0xd84a8993dbaff214
.xword 0x3c27eb574ae61fba
.xword 0x90eb07d2799f7512
.xword 0x7bba23037b4148dd
.xword 0x883901b07e4fcc8f
.xword 0xbecff30592142e01
.xword 0x7bf0a89702533d48
.xword 0x066303192531f73f
.xword 0xf2290dc631404e4e
.xword 0x982a4487cde11871
.xword 0x5fa05fe3e2f84ad8
.xword 0x879c54fdf4dfee93
.xword 0xc019e5a14d9afa18
.xword 0x0ceafd857650590b
.xword 0xdd4c876841e07e56
.xword 0x8584f8d55b69246e
.xword 0x2fd1293d4093bf3d
.xword 0x037bde3c94bd7786
.xword 0xc9b6d06e41b96eb9
.xword 0x621b39af460298d1
.xword 0x529b93c4aea17abf
.xword 0x7240fb57d5090acc
.xword 0x28614b357fcdb2f6
.xword 0x18889a04377adc24
.xword 0xb0ec9e2037943afa
.xword 0x9cf9842b5f42adb1
.xword 0x111e503382008c89
.xword 0xf6968402e91ee477
.xword 0x547901db41069822
.xword 0x9d85119c4c5a58ae
.xword 0xc7795946165c6aa0
.xword 0x6bf92c909f02c3a4
.xword 0xfc977228e9b7b872
.xword 0x3a0d30a3430be507
.xword 0xde9a34d0666db438
.xword 0xd195e0d7519567d3
.xword 0x27cebfa981e1b179
.xword 0xb5dd532952b4dd1d
.xword 0xf148e1ca5ec38908
.xword 0xcf072d536ef1739f
.xword 0x77eb39cd3edc6927
.xword 0xeac074ffcec5f60e
.xword 0x16054af86068406f
.xword 0x0d29f3d18406d110
.xword 0x1903d5e8e386674e
.xword 0x3f43e305ed11b182
.xword 0x7535661fd99a0ccc
.xword 0x88c18ee63cbd54a8
.xword 0x0c4419a01a3ddc2c
.xword 0xa782114244558952
.xword 0xd24bbfb4ce6b302e
.xword 0x401b47862a020024
.align 16
_t1_crc_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_crc_auth_key:
.xword 0x242470a1f2b46f9f
.xword 0xaae3f1c459d66166
.xword 0x748bc6b553552278
.xword 0x299488faed8507e3
.xword 0x1eb5ae49c1ae052b
.xword 0x27da4804da6ebb78
.xword 0x2c63580401c4230a
.xword 0xf8b0a59fcc88c76c
.xword 0x2f036788687bf184
.xword 0x41499a32f6ef4589
.xword 0x4f9484aca3d3329b
.xword 0x12138923e5d41814
.xword 0xfa99f83eb165a63d
.xword 0x7c5f764f44368839
.xword 0xc06732665bcd63c7
.xword 0xdbf099263f2f8d97
.xword 0x6b4bd72650567fa8
.xword 0xca7ffaca99c63916
.xword 0xd0530d76346a7f8a
.xword 0xfb1db609ff0c3ebb
.xword 0xddb42c43758d69a8
.xword 0xfa5be0c39215a9b6
.xword 0x0d4f2a559310679d
.align 16
_t1_crc_auth_iv:
.xword 0x6883b20968c638f7
.xword 0x23caf66ce5f9a3cf
.xword 0x329b2d4e044c9e58
.xword 0x6bce81c5bf59e511
.xword 0x929c1c4a51f183a6
.xword 0x2f6a5835795ed95d
.xword 0x67ed02d5363ed178
.xword 0x8e752f925eb3b2de
.xword 0x130a3c444e99f8ea
.xword 0xc9063b9d2ead70eb
.xword 0xc718ed8a4c25b977
.xword 0x63996681e1211ffa
.xword 0x345ebaaa890dce4c
.xword 0xeb003927bea0a6d7
.xword 0x23198cf6ed33a993
.xword 0xe03834c3d499c75b
.xword 0x2aec4e9c2c3acaf0
.xword 0xa924a0e4a4c77f42
.xword 0x121ef94928087b5c
.xword 0xe73a5f9284cdd8a5
.xword 0xd786b74764c0f230
.xword 0x87cdf3cf5678619f
.xword 0x1af6da86ef9280ac
.align 16
_t1_crc_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_hash_key_array:
.xword 0x215dd896dc28a8c2
.xword 0x6858575e978fa683
.xword 0xde4e6bbb0139a8f2
.xword 0xe388cfbfdfe2b9bd
.xword 0xadc750055c61ab88
.xword 0x5767d71a2400fdf5
.xword 0xc99c3069ca4668a0
.xword 0xbb20142c9f1a3ea8
.xword 0x158c35e3be4f1752
.xword 0x8575eea5e3ff127c
.xword 0x55c3d1e512ba7ebf
.xword 0xa5f3b314fd9cb57e
.xword 0x8b1eebb8866c8943
.xword 0x1c56ae3bb1caa901
.xword 0xace37d066e8b4951
.xword 0x2a1823491f049f79
.xword 0xcd56e6ebdf6ff30a
.xword 0xc3f4de430a0f9fe2
.xword 0x792467aea8cf8e28
.xword 0x51fe0472afe1a6ea
.xword 0xe60980b06684703e
.xword 0x702746917d0241ac
.xword 0xf8e9e656f54c7b33
.xword 0x1c32915c9fcc7f9d
.xword 0x2758a3397ba9c313
.xword 0x668210d13a69c821
.xword 0x0dc73522e3b2a79a
.xword 0xd53ccf3f13411789
.xword 0x266e269e7dbf9060
.xword 0x1248a5902b82573a
.xword 0xfa5716e91620233e
.xword 0x0345814f4fc9906a
.xword 0x4ccf8c773e566700
.xword 0x83ff69ffa0410ec2
.xword 0xfde6ec1493291fa0
.xword 0xa435184b40b0ff65
.xword 0x9d9f13a958b1090c
.xword 0xf503f8d4f39c546b
.xword 0xd1d9933c79032e56
.xword 0x20078830c781f261
.xword 0x40bc143fff5aa163
.xword 0xcecd9e97a67e51fb
.xword 0x51490617718c608f
.xword 0x1071e95137b8c05e
.xword 0xc2fa2d0cd8f3d878
.xword 0xc2247aafbffabec7
.xword 0x1e4ba306f0c9a0a8
.xword 0xf8fd23bf4b81250d
.xword 0x08da7d920823032c
.xword 0x5df26891350e9f9d
.xword 0xa2d47f5b7456687f
.align 16
_t1_hash_iv_array:
.xword 0x2902b165c4bb70a2
.xword 0xd96b2efed0442f71
.xword 0x2f2478057bb76690
.xword 0xb0049f4875c08e4f
.xword 0xf1f656210a300c51
.xword 0x3c154708e1bf0621
.xword 0xda3cd45026d508f8
.xword 0xdcc4192aca5f824c
.xword 0x4e2870ebd29cdced
.xword 0x63591f9d0ede5f39
.xword 0x8b07c3c9f7d3ddaf
.xword 0x2d28fd92d676b5a4
.xword 0x082e0830d713cde5
.xword 0xa2f1929f304a0ee7
.xword 0x232dce9aab3e8f73
.xword 0x89b4635fce44462b
.xword 0x67eb99c48dc9165e
.xword 0xb6a4b85b1b0c5e49
.xword 0xb1560237d3d59c73
.xword 0x8345a9e6f5d9ed6d
.xword 0x9d6aa6306f406ba8
.xword 0xc3b580d9a07c1d25
.xword 0x45f60d13fbf4d480
.xword 0x01665d3f32518dee
.xword 0x00824241e3303078
.xword 0xe169979cd1405cbb
.xword 0x5faffe966d419a31
.xword 0x799d799094b38e63
.xword 0x5d45a09c83750218
.xword 0x65082cb3944f0dc7
.xword 0x423acadff3de646a
.xword 0xf71a9cbffd7c3c8c
.xword 0x2deab7983f781003
.xword 0xe042ab5b9a69ca75
.xword 0x856c35a0d51da6b8
.xword 0xb4c85187e9d8a30b
.xword 0x6dbc1ed6ed888001
.xword 0x7f1ed7bf73cb797a
.xword 0x2c344155215c3543
.xword 0x47ca0ed88ce08d6d
.xword 0x91676f0019aa9032
.xword 0xedef2bb4ef5a1785
.xword 0x6de56c927ea63450
.xword 0x056aacbdb6e5f2d6
.xword 0x0eb014fef0e66a73
.align 16
_t1_hash_alignment_array:
.xword 8
.xword 5
.xword 2
.xword 5
.xword 11
.xword 3
.xword 13
.xword 6
.xword 5
.xword 11
.xword 6
.xword 12
.xword 0
.xword 14
.xword 12
.xword 15
.xword 8
.xword 14
.xword 1
.xword 0
.xword 11
.xword 9
.xword 0
.xword 3
.xword 8
.xword 1
.xword 2
.xword 2
.xword 9
.xword 9
.xword 6
.xword 9
.xword 8
.xword 6
.xword 7
.xword 8
.xword 1
.xword 7
.xword 4
.xword 15
.xword 15
.xword 1
.xword 13
.xword 0
.xword 2
.xword 12
.xword 4
.xword 9
.xword 3
.xword 7
.xword 12
.xword 5
.xword 0
.xword 2
.xword 15
.xword 15
.xword 14
.xword 8
.xword 6
.xword 5
.xword 15
.xword 15
.xword 13
.xword 6
.xword 1
.xword 9
.xword 12
.xword 12
.xword 5
.xword 15
.xword 14
.xword 10
.xword 4
.xword 12
.xword 6
.xword 0
.xword 7
.xword 8
.xword 10
.xword 9
.xword 12
.xword 11
.xword 3
.xword 4
.xword 10
.xword 7
.xword 6
.xword 1
.xword 14
.xword 11
.xword 5
.xword 15
.xword 2
.xword 13
.xword 14
.xword 3
.xword 8
.xword 7
.xword 11
.xword 0
.xword 13
.xword 1
.xword 0
.xword 11
.xword 12
.align 16
_t1_hash_src:
.xword 0x1b8124205e826a31
.xword 0xdefd6b7ad78a38ce
.xword 0x44a40419ff1248ac
.xword 0x83573b08f7a4ecaa
.xword 0x12185cf5cfd4d839
.xword 0x47e43b33f86ce90d
.xword 0x6d754d577451084a
.xword 0x7807e2c94c072980
.xword 0xfd075bf5cf64ee16
.xword 0x2fb4860a1d42dbd3
.xword 0xb206e20f1f7aab56
.xword 0x46fd558346ae5879
.xword 0xccd5adbbf7b8c257
.xword 0x4078d685fb24488d
.xword 0xaeed822f89fd0a0a
.xword 0xc719491d4cd47118
.xword 0xa6145125ebd79114
.xword 0xb3142fea76ec3122
.xword 0xbd518cb11fee46d6
.xword 0x301b9a3408dda80a
.xword 0x8e90514616de8517
.xword 0x96ec896363c49ac6
.xword 0x08790a7e68c16038
.xword 0x7a1c0696bbacefe8
.xword 0x68a6cc0fbd566bd6
.xword 0x6270059d9f0bbee5
.xword 0xb3644d0e813c4f6a
.xword 0x8caf1020f58429c1
.xword 0x7dbe28189d05af68
.xword 0x1be906cfa1e3090b
.xword 0x17afba460edb53ee
.xword 0x67d6e591a2db6f62
.xword 0xa8afce01946ceab2
.xword 0x68df38e028bd1906
.xword 0x8de4a0ac4bbf0ea7
.xword 0x89b7c6988f0492e2
.xword 0x985fae7e55ebd3e5
.xword 0xdbe477d7cb275004
.xword 0x499b5238b13e6e58
.xword 0xc481aa4bd98b0bb1
.xword 0xc74fd700f994dd5b
.xword 0x6d2f0764f7bed50a
.xword 0xb04c83dcf4d68e45
.xword 0xaea2ab75754e1969
.xword 0xa20d6dacbdc71d78
.xword 0x9e85d494a514e133
.xword 0x2f33dbfea2306525
.xword 0x0011ee47a2dd6e6b
.xword 0xe925dfca47ccc2cd
.xword 0xac7256af9d9c651c
.xword 0x3c4e75feb662c7d7
.xword 0x6b68e873eb72016f
.xword 0xb10ae3dc8fcad068
.xword 0xe93999e96859d549
.xword 0x37baa8d383930c78
.xword 0xc65aa6873fc55e49
.xword 0xd969384c7b52e4ad
.xword 0xf97f7837ebd4d19e
.xword 0xde282618ab16017a
.xword 0x0897c63ef18b9a53
.xword 0xf39a48db15365a7c
.xword 0x747337039a3ee902
.xword 0x78aa9f8a7a947695
.xword 0x33edc2760693a397
.xword 0x0a17ede969a79dbb
.xword 0x36b17bc4763dff96
.xword 0x073f158875656b14
.xword 0x0d848c2ecd15d467
.xword 0xce8614044cc713c2
.xword 0xa963943e9eeb071f
.xword 0x070aab6144a4ec6a
.xword 0x61ded26bfa138894
.xword 0x8c52e999ed5c7c65
.xword 0x6ab9fb8e9771bdc6
.xword 0xa750153d19821be2
.xword 0x1d51f0aeab9ab566
.xword 0x50855c7e90b3ca6f
.xword 0x418c22abe48e6021
.xword 0x9d7dc98755c95d12
.xword 0x2d2f2356779eb194
.xword 0x03094825c4028184
.xword 0xe5ddc160b94222e6
.xword 0x64446b7588a71057
.xword 0x953dcbdfa3148a79
.xword 0x90bd799e98240c54
.xword 0x02cf5010b21f7da1
.xword 0x60672110e3df4cf1
.xword 0x547d4585c03121a6
.xword 0x8840bce59fb358cb
.xword 0x8393e385f1b94510
.xword 0xabe43cf511dcf8f0
.xword 0x7765ca4dfc561004
.xword 0x3343c76dfebad980
.xword 0x7e58106ee0c08038
.xword 0xf45bcacb9f990995
.xword 0x404e4ce3d9955dd7
.xword 0x32480e3bb60ab99b
.xword 0x81660628ba27af94
.xword 0x9eeb4bc4facea636
.xword 0xb28d03d97c8f1556
.xword 0xb9eb5f4e3f3ecaa1
.xword 0x7456e3c74c0b7bee
.xword 0x71c34981fe1bde66
.xword 0x1125d79bae383554
.xword 0x2db17ea978fe566a
.xword 0x3ccf8807ea0ca3cc
.xword 0xb3fc26ca3309ecea
.xword 0xcb219e8e8a08baeb
.xword 0x129c6ec2e51772a9
.xword 0xad29096903d5afde
.xword 0x9deb9cdb47da2d11
.xword 0xda4597ce3f7dc304
.xword 0x8599e01d84d84074
.xword 0xb8e25e2cf761e577
.xword 0xc3db67a783f7d5bf
.xword 0xadc78b292d5ab6b0
.xword 0x3a444c2f1ee50646
.xword 0xc99c9898d36e0c28
.xword 0x21729e1ec51259db
.xword 0x4aa311e486dfd88a
.xword 0x85151994e6ae9439
.xword 0x4cde3d6311bde796
.xword 0xc8795b6bfb031624
.xword 0xe27041c4d4a828b0
.xword 0x97253441841865e5
.xword 0xbf0ca42a04416589
.xword 0x48a751c0b0505e9c
.xword 0x4b169e0a8e388c08
.xword 0x30a1eb3dc276b19e
.xword 0x387194f3ccb1c278
.xword 0x2dcfa691b366ad94
.xword 0xb6585871c627667e
.xword 0x5ef22aa291d98f9c
.xword 0xe45789909358effa
.xword 0x8465fb407c50f2de
.xword 0x6cf7a4e86746b411
.xword 0x1195eab80512a360
.xword 0x362d1888f68b7b74
.xword 0x0291ac79447fa956
.xword 0x391cc02810e42f23
.xword 0x1f845463ed811782
.xword 0xde2a1ce050c34545
.xword 0xe4f8a5e463d3a930
.xword 0xd053856bf3c5974a
.xword 0xcbbd34c3e32b6579
.xword 0x520f38e3cf13bc6a
.xword 0xdb82eb2934bb9263
.xword 0x87935b394372bc7a
.xword 0xe3778e9a5d9fb7a2
.xword 0xc19c2b296ff04af5
.xword 0x9bc8a647f14a341c
.xword 0xf141eaa4f4276ec2
.xword 0xb014eb1c0d9b96c8
.xword 0x9e5c5220ca8d1117
.xword 0x1d59f7c61c8529ec
.xword 0x19340e591701ee63
.xword 0x7e0efa6cd75b6c76
.xword 0x49456ac4cb1b52a7
.xword 0x22ff13cf30d2628d
.xword 0x0a59204a7e58b0b7
.xword 0x29b77a9eab62d220
.xword 0x2b70b44d14e8b4be
.xword 0x311cec7e5da8b4b2
.xword 0xb275d9805961e2c4
.xword 0xce0d69d59c9ca3a3
.xword 0x37fca645f75a7338
.xword 0x7f75b8d005296acb
.xword 0x578e8dd1392d48a0
.xword 0xb9cb97706290bb90
.xword 0xf82471a19c3705c9
.xword 0x2ffbb97899031e25
.xword 0x951b0dca8d6f0ce0
.xword 0x3a3e747a425edc4b
.xword 0x824f0e4f947bd0fb
.xword 0xc867718a41cc678d
.xword 0x5efa7f35dfe8dc77
.xword 0xd32f11120857a06e
.xword 0x8636d8124d87cfc5
.xword 0xe11e5ea9560d6415
.xword 0xfcfbffca9a3a0e8f
.xword 0xaa874de8236d09a5
.xword 0xf516ddfed65e5c18
.xword 0xf4f3fa7ca910c916
.xword 0xc5788431911f8e34
.xword 0xe446c497aed442d0
.xword 0xfd80c14a5ccd4c1f
.xword 0x430cce029fda2cc3
.xword 0x4e32015afc62582f
.xword 0x95753a8281963df8
.xword 0xfee5aeb366b15804
.xword 0xa7e9434e9cb1ed4c
.xword 0x0aac13a676bf81fc
.xword 0xb34900c3fd564fc0
.xword 0x0df7b5546ed07b56
.xword 0x0956232d46ef1c35
.xword 0xc67daa90ec9a678a
.xword 0x1314749a99e9c66d
.xword 0x1b46d7148975ec29
.xword 0x03e629dd9e4f7c99
.xword 0x4555dbd74f8dcaef
.xword 0x780767e7783199d8
.xword 0xb235ebfc20508694
.xword 0x95dc36bcb7ce4c2c
.xword 0xab3f72fb8246c253
.xword 0xd5b8da7546680530
.xword 0x0ec7b9bde68b1a58
.xword 0x21f1f5c580be16e6
.xword 0x7ec00753bef9d7d4
.xword 0x6e3954bdcface4e8
.xword 0x26815853273bb0f8
.xword 0xf641b3eeb3b25218
.xword 0x196366b0cfeaad22
.xword 0xed6448d2173e78cd
.xword 0x1357b9419ad953bb
.xword 0xbd8d9d4c616ac922
.xword 0x16d266e70e16f73f
.xword 0x31601f9ddba5560a
.xword 0x4db02c98185c9166
.xword 0x186314d1d429a826
.xword 0xfc7cc4cd9f5c4057
.xword 0x0e76b8fb79e9a2c1
.xword 0x2590ace88729b3e8
.xword 0x5cc5f44f640ba76c
.xword 0xaf199f36a056eb46
.xword 0x4efe3ecae82ba0e1
.xword 0x5da8546f19e8bf37
.xword 0x1a3c47172714205b
.xword 0x91e15aa4e98daf22
.xword 0x076cfc2555893754
.xword 0xae1a64e9a382b93e
.xword 0x26f79a7446551686
.xword 0x47202f6399ee5789
.xword 0x6697b7cd8e765dba
.xword 0x2765cceb787f8ae3
.xword 0x484f2278b73f87d3
.xword 0x2f3d53f74508c2db
.xword 0xe6da2d1a84c1c613
.xword 0xb4cd70ce714fff17
.xword 0xabcee04e1f579d6c
.xword 0x70a93e5c3b7ae5f4
.xword 0x67b0b2fc5cdcef3e
.xword 0x7b836493ca52871f
.xword 0x13eb24163400a5a4
.xword 0x2948a9a1d9c56fb0
.xword 0x2727993bf3b52b7f
.xword 0x3d158da730a705dc
.xword 0x6ac6f3567416d44a
.xword 0x68db2aeda0a2dd50
.xword 0x86e1d17b25cbd115
.xword 0xd5a878f4f19be9b5
.xword 0x729cbba8027387a4
.xword 0x4914221c43a16dc3
.xword 0x8c2c3834cdee8e16
.xword 0x39e57e2f116ea3b8
.xword 0xdfb0fda7519ab964
.xword 0x5371aab33b7d0482
.xword 0x9a69d6b2769a54ca
.xword 0x3c4bae29895c5e18
.xword 0x885550e9ff4f8eba
.xword 0x8b48d9b892c0a184
.xword 0xd6f54195127b2cfc
.xword 0xbe11338ec32d6040
.xword 0x84ac995096c022ac
.xword 0x51acb780c203a265
.xword 0x040688a945a190f8
.xword 0x4aa68b3d9c4325be
.xword 0x8a4265a629d8f058
.xword 0xfa5988d495e87546
.xword 0xc543021d850e937b
.xword 0xbecbbc9f09a3da0b
.xword 0x5d24f7969fc7b083
.xword 0x59b0625043fa44d8
.xword 0x28798829a259d97b
.xword 0x94d0eb456736c493
.xword 0xe6f4e8d1ba5d025f
.align 16
_t1_hash_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_hash_auth_key:
.xword 0xd27756807254bb58
.xword 0x6d21d56c96aa23fd
.xword 0x1d1bc59d64cd5eba
.xword 0x63fe7741786eabbb
.xword 0x77e32032a00f642c
.xword 0x2d0da5b9e234b8f5
.xword 0x7d55f42215d312db
.xword 0xbf8d776b1fab1f66
.xword 0x5eaec0012cf9cda3
.xword 0x961f3565bfd57184
.xword 0x5b3916b07a4403d3
.xword 0xd444f7d533a02537
.xword 0x72dbe8975979dc90
.xword 0x9131f545305b45c8
.xword 0xfd18b4d9c2d3b61d
.xword 0x27fc5c38f9ba7182
.xword 0xfd1662e85d1dca7c
.xword 0x50a054a59c234fef
.xword 0xf6824bc2f941ddd9
.xword 0x5680e646c1fa28e0
.xword 0x70ab4eb612ba7051
.xword 0x4ff37914c9f3ba2a
.xword 0xf1359a1968ae79e0
.align 16
_t1_hash_auth_iv:
.xword 0x74bedcc2ee705863
.xword 0x5e7c724d887110a5
.xword 0x8e87c3dd1572687d
.xword 0x73a2f9a18d0681bf
.xword 0x2b8e888448015500
.xword 0xed217750dae4b483
.xword 0x77a784dad9a1603e
.xword 0xa2b3c9f53633bdf3
.xword 0xffb975ff917d4e19
.xword 0xad36e2c5d4d1e206
.xword 0xed187e56524dc79d
.xword 0x1ed91e3ca4ad676e
.xword 0x522de32e2adb7297
.xword 0x0c6aeb6e948f66e1
.xword 0x0aa621a93b21215c
.xword 0x6d3ac56dc2d6b761
.xword 0xdbeb5457ddd71e3e
.xword 0xb444b24f8f0bdaf3
.xword 0x01f42482a65330b6
.xword 0x4e5dcc0015cb703f
.xword 0x5006bb16d05699dc
.xword 0xdb259da5fc1fdf1d
.xword 0x6d16b9bfc41fe878
.align 16
_t1_hash_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_hmac_key_array:
.xword 0x1b4ceab15a4a0d95
.xword 0x2c8abd055e0b8d38
.xword 0xeb4b3d90a2bc2a92
.xword 0xb84bfe035ff1ef6d
.xword 0xd8cae3583d0c32d0
.xword 0x909a47d898555f66
.xword 0x53f62d41d814334b
.xword 0x026f8fbfb418cb11
.xword 0x3fac05b4eaac8161
.xword 0xfba03e98ce5fd846
.xword 0xe35f58c7b344961c
.xword 0xb8e65de40a69b265
.xword 0xeed724bfe53fe0d4
.xword 0xb847863c71abe8b7
.xword 0x6e87a8d650b81208
.xword 0x46b8198d3093bb8a
.xword 0x5548e8732b42064f
.xword 0x73ca2a089de817f3
.xword 0x8aed46654819c107
.xword 0xd780cc4c33000ee9
.xword 0xb1dc024f90222062
.xword 0x1d252e4bbf6b39dc
.xword 0xf8469d840b9539a1
.xword 0x892ec8616ba8ac31
.xword 0xc494aae4b1c12103
.xword 0x92d58aea554d6a0e
.xword 0x30c6091a50dfa5c6
.xword 0x47eb4ee666a3a968
.xword 0x61d210d041734561
.xword 0x2d258e632010d0ca
.xword 0xa6f8176eedb3fb61
.xword 0xf8560f12674d633a
.xword 0x3597e4bbf7cae5a1
.xword 0xce8838e423486a6f
.xword 0x74f2fb891fead35f
.xword 0x9f72668643d3d1bc
.xword 0x85237e23aa089120
.xword 0x79c5135a82b06f16
.xword 0xb81211bce523e96e
.xword 0xb05e0478c5fac4d1
.xword 0xdea8037baab948e6
.xword 0x96565f258c589823
.xword 0x473cb359a196f890
.xword 0x92c37cf7c9b055ed
.xword 0x0949d9c220d59be7
.xword 0xb9655aa973859b61
.xword 0xe19c0fc5413fe31f
.xword 0x218bf5b0c3d03fbb
.xword 0x5f24cd82f948b061
.xword 0x64adf1103e82fc36
.xword 0x2707a27004336d6d
.align 16
_t1_hmac_iv_array:
.xword 0x2a3b34d34c7c9d69
.xword 0xb57f852acb3acf8b
.xword 0x7d415c66ec949c56
.xword 0x5c9f9e2b968a03c5
.xword 0x081773be3783d112
.xword 0x283bdddb003bc7a8
.xword 0x411bf2348e13e348
.xword 0xf3bd65c843e8aad0
.xword 0x5b96c694552dc870
.xword 0x7008da862595037a
.xword 0x81fe0c46b3036c33
.xword 0x8536c2f91241aa33
.xword 0x86921f57a7d50678
.xword 0x02e589ae170442ad
.xword 0xd02f4428ddb390d0
.xword 0x920853007fb49179
.xword 0xae4d0c71dd9d680d
.xword 0x1202f653a1790e44
.xword 0xff2b33a80c33cddb
.xword 0x017a109610391206
.xword 0x2dad8af2f66051ef
.xword 0x9cbeb6f4509a6868
.xword 0x92b334f69c74b7fb
.xword 0x2aebb4fb098905a0
.xword 0xcfec74430b3eb6db
.xword 0x74ad1bb1a7d96347
.xword 0xb1f69ebe02c23adb
.xword 0x171dfd1370ea4060
.xword 0xc278204cf23901f0
.xword 0x9c0c1261226598b5
.xword 0x224e43ee84cdb320
.xword 0x6cf2192451f62dc9
.xword 0x70812ffca71fd3d3
.xword 0xe2217978bc8ae033
.xword 0xe4a23ffc7eaeadb6
.xword 0x5b26d4cb5f67c2d2
.xword 0xf2f3efe0f83b481d
.xword 0xca92593671a1bdd1
.xword 0x602e5cb03d719f7b
.xword 0x005347d32ce6d17e
.xword 0x50df814cd5cae511
.xword 0x916c10f0cf491401
.xword 0x891719e8a864e7b0
.xword 0x911f13f3cc5d90d0
.xword 0xdf29ebeb0f6e0d5e
.align 16
_t1_hmac_alignment_array:
.xword 0
.xword 9
.xword 2
.xword 4
.xword 11
.xword 14
.xword 8
.xword 15
.xword 7
.xword 15
.xword 14
.xword 11
.xword 14
.xword 12
.xword 0
.xword 8
.xword 4
.xword 7
.xword 12
.xword 3
.xword 10
.xword 1
.xword 15
.xword 10
.xword 15
.xword 13
.xword 9
.xword 5
.xword 2
.xword 3
.xword 7
.xword 11
.xword 6
.xword 7
.xword 13
.xword 13
.xword 2
.xword 13
.xword 10
.xword 9
.xword 5
.xword 4
.xword 8
.xword 0
.xword 14
.xword 8
.xword 11
.xword 3
.xword 4
.xword 4
.xword 11
.xword 9
.xword 15
.xword 1
.xword 7
.xword 8
.xword 3
.xword 13
.xword 0
.xword 14
.xword 1
.xword 7
.xword 15
.xword 14
.xword 13
.xword 4
.xword 5
.xword 12
.xword 6
.xword 6
.xword 1
.xword 4
.xword 3
.xword 15
.xword 2
.xword 7
.xword 7
.xword 5
.xword 3
.xword 8
.xword 10
.xword 10
.xword 8
.xword 3
.xword 0
.xword 3
.xword 13
.xword 13
.xword 9
.xword 0
.xword 10
.xword 0
.xword 13
.xword 2
.xword 10
.xword 6
.xword 1
.xword 0
.xword 5
.xword 4
.xword 3
.xword 5
.xword 10
.xword 14
.xword 12
.align 16
_t1_hmac_src:
.xword 0xd4cf750bde4577eb
.xword 0xda58fdc36e438ae0
.xword 0x8dfbf6d5561eab2c
.xword 0x6405859716e9bdf9
.xword 0xf6bce02e03c332cb
.xword 0x3384b8d7375aed14
.xword 0xbd013566519a6bb0
.xword 0x0bd37a0230b343b3
.xword 0x8c06fe33534ebc19
.xword 0x84ecb82f101cd0e7
.xword 0x640b7df680d026b9
.xword 0xc58adad1f8f7647d
.xword 0xa4d4dea0f55d7e38
.xword 0x5e03eb34b460502e
.xword 0x452c7f68a57b8427
.xword 0x17e3c92304001518
.xword 0xaa97ca63e4d6db22
.xword 0xb574f9968baa7d76
.xword 0x9a86258001d34cfc
.xword 0xf001ebf04cb8a518
.xword 0xe165fdccca1bd49a
.xword 0xea01d8e627df2350
.xword 0x9c5d69ebb5f997b7
.xword 0x419cbc6a16c5116b
.xword 0x7c1277664f9f15ce
.xword 0xbb566a08732d45b9
.xword 0x8e55f3f62566172f
.xword 0x8c45ec7ffd108516
.xword 0x7fbd91049e3ee403
.xword 0x4c793269c7397b22
.xword 0xde2df6bbaa413d3a
.xword 0x7cdb1dd2bdee8026
.xword 0x052c94fa2639ac54
.xword 0x4f6084ac7aa613a1
.xword 0x2ad6a84247ed4642
.xword 0xad2a7eda13343f6d
.xword 0x28f48662a77379fc
.xword 0xdade063a18823ec0
.xword 0x330a6c2dee2261a8
.xword 0x71ab8ceaf64f5508
.xword 0xe41d71b3a25c04b0
.xword 0x9d2d391076b043b5
.xword 0xd83c51fcf6ab9718
.xword 0xd031c79adeef0025
.xword 0xda8ba5b6176db8db
.xword 0x4bd0b759bcf85255
.xword 0xb5aa2cdbaabe1620
.xword 0xe40fb8a033ab4917
.xword 0xa94b302d0e659098
.xword 0xf3556e9e92822145
.xword 0xfbc47dc8745e74e1
.xword 0xf1a1a9b4ab15be5f
.xword 0xe06bc30806d6c277
.xword 0x34c9e46103c2c098
.xword 0xea37ac8a887b334e
.xword 0xbb12de43f63a0d1b
.xword 0xdd3c4caed27964a6
.xword 0x213e4e05383e325e
.xword 0xcf41753f922ec1a2
.xword 0x375296f799b4d56c
.xword 0xca7a3e994d26396d
.xword 0x609d7d0416d7b253
.xword 0xff23be273567e8bc
.xword 0x3d9b99b64ac71b16
.xword 0xf31b82da98754f94
.xword 0x89727401db37dacc
.xword 0x81fafe852d2290fa
.xword 0x5236c531ba269b43
.xword 0x2bbb0c6ba8f0095e
.xword 0x3e79ebf48c630423
.xword 0xdc62fef8c445ab55
.xword 0xc18fe710d8db92d2
.xword 0xa1a74f140563ee28
.xword 0xd2a9d3d96a66f767
.xword 0x7a8046cb27c92b89
.xword 0xf16e517425804408
.xword 0xa92cc09be07ffe62
.xword 0x4c223b72c43a91c1
.xword 0x6dcd4405d4c81de7
.xword 0xbf356e99d0da77e8
.xword 0xb12de678a854dfea
.xword 0x692d75c9289c8c23
.xword 0xc5695bbc5313b6a3
.xword 0x70f00084ad0dc340
.xword 0xabb001a4144a50d9
.xword 0xd018cd83e6089e71
.xword 0xd76192fd95344f99
.xword 0xe25e2ef1ac670634
.xword 0x4bb34175ee0be526
.xword 0x9bbe269dfb427621
.xword 0x5e3355dbe8c8d20d
.xword 0x281d148c0fbf363e
.xword 0xffd1bafddacd95ba
.xword 0xdcec6b28d2e38037
.xword 0xbc90aee12a712629
.xword 0xa20bc23d13f80f01
.xword 0xee1421845e61a7e7
.xword 0x6406291348ac0fba
.xword 0x041ae40565a65921
.xword 0x8f932ed5480c60a2
.xword 0x690753c5246ae3fc
.xword 0x6c046d430cfb7ab0
.xword 0x925825471073b4bf
.xword 0x8a6cc938a42e019a
.xword 0x4568efff24a219e6
.xword 0x440d9c311fefbffd
.xword 0x3402474de0b5d550
.xword 0x2009b350b2fb3f69
.xword 0x82a52047db950c8b
.xword 0xb03aaca68bd74af8
.xword 0xf7e38316a1737da1
.xword 0xb4c7a0ca5620ed42
.xword 0x51bd21a29891daf1
.xword 0xf6d43004d1c1a27d
.xword 0xcb1c23badc39f707
.xword 0xd02fbd035560217b
.xword 0x85e7eb003b5ade0e
.xword 0xf0f4c300ebe085e9
.xword 0xf32f73eac6132b4d
.xword 0x85b963ca2fd5bb74
.xword 0x9f85e961f81ba1ff
.xword 0x81cee071312f163f
.xword 0x5cfb5d3822eef169
.xword 0xa480c1751916c028
.xword 0x2d413b7ff337e6c5
.xword 0xc3cf8b866c9d30fc
.xword 0x7d45bfa57a6d3331
.xword 0xfe86254bfa650dd5
.xword 0x3e8f96daf30d0342
.xword 0x53fcdb7542b25c45
.xword 0xe5dad2164bfd3892
.xword 0x4339dfe125a6269d
.xword 0x0276825c4eef025c
.xword 0x22756bd6bd16a858
.xword 0xa231c98a2db0bfc0
.xword 0x262aaf1e7b9324e0
.xword 0x92d68ccc466b9cee
.xword 0xc74a26fb20198913
.xword 0x241a505ab9e721f7
.xword 0x48a5c41f4f50a8d0
.xword 0x22b4b7a23ba98de5
.xword 0x6aac8c1c7686c870
.xword 0x44f9e11bb8a9c2cb
.xword 0x13e3d3783ecc4cf2
.xword 0xc3f331e27e555f43
.xword 0xbb044b3ecaaffe5c
.xword 0xf71c80618acf9fbc
.xword 0x11c72b91e96ed8a5
.xword 0x458b20a0fbd43ddb
.xword 0x2c671c0924b03e79
.xword 0xe0aa6ba2c3baa331
.xword 0x65a3722829a0fd04
.xword 0xae4db2e4a61ac83c
.xword 0x00e009ef4e00512b
.xword 0x3fe4d790a3a6ebf8
.xword 0xa27951ed2c31d004
.xword 0xcb90d16d6483d4f5
.xword 0xd710a79f1bc9d827
.xword 0x42015f2e316d09aa
.xword 0x51d658e5147a48b6
.xword 0x67e56171d97794b5
.xword 0xcde39743d24ecf67
.xword 0xda41f54363055b36
.xword 0x2134af2506c4e85d
.xword 0x324598b52af28a59
.xword 0xfa96eac8dd98533a
.xword 0x6201116c65738e27
.xword 0xe9b08617d6c878db
.xword 0x7ac0ed48fe6f84d3
.xword 0x6bbcbc0025687635
.xword 0x8d5921775fa0c600
.xword 0x28085497d37135ec
.xword 0x1f7db1a2f02c5d78
.xword 0x114570341c25a96a
.xword 0xee3d474ddda49717
.xword 0x978b7716921b8bc2
.xword 0xab7a126887e207ed
.xword 0x51b24c1afaa34ccd
.xword 0x96491e1bfc0cffd0
.xword 0x1728d124f8eb7bad
.xword 0x860c014f90628892
.xword 0x9727a70c7925c00f
.xword 0x1f5678b7e11edcb3
.xword 0x729c3bc80894b94d
.xword 0x5d0db43163892858
.xword 0x660728377f560950
.xword 0x208c636f86aa54ab
.xword 0x3fa7ce83d5896aa4
.xword 0x0ee19b51d6a8da6b
.xword 0xba2eef316fd5f298
.xword 0x22e063c65b5b154c
.xword 0xdf7f0a8e9f44dcb7
.xword 0xceb413231e96de4c
.xword 0x27b50c3f06bd596a
.xword 0xd2eab89c2c8a2cda
.xword 0xfaca093ababc588c
.xword 0xc819cd9f6ee657bc
.xword 0x9fc22512f1c725b3
.xword 0x2bf5c45eb71ab6c6
.xword 0x648f05cdfcfbb423
.xword 0x3d4b4bf4bfb4e9f9
.xword 0x6fc52c23f0a74e9f
.xword 0x6913afc102748a95
.xword 0xe5cb612b71d4c00e
.xword 0x5e19f5f85f4e623c
.xword 0x747bc2fd1e7298a0
.xword 0x668d5820119b04d9
.xword 0xf83ab5ab4c601421
.xword 0x6cfa7eceef311293
.xword 0x4e0cd56fc1c70cc7
.xword 0x67e22f887f7ed10e
.xword 0x5b02156c6a1ff074
.xword 0x5c5e2fbe429270a9
.xword 0x297ccbabb08a9fca
.xword 0x76cd58560db0006e
.xword 0x4b699e9881f61b8e
.xword 0xef4309731b88544e
.xword 0x0f1f512f9496886c
.xword 0x07773a733de1aa9b
.xword 0xc825cc686afc2e9d
.xword 0xe8baa28f8239fac3
.xword 0xbbc8911efd8c293c
.xword 0x105bc6acabf18255
.xword 0x2e62e76aaf548a46
.xword 0xc252677160fff6e3
.xword 0x07ca7c691a2173dd
.xword 0x2d66cb8bbdba2b9b
.xword 0x4799b01184d3688e
.xword 0xdea2201cfd21a61e
.xword 0x950cfb7b7392cabb
.xword 0xf950306180f50354
.xword 0x6b2f7f2e58f291fc
.xword 0x3d43bc98fe1a35ef
.xword 0x209ef382b56c69e3
.xword 0xbd19380c6fc80c0c
.xword 0xd103e508facaf757
.xword 0xce7644fae159ffc2
.xword 0xb9f949fc4f450e02
.xword 0x175da575f9647398
.xword 0x53130a8f85731ba8
.xword 0xf625ce48999840cb
.xword 0xffdec8667e080a97
.xword 0x8c2686a7ce47acc5
.xword 0x276031614922bfff
.xword 0x13d9cae7a913144e
.xword 0xfc93643b0bc5d416
.xword 0xc3b4115c0cb6879c
.xword 0xf1a88dd7c00074dd
.xword 0xc7c6ba18f5218e45
.xword 0x3373a9f325535ce6
.xword 0xb92f77eca3d60da5
.xword 0xd39965ed70b86f65
.xword 0xb0769488e3c8a0da
.xword 0xb80acf5bc6a1aa85
.xword 0x4e2f1996ee7e1c93
.xword 0xca8388895702ef2f
.xword 0x64601c52e9e16ad2
.xword 0x4acd97473c31635c
.xword 0x57416d16feee9ce3
.xword 0xb7d5ad1958dbc2cf
.xword 0x592237933f1964fb
.xword 0xcb98891eaeec3147
.xword 0xc6844d4150628297
.xword 0x70315ec013b50a3f
.xword 0x801fbe9301adfa05
.xword 0x3154cf50a01e8327
.xword 0x547bd919d5b5a3ce
.xword 0x1621326773d6e7a9
.xword 0x8c1133b674e756df
.xword 0x2c07f1b721718290
.xword 0x7b3f47cc9a93022b
.xword 0x7d34a47d697612d0
.xword 0x1be6be78bb9ee62a
.xword 0x40fedfbdbebf4d29
.xword 0x1255becc80006501
.align 16
_t1_hmac_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_hmac_auth_key:
.xword 0xada75f58af80d30f
.xword 0x271b53aa54578c81
.xword 0xcf42be3ba4709fce
.xword 0xfa7568b744e47a4d
.xword 0xdd2800434cc8dab0
.xword 0x3841d047b9a5c16f
.xword 0xa411680e74f8dfbb
.xword 0xd12b9908ca4a59cb
.xword 0x1a2daa4f599230b6
.xword 0x51abe34bb8a1767b
.xword 0xb0135115d66bb698
.xword 0xd435faf6bfc44718
.xword 0x7b97a0795f3f9cdb
.xword 0x9e72b5e7487e2b88
.xword 0xe3edc911b0fd2131
.xword 0x5c5729ad2096dcd1
.xword 0xc912dd768a28fe4c
.xword 0x96cad87214851221
.xword 0x91de86078f1dd1fe
.xword 0xf15c99de1f2e45e2
.xword 0x7dc301cda998ae4b
.xword 0xf6b721b28e7fc0a2
.xword 0x9d9b0901e0443478
.align 16
_t1_hmac_auth_iv:
.xword 0xef6d1fe4fe87e458
.xword 0x5f2f78f018b1e08f
.xword 0xf7a162f0f4f38fa0
.xword 0xc2064b1acd87ae57
.xword 0x65ad8684d6e7c4ce
.xword 0xe3482957e75d5fcb
.xword 0x856c5df21317459c
.xword 0x5f7d5e4157d0c870
.xword 0x6ebe08e2d8b9ff7c
.xword 0x77c4ab21a2697f34
.xword 0x037b930dbde54116
.xword 0x756d51ed3622e53c
.xword 0x11a6164f9504e9bb
.xword 0x8ee42f1de504c047
.xword 0x52663fa33cfca680
.xword 0xdc51f7446b146c15
.xword 0xfc11f93b80ef854a
.xword 0x8c74108ba8ed2737
.xword 0x4f8e24b0b6f615ee
.xword 0x48f74727942934d3
.xword 0xdbd48b56ccecfb60
.xword 0xa470c84e398b2161
.xword 0x5ad316dd1a303699
.align 16
_t1_hmac_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_rc4_key_array:
.xword 0x301430a440d21fd2
.xword 0xcb15a37963655feb
.xword 0x36e87bb64690a34f
.xword 0xb700c2a1dff74c8c
.xword 0x970a9ef1d787da22
.xword 0x60e27505fcf95d8b
.xword 0x16c57bb3d60e81e0
.xword 0x5dd4c9a86d81385f
.xword 0x2c26d557792e7afe
.xword 0x3758f7faa4902ac6
.xword 0x3bbf7b3fd33be976
.xword 0x3d5f67b0d460c06f
.xword 0x858659553942dd55
.xword 0x10358c49cd70f352
.xword 0xad7fe2492eb43121
.xword 0x802241fcf196bf0a
.xword 0xfb98cf67c37313b9
.xword 0xde10d834617cb5db
.xword 0xb5d9495e73375b6a
.xword 0x33a4f2fddf3881a1
.xword 0xe6bf610865c6c893
.xword 0x64eb29861b4e78a2
.xword 0x3b03bcd79efc2be9
.xword 0x2c7ddb43dc93ccfa
.xword 0x2fdff1b8d6297e2f
.xword 0x990431c06bc35838
.xword 0x35f519724d966ecd
.xword 0x3bacb328c3d30897
.xword 0x28605636de71bff3
.xword 0xa7d9578e9dd8fc9c
.xword 0x1feeaef4b855b602
.xword 0x7410117bb615e4c3
.xword 0x756f857b89ce1b43
.xword 0x82e721c3f9d53657
.xword 0x6aba9fd308ed8303
.xword 0x0891707cecad439e
.xword 0xfc22462eef536808
.xword 0x1052736281974bdd
.xword 0x7c731b780023e25f
.xword 0x3eff9ef831e8879d
.xword 0x361ba711cc2a730e
.xword 0x272cb1a3bb2b441a
.xword 0xc582c2b90bdc3c6a
.xword 0x2f3f54bbba5be23a
.xword 0x2206ffa5788e0594
.xword 0x6d9bc463ae7dfebf
.xword 0x4e2854d13b5d6967
.xword 0xfaaec0dfd5ff7a46
.xword 0xec221c8202a6a256
.xword 0x07d1bfe6b433f6a4
.xword 0x676e45494a686708
.align 16
_t1_rc4_iv_array:
.xword 0xeb1055825c84a560
.xword 0x9f950ca2618548d5
.xword 0xb3ca40760316f24b
.xword 0xe548544c973d411d
.xword 0xfe6600a122efe3ce
.xword 0x47ef1ff3f05429e8
.xword 0xaea81f609d2b53b4
.xword 0xc07e4fd29d4547cd
.xword 0xe6d2e1dd22a64a32
.xword 0xdbb0049977fa95d4
.xword 0x760e996ebaa2fb7c
.xword 0x325008d16c31f8c9
.xword 0xa0817005a334b1ac
.xword 0x616da5d482ba0baa
.xword 0xe25680c6c829351f
.xword 0xd713fe80b85b1d4d
.xword 0xc2803a930aeff205
.xword 0xf36261e2fe612ad7
.xword 0x8d721c1eca87c939
.xword 0x7312c1354dfbc8a2
.xword 0xe0d161f3b3bd8847
.xword 0x12bcca3de08d5b19
.xword 0x89a665bb375f1ef9
.xword 0x3a2cffeb98c240a8
.xword 0xa8e1e8c497ff3a4a
.xword 0xea8c6dfa485afe3a
.xword 0x2f3ca9b0ce1179b3
.xword 0x6d498d8a509b8782
.xword 0x07b19b606583d079
.xword 0x627d9f3765da5b63
.xword 0x679fc1e7e7378348
.xword 0x6e87695a61a24adb
.xword 0xe0eec90f113b2875
.xword 0x55a858f75b6ce91e
.xword 0x2420d223f318f258
.xword 0x2366a0e6b1b855c7
.xword 0x588f855e198a2484
.xword 0xf06bae8d66fc763f
.xword 0x59609352100dc856
.xword 0x63a7a5d1c6f0dd34
.xword 0x565f208673533fc7
.xword 0xbaed0f40271f16c6
.xword 0x7a5c3e8c373e7992
.xword 0xe41b117d1fc4f83d
.xword 0xcef764bd494afb46
.align 16
_t1_rc4_alignment_array:
.xword 0
.xword 0
.xword 15
.xword 3
.xword 10
.xword 15
.xword 6
.xword 2
.xword 2
.xword 12
.xword 2
.xword 3
.xword 9
.xword 15
.xword 3
.xword 6
.xword 1
.xword 14
.xword 7
.xword 4
.xword 1
.xword 5
.xword 11
.xword 3
.xword 5
.xword 13
.xword 3
.xword 10
.xword 0
.xword 0
.xword 0
.xword 10
.xword 9
.xword 12
.xword 4
.xword 15
.xword 4
.xword 15
.xword 6
.xword 11
.xword 13
.xword 3
.xword 4
.xword 0
.xword 12
.xword 5
.xword 9
.xword 13
.xword 6
.xword 9
.xword 8
.xword 3
.xword 13
.xword 1
.xword 7
.xword 7
.xword 4
.xword 13
.xword 4
.xword 14
.xword 10
.xword 4
.xword 12
.xword 0
.xword 0
.xword 14
.xword 1
.xword 11
.xword 6
.xword 12
.xword 14
.xword 4
.xword 7
.xword 6
.xword 4
.xword 9
.xword 10
.xword 0
.xword 2
.xword 4
.xword 0
.xword 6
.xword 14
.xword 0
.xword 8
.xword 4
.xword 5
.xword 10
.xword 15
.xword 14
.xword 2
.xword 9
.xword 13
.xword 10
.xword 5
.xword 15
.xword 15
.xword 0
.xword 10
.xword 7
.xword 13
.xword 9
.xword 5
.xword 7
.xword 3
.align 16
_t1_rc4_src:
.xword 0x7145ab216433bba5
.xword 0x9278169981f0e688
.xword 0x8afc1859cc2c8903
.xword 0xf2786b2c298d33ae
.xword 0x09902d70bd9a624e
.xword 0x17bf4b9c3916939d
.xword 0xa6526fa12973d88d
.xword 0xdbb249059e4207cf
.xword 0x40facd4b94811b39
.xword 0xc5d9bea42b2b4fe9
.xword 0x3b088e18452a28ef
.xword 0xe996b8c0f479c97f
.xword 0x6f776bb60e2fd669
.xword 0xc9f8505095d265ec
.xword 0x7bcb358f4c261c0c
.xword 0xc295c80ca04e76cd
.xword 0xd23e48f9992191c2
.xword 0x445b971bcc0ba4ad
.xword 0x2238846e70ae8178
.xword 0x8b6ef7aa7642d9c5
.xword 0xfacd10f86001217a
.xword 0x4a68366f2746075b
.xword 0x758394c68a25fdc0
.xword 0x8cc7983b8a701438
.xword 0xdf84f7c2365ba060
.xword 0x43e673c3292a9365
.xword 0xf79f14e5eda7ad72
.xword 0x50b24399735d3469
.xword 0x79f09228bf643279
.xword 0x7545a71e10f80a8c
.xword 0xb9877776816eb800
.xword 0x58bcdf78371af1e9
.xword 0xeda1650d8bcb6d71
.xword 0xb0902c4d782e6b9a
.xword 0xd26890752dbdef61
.xword 0x694927d2a8218be7
.xword 0xa96d6a02a14ead83
.xword 0x71e5b6335034ec87
.xword 0xc80ad2fa30cfa894
.xword 0xdb6e3bb724f88f9e
.xword 0x0c5c72ea6b0b84d6
.xword 0x8779d73f484c223b
.xword 0x3a85b05ffd17ca4e
.xword 0x5fc9ff279117c4b5
.xword 0x8f7c6f6f0981e3cf
.xword 0x3ac8ccdb535d9642
.xword 0x36de67245bb89c2b
.xword 0x8eaf3e28ec9c829b
.xword 0x4b7d27d16d65f69b
.xword 0x06b93a3bba4bb903
.xword 0xfb44219cec9a0d54
.xword 0xd3dff5a597b26b1c
.xword 0xec6717017e53d0e1
.xword 0xde9ad119d7aefcc0
.xword 0x1764a633557bd90c
.xword 0x61a04a322de01942
.xword 0x974be32957f3e31a
.xword 0x28bcab33810ab765
.xword 0x664e9d34923d16c9
.xword 0x2c63b6df3de60dd7
.xword 0xd761b1f5ea5a1e05
.xword 0xb74d72f87f3a516b
.xword 0xd81ed2917bb0f910
.xword 0x3e148a1c53f88ca2
.xword 0x72fdab51f90994f6
.xword 0x69e3dceb5fd45040
.xword 0xdb96a4b81617ed5f
.xword 0xd41e98f39fa56614
.xword 0x362ae9d179cfc251
.xword 0x2de6069d4e5dbe36
.xword 0x8304d03dcf86d943
.xword 0xe324115596a55130
.xword 0x53f4fa03a8ab3aa3
.xword 0x16174d6ff1fe71ed
.xword 0x47ee23ad99a9ab2a
.xword 0x9a39214d5a4d70b1
.xword 0x46c0808643f0caf1
.xword 0xf4f550f3ca675bc8
.xword 0x625598cdd556333e
.xword 0x9b855e99d5371337
.xword 0x4a784b07a71fe8f0
.xword 0x0890ed94de8a20a7
.xword 0x37a5b66b9234bfcc
.xword 0xcc2aa4ed51c6aabd
.xword 0xf5832a6f362a4fa8
.xword 0xd8014c39ef4dd101
.xword 0xd38da17ec5e3d740
.xword 0x7d6a69e73faa5dbb
.xword 0x0272d205e1e64613
.xword 0xabd262aeddcc7745
.xword 0x1170bf97b4673752
.xword 0xe8275891dabc8a16
.xword 0x318c56d731182ad2
.xword 0x1b2b7997d42c5d48
.xword 0x949c5aff52bfc26d
.xword 0x6828344c01546d94
.xword 0x727b925adc90798f
.xword 0xa86534e205fc1b41
.xword 0xbd9b261e66a1eb78
.xword 0x5941335fb7b851f1
.xword 0x7cd53aac75dd8b7c
.xword 0xdd9297902645cb6b
.xword 0xc5ee3604bdc8aa04
.xword 0x3c3471671ea1587f
.xword 0x71c8706a0655eb85
.xword 0xdf2411c5a5ed9b30
.xword 0x4b5f4ab06d57d3c3
.xword 0x45d0d1d9661b1358
.xword 0x56253a6099c4bbf0
.xword 0x804705188ad648d6
.xword 0x0715695ac2629cbc
.xword 0x20ecf001d01382c8
.xword 0xa1b8c9ed33c8e560
.xword 0xda3012e80f6a6cc7
.xword 0x9daa5a112646f497
.xword 0xcc9ba424575fa907
.xword 0x9ebca588ee9d99cb
.xword 0x78c00f1a9af98639
.xword 0xe12c4c28db5315a3
.xword 0x1bdefc0972995ab5
.xword 0xfab0c51c3a5a9995
.xword 0xe56a2e9ddb9e328c
.xword 0x9129d956894a1503
.xword 0xce1e55e32192f597
.xword 0xda83c5f7d58aa48e
.xword 0x6fd5c0dbf70dc428
.xword 0x5609a62486121691
.xword 0x88ea328324aff6af
.xword 0xa9b65931da010ac9
.xword 0x9edebe8f66794ed3
.xword 0x20ae49f7b37e4099
.xword 0xd98577fb3cc11f5c
.xword 0x1ab4adf8027e3432
.xword 0x69920fb4560cb4e0
.xword 0xe7d259048e83d382
.xword 0xe969adba60b43117
.xword 0xf9fd3f61daa46032
.xword 0x5025163998f5fa29
.xword 0xb4fb0061b60aeca6
.xword 0xc5f90980a5ea0e6b
.xword 0x00a99e6ecc033d5b
.xword 0x4f9dd59965ecb5e6
.xword 0xac81f079a35b1c0b
.xword 0x7054b085e37877b3
.xword 0xa47d20e2709b0461
.xword 0xca3c64492d0f7993
.xword 0x834b53bcd0c1f3c7
.xword 0x3040352e91a553ab
.xword 0x53fa2b6a3de8a48d
.xword 0xffe48f768b2d9e91
.xword 0x8e58bb88cfde682d
.xword 0x4988630c1fa41403
.xword 0x2ca813bd70e907b7
.xword 0x62f10efbaba889d3
.xword 0xe311b6748592f40f
.xword 0x31745fbcbf90867e
.xword 0x63705927f4a3aacb
.xword 0xece228772074f868
.xword 0xc1bba264df64519b
.xword 0xa7e3b2ade2189698
.xword 0x3069f4e56cec8118
.xword 0x76e8d9ca8959444d
.xword 0x3820aaffe805be9f
.xword 0xb3d3df78e0135946
.xword 0x074d82033315611f
.xword 0x6a0fd83cef993dda
.xword 0xa04ee1329d73456e
.xword 0x5f592862d651b27d
.xword 0x726dcf461b143710
.xword 0x27318e4f291003ad
.xword 0xa13224a9a023901d
.xword 0x032ab6a4bc1c4f79
.xword 0xd5824a4160db169c
.xword 0xa86de93150dec8e9
.xword 0xd7db3ec3d9c8c33e
.xword 0xdc6c500fea4b75bb
.xword 0xe16be84caacdbbf4
.xword 0xee69f71e0f95215a
.xword 0xfc8d660540d6f1d9
.xword 0x6952a9fa03bb9ad6
.xword 0x1e813377470b719a
.xword 0x4819dd8d11be5d3e
.xword 0x339a2491ba9cd848
.xword 0x2f42cfe8b8314c85
.xword 0x1863727af5e2dc47
.xword 0xfb36b2ef258b1e23
.xword 0xd2834b824cf8d82f
.xword 0x8f1e44676a2ed5ef
.xword 0xa7ab852b35e33b74
.xword 0x109cc11d289e8da2
.xword 0x5c139fec71d0bb45
.xword 0x8be18421e9be03d2
.xword 0xa4fd2997acc8e6f0
.xword 0x9eeaf8a46a7fbf28
.xword 0x84cc6a76d910c7c8
.xword 0x63d08c8000f3e17e
.xword 0x530961e913aeb7e6
.xword 0xe92ea184b1809768
.xword 0xca7e9cd7fa2cd65a
.xword 0xc1c382dc22cc2044
.xword 0x192fed4c344cfa2f
.xword 0x05e8977aacad5c4e
.xword 0x78ea872d85f9669e
.xword 0x8cf92f377dfc0fb7
.xword 0xeb3c8fe033f28f63
.xword 0x1e0b8b6cd93d672a
.xword 0xd81afd3909e25d2c
.xword 0x5b018b249d714ae7
.xword 0x89c3924ed014c0fe
.xword 0x0ef9fca787047a63
.xword 0x1b207ea76dfa49f6
.xword 0x7fc62e240cf9b180
.xword 0x4e6cfedd6b81b8e9
.xword 0xbde8c982b538903c
.xword 0x84b0c869d30dab95
.xword 0x3d1e0db9b766237b
.xword 0x0a55b782a7edcefa
.xword 0x7e26ac0c8db3f096
.xword 0x00f2b43afcc9e825
.xword 0x5b649bc46cebb09e
.xword 0x22d357cb10967ff5
.xword 0xb04a5a8e89df0317
.xword 0x1641a4a5c82abaad
.xword 0xe4f28158fc475ab9
.xword 0x70bfd9d47f4f3ac7
.xword 0x5ee129f594c9dd15
.xword 0xd97757ee73b08531
.xword 0x6a285e0a03652103
.xword 0x983028f5cc533b72
.xword 0x876e706ec8811bbd
.xword 0xffaa7565c2dc8414
.xword 0x3299976e47a594b8
.xword 0x72cef562e0317e58
.xword 0x5212c1a641b6a8c6
.xword 0x53dc483171af7da4
.xword 0x2a5ec81ff32585c6
.xword 0xa9fb03c43fa41cd4
.xword 0xfccca7b8a95b0899
.xword 0xcdd31a4ec28203d5
.xword 0xa5751fc8d268fe35
.xword 0x8502ab9bc26f0fd2
.xword 0xb83256b8a0a31d8d
.xword 0xd5dca4c290b645fa
.xword 0x3100029ef98ddecf
.xword 0x62271fb464a63736
.xword 0x4e4846be7be3c5dd
.xword 0x8f12950b56da90c1
.xword 0x2e7800b0ad2157c9
.xword 0xa86e3bf27324df56
.xword 0x94673c9a42e1b3fa
.xword 0x2734b38de81473ad
.xword 0x9dd3716cde1920e8
.xword 0x455c39078f6b2b1d
.xword 0xdda104940a7dc6e3
.xword 0x8c9643a228f6177f
.xword 0xcce298de61ee51f7
.xword 0x3b6c3dab2b6389e5
.xword 0x548016b07452f7d5
.xword 0x8c15d2539585740a
.xword 0x3e4e7662a6bfd728
.xword 0x1df3a9f0cf95881c
.xword 0x3fb614f9d12fd6fb
.xword 0x2bbb33561c70b281
.xword 0x950d3af3b83b41af
.xword 0x0ad3f64bd6a656ef
.xword 0x307d7c803df60e39
.xword 0x398721f3df432b3e
.xword 0xb5b43c079b77baf0
.xword 0xef9e35e567b1d60c
.xword 0xaec6755d99c3cd04
.xword 0xff5215efe733ac86
.xword 0x3328b4a63cd5c8b5
.xword 0xb035675ae238f1c9
.xword 0xd1c034ab2540f0c5
.xword 0xf6e11584468cfb43
.align 16
_t1_rc4_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_rc4_auth_key:
.xword 0x0523d401cd6ddc8b
.xword 0x0e59123bdc93d1b3
.xword 0xa789c017859c2fc3
.xword 0xe8f8200f82146b90
.xword 0x7a9ab062e041cb15
.xword 0xb607261a3c5a0fc5
.xword 0x2bbbcc8b5efdac62
.xword 0x148b199f40cb2451
.xword 0x4790128756e37fd7
.xword 0x4d587ea2b0fe794a
.xword 0x7e2e51885f274ab2
.xword 0x1d896e1f87606516
.xword 0x22ae35a8b41657e3
.xword 0x7574e7465488fbfe
.xword 0x007302e5248ce6f7
.xword 0x342dafbda2f8da89
.xword 0xe2898d2f300426d2
.xword 0xa828e49a4a60afdc
.xword 0xd0c4f36b7f937b36
.xword 0x691be7041400ec00
.xword 0x9325474cad1938a2
.xword 0x25bf550a5bb186c3
.xword 0x9efbfe0c4a578c47
.align 16
_t1_rc4_auth_iv:
.xword 0xf75cdddcb018257d
.xword 0x3688ef5fd1b21e28
.xword 0xc169f64d01a359ee
.xword 0xec95c7e3d2e1d54a
.xword 0x69b08d1965297f83
.xword 0xb7fd6a851e7ced20
.xword 0x9afed405fe1b7065
.xword 0x1f382eccf5076997
.xword 0xcf179f47f1e84e93
.xword 0x8636bf1352697892
.xword 0x4aafbf3acc5cc50f
.xword 0x8ed046e2bbc4f93b
.xword 0xefb990534ae6d886
.xword 0xab95bc889dc5bf73
.xword 0xb2b8ce9b2eafcc99
.xword 0xebd5f1df50e37918
.xword 0x587931e9496b1051
.xword 0x39927424bd816c8f
.xword 0x6c8f2aeaeb391e79
.xword 0x1d95ea78124e4141
.xword 0xee2c054e73b9dbc1
.xword 0xf882e1a367ecd5b0
.xword 0x3e93e4bbd764a3be
.align 16
_t1_rc4_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_sslkey_key_array:
.xword 0xaa4e7631e17864cb
.xword 0x2b0ab0b6b3fb016d
.xword 0xbe8f6c03e03f635f
.xword 0x444b1abb3f333e87
.xword 0xff4b985ab1e847f8
.xword 0x3160a98b8a666f12
.xword 0x4986578fb840313f
.xword 0x22b62b2bd8531210
.xword 0x15f52ebd8b7fd951
.xword 0x1ecf751990078af4
.xword 0xa86e94e7e4ceaa9d
.xword 0x84a846a810944ce1
.xword 0x52118f39e3dce84d
.xword 0x048efbcb68b8049f
.xword 0x5c63775d0fbaa3f0
.xword 0xe4872cbc4401829a
.xword 0x0f1a3b90ab879406
.xword 0x071493fb6baea50c
.xword 0xc1c51bafff4b8a94
.xword 0x27a7acf08714b334
.xword 0x4d1fef3b8ac93c8c
.xword 0xc4fadd41c9408a5a
.xword 0x78f40f44366e20ed
.xword 0x4a97f2c87aabd5ed
.xword 0xd186341fbb43d0c7
.xword 0x4c8faffb25045c04
.xword 0x16bcb5e791d3123f
.xword 0xcae748134b30f209
.xword 0x1eb9ad35b2da6167
.xword 0xaa1b9a01d3e96e63
.xword 0xae454ffe22117666
.xword 0xed0d603784da2378
.xword 0xea286c0fbf4eac5d
.xword 0x28b62a4a5ea13419
.xword 0xb164c174115b2728
.xword 0x81e9289211b7f927
.xword 0xa6928d9d96eea794
.xword 0xa25590d2cb314639
.xword 0x1bd6c8a6a6066a00
.xword 0x28a993d99014170e
.xword 0x5b58a338bc56b368
.xword 0x42d69347abb2e8c6
.xword 0x11804ecbe17a32bb
.xword 0x5611686987e4e7eb
.xword 0xb793874ac04460f9
.xword 0x3285087ebf40b2b0
.xword 0x73f8b1eb543491fa
.xword 0x90fb29e29dce0b1c
.xword 0xb273df834d56d0b9
.xword 0xccc0e8b6b54186cf
.xword 0x69c0e9b5ba0359ad
.align 16
_t1_sslkey_iv_array:
.xword 0x655d4b15e6186632
.xword 0xbe963f69f3c0f22a
.xword 0x88e24393f2a1272f
.xword 0x6f057f787e601507
.xword 0x790c0a6f4abf2811
.xword 0x46dc79b8f2f88362
.xword 0x3c8790a214ca888c
.xword 0x962fe28ba02829d7
.xword 0x701b2979b3d6c878
.xword 0xfc30e57cd8962638
.xword 0x06aa8dacb615b5c9
.xword 0x395178c1fad74ff9
.xword 0xe04b7769fb044725
.xword 0xa46b624f53b8531e
.xword 0x180cc5ca96b3658d
.xword 0x262985dde43c320e
.xword 0x58be7531b6409034
.xword 0xe2ba0d665d52dd4a
.xword 0x927c442389b4822b
.xword 0xeca9c9c1303fd6d5
.xword 0xfc2506e1134f9f8b
.xword 0xd2845fdac6ec424a
.xword 0x8e7c303101241d89
.xword 0x94d702857a455aac
.xword 0xec83e20845c69a8f
.xword 0x42be4eb8a58897c4
.xword 0x372f1f80e0ae59bc
.xword 0x17d8da713234aca5
.xword 0x688ae8413bd2259f
.xword 0x118525b1a65a3cb2
.xword 0xb370add8cddee510
.xword 0xa65643d24901d02f
.xword 0x87aae8618f1d1461
.xword 0x0702328347d30fcd
.xword 0x93983f723682aa22
.xword 0xf7cfa7e646d547d0
.xword 0x9c108c177db90f66
.xword 0x7c14d804698d4eda
.xword 0x9664e0d86b7e6c8b
.xword 0x3d7c972545218515
.xword 0x44a253f48b15417c
.xword 0xc2878afd41e43c65
.xword 0xc6c8f4ffdec2d844
.xword 0x4d8d5c38f58822d3
.xword 0x6942ee1c7aa1e296
.align 16
_t1_sslkey_alignment_array:
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.align 16
_t1_sslkey_src:
.xword 0x29215127721df201
.xword 0x6a15abcb1a1c4001
.xword 0xbd4ff6fa95eded11
.xword 0x38c4e17b06db1baf
.xword 0xbe2e06ec6759f509
.xword 0x1c7a1d39b0480509
.xword 0xf205f05240546192
.xword 0x33ee7ffe1246bf5a
.xword 0xe55034b1645f88f9
.xword 0x8fe8f7281554f095
.xword 0x876836a361fa01a0
.xword 0x2c3f40a9cedbd92c
.xword 0xb0beb258fe61f6b2
.xword 0x95e89e11c1647b73
.xword 0x11d0fdde6468d578
.xword 0xa90ad5cba0088ec4
.xword 0xed76b9e8f885d4ed
.xword 0x3479b50c96b244fa
.xword 0x45c8b69eb43705e6
.xword 0xe9fe94c7c8032dfa
.xword 0x98a6be935cf65c29
.xword 0x3b034b325d5d8596
.xword 0x570b7c860374500a
.xword 0xa9e15a408613b71e
.xword 0xc7cae0c62e68f988
.xword 0xe56e451cfd979d25
.xword 0x06dd3807478ceb2f
.xword 0x5e968a5dea0b5dc6
.xword 0xa08dbe21667ff0b8
.xword 0xcc0a292e4cf25585
.xword 0xc7642a6310255a9c
.xword 0xc82257119f2cb0ee
.xword 0x5b300b846c695ca7
.xword 0x990758ed27b9ebde
.xword 0x34300b8c06999487
.xword 0xf73b35bfb844f945
.xword 0x943dcb48038cb64c
.xword 0x455aa393b9842b40
.xword 0x871d4b530ff9b4ff
.xword 0xac19eb8453ae4fdc
.xword 0xb31168b1e8487a2f
.xword 0xa135fcd54e2ce049
.xword 0x7e92bcdfcf8e15ba
.xword 0x7752f1934ced07d7
.xword 0x222c650c355d7e6e
.xword 0xf80a20029b113844
.xword 0x1df79cf37b4fea41
.xword 0x524c05e9c4c9ac39
.xword 0xb2e98384813acee7
.xword 0x41a1b512d3cc2603
.xword 0xd52cc45bfe78c651
.xword 0x7914d1e8bf3d2d14
.xword 0xdb684d3a11d58603
.xword 0x9886f1266bf447a1
.xword 0xf57553b931c81a5e
.xword 0x9b44cd0c138ab307
.xword 0x786d24563dfb9bae
.xword 0x79631d710b1bbb7e
.xword 0x90a3b61f77e36333
.xword 0x6300ac5bb164d296
.xword 0xcf048e5f9d9e6375
.xword 0x15a7492b1323b629
.xword 0xa66b6835164f1fa7
.xword 0x8562039d3583da89
.xword 0xec6c1ca8b4db3786
.xword 0xd1cede81925cf316
.xword 0x2af20a78f90e7913
.xword 0x9d25c1ca38b84c0e
.xword 0x7f70653766e853c0
.xword 0x5c7b2180e7cb4599
.xword 0xdb203a57f64d0a55
.xword 0xe7439b890465ea75
.xword 0x4d95b7d7ca0834cd
.xword 0xec7776187d5b3558
.xword 0xe6a57fa62e92d214
.xword 0xcb5399101319f82d
.xword 0xa640156b9cb4e7e9
.xword 0xc0cd6552ee5ced14
.xword 0xcf05ff58afb5dec6
.xword 0x2c9053539200885b
.xword 0x1118ea73fd71ad64
.xword 0xed5946960d8296f1
.xword 0xf4af984c0c7872fa
.xword 0x8055947cad1b9c73
.xword 0x63e5dab77db2dce5
.xword 0x9cf133350bf837b3
.xword 0x37d031917fa729ff
.xword 0x5cedc93f5f16d056
.xword 0xad0bccc8f4cba7ce
.xword 0xf3360f9a9de61e5f
.xword 0xb7a530e02a4e439f
.xword 0x87324a4951d0ecba
.xword 0x61b17ed9f6510c6c
.xword 0x271ecbb54072cf3a
.xword 0xa4567961b9729bb3
.xword 0xa0631d9aae644fa0
.xword 0x110705f7ab9ff2af
.xword 0x880e44bfbf1ded0f
.xword 0x6452ffc7b5cf5575
.xword 0xd49609faf49bb218
.xword 0x0dc2ea07ee573db5
.xword 0xe39407337f9e768c
.xword 0x5b0c208e0b90c277
.xword 0x4aa8daf7617f63c3
.xword 0x21941fd271dd4b2c
.xword 0xff9fd89ba5176426
.xword 0xa1948ec773360bf8
.xword 0x74dd158958dd1bd0
.xword 0x9caa36fea7324b84
.xword 0x519ba9488eb07a1b
.xword 0x809a36ab31c5f554
.xword 0x0e87a6060c8d777c
.xword 0x240f94a62098de92
.xword 0x4997c54d774f7f13
.xword 0x3be7951990192b34
.xword 0x91784245cf4a760c
.xword 0x7541dd659f0a2c18
.xword 0xbee5ba7ee34c3c54
.xword 0x596a831da5d81ae1
.xword 0x02d2521388e8f65a
.xword 0x73b626daa2fe189c
.xword 0xf90a3fb1cd3b73c9
.xword 0x6ff9cf3b8062725e
.xword 0x40a89843fd1c280d
.xword 0x6098b252d4def6cf
.xword 0x8829e6ab5ea3f8a9
.xword 0x3048a052cb76c1ab
.xword 0x12fe7e60caddfc82
.xword 0xea42ab1c30940351
.xword 0xd277babb6ac2f482
.xword 0xc01378421d4d768e
.xword 0xcf04d11c36454e16
.xword 0xecd82d791d838f17
.xword 0x71d9f94b53053bf7
.xword 0x5a1b23765cd1fcf4
.xword 0x33da665a9e33d199
.xword 0x37474641d02f279c
.xword 0xc4370d475faebf3e
.xword 0x4976a38cbc9f4e90
.xword 0x1002b2cb099716f0
.xword 0x14006d18f7abc6c1
.xword 0x699e64ea43b9ec72
.xword 0xe964faf866db33fa
.xword 0x9b8ba12cffb522f0
.xword 0x9b9e4cdab547fa66
.xword 0x34b7acdecc8a6617
.xword 0x37ba235ef286e97c
.xword 0xc1d3a074784ed3a8
.xword 0x558e7bfbda9216ee
.xword 0xdaf6bb89a1956f2c
.xword 0x5c21af383ed2a519
.xword 0x8bd47212716457ce
.xword 0xe7b75f1e17734077
.xword 0xec75840be2c12f25
.xword 0x96a3ccb1f24a5a62
.xword 0x6819041d0db12ff8
.xword 0xc57bb312746c77f7
.xword 0x2f0088e1031f3205
.xword 0x3f2f2194b4953676
.xword 0xc8b07fa70a9273f0
.xword 0x2190d31b7cb420e2
.xword 0xbb178b876398a735
.xword 0xa1b819e77269a081
.xword 0x893da706d780d818
.xword 0x69fa06260ee44517
.xword 0x29422a3c90b28a8f
.xword 0x17194c45cb34753e
.xword 0xa970624d2aa6a9dc
.xword 0xd3a5fab613c9651d
.xword 0x565f34a7eb1c64f9
.xword 0x1043e4517cf36e6c
.xword 0x1589c7145bdd2563
.xword 0x7dde3f38201f97fc
.xword 0x15abcfcd18996e43
.xword 0x94aa61c3b7ef246b
.xword 0xe93af082f759106e
.xword 0x5330985dfbef4e11
.xword 0x9cd013469a3832d5
.xword 0x1b9ca3b4fad5f911
.xword 0x6ddb8271e363d3b5
.xword 0x5d8320b44d769405
.xword 0x24ac05eb909a1776
.xword 0xe0eff6e363b93a0b
.xword 0x2adc9efc4cc6e2dc
.xword 0xcfefe14228017180
.xword 0x74103a5376f18d79
.xword 0xc8e2444373436555
.xword 0xb926b720e7b3e5e5
.xword 0x690fba243233883a
.xword 0x6aed0d7085b9fb88
.xword 0x432347ee739d9d4f
.xword 0x1ee6fa5be9034af2
.xword 0x40398d0f77131400
.xword 0x0a4254e488cbfcb0
.xword 0xa0ae16022c6df49b
.xword 0xce88c0d8953ef5ff
.xword 0xed1e7d711455f187
.xword 0x9035828277a53e85
.xword 0x6a01dab450027f9b
.xword 0x82c0bffe40e99529
.xword 0x60247ded59ce98c1
.xword 0xd21e20e58d550a83
.xword 0x1e44dec0ba4a42f9
.xword 0x67632a71c45868cd
.xword 0x4f610fa2d3b7074c
.xword 0x8ebb122f117b188a
.xword 0x2c38ec022be78186
.xword 0x6ff57eace1bca420
.xword 0xeace640721f40126
.xword 0x23fdf043eb817c66
.xword 0x1f5d10a2bcfe60f5
.xword 0x715f9647a1e3ef58
.xword 0x73dceccfe2ee8e88
.xword 0xc1433d813dc902cf
.xword 0xdd53faa936245560
.xword 0x237e116f5fd31c86
.xword 0xeb557089be173292
.xword 0xfe13c9f8d0224e4f
.xword 0xc246b6fb9bf80e66
.xword 0x7e8106394c68a21f
.xword 0x6c05602370a780da
.xword 0x8b6a1afa928e936c
.xword 0x09c8a91ee4b5692a
.xword 0x808c5a32fdb71ac1
.xword 0x63d3fcd808910f89
.xword 0x581134660f431b52
.xword 0x4db4e03c27287874
.xword 0x2b93b20ed74ec581
.xword 0x1f9722c478c7177e
.xword 0x3bf81502e3ae06c9
.xword 0x14128b162158e875
.xword 0x65f30f6c23f05381
.xword 0x13bbc6c2ca1e0292
.xword 0xf874cdff48e146fd
.xword 0xd65862a31687370b
.xword 0x0d0e90b522d338b1
.xword 0x1df770a84041cd57
.xword 0xaec26e365c167e01
.xword 0x84dbe26e81908512
.xword 0x81b03a0fe81c81a0
.xword 0xf17241250a439827
.xword 0x595a45edaca063df
.xword 0x10df345d75467b96
.xword 0xe52e71dd6b2532d3
.xword 0x884e116bde45d970
.xword 0xae59ca310bb90418
.xword 0x4337da5d9b6a0ce6
.xword 0xc6cd427c1ade1408
.xword 0x933eb66ab22fbe43
.xword 0x2050bc59a7b31614
.xword 0xee23c03d0eca3779
.xword 0xdb16a80b2c056224
.xword 0xcd4d9665baad497c
.xword 0x808a9b39077f52c6
.xword 0x5cbe0e4298b63a48
.xword 0xcfe2a399c6fa2b40
.xword 0xbfe602fc8145ac31
.xword 0x30400a38e1ebd7cd
.xword 0x6cde14f5d0faccc7
.xword 0xcf34578df181b395
.xword 0x471163b634a37d68
.xword 0xea1cd1ece259febe
.xword 0xc2319e5694f4f128
.xword 0xd8ffe8845091592b
.xword 0x265a7348103a8f8b
.xword 0xf8a254124ab8595d
.xword 0xde9e19aa03a2eade
.xword 0xa6e237ad4b8b5e88
.xword 0x2808254f358d1ea7
.xword 0x91da3bc41e143274
.xword 0xf3cd4369fd9cbd35
.xword 0xa6674a9dc92144c4
.xword 0x35198dc57d5e97ab
.xword 0xb10cf1e6b102435a
.xword 0x55110977500883c4
.align 16
_t1_sslkey_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.align 16
_t1_sslkey_auth_key:
.xword 0x4cadbf2811c48cbc
.xword 0xe0e48f36c8f728d4
.xword 0x86ed5bd15e684522
.xword 0x241d8f0fbdd19bc0
.xword 0x6151ed39ddfe98e7
.xword 0x877df5d0af003b0d
.xword 0x71159416eca17c3b
.xword 0x1f208c6f505f601a
.xword 0x50fdbb8c898932c4
.xword 0x1c308a7ca9e48372
.xword 0x3368f494d2db8e26
.xword 0x69db12a00e735b3b
.xword 0x043a51cad14566bb
.xword 0x31edaa1d8b3cbb85
.xword 0x4f8410d43798c53d
.xword 0x82393995c717f6aa
.xword 0x5b747e3cd875b637
.xword 0x255635c6d4782e27
.xword 0x64c70890cf6efef2
.xword 0xe9ee8c689a5a6328
.xword 0x8037b6012e900f11
.xword 0xb8c297f48d7a6ec6
.xword 0xe4bedbf333c199df
.align 16
_t1_sslkey_auth_iv:
.xword 0x1609300392709270
.xword 0xfd8b82601a430270
.xword 0xd485dc70ac8c4e47
.xword 0xca890c338123c50b
.xword 0x24c7aef14ab8bde7
.xword 0x8c70cd12c92c1155
.xword 0xca2d12a93b5a8fa9
.xword 0xc8b708228cbeee91
.xword 0x776c407b7c99a7d4
.xword 0x41cd1458ac42e8ed
.xword 0x902a4a46ced1c3be
.xword 0x42e40809b6cc7db3
.xword 0xd11b6265bc2e9780
.xword 0xd754085781e7c8cc
.xword 0xd2eb36903bbafa95
.xword 0x305fbb6266ebb90e
.xword 0x25011fa5a05ab544
.xword 0xa8114e90dd51936b
.xword 0x4c4aa881fb710bc5
.xword 0x7218e023ee3eca61
.xword 0x7b1038986fc5ff4e
.xword 0x85b153d07884ddb2
.xword 0x709361d692e666b9
.align 16
_t1_sslkey_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_toc:
.xword _t1_aes_cwd_array
.xword _t1_aes_src
.xword _t1_aes_auth_key
.xword _t1_aes_auth_iv
.xword _t1_aes_fas_result
.xword _t1_aes_key_array
.xword _t1_aes_iv_array
.xword _t1_aes_dest
.xword _t1_aes_alignment_array
_t1_des_toc:
.xword _t1_des_cwd_array
.xword _t1_des_src
.xword _t1_des_auth_key
.xword _t1_des_auth_iv
.xword _t1_des_fas_result
.xword _t1_des_key_array
.xword _t1_des_iv_array
.xword _t1_des_dest
.xword _t1_des_alignment_array
_t1_copy_toc:
.xword _t1_copy_cwd_array
.xword _t1_copy_src
.xword _t1_copy_auth_key
.xword _t1_copy_auth_iv
.xword _t1_copy_fas_result
.xword _t1_copy_key_array
.xword _t1_copy_iv_array
.xword _t1_copy_dest
.xword _t1_copy_alignment_array
_t1_crc_toc:
.xword _t1_crc_cwd_array
.xword _t1_crc_src
.xword _t1_crc_auth_key
.xword _t1_crc_auth_iv
.xword _t1_crc_fas_result
.xword _t1_crc_key_array
.xword _t1_crc_iv_array
.xword _t1_crc_dest
.xword _t1_crc_alignment_array
_t1_hash_toc:
.xword _t1_hash_cwd_array
.xword _t1_hash_src
.xword _t1_hash_auth_key
.xword _t1_hash_auth_iv
.xword _t1_hash_fas_result
.xword _t1_hash_key_array
.xword _t1_hash_iv_array
.xword _t1_hash_dest
.xword _t1_hash_alignment_array
_t1_hmac_toc:
.xword _t1_hmac_cwd_array
.xword _t1_hmac_src
.xword _t1_hmac_auth_key
.xword _t1_hmac_auth_iv
.xword _t1_hmac_fas_result
.xword _t1_hmac_key_array
.xword _t1_hmac_iv_array
.xword _t1_hmac_dest
.xword _t1_hmac_alignment_array
_t1_rc4_toc:
.xword _t1_rc4_cwd_array
.xword _t1_rc4_src
.xword _t1_rc4_auth_key
.xword _t1_rc4_auth_iv
.xword _t1_rc4_fas_result
.xword _t1_rc4_key_array
.xword _t1_rc4_iv_array
.xword _t1_rc4_dest
.xword _t1_rc4_alignment_array
_t1_sslkey_toc:
.xword _t1_sslkey_cwd_array
.xword _t1_sslkey_src
.xword _t1_sslkey_auth_key
.xword _t1_sslkey_auth_iv
.xword _t1_sslkey_fas_result
.xword _t1_sslkey_key_array
.xword _t1_sslkey_iv_array
.xword _t1_sslkey_dest
.xword _t1_sslkey_alignment_array
.global _t1_table_of_context
_t1_table_of_context:
.xword _t1_aes_toc
.xword _t1_des_toc
.xword _t1_copy_toc
.xword _t1_crc_toc
.xword _t1_hash_toc
.xword _t1_hmac_toc
.xword _t1_rc4_toc
.xword _t1_sslkey_toc
!# CWQ data area, set aside 512 CW's worth
!# 512*8*8 = 32KB
.align 32*1024
.global _t1_cwq_base
_t1_cwq_base:
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.align 32*1024
.global _t1_cwq_last
_t1_cwq_last:
SECTION ._t1_T_CWQ_DATA1 DATA_VA=286261248
attr_data {
Name = ._t1_T_CWQ_DATA1
hypervisor
}
.data
_t1_user_data_start1:
_t1_scratch_area1:
.align 16
_t1_spu_op_array1:
.xword 3
.xword 7
.xword 6
.xword 0
.xword 0
.xword 4
.xword 4
.xword 0
.xword 4
.xword 4
.xword 0
.xword 1
.xword 5
.xword 6
.xword 3
_t1_aes_cwd_array1:
.xword 0xc0e100a01100001f
.xword 0xc0e100601000001f
.xword 0x406000c01500001f
.xword 0xc06000a01700003f
.xword 0x406100801400002f
.xword 0xc06000001800001f
.xword 0xc0e100201700001f
.xword 0x40e000001b00003f
.xword 0x40e100601800001f
.xword 0x406000201300003f
.xword 0x406000e01500000f
.xword 0xc06100e01b00001f
.xword 0x406000201900000f
.xword 0x40e100e01400003f
.xword 0x406100a01800001f
_t1_des_cwd_array1:
.xword 0x406000400d000007
.xword 0xc0e100600800000f
.xword 0x40e100600e00000f
.xword 0xc06000a00800001f
.xword 0xc0e100e00e00001f
.xword 0x40e100600e00001f
.xword 0xc06000000900000f
.xword 0x406100e00e00000f
.xword 0x40e000400e00001f
.xword 0xc06100600c000017
.xword 0x40e000a00c00000f
.xword 0x406100800d000017
.xword 0x40e100200c000017
.xword 0xc06000000e000007
.xword 0xc06000e00d00000f
_t1_copy_cwd_array1:
.xword 0xa06000e00000000f
.xword 0xa06100200000000e
.xword 0xa060004000000003
.xword 0x2060004000000004
.xword 0x2061002000000001
.xword 0x2061006000000008
.xword 0x2060000000000009
.xword 0x2060002000000008
.xword 0xa061008000000003
.xword 0xa06100e00000000a
.xword 0xa06000a000000009
.xword 0x206000000000000b
.xword 0x2061008000000008
.xword 0x206100e000000005
.xword 0x2060006000000005
_t1_crc_cwd_array1:
.xword 0x416003c40000000c
.xword 0x416301a80000000d
.xword 0x416203a40000000e
.xword 0xc16101c800000002
.xword 0xc16203040000000a
.xword 0xc16101a800000007
.xword 0xc163030400000006
.xword 0x416101a80000000d
.xword 0x416203a400000009
.xword 0xc161016800000002
.xword 0xc16003a400000000
.xword 0x4161012800000005
.xword 0x416203e40000000c
.xword 0xc16001a80000000c
_t1_hash_cwd_array1:
.xword 0xc1610ae100000028
.xword 0x41630b4200000027
.xword 0x416014e30000000c
.xword 0x4161048100000021
.xword 0x41630cc100000004
.xword 0xc1620be300000039
.xword 0xc1630ac100000034
.xword 0xc163138200000035
.xword 0xc1610ca100000013
.xword 0x416003a10000000c
.xword 0x416202c100000014
.xword 0xc16108610000000c
.xword 0xc16300c100000037
.xword 0x4162052100000021
.xword 0x416202810000001f
_t1_hmac_cwd_array1:
.xword 0xc1630025000f001b
.xword 0xc16213ab001f0025
.xword 0x41600ba5000f000b
.xword 0xc16308e9000f001f
.xword 0xc1600569000f0000
.xword 0x4161032b001f0028
.xword 0x41600ee5000f0006
.xword 0xc16313c60013003f
.xword 0x416305e5000f0026
.xword 0xc1610525000f003c
.xword 0x41600745000f0008
.xword 0xc1600989000f001d
.xword 0x41620e49000f0013
.xword 0xc1610da5000f001f
.xword 0x41601be7001f001c
_t1_rc4_cwd_array1:
.xword 0x40e1004000000006
.xword 0x40e000a000000004
.xword 0x40e1000004000001
.xword 0x40e000e00000000d
.xword 0xc0e1004004000000
.xword 0x40e000a004000000
.xword 0x40e0008004000008
.xword 0xc0e000c000000002
.xword 0xc0e000e000000004
.xword 0xc0e100e000000005
.xword 0x40e100e004000007
.xword 0xc0e0002004000007
.xword 0xc0e000400400000e
.xword 0x40e100c00400000d
.xword 0x40e000c00000000b
_t1_sslkey_cwd_array1:
.xword 0x106027c000000000, 0
.xword 0x9060328000000000, 0
.xword 0x106033a000000000, 0
.xword 0x9060406000000000, 0
.xword 0x90601d0000000000, 0
.xword 0x1060194000000000, 0
.xword 0x106037e000000000, 0
.xword 0x9060214000000000, 0
.xword 0x1060208000000000, 0
.xword 0x1060342000000000, 0
.xword 0x9060274000000000, 0
.xword 0x9060020000000000, 0
.xword 0x106012a000000000, 0
.xword 0x9060330000000000, 0
.xword 0x1060400000000000, 0
_t1_aes_key_array:
.xword 0x63b69f7b9655cfd8
.xword 0x9fefbfbd59ad7ef0
.xword 0xb2e39df572066001
.xword 0x50b8728416eb7a6b
.xword 0xdbd3706ed484a9f7
.xword 0xeea07f9df5257539
.xword 0x9ba94ae116270e9e
.xword 0x859743cc22496122
.xword 0x93807bcc0f6f17a8
.xword 0x7a128d9efaa91ccb
.xword 0x580e3a69034aa9f9
.xword 0x2e54ca75f115bac1
.xword 0x7310c251fc97b243
.xword 0xc7aace89ba69ae5a
.xword 0x656689d7e9c0d16b
.xword 0x9cac995993d67468
.xword 0x1689cae9b63aad08
.xword 0xfe2836c833706df6
.xword 0x57ea359561db7eb6
.xword 0x074bd9cc89d29f5c
.xword 0x994b9c913384dd7b
.xword 0x5188918b9731c1a0
.xword 0x1a17a3cfe0883b23
.xword 0x634d834e00f4f3d5
.xword 0x749794eb293dcdbb
.xword 0xac5017abc67559d6
.xword 0xc1704300cdb75e34
.xword 0xa98e956e5ebbf590
.xword 0x2be4177af7f35234
.xword 0x3430f3782099a42e
.xword 0xda5bf832303ed0db
.xword 0xff6837b3e8303066
.xword 0xae636bd0699947ad
.xword 0xa22717800c1984ee
.xword 0x76b6d505f37ae7f3
.xword 0x7a450e7f3b72898c
.xword 0x1cd824ba7d8cb0e2
.xword 0x9ea4920291f16dea
.xword 0x550c081972266583
.xword 0x6671d1e34f54eab3
.xword 0x239698f5ad1a6eb5
.xword 0x10bc6e7fcd518164
.xword 0x87485cdfdd897662
.xword 0x21bfae29f5535b82
.xword 0x68e967c0770c44be
.xword 0x80c2b26943066a37
.xword 0xe41f5e519928196b
.xword 0xb55b6c1be6df7fdf
.xword 0x1bef0bce67bc640d
.xword 0xe3610b275ac3af79
.xword 0xd69539ad7a7e2f8b
_t1_aes_iv_array:
.xword 0xe2a913122cf61927
.xword 0xcd91766b670b43c9
.xword 0x19e97498a038bb58
.xword 0x90e9fe0e8f721205
.xword 0x1b01c2d020f43994
.xword 0x84f7c17470fd9f0e
.xword 0x2b2b988be123da9a
.xword 0xfa474eb278c56adc
.xword 0x63fdfba155f7c727
.xword 0x0d4ae2f191ebbe13
.xword 0x4a38cebbc28d53b6
.xword 0x15f2f4d2487992a3
.xword 0x77f1d007cdb51a22
.xword 0x1443ebcf9991e302
.xword 0x4b64884adbe87279
.xword 0xc9a214266e2a21c9
.xword 0x4069d3b44e53ef48
.xword 0x9f956a2adc632adb
.xword 0x37dda795d998181a
.xword 0x3e750a330135299f
.xword 0x460710178d1c5736
.xword 0x238cd7fd5213791d
.xword 0xddf11669e8be5b85
.xword 0x372e2e0160acead6
.xword 0xfeae36c64ef718e2
.xword 0xd37c50b408e6570c
.xword 0x10c36a5491fdf028
.xword 0x4bb2b70bc3e29e06
.xword 0x907b8a2c7932c47b
.xword 0xef44022ca95d2d4b
.xword 0x26009b8f90682339
.xword 0x32d88cc3232c3f7a
.xword 0x367ff527715d0f2a
.xword 0x60be86e28a3586de
.xword 0x27eaa2f69acd6cb4
.xword 0xd05ec79d945ddd2b
.xword 0x21afb7c9e30e7ac8
.xword 0x20278b27cc576fc2
.xword 0xa3427530610dfc3b
.xword 0x4a532a29147aab61
.xword 0x37e7c68e31631426
.xword 0xa10ee62271701d23
.xword 0x178832e8cfef6313
.xword 0xe5f0bc9e42faf0be
.xword 0x7c4e06e6ecf87f13
_t1_aes_alignment_array:
.xword 13
.xword 6
.xword 4
.xword 8
.xword 12
.xword 10
.xword 0
.xword 9
.xword 10
.xword 12
.xword 12
.xword 5
.xword 13
.xword 14
.xword 9
.xword 12
.xword 6
.xword 4
.xword 9
.xword 10
.xword 7
.xword 12
.xword 5
.xword 0
.xword 9
.xword 11
.xword 12
.xword 4
.xword 0
.xword 14
.xword 3
.xword 15
.xword 12
.xword 14
.xword 0
.xword 3
.xword 13
.xword 12
.xword 13
.xword 9
.xword 14
.xword 7
.xword 4
.xword 1
.xword 0
.xword 3
.xword 9
.xword 11
.xword 2
.xword 1
.xword 12
.xword 3
.xword 5
.xword 7
.xword 2
.xword 8
.xword 5
.xword 3
.xword 9
.xword 10
.xword 13
.xword 7
.xword 8
.xword 13
.xword 1
.xword 4
.xword 10
.xword 11
.xword 5
.xword 9
.xword 6
.xword 14
.xword 14
.xword 0
.xword 4
.xword 7
.xword 0
.xword 5
.xword 0
.xword 15
.xword 7
.xword 1
.xword 4
.xword 3
.xword 3
.xword 7
.xword 10
.xword 11
.xword 7
.xword 3
.xword 7
.xword 9
.xword 4
.xword 4
.xword 2
.xword 8
.xword 9
.xword 14
.xword 9
.xword 1
.xword 0
.xword 1
.xword 7
.xword 2
.xword 11
_t1_aes_src:
.xword 0x49e8c25093b5f9f6
.xword 0xab3f79e0961ac1b6
.xword 0x977e8ce07b0c4a6d
.xword 0x932dd007e3da60d2
.xword 0xd588ecab831d6d81
.xword 0x26cc294d083f04bb
.xword 0x75fc5235ad4ae970
.xword 0x63f8cd5d406d0d20
.xword 0xba16cde680672b0b
.xword 0xacd328be5734cf8a
.xword 0x7e9ece7b82204bad
.xword 0xfe1041efcdf4629a
.xword 0x50fab6e85e9f9b44
.xword 0x59b78082a49f481b
.xword 0x97bccdbb2960bfce
.xword 0x715ade581ce0257b
.xword 0xb229eaecc2128f21
.xword 0xd7e4a1800a69aa28
.xword 0xbb2ae374d59ae95f
.xword 0x803589625e5b04bd
.xword 0xc58525fdef0c512c
.xword 0x2fdd6c0b85759884
.xword 0x00623a2f949ca0b9
.xword 0x662b88cae7da5b3a
.xword 0x49fd07a0b6159abb
.xword 0x81070de5444defc6
.xword 0xf5ed49884ad1b1a3
.xword 0x3dba66b9515dc2d7
.xword 0x0f8ac77f42dd8613
.xword 0x2e5e1f5c5c24ad14
.xword 0xf2f7222ebe2bf0af
.xword 0xd936bbcfd46547d8
.xword 0xf93e5c07c25e1f93
.xword 0x5bec313f176762ca
.xword 0xf7cb14dc1aaf6881
.xword 0xaf3ea8232f007479
.xword 0x21cd01ef4f9304ed
.xword 0x7021b9ce0bd37aa7
.xword 0xed2fd14b02157b68
.xword 0x43f397bbe7adfb37
.xword 0xed5ba6fc0a8899e6
.xword 0xd287f20fca508edc
.xword 0xc3fab0bc1b85a8d2
.xword 0x9c4afb753138cf1d
.xword 0xa2ba5ad067b6202e
.xword 0x59c55ea2f7209232
.xword 0xf42ac953a953bc27
.xword 0x94655f97785525d8
.xword 0xcc5286a8f64ce753
.xword 0xd556b5f570781b50
.xword 0x0ab4fa47ca238e2a
.xword 0xfb79919aedd32a33
.xword 0x2c0ffe4c4ddd80d4
.xword 0x35e4ebeccd978251
.xword 0x750f76f0b4bbf8f8
.xword 0xd04c0887a7a1a654
.xword 0x0164c2686854ff1d
.xword 0xf86218e413d5b6dc
.xword 0x79557db963f14ada
.xword 0x9bda32411921941e
.xword 0xba4a8d1358c153e4
.xword 0x81da13befeda3a1f
.xword 0x1fb43ae7f50e717f
.xword 0xb26b2892cc3a376c
.xword 0xdc4ddf471e00f4d4
.xword 0x91b943ceeab406cc
.xword 0x2d51e6bf41780cab
.xword 0xb7baeb63b9674244
.xword 0xb516fdd1b8d7462c
.xword 0x96d36a9d6d65c548
.xword 0x17a0a636ac6c5061
.xword 0x5993ae6311ab14e3
.xword 0xfc4657022d809ce0
.xword 0x267e8d9bd1a2c1ef
.xword 0xc63c5440e0912b20
.xword 0xd5b33b688e59e2b5
.xword 0xf509fd4adbdf1692
.xword 0x67a9574148bb46e6
.xword 0xa43b1864c45f72c0
.xword 0x547b537ac72fbfd5
.xword 0x3bd6a81bda4e2ad6
.xword 0x7e2262d73cd8c5b5
.xword 0x3151a3076805f86f
.xword 0xeda1c6181996be21
.xword 0xd0fa32bd3e4712bf
.xword 0x895f4ee91bd992cd
.xword 0x81f33760a60e6009
.xword 0x4a34aa760eda9269
.xword 0xcd9b888e054fff83
.xword 0xcea818ecb907214d
.xword 0xfe447a67bbc75002
.xword 0xbcf9c979e637a09a
.xword 0xa5db6e944398463b
.xword 0x793a0276147196d9
.xword 0xdded461ead258ca7
.xword 0x78168e4e0e6792f4
.xword 0xd34748aac070e20e
.xword 0x82644044185c58b3
.xword 0x0c356da52667ef9a
.xword 0x0b19d483eef48501
.xword 0x866f9751f6765cce
.xword 0x85499434f6fcca14
.xword 0x5636e7364fcf4cc5
.xword 0x0408156e782e1928
.xword 0xf67557a615057226
.xword 0x29e0a2c8c237dde2
.xword 0x9ed2fbdc078d4983
.xword 0x02f52916153ebe53
.xword 0x0693e65c67cc7cda
.xword 0x7146502e50df23bf
.xword 0x1f24075e413dff3e
.xword 0x0e89ad84131e40d5
.xword 0x1211d16c5fd83f8a
.xword 0x7188a6f833b44ab0
.xword 0x43157f2a2156c7bd
.xword 0x5bf37d6cfd00587e
.xword 0x0959bc2178c623af
.xword 0xf621fff93b736910
.xword 0xd99e98052d722dd9
.xword 0x2dec28a81f4f9ee5
.xword 0xcbfa8dd6d272b3d3
.xword 0xb5a9d36e34c19a0c
.xword 0x4a378405931d65ae
.xword 0x9bdb6aeb605f13fc
.xword 0xb32c9876761652a5
.xword 0x61c4594e95ebc7bc
.xword 0xc1c00076db9048ac
.xword 0x95f6bcf909c0f4b2
.xword 0x71fd571813d754c7
.xword 0xaed017458ab99bed
.xword 0x4ec2da4db8aecad9
.xword 0x7b2b089310e3b5cd
.xword 0xaa914a7190050099
.xword 0x189719d4c3c27328
.xword 0x6b33584ace61dec0
.xword 0xcbe5d6d04d88b731
.xword 0x44597c264291d1b2
.xword 0xd2021114dba11619
.xword 0x17da4849b3738d9a
.xword 0x959c39528d0beedb
.xword 0xd981205345cd13e3
.xword 0x980da15135536214
.xword 0x806bcb274f7a8316
.xword 0xcba07314565f8be6
.xword 0x71e66a479c720714
.xword 0x2cbe0b1f0b49c67d
.xword 0x3c30ab01e9c096f2
.xword 0x634fe833434e1e5d
.xword 0x049d4596bf45d5e6
.xword 0xa88af86076c7fe40
.xword 0x86b1bfb4c50bbb1c
.xword 0x7e1aba9bb389c792
.xword 0xbdec2a38202ff129
.xword 0xeb4d29c1f6d5dd96
.xword 0x87c4bdcdab8c2eca
.xword 0x5c96268d210f1259
.xword 0xf13060d224a40f65
.xword 0xe6e4e0fdd4845145
.xword 0xd461bc27816ff980
.xword 0x7124f395470b7138
.xword 0xfafcd76fc1d09888
.xword 0xe7209e530a530ffe
.xword 0x17bc1def1d3ab54f
.xword 0x89cb42b3645da28c
.xword 0xd89f1c7bb44bf8de
.xword 0x47dba551fb74d92c
.xword 0x1975969233feeb4d
.xword 0xc46217b923b77b2a
.xword 0x41e6d71b8c675211
.xword 0x919ccaddba1f0d11
.xword 0xd4720286fe14c2c1
.xword 0xdf3d03ebce5b3a88
.xword 0xf30de587a7ab9067
.xword 0x20e0dcd18629f182
.xword 0x877d8ae683aec9a1
.xword 0x406949e73820b526
.xword 0x0ab584946fb0d44a
.xword 0x6546aa49196b81ed
.xword 0x14d0a3b2feaa5e4d
.xword 0xc5d815116eb0f2f5
.xword 0x38bd1e3731b8efa4
.xword 0x7e778fdd73c42bc2
.xword 0x56abb9c75ec69583
.xword 0x7f03545d16175b47
.xword 0x3dad369dcc4479ed
.xword 0x831ce5910731d394
.xword 0x760e5e664f5614f3
.xword 0xecaa9e5f90132e6f
.xword 0x47f861bcd978ffcc
.xword 0x870793a031855c19
.xword 0x0c8a6db4b8bed37f
.xword 0xfafe9b3c64ecb419
.xword 0xc14b788c20a4c42a
.xword 0xeac47bd22cb7d4f3
.xword 0x9da4ebfe1ef87bb1
.xword 0x210de00d0526d6cb
.xword 0xfb0411366f545db6
.xword 0xd4b6f80618cad75b
.xword 0xe6a18fab30b2c932
.xword 0x7084c50c2daa6ad7
.xword 0x40fb48ab92d1607b
.xword 0x0e7cb6c3c9be2ffd
.xword 0x845280859f237aa4
.xword 0xd57739ada25ce6f6
.xword 0x11eed1914c86a296
.xword 0x6857926ed202ff36
.xword 0x682e8cebd46ecc6a
.xword 0x2f7b6251546d975c
.xword 0x738386664eff3812
.xword 0x5673285ca4063b9e
.xword 0x8b11190235f5ec43
.xword 0xfbf6bfbc1b34b461
.xword 0x47dbf28a651ba3bd
.xword 0xb2947747816fe8c4
.xword 0xdfb12a72d4f6d1f0
.xword 0xe6fcc33200f697d5
.xword 0xf330ff55486ec0da
.xword 0x00a2338b88489d4e
.xword 0x7569d140d8481157
.xword 0x374b37d9ddfbee47
.xword 0xd5601ea860d51bef
.xword 0x239331d5aaad38a0
.xword 0x9125b7801d689ab4
.xword 0x596e3934d2df967d
.xword 0x5d502eb88822e00d
.xword 0x4632dfed68f65405
.xword 0xff68f7d83b647961
.xword 0xab7420f8028f9781
.xword 0xec453bcd6346cb53
.xword 0x91694cd5b271ec81
.xword 0x2b08fced7218dc33
.xword 0x85433b2cdb9791b8
.xword 0x95cbb521e3538c52
.xword 0xe4bdb7610473217f
.xword 0x9e7a4a0e501b0e3b
.xword 0xfea59413ec275622
.xword 0x67af534534778d2e
.xword 0xe9764f79f86be72b
.xword 0x718428b270abbdad
.xword 0xa3c0ef0272724c22
.xword 0xafefa8b563045f66
.xword 0x5f032778c3cee6ae
.xword 0x5de49a17511f6797
.xword 0xa096fd37099ea502
.xword 0xf2a1732d79cb7654
.xword 0x04a77f1fa9510707
.xword 0x24b2c597013a33ef
.xword 0x0b1f0afe294452b3
.xword 0x755b6d30b9615752
.xword 0xf12ba266f5e8959a
.xword 0x8212321706b3b383
.xword 0xa247539f1dbce585
.xword 0x901672cbbe3c4aeb
.xword 0x12ada861b4e68f0b
.xword 0xb0ffba21c205d7eb
.xword 0xb5b9089163d31231
.xword 0x280361e333c97b65
.xword 0xca2682d4bd7331e7
.xword 0xa2055c628d569586
.xword 0x1a2fa12a897dbc35
.xword 0x337d127b979f37db
.xword 0x0e5744576658d7e8
.xword 0x41595d52c233c3ab
.xword 0x73dcbc42d401a531
.xword 0xbbfd00a0d3cb0918
.xword 0xe05f993b6c6d5d8c
.xword 0x3380846a0ec2ba46
.xword 0xa019598ff945cea1
.xword 0x32e91753c2649b82
.xword 0x48ed52389f0cec1c
.xword 0x0977800546c67b9c
.xword 0x6bf3d7cfde954144
.xword 0x14616fd1fc453ef9
.xword 0x2d82fc79c9a2d1a1
.xword 0x1d29d16e95b079a8
_t1_aes_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_auth_key:
.xword 0x440136ba8477e391
.xword 0xbfab2e20ba54df79
.xword 0xc5b532fe4bd526cc
.xword 0xe8430641cb452cb2
.xword 0x7f2159ade934a47f
.xword 0x16c14a8e2f7b06e7
.xword 0x500ae4b703e1a1c8
.xword 0x0627307a24585233
.xword 0xb72127de44c0c73c
.xword 0xf689bf7d77639d9c
.xword 0x7364416bfe748e43
.xword 0xd0b8f47205491d41
.xword 0x87d3849e0f07acbf
.xword 0xaa307a5c5309a3f0
.xword 0x88598f086246c9ae
.xword 0x768d11d9c3da76e1
.xword 0xb0012383c21bbf3f
.xword 0x628e0d75277557e9
.xword 0x94d87cb70399d954
.xword 0xe8ad592ba611adcf
.xword 0x8fc432aed8417056
.xword 0x8a633f630ac82de3
.xword 0xed323a88c320be74
_t1_aes_auth_iv:
.xword 0xd27d0ac86a858f62
.xword 0x01330bcdddc951f2
.xword 0x62dbe49dc33f0536
.xword 0x72501f47d266e77c
.xword 0xa13020ca89f41b12
.xword 0xb90d0897859a1122
.xword 0xbd9d442599689bd8
.xword 0x56eb06bcf582544f
.xword 0xaccb384678dec7cd
.xword 0x996d2611ec3f8256
.xword 0xfba4ce06dbca198c
.xword 0xffc2f9cf663489f4
.xword 0x1723fdee21ed37dd
.xword 0x4f7fc0ec3aae1cd1
.xword 0xf123ab41a9e68aa2
.xword 0xea936ce2968bcf92
.xword 0x51e8dc84288583ad
.xword 0xe9dda70e6a2ca909
.xword 0x3cea12495fda3dee
.xword 0xc3191d5fc53a2f63
.xword 0x83a7d8c1d715a04e
.xword 0xd5cdb49fe115af55
.xword 0x042060101457624c
_t1_aes_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_key_array:
.xword 0xd011da7091fdeae6
.xword 0xd48ccc3f9a398c18
.xword 0x2a992c5b4a093554
.xword 0xd26ae3964b41603d
.xword 0xd9f85c7875b27425
.xword 0x66346ce9022dc8b8
.xword 0xe6fc5a4e40f6ff95
.xword 0xaef47cbebb0d5b41
.xword 0x6734e8287d255e79
.xword 0x891cc8902fd57447
.xword 0xfe1ee87771fdc85d
.xword 0x04cfcc7d54661ed0
.xword 0xe54fe70be0dc1428
.xword 0x0c134fba5ded8400
.xword 0x1fd5d27e3208e9d3
.xword 0x233f6b23335ec306
.xword 0xc6765382804c7f4e
.xword 0x273f6158bb68aa6b
.xword 0x4062d0da501e1741
.xword 0xbb6a7035f240a28a
.xword 0x32201ff3b7c57d33
.xword 0x0fc418abd6989944
.xword 0xe16a7b0ae7901009
.xword 0xd5513b44a80f49cc
.xword 0x26f04e5b5c6d2ea1
.xword 0x11013a07ec537b78
.xword 0x021901a211645bf0
.xword 0x9b9f82475e8f7756
.xword 0xf69939cfa165fade
.xword 0xff951b459261f526
.xword 0xcd79d7e34ea56f9e
.xword 0xd372007d22aeb540
.xword 0x25b7038a59114804
.xword 0xcc608ef356ae4c79
.xword 0x71ff71c3620580a3
.xword 0xc02138a30e247098
.xword 0xf389e523d4182983
.xword 0xf4a563ea253de69f
.xword 0x54adbfd6321d0033
.xword 0x6719f8da28232ff2
.xword 0x0a766b9233026c9f
.xword 0xae00c88e7728f5d2
.xword 0x66d9ea0c58ac5cdb
.xword 0x0d52a640c628727e
.xword 0x5f1dedca7d8ee8f3
.xword 0x7cb3e116c50229f0
.xword 0x18e5d034962d5491
.xword 0x3d15ed462d6f51c6
.xword 0xbc7a2899e037dfd4
.xword 0x9ea30fea9b3c346f
.xword 0x8121ff033bc55fa0
_t1_des_iv_array:
.xword 0xad649fee758a90d1
.xword 0x3119780b2863944d
.xword 0x8cb6a9b7a8400870
.xword 0x6feb9559f6d83b0a
.xword 0x91033c7404a9e9ba
.xword 0x8803d0e7de2db34b
.xword 0xbe5aec12c4321a51
.xword 0x5270e5d7bcf6bef5
.xword 0x88a9d767f0ee2e13
.xword 0x27a688c2e380912e
.xword 0xb06c048d28c1b431
.xword 0xa2d350b38e142d60
.xword 0x4f5932fb6fc6d01e
.xword 0x32d3c79f726c8171
.xword 0xbe497907189e8201
.xword 0xfbd02c62be262629
.xword 0x28ed7ecc88546f4f
.xword 0x9035b9647656c43f
.xword 0xa89d3b7b668654d6
.xword 0xebc5169287cdad93
.xword 0x5a48f1bd387c4a07
.xword 0x5f96f4e4bab92234
.xword 0x7de015a9bd991dab
.xword 0x63c6321438a56ebf
.xword 0xb3329e950f503a28
.xword 0x93235e6d01c14a14
.xword 0x0e4756e6e5a0ed02
.xword 0xc41508c2a75d0fe8
.xword 0x8f7917f2119fe1ca
.xword 0xce26c0af070240cb
.xword 0x3b8d0c5ea18c91ac
.xword 0xdf32066c04edb376
.xword 0x83e74e8ac319840e
.xword 0xdae8677e71abd8ee
.xword 0xc07168a1d385f7cc
.xword 0x0895ab991f2a573d
.xword 0x3ae8639dbd19be3e
.xword 0xb6f56bebca4bda1f
.xword 0xd4708498815a15d0
.xword 0xd1935b0c6e531a63
.xword 0x2ad0d07ed6dcecab
.xword 0x0c8a54240882bb38
.xword 0xcb6663d903f822aa
.xword 0xa551daf1068fe4c7
.xword 0x7c9c5dab4765aab3
_t1_des_alignment_array:
.xword 9
.xword 6
.xword 12
.xword 10
.xword 3
.xword 11
.xword 0
.xword 15
.xword 0
.xword 2
.xword 4
.xword 13
.xword 1
.xword 8
.xword 8
.xword 15
.xword 12
.xword 15
.xword 8
.xword 1
.xword 14
.xword 2
.xword 0
.xword 2
.xword 8
.xword 9
.xword 13
.xword 7
.xword 8
.xword 14
.xword 8
.xword 8
.xword 0
.xword 7
.xword 12
.xword 2
.xword 3
.xword 11
.xword 6
.xword 3
.xword 11
.xword 15
.xword 15
.xword 10
.xword 9
.xword 15
.xword 4
.xword 6
.xword 8
.xword 4
.xword 8
.xword 2
.xword 9
.xword 15
.xword 1
.xword 11
.xword 1
.xword 6
.xword 4
.xword 4
.xword 12
.xword 14
.xword 14
.xword 9
.xword 4
.xword 1
.xword 13
.xword 3
.xword 7
.xword 14
.xword 10
.xword 6
.xword 6
.xword 8
.xword 14
.xword 8
.xword 2
.xword 1
.xword 12
.xword 14
.xword 4
.xword 15
.xword 6
.xword 3
.xword 8
.xword 11
.xword 3
.xword 1
.xword 10
.xword 0
.xword 2
.xword 12
.xword 11
.xword 6
.xword 3
.xword 15
.xword 6
.xword 12
.xword 9
.xword 15
.xword 9
.xword 3
.xword 2
.xword 6
.xword 0
_t1_des_src:
.xword 0xb52371053c107405
.xword 0xc34483313562f0f3
.xword 0x3a07744c4d668135
.xword 0x0aa152d81f67c55c
.xword 0x820cceae2b1af38f
.xword 0x22f918496901e689
.xword 0x5cfe8ca02395e572
.xword 0x3e1d9dde8085cf51
.xword 0xc5c1912d1c889109
.xword 0x4b6407e3be0bf376
.xword 0x0fe42cea55ec5646
.xword 0x42648d1b5f1b3be9
.xword 0xd152524cf3071fe6
.xword 0x6e0941b539d9f9b2
.xword 0x9f76f04aa3dd8b16
.xword 0x18195dd31685be45
.xword 0xf736fdcb2edd074f
.xword 0x43ea66df2252f294
.xword 0xdefe4068c56ce776
.xword 0xaa067b06e3eefe06
.xword 0x7ad01474150b34c0
.xword 0x9c1d1ea13c0a2fe5
.xword 0xff811fb8a00d065e
.xword 0xc8fbd079d96f9373
.xword 0x75441cf8a5e25bc5
.xword 0x9b4c82be3c033b8b
.xword 0xf7fb4d2ed9fbda20
.xword 0x2e9f1ada0088ef34
.xword 0x930b68fee8a348c1
.xword 0xb0eac91abe416a54
.xword 0xd676e9d2e3fe0ac5
.xword 0x499882d717752452
.xword 0x943ab580ebdeeca1
.xword 0xb1bd72beb5ef50d6
.xword 0x423547b3710132a3
.xword 0x26309eab908e450f
.xword 0x8960c4d16a995615
.xword 0x0bc9f23b4845a2d5
.xword 0x4840a1df42c00917
.xword 0x9d417d7b168d2a4e
.xword 0x5ae2a9b9d29fafb2
.xword 0x677f4fb1f00fea03
.xword 0x72af34759fb1afc1
.xword 0x2221e1a1c7acc209
.xword 0x0bfccb93524fda4a
.xword 0x33f50c61492e4a55
.xword 0x41f67429f70e0e16
.xword 0x947d731f268d232e
.xword 0x4f5d127fa80279eb
.xword 0x9c569cfb158bfde1
.xword 0x6375933865894a25
.xword 0xf269700f3ec494ea
.xword 0x378cdc4ab39f3635
.xword 0x5e8f235dfc1684da
.xword 0xd21b43a554ad8126
.xword 0xfaed135c6f098291
.xword 0x83dce589d57d273d
.xword 0x71f36d524b92d05e
.xword 0xe447fd0bc31770a7
.xword 0xe7257bbe9bc18136
.xword 0x3aacb7a7504ce9a6
.xword 0x184e0d517d2c33a6
.xword 0x052d8545d79ea908
.xword 0x514f2595bc3226aa
.xword 0xab29c68249770aa4
.xword 0xe605847f487ec6e2
.xword 0xb5a9c342f9262c5f
.xword 0x63f7bfd87454a915
.xword 0x6a40194a686f7a1d
.xword 0xd39e2b7b49485943
.xword 0xd2a12351270a9dc3
.xword 0x243c216e92f2b011
.xword 0xc8daab0fffb45432
.xword 0x0703128a3cbe92f9
.xword 0xe2f9d76c2dc1f4be
.xword 0x45a4ac00def1c116
.xword 0x51d33697836a6ad2
.xword 0xec8a2585b1aaafd1
.xword 0x192564ee3caf2929
.xword 0x7489ec85947ba70a
.xword 0xa514a5738ee10acb
.xword 0xe35280f9c5a36882
.xword 0xb4e50877cd7d9b68
.xword 0x61e33d952347c736
.xword 0x92f9bd9dc4aa4a2d
.xword 0xf58d0d0ff3d85425
.xword 0xc6f77490636b808f
.xword 0x1a0a1d75bdfdb587
.xword 0x349e7ba461a1566e
.xword 0xaa773bdfe1407fe9
.xword 0xb15f3acb9d68f7bc
.xword 0xc13a580bb30056bb
.xword 0xcfe40ca979aa253e
.xword 0xf3ec495c657bb799
.xword 0x204b456e7d0e29f4
.xword 0xa020bed61873fb19
.xword 0x7845641e2529b99e
.xword 0xbc889724df58a8e4
.xword 0x9a1366287a4b5f7f
.xword 0x2613345365627438
.xword 0xd714a75f0673233b
.xword 0x85d430ea79c74c83
.xword 0x5ed49794f7851c25
.xword 0xbd08a7c09bd10086
.xword 0x0451dec7dded456b
.xword 0x873d59c1529b7a59
.xword 0xdd8b8007926bc4bd
.xword 0xee6889ce04663d1c
.xword 0xf3d439df8a96e6ff
.xword 0xfce228fcb2cd3ab9
.xword 0x30b07aa6f93cad1f
.xword 0x9f71572830c385f6
.xword 0x2e18cfb4b87d58c0
.xword 0xc9f558f2761a27ad
.xword 0xf050a65bfc973c03
.xword 0x9dbca3d8615c8ee2
.xword 0x09eda25a931fe4aa
.xword 0x80dc2691a828dff8
.xword 0x828c0dcbea2874bf
.xword 0xe1d4d2ef834b83ce
.xword 0xe1e97f105240efbd
.xword 0xa6fabb21b115e1cd
.xword 0x02391303b2a72d4a
.xword 0x93cff2736f4fa096
.xword 0xad02d0a5a71d9b28
.xword 0x470c4eece4c0c3c1
.xword 0x41b0b7324d8c7835
.xword 0xca22753b38d295c1
.xword 0x0403c4823a364ee5
.xword 0x8b0fb63f43044193
.xword 0xec1a7372bd1f05ec
.xword 0xd75882dbf76465b6
.xword 0xde27f5a33091965a
.xword 0x850bc4d11ad9a515
.xword 0xc391106865111be8
.xword 0x2f2a94e889b4f72a
.xword 0x402c39e3045d0af8
.xword 0x78bf17a5b91acd07
.xword 0xe6538f72321a75c7
.xword 0xdf84f53c6320cdfc
.xword 0x854f059d86a7dea3
.xword 0xe4850ee04afc03f0
.xword 0x708845a7ca5b81a9
.xword 0x5df5cfad9b22d78a
.xword 0xc31b22ede727f575
.xword 0xbd3eb54288ac8bb3
.xword 0x6c86d45b52a88b07
.xword 0x8d3669d0fbde8063
.xword 0x9d09bfd80084334f
.xword 0x359c327c98450c09
.xword 0xe62c4e63e6d0312a
.xword 0xf7598bfe7f80cc17
.xword 0x5c6ae9a5a9957a90
.xword 0x7e59201f04326264
.xword 0xd707617683894336
.xword 0x19883aa9720f797d
.xword 0x6ab7884854661596
.xword 0x0975cebb2db02e5b
.xword 0x966f55c26755aa7b
.xword 0xb1080bc3039ab382
.xword 0x0aa9468068a3bd78
.xword 0xf71b431ef389a4b5
.xword 0x821fe54d2ea56140
.xword 0x3a95a1e6d259468b
.xword 0x5209ce38bd03d48d
.xword 0x1efeb500dcffd008
.xword 0x353ca6ea2fd20bf9
.xword 0xd65b479ffd2b9e7f
.xword 0x35fb1fa4f963e6ee
.xword 0x77037ec283d5fd37
.xword 0xd268d34e17484f16
.xword 0x2e8d5d143090b50c
.xword 0xf7f9081fc0a28953
.xword 0xba5aec64b9891582
.xword 0x01eceaf00c62412f
.xword 0x69f619f29ad4c627
.xword 0x9f7e1702e34f1f0f
.xword 0x733a9c1d4fcbe745
.xword 0x4180d062f1f29282
.xword 0x6e42acc8beebc509
.xword 0x7cc9507b4629ed2b
.xword 0xa6961741c8114b04
.xword 0x5318928517dc5549
.xword 0x33f2d886cf2ba415
.xword 0xc7a1d37ffe1aad3b
.xword 0x846330428ae75055
.xword 0xf2d9ccaeed2a3c72
.xword 0x540f9f1f0923b15e
.xword 0xfcf2b882f299ea9e
.xword 0xa8e79a71910870c4
.xword 0xbfa94a971c384568
.xword 0x62708b8ae4080534
.xword 0x9e739abad9462e75
.xword 0xe307c379ed4b35c3
.xword 0xc6fd93976edbb700
.xword 0x16dea2be5d71ed4d
.xword 0x102bc495774eb071
.xword 0xa0322e68d0fc53eb
.xword 0x631f667496405a7e
.xword 0xda69f09330978b6f
.xword 0x2993295dbdc05333
.xword 0x610b7f5cbc977e9d
.xword 0x09091dcb0fa13c81
.xword 0x79885fe3a11902d8
.xword 0x62ddb1855fc4a38c
.xword 0x988fa8ffd988a31a
.xword 0x827fed1fa1a8b2c9
.xword 0x6fc142afc8516b06
.xword 0x404e992a3e856caf
.xword 0x78ba8b98a350c624
.xword 0xa194afb63c9d5937
.xword 0xe901da4cc83d0dfe
.xword 0x65721e576fd83992
.xword 0xb117f469cef97134
.xword 0x771802c53bcfb5bf
.xword 0x6dc3b0b55ec3a0e6
.xword 0xf50c74d52a12dd48
.xword 0x5c76d52873d40ebe
.xword 0x42a0ef496540342a
.xword 0xf874708819f80598
.xword 0xcfead25cb575c712
.xword 0xa0410b6aa883b588
.xword 0x1cd1c460cee5b210
.xword 0xc4872b479904377c
.xword 0xa24374cb56c2970a
.xword 0x82d677add67d9c45
.xword 0xc2477a59c57f2996
.xword 0xee75431dbfbe8a16
.xword 0xe57f44a2e56e411a
.xword 0x4ad6786ebd556ed0
.xword 0x9698e41ce21c9462
.xword 0x988f0c00ed32b0a0
.xword 0xe7bdd837ad41c35d
.xword 0x2c51b4a6d22ffdfe
.xword 0x8f5f73d6bf2ab4e6
.xword 0x803e7429d75db766
.xword 0xdd423134eaeb6ed4
.xword 0x10804f9591dd94d3
.xword 0xb909847f33748720
.xword 0x0f1d759412462984
.xword 0x3d8e5f4c0a97c46a
.xword 0x3dbb1fcfe3c179bc
.xword 0x7eaf455e7f773cc5
.xword 0xcdfdebdc0390ef7b
.xword 0x35ceaa157af81514
.xword 0x64ee2d9b18988506
.xword 0x17430a07ad68e7e5
.xword 0x3462afcfac10b29c
.xword 0x0f5212656a1af841
.xword 0x9436f5ed336d6a29
.xword 0x0181af01e650a3b4
.xword 0xa0dd1c9db76b3390
.xword 0x17f6d10d8c089ada
.xword 0xc61c39f657384f15
.xword 0x39d8ec22d261f077
.xword 0x4811b9f8cebee4a4
.xword 0xfbd0b3609aa79dae
.xword 0xf1916cace3a4974c
.xword 0x23ce5567e0704d3f
.xword 0xd7a84e116492d850
.xword 0xfd23141c9c7dea4e
.xword 0x3fbca065b036d89f
.xword 0x79da2741408778f1
.xword 0x91d92f0923327d79
.xword 0x3df89dbebd2f48bd
.xword 0x4b9adef46cde9610
.xword 0x266bf34de1fd38d0
.xword 0x49b18c39416c304e
.xword 0x8d7f08e1661c144d
.xword 0x3861daf6bfcc0beb
.xword 0xe048165aaecd1adf
.xword 0x70938b6693d59e84
.xword 0xdc530a8aee184eff
.xword 0xd2c9f1115233a3ec
.xword 0xaf1e58a26b527ab8
_t1_des_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_auth_key:
.xword 0x2b0a02c18af13216
.xword 0x7cb907a7311dd3b0
.xword 0x03aac5d311f1c8f9
.xword 0x260b89e274a77149
.xword 0x577ea91ee7b5e3f7
.xword 0xbdf62e6665b85f30
.xword 0x7d00b1530df82ee4
.xword 0x7f9cde6acc6ff024
.xword 0xfa61b45f50131821
.xword 0x3da24962a78daf09
.xword 0x0c41e0fda6bd6e80
.xword 0x6d0aded39e717221
.xword 0x683a34bc876d735d
.xword 0x2769168ed488a629
.xword 0x00ca96cd772da33d
.xword 0x799189ba20e80adf
.xword 0x35bb040b60d86918
.xword 0x318c87ec29a714b8
.xword 0xdb460433a87351e8
.xword 0x71d51d2c48e7a7f1
.xword 0xf08f1bf04037e53c
.xword 0x2a61931de712c216
.xword 0x9380019dc436ee58
_t1_des_auth_iv:
.xword 0x5a18c6dc872450bb
.xword 0x12c21470b421a3c4
.xword 0xf3072398311dfdb2
.xword 0x670b76bbc53bc447
.xword 0x221d06d52d8ed832
.xword 0xe6bbd6dc8cb31e41
.xword 0x9b7066bedc0200da
.xword 0xa3976a79fd562e34
.xword 0xd817b61f39537aaf
.xword 0xbc11ca58f4c0ae51
.xword 0xda0e93547dcb0de0
.xword 0x752041c43240b378
.xword 0x0b46ad56c3cc973b
.xword 0x706ec45ab944ccfe
.xword 0x7196204a83625197
.xword 0x00f8cf6c78b4dd62
.xword 0xf6a86f84dbd5b95d
.xword 0x3071cb021ff11fe3
.xword 0x952895286c4371d2
.xword 0x50780b9e22908d5b
.xword 0x28cd3483485fe295
.xword 0x88bdad9a12351af0
.xword 0xca2c42c7d61dba31
_t1_des_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_key_array:
.xword 0x6947aca4f2995606
.xword 0xb4e22994ad2edbce
.xword 0x272c030093637f37
.xword 0x45abff92fd1fe97b
.xword 0x3da2baaa0a8551e9
.xword 0x0443916a3940e778
.xword 0xf5fc62f296e7e4c5
.xword 0x779465a20880a819
.xword 0xaab8f6b162362bac
.xword 0xf4d221eaa0986bdd
.xword 0xb735836d844bcc1f
.xword 0x9f605c68a4c859ba
.xword 0x82d2ee29dbad3515
.xword 0xc87ef25ecdaf04aa
.xword 0x177cd411784d896d
.xword 0x9bfed39aaf213c45
.xword 0x68e092833a261f37
.xword 0x3d752f511519f99b
.xword 0xef5c8edce6e1f5a6
.xword 0x919f466748400b88
.xword 0x736ddda428948af0
.xword 0xfad34304e2d04399
.xword 0xc040de2fa97db1ee
.xword 0x8d59f2abe1712d23
.xword 0xef7ecb6e6e52eb7d
.xword 0xc64a4c1e61aa3ba6
.xword 0x147098f719324fb8
.xword 0xac2e343e8d38d96d
.xword 0x0f1ccdc0081631d7
.xword 0xf382e8d3ad52beb1
.xword 0xb19014ef2da2f8e1
.xword 0x0709156bb915fa68
.xword 0x6cb9e767fa74730a
.xword 0x1567bae6dab5a6f0
.xword 0x6e526e8d663fdd1f
.xword 0x85bb2707a272110d
.xword 0x5edcab21968b7dff
.xword 0x03e737404327430f
.xword 0x458e297ddaa0cac3
.xword 0x387a3dce4f33975e
.xword 0x133c2a54ff0faf6d
.xword 0x410f545de7b4cd54
.xword 0x66105134f335f860
.xword 0x00cd47f6a6becd4a
.xword 0xf73729294406cd8d
.xword 0x3b13bc760194bd2c
.xword 0x83b4517149775893
.xword 0x3f5a96afd429f607
.xword 0x9ec8a5adf54257be
.xword 0x2210c531bf1a1d75
.xword 0x221661fab24759f3
_t1_copy_iv_array:
.xword 0x659f9b5aade2943a
.xword 0x45a450676fbb34db
.xword 0x415c406333ca16aa
.xword 0x1e96e1fa6b86abec
.xword 0xa0f4cd5a65de5bae
.xword 0x440caf229788b4c7
.xword 0x839e329de0922689
.xword 0x024efc23056677e3
.xword 0x1ea5100e39afa7ca
.xword 0xd2a0c03903127dfe
.xword 0x3faf77cb3924d01f
.xword 0x66eede136bd9b089
.xword 0x2cd1ff48ff733443
.xword 0xb7efb0c1cf62d1d4
.xword 0xc17d2821756e8403
.xword 0xf9ef254d3e0aec88
.xword 0x15f3576a73edafc9
.xword 0xd931dcca6b8d50a4
.xword 0xc45ebb60c47797c2
.xword 0x43a196d848f59033
.xword 0x82c30e6c482111fe
.xword 0xb34a295bf55807f5
.xword 0x594ea94d3adac7e9
.xword 0x7a419ce6e3b2f045
.xword 0xbe6d47319be1867a
.xword 0x44eba5108d394c37
.xword 0x3f63c7ee3f92141e
.xword 0x52bf8992305615aa
.xword 0xc53fe26129d2ab14
.xword 0x3d86df212189c643
.xword 0xff65f863a6280bb1
.xword 0x0beecf86870283f9
.xword 0x2562487931e90917
.xword 0x7f6d6a1019620b37
.xword 0xe54ce99670ef87d0
.xword 0xb4e05310163c258a
.xword 0xc7f59addad27035a
.xword 0x9dd5351ebd901238
.xword 0xee65ebacb66b8def
.xword 0xf0be1b9ea6e53b05
.xword 0x52f6644e21a59b60
.xword 0xffce38f1e8b04d8b
.xword 0xac18470323476886
.xword 0xe7971df2fdaf3766
.xword 0x6f5bf24945e064db
_t1_copy_alignment_array:
.xword 3
.xword 5
.xword 9
.xword 5
.xword 4
.xword 5
.xword 6
.xword 4
.xword 8
.xword 10
.xword 15
.xword 13
.xword 10
.xword 1
.xword 5
.xword 15
.xword 14
.xword 6
.xword 13
.xword 5
.xword 2
.xword 15
.xword 14
.xword 14
.xword 15
.xword 7
.xword 7
.xword 6
.xword 1
.xword 6
.xword 7
.xword 5
.xword 11
.xword 13
.xword 8
.xword 2
.xword 7
.xword 6
.xword 12
.xword 0
.xword 8
.xword 11
.xword 15
.xword 15
.xword 11
.xword 12
.xword 4
.xword 15
.xword 10
.xword 12
.xword 12
.xword 5
.xword 9
.xword 7
.xword 2
.xword 13
.xword 1
.xword 12
.xword 5
.xword 8
.xword 14
.xword 9
.xword 9
.xword 0
.xword 6
.xword 14
.xword 2
.xword 0
.xword 15
.xword 3
.xword 11
.xword 15
.xword 15
.xword 9
.xword 13
.xword 3
.xword 15
.xword 4
.xword 11
.xword 7
.xword 0
.xword 6
.xword 1
.xword 8
.xword 3
.xword 4
.xword 12
.xword 13
.xword 8
.xword 10
.xword 0
.xword 9
.xword 14
.xword 10
.xword 15
.xword 1
.xword 15
.xword 7
.xword 1
.xword 0
.xword 10
.xword 11
.xword 4
.xword 6
.xword 9
_t1_copy_src:
.xword 0xd6ad2b4f65bb26ce
.xword 0xffe4a74cd1150d20
.xword 0xa0715d2e6ca47915
.xword 0x637846a8f4440877
.xword 0x8a73eb9e150289a3
.xword 0x49c0e0b3590e9f6e
.xword 0xdb26ad8ff413a332
.xword 0xaca61ef37c849ce4
.xword 0xcf6110abf4b937cc
.xword 0xd4173bed21d26602
.xword 0x3d98b8c0dab8618b
.xword 0x172238ceb4da5073
.xword 0xd4453a609e2d888f
.xword 0x5ecb962ee82e8afc
.xword 0x1807f28f8990747f
.xword 0x0201bf34a07ead37
.xword 0x50ae507c01145e57
.xword 0x1a18b7a5f57bd1ab
.xword 0x9c3f6e381659017a
.xword 0x9c969816ea42f72e
.xword 0x9c0c9cb31a5d37cd
.xword 0xf132a92fb5f34132
.xword 0x359a4a42c0f5a7e6
.xword 0x89fd0e8ade05acde
.xword 0x21aedf6e43d067e6
.xword 0xd8f43b59ef72deec
.xword 0x3dd839b2ef22bd7d
.xword 0xe249ae9a12864959
.xword 0xc976a4855eeb67da
.xword 0xd0dbbae9c26e5962
.xword 0x7c4878862b5118d4
.xword 0xd634b55d704f2455
.xword 0x636157b9071a1ef5
.xword 0xe073e2425545d3ce
.xword 0x2435d201c6e33f07
.xword 0xbade00cfcd5e2cf1
.xword 0x4a2cb72d2378d0fe
.xword 0xbcadff88733b9fd2
.xword 0x679df5ef2e9990d7
.xword 0x163da2d0b27e5ce3
.xword 0xb0e977254f2e9a90
.xword 0x383b2eb0f4e49800
.xword 0xc2361f5a5fa190d7
.xword 0x357ac1c10c217c55
.xword 0x097ae30c3256dca2
.xword 0x8b0acbb6a25d47ac
.xword 0x4f94f48ead742409
.xword 0x5ff1f042d376d764
.xword 0x7421df29980db056
.xword 0xbd269a9f72230d99
.xword 0xbca8304975596ead
.xword 0x7d72d16f8aef3edb
.xword 0xb59fe0d3b98d8c9e
.xword 0xd9b901c5a01a0457
.xword 0xc59b72e6d819192e
.xword 0x0eab519fa1f8b68a
.xword 0xd6f2f6f5a3b8d3d5
.xword 0xb1c9f20af6791a78
.xword 0x05b1c2024f2bc2ce
.xword 0x12267d21d5f996a0
.xword 0x1075d3bd7db24512
.xword 0x1ee92c84acdbe4e7
.xword 0x45ea348db166f358
.xword 0x13af64a875e572b7
.xword 0x3c500950eecba7bc
.xword 0x30b2b77ba6a0bb32
.xword 0x051d63140e7c856a
.xword 0x2965a6e3c3c84916
.xword 0x8c897b0b46914315
.xword 0x7f1ae22f3e06e060
.xword 0x470fbfc8252f5445
.xword 0x8fbcec62ccb9fef4
.xword 0x26fe2653c035a1bb
.xword 0xf55f24fd9204b2f3
.xword 0x5fb135a9ba3456ef
.xword 0xdcb3ad243876d876
.xword 0x0367f744448d7481
.xword 0x6573bd699e34dde9
.xword 0x37a216d6b0e2e6cc
.xword 0x0106c110b0fc53b0
.xword 0xff385533fe529fe5
.xword 0xa65c591eda4dde0d
.xword 0xc28192020271f13f
.xword 0x65d5f247a35c83dc
.xword 0xf8cf4d8d182f2652
.xword 0xc3c6fa1e3b24d374
.xword 0xa188027a5966b8cf
.xword 0xa2c3177a7361eaf3
.xword 0xd5618218b8165268
.xword 0x41128985994ad3bb
.xword 0xdae7ab0232c28c05
.xword 0x1aa2c0f654e78528
.xword 0xe1adacff534678ca
.xword 0xa00a74e164a26ccf
.xword 0xe05d029c457c3fca
.xword 0x1f4d29e2f903e760
.xword 0xa4d4a5ea28ff4551
.xword 0x7abc27cb1095dfd4
.xword 0x63010e8426643cc1
.xword 0xeab5206a8d8efb37
.xword 0x0c3d836253e2d293
.xword 0x73544c98ae5254de
.xword 0x56d126ae0b4fa970
.xword 0x37b019eeba1bb2dd
.xword 0x4315357450c1b962
.xword 0xcd06e29834f6915d
.xword 0x2be6de025bd45344
.xword 0xc90e33811039b060
.xword 0xb12625659a87c620
.xword 0x9e008214dfd858b0
.xword 0x1d1b21de6715605e
.xword 0x855a2df16c000ed5
.xword 0x5fe79751310147a4
.xword 0xe6f123ce68c5b02a
.xword 0x1e7491c67b9059d4
.xword 0xcb440458774c1d8a
.xword 0x40fcaae4ffa92fcc
.xword 0xe72da86c89e63418
.xword 0x6267e9baf8c52787
.xword 0xf3aaa8a1320d7557
.xword 0x6490a221f11cb1ff
.xword 0x47d53188fb0f9bd6
.xword 0x0a8c2313d6c8bbad
.xword 0x10b9a63925b5b9a2
.xword 0xbfafec31070d420b
.xword 0xe0fef090be9a4fa1
.xword 0x32306aee8b1c5784
.xword 0x8c8b083a0ff42699
.xword 0xd89e9bfbe1f3b21d
.xword 0xfc50e99fdd141fa1
.xword 0xdf7201d8f7dd011b
.xword 0x8015527e68d71c86
.xword 0xf37c27814bfca746
.xword 0x651bca3bc34d918d
.xword 0x1556070ec1221512
.xword 0x89a6966a030f5f8d
.xword 0x23781b7993c57228
.xword 0xa91342c17cc50a71
.xword 0x0b147226061c62fb
.xword 0xe8e16840830711d8
.xword 0x339550e4ceb10187
.xword 0xf2370b5836555103
.xword 0x8f6dbd82924bd776
.xword 0xe735712cf8dcc69b
.xword 0x3c9c1dc6fb927fa8
.xword 0x158b7e608c86f278
.xword 0x3f46413987230b9a
.xword 0x8635f9991ce2b058
.xword 0xa6c7913594ef7353
.xword 0xe7c2ba13040d2b35
.xword 0xf129800e015f7836
.xword 0xb1aa435cceceeb99
.xword 0x852db428264a3398
.xword 0x6ea62a312bb97f47
.xword 0x95d59efc71677e0e
.xword 0xcb380c5e03eee6b0
.xword 0xa2845529e240a2f4
.xword 0x1ba321261f46fab0
.xword 0x60ae011c93fcf9c7
.xword 0x17fe06e14847d72c
.xword 0x79c2c5dfc486eb19
.xword 0x73d96b758bcb923c
.xword 0xced30817191d0be3
.xword 0x89f9305e3c0f1178
.xword 0x645bd5b21706de9a
.xword 0x5d127c4a9da39a89
.xword 0xbb42431fd05f9be3
.xword 0x45a82525b31400de
.xword 0x9f33375a4b1a161b
.xword 0x788b19d65b1a3675
.xword 0x97128eba35de689e
.xword 0xc4474d779e8772d2
.xword 0x4ce8fb0cd1c15c9a
.xword 0x5df23b5d1c52f6ad
.xword 0x1aa3a839675c8c21
.xword 0x264966e8061f1660
.xword 0x57c908b69d695298
.xword 0x98dc9d7a4caeb746
.xword 0x83629a736d2ce792
.xword 0xdf9e724c494e54ee
.xword 0x8e0e58f1e65950ad
.xword 0x99c7862a2ba95d0b
.xword 0xf2ab37516f720a89
.xword 0xa35d67a1c4a90622
.xword 0xaee9695c50095f66
.xword 0xbe44a637833f46bb
.xword 0x490c610da4aa0e66
.xword 0x50b3b25970202235
.xword 0xaa521b80d216a804
.xword 0xb0e2c6d0288c3a34
.xword 0x79d58d626a747906
.xword 0x16595f6040b78224
.xword 0x2981cd845d58ee7e
.xword 0x47778e92875972c2
.xword 0xca0ef5bd7da18c16
.xword 0x179d4d63747ec896
.xword 0x19331f72187d8d2a
.xword 0xaf6652b9f8b2d82e
.xword 0xdbd780b8c7615626
.xword 0xc73c58b2aa9073f8
.xword 0xf1af73cdb190939b
.xword 0x3039b4e3b9990de3
.xword 0x06e53b3102e99e90
.xword 0x6851d37cd105e373
.xword 0x332a3d4052303266
.xword 0xad8fd0c4c6e8bc43
.xword 0x82ea955ddbe912c7
.xword 0x1dd4dd349cdb820b
.xword 0x4808f8d8e63dbf96
.xword 0x4bd45659b176aee6
.xword 0x6b6d039f4bcfdb2a
.xword 0xb6fbe48a453cda47
.xword 0x3c19d2277ec4cf1a
.xword 0x71f924e82f1ad080
.xword 0x456565f7e9211621
.xword 0x044420aa846c452a
.xword 0xad97a4bf98ab4808
.xword 0xa3635ef505ca4350
.xword 0xb781499f30b9496b
.xword 0xfdad5d6c9a76d07c
.xword 0xa4302b8b02b1e7da
.xword 0xed5cafb56b227b42
.xword 0xac38dbd8b20b2435
.xword 0x82e248056d56e688
.xword 0xfaa496013a93a8a5
.xword 0xed27fa450f07f70d
.xword 0xc742f2c748790644
.xword 0x71bf1a53ca07a1ac
.xword 0x23b72b83cfaa6976
.xword 0xb19bfe68ef015c6b
.xword 0x43c638c2d00f10e7
.xword 0x459b4f42aa109372
.xword 0x1563357ca6223873
.xword 0x7edc0907d613ddb4
.xword 0xf0e8af18efbf750d
.xword 0xaca2b4e9fda4da54
.xword 0x53fcbbbed816c20f
.xword 0xd94aee20dd8c9b66
.xword 0x242a58e7f2db5415
.xword 0x14e396e08b859d0e
.xword 0xf15d845535f2bc37
.xword 0x5fcb99d5b998868c
.xword 0xf7c91899c3b18ceb
.xword 0x8ddfbc769fa8e551
.xword 0x9d9447a890d6c43b
.xword 0x872a85f7c143fb98
.xword 0xcfa4e7f8eb3f2326
.xword 0xbdb28a689f717b81
.xword 0xdfa06f6f820baf90
.xword 0xda1cf9e2efa24ba1
.xword 0x536efa3bdbe2e593
.xword 0x3000d109b8ae6b93
.xword 0x03a730f04d812a1f
.xword 0xc851986d2c149145
.xword 0x4191be17464ed2a1
.xword 0xa2fe86d75661090e
.xword 0x5ffd55e7a51ce16f
.xword 0x8cbb4d4e3c149888
.xword 0xda3d17ae22e457e6
.xword 0x5f5cb6ef3fe5a094
.xword 0xdac2b1ea7aeeebb4
.xword 0x92ac60b7b8183f88
.xword 0xda3b1b1f35b085fb
.xword 0x935cbdd9b2e628e7
.xword 0x3fa48235eefbb932
.xword 0xf7c9e796903b2925
.xword 0xbd50e54d1adbc64e
.xword 0xcb1604a3b75ac8b8
.xword 0x83c13c2e359c5583
.xword 0xacaacb96bd1ae82a
.xword 0xfd2f76bc65fee22a
.xword 0x8578e7f95f21c798
.xword 0x7ffb5b4c6cdc3820
.xword 0xc0296e8c8c68296b
.xword 0xf5c5d1fc710a9281
_t1_copy_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_auth_key:
.xword 0x46c0d857b54aa0a3
.xword 0x54ec0fdc85744d6f
.xword 0xfe97e6d9bb53dd3d
.xword 0x1f3aa3aa102c9279
.xword 0x1f1f42983743595d
.xword 0x3be858028101fe86
.xword 0xc08eea63659db82b
.xword 0xa836ffc898882f70
.xword 0x8c00d5c83faf1cef
.xword 0x9784ace0d50cc60e
.xword 0x50c827f2ecf5794d
.xword 0xb0ae68c1491a7f33
.xword 0x6031ad033beaa5e3
.xword 0x5551a987c579bb06
.xword 0xe5e4e6b9b2002199
.xword 0xaad66384adf73a8f
.xword 0x902fa03642ac47d2
.xword 0xeebf24a8e5ce2fc8
.xword 0x05d0b58184226182
.xword 0x2c4ae9d5aa589c96
.xword 0xeaa61f9d0b98d60f
.xword 0x8f39c3ce0c369dc1
.xword 0xaa80bcd7571deb1f
_t1_copy_auth_iv:
.xword 0x9570b5b8bf8ad7cc
.xword 0xd2992533be1cea7a
.xword 0x6669781f66f56e19
.xword 0x99afb1944be96810
.xword 0x22e80eeabe41b98d
.xword 0xb9abcc9e29bdd2c5
.xword 0x3606a92a812377e6
.xword 0x33c20faaf3461599
.xword 0x0da6bc68dd94fef7
.xword 0x48f707a8e7ee69c8
.xword 0x043b4b00ac56fd7a
.xword 0x67e89eef3ef20745
.xword 0x86d2f247745a3852
.xword 0x6a5f05471d790c55
.xword 0x071077e75ccc0658
.xword 0x9b55dce0e80df921
.xword 0x109ad7543ee4887f
.xword 0x80f85c668924aae7
.xword 0x75215c03609e0d1b
.xword 0x352fc3827f811ee0
.xword 0xe7d2a8b7e5d1935d
.xword 0x9585b870d3ceeb72
.xword 0x237d3423c98e4256
_t1_copy_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_key_array:
.xword 0x14c0ea7ccd48594f
.xword 0xa6eb5fcbc54b9ad7
.xword 0x7cc37701518e32a1
.xword 0xbf9621645344ea92
.xword 0xf6c17e8931dc65a8
.xword 0xfb68d9a3ea4b908d
.xword 0x878fadb14cd2900c
.xword 0xc2192325b055ddcc
.xword 0xc6b9c96d13378a2e
.xword 0x07c27544b5692d72
.xword 0xd6f2e08dabd96e1e
.xword 0xf22df00e13ba0712
.xword 0x070752c64a26a792
.xword 0xacbdeb58a6e7eaf9
.xword 0x71812df66f537530
.xword 0x2b32d7fab15ba1fa
.xword 0x04a13dd46965f0b7
.xword 0x73fae6568bedf194
.xword 0x7dfe41f1b801e66d
.xword 0x1d96463c15e48039
.xword 0x7b6f43968af57634
.xword 0x598690b438e1a64f
.xword 0x7199d26698ebf15e
.xword 0xded505349ac786fa
.xword 0xc6eff93ed067b7cb
.xword 0x14e592d200466d36
.xword 0x8ca1dafd9c071ac4
.xword 0x7c43589b61c45016
.xword 0xfdba6b9dfef9d9a5
.xword 0x8aae2c9a0ed7fb4c
.xword 0xacb026c24a1c9140
.xword 0x45240cddc29fa7a2
.xword 0xfb23048433835978
.xword 0xeee4f92bf999d482
.xword 0xb705afb547cbf22b
.xword 0x3b71b6677443fc11
.xword 0x534bf84c478e5d8a
.xword 0xa4327167e5544e24
.xword 0x5b32615ddc55c948
.xword 0x9aebc872897bc555
.xword 0xd21984627d90362d
.xword 0x366ce40555daf82d
.xword 0xf8f5d6c10fd3ab1e
.xword 0xa97af434bedaa330
.xword 0xa396f64585bab267
.xword 0x813f1321fefcf49f
.xword 0x8622f92fb8a1319a
.xword 0xaaa488478dc493ea
.xword 0x001ea96a5b6f1c51
.xword 0xd6ed6c693282e564
.xword 0x5c4170049151d952
_t1_crc_iv_array:
.xword 0x7e539835708c8d7b
.xword 0xd2d199958acf6448
.xword 0x8ba5a5748f2e55f3
.xword 0xacc8dc02063b7897
.xword 0x1add663d9f5fbdff
.xword 0x78887851ee0923b4
.xword 0x2a89234224004bb4
.xword 0x23a5d373fbff24d6
.xword 0x413e1e5da2d2e84a
.xword 0xb86ce68698c1e1ce
.xword 0x0767ff307b06e3b5
.xword 0x9b00a4c0e13a75c6
.xword 0x128a18ac1509d45d
.xword 0x2683bf66ae755265
.xword 0x3b4d94f2e5ae2fa2
.xword 0x15f4f5217356f05a
.xword 0x3b3112b3897dafc9
.xword 0x97e1156afbe2ceaa
.xword 0xb5c0d75c74e7fa56
.xword 0x027853b42a6b0c75
.xword 0x4f162f028c051796
.xword 0x2983a55af845b2fe
.xword 0x1005ec8e6c0ed68e
.xword 0xe5c1d4afa870116f
.xword 0xc898d95afa6fdd75
.xword 0xecc4b9ae714aeef2
.xword 0xb09227e5829761d0
.xword 0x0df219b3613b8e63
.xword 0x57dadf21505568ad
.xword 0xeef26ba0bbafcef2
.xword 0x3bfe30df1c212737
.xword 0xf8ea42cf1e3d1548
.xword 0xb0c3a07aef98c2c2
.xword 0x7796e087e0feda20
.xword 0x67bcd7b83bcff8b1
.xword 0x3c19e7098f161fc8
.xword 0xc3aae460fbc4b57e
.xword 0xa8cb4fab22e3b773
.xword 0xf1f138a9545e5a13
.xword 0xf4adf4d6a1a97c69
.xword 0x75a46e7997a0fae6
.xword 0x601fe855c32acd95
.xword 0x3d0c637259d1c12c
.xword 0x49d165c7909b0222
.xword 0xd859815313f8efde
_t1_crc_alignment_array:
.xword 1
.xword 15
.xword 7
.xword 12
.xword 13
.xword 8
.xword 15
.xword 13
.xword 1
.xword 11
.xword 9
.xword 11
.xword 1
.xword 0
.xword 8
.xword 15
.xword 0
.xword 10
.xword 3
.xword 10
.xword 4
.xword 7
.xword 7
.xword 12
.xword 8
.xword 5
.xword 0
.xword 8
.xword 4
.xword 4
.xword 2
.xword 0
.xword 5
.xword 10
.xword 15
.xword 6
.xword 8
.xword 13
.xword 12
.xword 8
.xword 8
.xword 15
.xword 1
.xword 1
.xword 3
.xword 2
.xword 0
.xword 10
.xword 15
.xword 11
.xword 8
.xword 5
.xword 14
.xword 14
.xword 1
.xword 7
.xword 0
.xword 10
.xword 6
.xword 4
.xword 15
.xword 12
.xword 12
.xword 8
.xword 2
.xword 9
.xword 4
.xword 0
.xword 8
.xword 11
.xword 14
.xword 12
.xword 9
.xword 3
.xword 6
.xword 7
.xword 7
.xword 0
.xword 10
.xword 3
.xword 7
.xword 7
.xword 12
.xword 7
.xword 6
.xword 2
.xword 13
.xword 1
.xword 11
.xword 3
.xword 7
.xword 12
.xword 15
.xword 13
.xword 10
.xword 10
.xword 14
.xword 10
.xword 2
.xword 11
.xword 10
.xword 4
.xword 5
.xword 0
.xword 11
_t1_crc_src:
.xword 0xe81df65ab53af7b6
.xword 0xccb56bb6dc48d1a4
.xword 0x90aa6763c497768b
.xword 0xc2d764bbec8805c3
.xword 0xf8aea895e9017d98
.xword 0x020f1a509d6cde22
.xword 0x3f1fe3c628acbaf0
.xword 0x255edf4a927b9b69
.xword 0x959615057f7faf3a
.xword 0x0ccaf2a3f8ecda9f
.xword 0x5be2f8618a72c7a3
.xword 0x238e9aeeafd1f79b
.xword 0x345e39dcbcc32094
.xword 0xd7e9cf2ec1027183
.xword 0x324564d8bf9dedbe
.xword 0x783d635ff9cc9b1c
.xword 0xdd9318b4f3432b9a
.xword 0xabaf1a0ac79c7fe9
.xword 0x5f0e4e8c02e651e1
.xword 0x1551fcc1082ccf05
.xword 0x0a8b010a8b8f2c78
.xword 0x5d850b94554092fa
.xword 0x9476b814da291498
.xword 0xe5f0512fe70cd7e3
.xword 0xc4b858e4f8b3b4da
.xword 0x5893cc8b145ee69e
.xword 0xb6eed0278c7838d1
.xword 0xe999d4897c31c293
.xword 0x24a3844719bcd259
.xword 0x074b0ffc4044e4f5
.xword 0xe2174c2f3263fe2a
.xword 0xfa3518389f386928
.xword 0x7520ac5e73de6b4e
.xword 0xb04de725170dba5f
.xword 0x912c3cf637eec103
.xword 0x172e2f8721d0455d
.xword 0xd86b37f77452a92f
.xword 0x53cd680a7395b418
.xword 0xc24462a9f3488a73
.xword 0x6ac5f437c3a240db
.xword 0x77c02b7fc78c92ca
.xword 0x70c3aeb9d0232df6
.xword 0x351e300f7159fac6
.xword 0x34cc07286f2c2a31
.xword 0xe5bdee8ba80c6560
.xword 0x71308b420d9826c1
.xword 0x05dff2720ad1c3ad
.xword 0x687903ac7d78d273
.xword 0xa9d9f1d7d74687f0
.xword 0x3856001932a5d1fa
.xword 0x0153925fffa6f358
.xword 0xad540dd727067628
.xword 0xe132bc317a6ccd2a
.xword 0xf670211a1314f750
.xword 0xb7fef0da5426a5ea
.xword 0x90ae51f591167476
.xword 0x95034e1752fff5dd
.xword 0x6bae5ab6de9b604f
.xword 0x88c80211f42bd9d3
.xword 0xd4b7c15c340403de
.xword 0x4e7bdd95b5a31c78
.xword 0x8950e69a5455ec53
.xword 0xddbf0b343dc4bbf8
.xword 0x5acc01bcdd7dd2ea
.xword 0xb9ebb55dcf1bed1c
.xword 0x202dd4b760726b19
.xword 0x87ce8d430353083a
.xword 0x13d91802c179d9fc
.xword 0x0262a48f3ea61192
.xword 0xddc7320bc6bb91cf
.xword 0x296270966d0ab38b
.xword 0x875bf05499c4ad36
.xword 0x3df6ab03d9c0ead0
.xword 0x7a03f68a186c448d
.xword 0x11abf57c2f8dbfda
.xword 0xa40e148b6dd79ad5
.xword 0xbd650cbdda13546b
.xword 0x8c7400ac369542f3
.xword 0x8db9f042100c09ff
.xword 0x2d28c303cc0e85ce
.xword 0x3c5ed2672dfb67aa
.xword 0x70f5846bf3be3e6e
.xword 0x7be18ba5e2c28466
.xword 0xff2158ea835a4770
.xword 0xadd6e27548972fdc
.xword 0xd122da1411310ee5
.xword 0x7b51a6b6e9c86f26
.xword 0xe3157edec8e20755
.xword 0xb0348a1e17d39324
.xword 0xf27a96597b46bd65
.xword 0x7fc1b940dbeca3ba
.xword 0x2759f782a28b7c12
.xword 0xb1ea41afff78abcb
.xword 0xdaf2610cc8384de4
.xword 0x2971cb7303846864
.xword 0xce0723f95134afaf
.xword 0xc2c2f9c297e24a7c
.xword 0xfc9dc7c0a097351b
.xword 0xedb125ccce321e87
.xword 0xbb5fa70cf6083c31
.xword 0xe5285359f5f738cd
.xword 0xd7b9630e0db0f41d
.xword 0xdc07a6d49a96d72a
.xword 0x3a06c9f5ea09e1e8
.xword 0x1d821bec3007beb6
.xword 0x76543ebc1c20770d
.xword 0x269e1b294e043372
.xword 0x81777e98a80e6f58
.xword 0xc9bf3a5d569aa152
.xword 0x15f7a1643b1d424c
.xword 0xca213202a3aecff7
.xword 0xc9360f81835e324b
.xword 0xa6db0d4d52e14b9f
.xword 0xcdd085fdb4c274f4
.xword 0x62e6e9e05fee3628
.xword 0x16386a9a46668c09
.xword 0xacd11b581baadf35
.xword 0x8ef15ea68decc12b
.xword 0xc1c501c5f0908360
.xword 0x90882c64b5e6f3c5
.xword 0x4e2dee62cb108a6b
.xword 0x5062cd3255534723
.xword 0x919dc8eeda8a8c1c
.xword 0xd65e1be3da83f69d
.xword 0x63772338a7c3615e
.xword 0xa2778542fd679a8a
.xword 0x476cd8883591a6b6
.xword 0x00ddc0dd3d7c9fac
.xword 0x2c06c41cabf1ae06
.xword 0x361094e5538cf886
.xword 0x8b25a53640bd8e8f
.xword 0xe885b2de0cad8dab
.xword 0x4af236ca2ac281ef
.xword 0x124f07a8c73cbe61
.xword 0xd8910bee40d751a7
.xword 0xe0fe46fd6ce1d939
.xword 0x790f72ac73270a10
.xword 0x25706e65fccf9f33
.xword 0xcc677163c70175c6
.xword 0x8f4280a19109aee1
.xword 0xcc65b5c5f4a8b665
.xword 0x7ef1790c4f5e7dc5
.xword 0x6d2c08819dd5621a
.xword 0xe501a76516ab5cc5
.xword 0xe0d0500d30089afc
.xword 0x452108c9b817b523
.xword 0xb1900edaf24a967e
.xword 0x7b8fda5ab8da92fb
.xword 0xc6af7280ac5c7994
.xword 0x6f0586e0fdbefe0e
.xword 0x448e57d526cf3927
.xword 0xb0261999ef9204ea
.xword 0x53edfe63df27db45
.xword 0xd0b82a28919381c8
.xword 0x565d10d821d91221
.xword 0xc1bdb1bdcb7bcf7d
.xword 0x206023d9d8b3d0e8
.xword 0x0c5a009296a62e2e
.xword 0x9b10f28460578960
.xword 0x9525da4c1f2c7d49
.xword 0xfef7e29f85e59bf9
.xword 0x29a3540ee3b0bac6
.xword 0x8f48486ec5a57a1b
.xword 0xc4f23b17a0264f2b
.xword 0x4f7a81099a673cfb
.xword 0xc8fd1eae7c32374a
.xword 0x5758e6152ca70523
.xword 0xdc883c1aec490344
.xword 0xb84c4148b932fa80
.xword 0xdb4242550a67ac6b
.xword 0x78cf1c53c35bf05e
.xword 0xd3f01d1c9f8488b6
.xword 0x33245edd9da3ebb6
.xword 0x728450e312e21fe0
.xword 0x2ec3e42badd8a621
.xword 0x4bcb418e7324d722
.xword 0xd84b558f9677e9f3
.xword 0x15fc2d269dd5938b
.xword 0x636462a13cda7073
.xword 0xcfa0c4c14e8feb73
.xword 0x6025860dc73e634a
.xword 0x1dba7aab9ff9538f
.xword 0x0c223d5a6a42ab29
.xword 0xa138bf870ce7e7e7
.xword 0x9621c8b183911ba3
.xword 0x292555b75a02bfa5
.xword 0xd477303c020673c2
.xword 0x170d7f266a503053
.xword 0x104e46b616929d57
.xword 0x9e3dee7b5ab27671
.xword 0x6b383022650fb54a
.xword 0x25ddf0fcf9ae413f
.xword 0xe74fe9c620911a67
.xword 0x4ea7fc05fd4510d1
.xword 0x0b5cf253f66cfaed
.xword 0x8c6401ce53b180ac
.xword 0x758e35240306052a
.xword 0xb8223e3f60221521
.xword 0x6fb9ddf4bec94b34
.xword 0x666df3daf87fc1e3
.xword 0x5d0ef8156ddc742e
.xword 0x15454e770a731085
.xword 0x6c021dc4a72a7df7
.xword 0xd086cfb84346faac
.xword 0x60f8407dcc4ad6d9
.xword 0x77009029e287adfe
.xword 0xba3369204c755bc7
.xword 0x1d03d58dd6285287
.xword 0x11c7a315da0e8615
.xword 0xf35deda2615d40fd
.xword 0x3aea503609f39f4c
.xword 0x33181cbea42fabe5
.xword 0x521400f97db0685b
.xword 0x6dc86ec7fcd06233
.xword 0x851329ef126625f4
.xword 0xf9f336e4379f164b
.xword 0xb601b2b4ec7e54f9
.xword 0x2a293fca8a1472c0
.xword 0xf501677055a4e307
.xword 0x33b7573c8bd10f81
.xword 0x774db0b617fad4b1
.xword 0x7066f71eb69b50ad
.xword 0x8f3f89066455ac74
.xword 0xf045abab574ac99e
.xword 0xd0aa68c571fcf33b
.xword 0xb3b41e427d9dbdd1
.xword 0xb1bfb51e50a41bab
.xword 0x6660d4d82f72c84f
.xword 0xb591972c277409bc
.xword 0x586b98e3861fcca0
.xword 0xc089bffc677a0947
.xword 0x1e58506cacbde88c
.xword 0xf93d20700d32af98
.xword 0xd886d96bd85429f1
.xword 0x8e08eed057558cd3
.xword 0xfbfc3a3b9fcbe897
.xword 0xd846eb0a895f1baa
.xword 0x969be4fe7c47c839
.xword 0xc258ae3dc6de85f5
.xword 0x22d9716731522cde
.xword 0xe5ab81dd2521d97d
.xword 0x8cabb1a2309e16c7
.xword 0x124985ad4861b196
.xword 0xa03c8bc4141ceb25
.xword 0x98ef842a35e6e3f4
.xword 0x9c3d958a4d42a72e
.xword 0x0e68454b9077d233
.xword 0x1e0e6944930acfd0
.xword 0xacca0b7176027cde
.xword 0x000cea2478ae0a55
.xword 0x497048430473be40
.xword 0x4e596949c74936d5
.xword 0x7a3b8d91fb1c8533
.xword 0xe378d7335c348c50
.xword 0x9a96dd2caa43552f
.xword 0xee8f19ec40264b64
.xword 0xf1251f9c159953b6
.xword 0xbecdc1ab9c7397e7
.xword 0x2b98040e406dd0da
.xword 0x04495731b8595e24
.xword 0xf12cf7dd056d4d52
.xword 0x7e9d8a083d2debf8
.xword 0xb9d51d8748577cd5
.xword 0x4b88e9b56efe3de1
.xword 0xa482ccab8cbb2631
.xword 0xde47a4f832bd2486
.xword 0x5e865d840a165881
.xword 0x4254a827dd83af06
.xword 0x96c4eb7ace0917aa
.xword 0x711c9ee4c858390b
.xword 0x98196e3d044a94f5
.xword 0xfc85c1b2486c2695
.xword 0xea45e49774f267f3
.xword 0x7e9a4a4d82bca01e
.xword 0xcb0c3efb00ece416
_t1_crc_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_auth_key:
.xword 0x1b1eb0234158ecff
.xword 0xf55971d244b50ff2
.xword 0x97090ae22ab7e06b
.xword 0xfdb2fa3cdb6bea26
.xword 0x094009077ab3cf6e
.xword 0xcf37451f18d7626b
.xword 0x7fd48e618cbf00a0
.xword 0xe9185189c67a094c
.xword 0x035bcf0b9aabc14e
.xword 0x70fe041e0f93ba0c
.xword 0x7adf617f36d67a8a
.xword 0x7ec2f97e9ddfce34
.xword 0x56a9dd62ed5c0edc
.xword 0x007d210b6fd2ba6a
.xword 0xd6af69ac1b143e41
.xword 0x9c4fb8dc70b211e4
.xword 0x0ed3424b66f79ba9
.xword 0x0504795264879b65
.xword 0x6a391296275d9079
.xword 0xb1ca70ff6fd332bd
.xword 0x1e31490fdf52a341
.xword 0xd4871b063505dac5
.xword 0x26a548787c34156a
_t1_crc_auth_iv:
.xword 0x349b82a795cb2003
.xword 0x78349150b43854c5
.xword 0xd87db611a944ee06
.xword 0xbbe3cb3bbb4f0d6b
.xword 0xe3c2d739fc2886dd
.xword 0x77bafb0cec170d46
.xword 0xefb1b806e9957dbd
.xword 0xcb0cc9b217bdf67c
.xword 0xe8b95cf29e1d0f31
.xword 0x25d586cfbe974749
.xword 0x167547916347fc1d
.xword 0x9637e8d8ef5b51a8
.xword 0xf4cf9d326eb3c218
.xword 0xea2a9593ae4229dd
.xword 0x8a99cfc06d9fd21d
.xword 0x56c90e915ca6bd39
.xword 0x30b8b4d52528c11a
.xword 0xe3069b6fe34a6f60
.xword 0xe4665b1f1374fd3b
.xword 0xd4fd5c136df39a1b
.xword 0x65038c40bab21240
.xword 0x930ee6af9bb9f1f2
.xword 0x4742ec471bc5d0b7
_t1_crc_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_key_array:
.xword 0xd2170850cd907377
.xword 0x24f36e721a48f0b6
.xword 0xea302e1fd4854763
.xword 0x6f9796dd87028e16
.xword 0x85fb856179de4726
.xword 0xe58f5dd4ad768eb3
.xword 0xb0a2bc38124e44dd
.xword 0x7c4824384dd319cc
.xword 0x785811b0d5aa02c9
.xword 0x5fd11894d3d62f31
.xword 0x465552ef03b4adb6
.xword 0x6e0c15cd1b1b1078
.xword 0x3f9bec7d27f82c75
.xword 0x69fb7bd68a89f44a
.xword 0x1534f48ff4933e78
.xword 0x1dc1b5844271beee
.xword 0x80c08bf18f4dc435
.xword 0xfa13b7141e694d1e
.xword 0xfeb2feb2804c3b94
.xword 0xd9b2d65554fc1d93
.xword 0xe0783e60f7128819
.xword 0xd999a82bb4a0e145
.xword 0xefdb62d031a8871f
.xword 0x2f3eb0cbb16e32f0
.xword 0xd7083b96de757983
.xword 0xf79b0b829aa464fe
.xword 0xeb3d1699004df4b3
.xword 0xe0dd769f5c57f077
.xword 0x29c5b949663bb691
.xword 0x6047274b57ad4f10
.xword 0xf4ce14ed4eb3ad8c
.xword 0xfb7b83b7bf16ff8e
.xword 0xa8c091acb72941cc
.xword 0x984243d3b1c1cd8e
.xword 0xa655b771e25a6069
.xword 0xe11ea0d173d8767c
.xword 0xf55fd36147297781
.xword 0x5ea09230eb3a851d
.xword 0x7d3673e715e68126
.xword 0x298b64cc72c321ef
.xword 0x7f11066463cfcb02
.xword 0xa8f3aedd386dccb9
.xword 0x73339f5620fd2cd6
.xword 0x3d95b9d0f28551be
.xword 0x1a3baf406f3209a6
.xword 0x3b24b6fbac49abde
.xword 0xa503b69516dd7891
.xword 0x96f08ff1a04e38ef
.xword 0xd1e5e4420fade86e
.xword 0xcfc036c914c2308b
.xword 0xb099c3a20a9f8104
_t1_hash_iv_array:
.xword 0x64f45b0820f376d0
.xword 0xbd71f5d101d2b34c
.xword 0x38c5598c91519855
.xword 0x555be536d278e3fc
.xword 0xc0cb7a4a7cd3d38f
.xword 0x803d3d070166554d
.xword 0xca0d4b1092e56cd1
.xword 0x41aa0442cdc164c9
.xword 0x6d838f57d5820bae
.xword 0x264aa3ad93de34db
.xword 0x0f11cd5044f894ea
.xword 0x92c8a4222b30c952
.xword 0x6387039a947713f9
.xword 0xe3e4b578450e7bac
.xword 0x2bca48edbbf934b4
.xword 0x8f83e9c5ecc1f740
.xword 0x8fb1c29474785ad6
.xword 0x575639bd6e8af730
.xword 0xc51a38c39f5cdfc4
.xword 0x77f48da0da08599e
.xword 0x75ef08feb717dc21
.xword 0xef4987671608649d
.xword 0x32e6ac7dcac13ba2
.xword 0xaffaf841e1347fd7
.xword 0xb1dd7fc1b01dca13
.xword 0x277c6ce2a7072db8
.xword 0x237508bd2b97497f
.xword 0xa052e5b5691614be
.xword 0x6785da9fb174eb09
.xword 0x51d16facfdf08e16
.xword 0xc3aa7e76367118aa
.xword 0x35be970122adf45e
.xword 0xb6b87d23eca277fe
.xword 0x832979bdb4a4ce19
.xword 0x56db9db1f3f1abda
.xword 0x309bf6ca0da9cbdc
.xword 0x726c9c80c5409473
.xword 0x775d9510d32be2fa
.xword 0x3606097e10ed2fcf
.xword 0xea00a4eb47837719
.xword 0xa91b73589b76adb8
.xword 0x6c6496d9175018d5
.xword 0xdda23848996c9780
.xword 0xaec6b7b98f2a531c
.xword 0x5cb1b70273314047
_t1_hash_alignment_array:
.xword 9
.xword 10
.xword 12
.xword 12
.xword 5
.xword 8
.xword 6
.xword 2
.xword 13
.xword 4
.xword 6
.xword 5
.xword 1
.xword 2
.xword 13
.xword 10
.xword 8
.xword 10
.xword 0
.xword 13
.xword 6
.xword 14
.xword 15
.xword 10
.xword 10
.xword 15
.xword 10
.xword 2
.xword 7
.xword 1
.xword 12
.xword 14
.xword 7
.xword 5
.xword 8
.xword 1
.xword 14
.xword 10
.xword 0
.xword 3
.xword 10
.xword 4
.xword 1
.xword 15
.xword 3
.xword 10
.xword 2
.xword 12
.xword 0
.xword 6
.xword 13
.xword 7
.xword 10
.xword 7
.xword 12
.xword 7
.xword 11
.xword 6
.xword 10
.xword 3
.xword 4
.xword 15
.xword 10
.xword 11
.xword 4
.xword 3
.xword 13
.xword 5
.xword 3
.xword 5
.xword 14
.xword 5
.xword 2
.xword 15
.xword 10
.xword 6
.xword 12
.xword 0
.xword 3
.xword 3
.xword 3
.xword 0
.xword 2
.xword 6
.xword 5
.xword 7
.xword 1
.xword 14
.xword 7
.xword 7
.xword 11
.xword 11
.xword 12
.xword 8
.xword 3
.xword 14
.xword 5
.xword 10
.xword 0
.xword 4
.xword 14
.xword 11
.xword 2
.xword 3
.xword 10
_t1_hash_src:
.xword 0x6e49ffd34135c437
.xword 0x4ab5d54fc5a4c683
.xword 0xe8b0559af2066e6f
.xword 0x4725a230e771ac51
.xword 0x5d5e4cb6c8b72b73
.xword 0x234c0f406860bd3b
.xword 0xf26633e34a189337
.xword 0xbac50f6c8e36dbd5
.xword 0x9de63167360bb15d
.xword 0xc223628915290ce3
.xword 0x9027cc5c5c57b3cd
.xword 0xb151b4d276f6c613
.xword 0x0a070f68725c0537
.xword 0x19e8fb1c2e0716d8
.xword 0x1ee5dac310d03b73
.xword 0x74ef13f76a40804d
.xword 0x883480e4f04addb2
.xword 0xc7c2ae76a9a587ad
.xword 0x44df4a0c85e0f2ce
.xword 0x78118a78f70598a3
.xword 0xa902fe52dc94c223
.xword 0x774ba5ffa05c9238
.xword 0x0298ba775998672d
.xword 0xf0ab322b470ab4bc
.xword 0xdb786b9ecfe5b29c
.xword 0x93542038db974b2d
.xword 0x6402ca4caafa3e4d
.xword 0x4ee2d48a4fdcd4d0
.xword 0xc028507bcda988e3
.xword 0x62feb797400ff9e2
.xword 0xfba6f299343f51ac
.xword 0xb7179367c9098260
.xword 0x44e2fbfd1a5768fd
.xword 0x06bb137d584127a6
.xword 0xdf481a112823dae3
.xword 0xaa85017098d6643a
.xword 0xeb57c356cf4bf9f5
.xword 0x6feed6fdaf759c08
.xword 0x47385db52b4745ad
.xword 0x5861397502b9286e
.xword 0x4062e8672f2908d5
.xword 0x34938f010832698a
.xword 0x7f3f78b254117f10
.xword 0x5e5d3f1271d1c765
.xword 0x1fa27b3d5ec768b9
.xword 0x560a9bdb6e355ed7
.xword 0xf02b57937a6cfe4c
.xword 0x3db6997991c9d89a
.xword 0x32ddadded373f0de
.xword 0x2cdf5adeda29b281
.xword 0xd5eed83c6a43bb0c
.xword 0xd052216c81936c4d
.xword 0xdfe2f44b98d46271
.xword 0x72baf192c68e7fcc
.xword 0xaf43cc86ae719da4
.xword 0x93f162b60c5bc2d5
.xword 0xa81ff529c49908fc
.xword 0xa3f53cd4e0a8d990
.xword 0x769ab25c8f548861
.xword 0xd02c498ce05ee6e5
.xword 0xf5535fc09137cc59
.xword 0xaecb99bad73d63cf
.xword 0xfed7193ffa3a5c42
.xword 0xdd98553fbb431588
.xword 0x7069bb5dd4021392
.xword 0x14d5d4c6e13da628
.xword 0xef769b87eeaa97a2
.xword 0x321a66886d0ef72d
.xword 0x70ef3bdcd2d3ad65
.xword 0x383f900df91fa439
.xword 0x6066ed3f6c607644
.xword 0xf7796fac441d09da
.xword 0xfe028bef897cc7bd
.xword 0xea7686cd271385bf
.xword 0x0fedefeef9a0807b
.xword 0x9b6546af7f6b0558
.xword 0xfc357c732aaa348d
.xword 0x0fbf2585f1c22fdc
.xword 0x8f8cd656b6ac3aa2
.xword 0xde6b0bb6bdb9aca6
.xword 0xb8e9150f8a72d4e5
.xword 0x44963ba7fa367e74
.xword 0xbe72855a59df1b5b
.xword 0xf3572063bd7fd09a
.xword 0x6ecbdb785b102c0f
.xword 0x01145afd60fabac7
.xword 0x619815152f8a1d13
.xword 0x505aedd5ffea4512
.xword 0xd0bb5e1878591a29
.xword 0xec0ca116069e77fb
.xword 0xe403007aabe71ed7
.xword 0x7b8c509021dbf85d
.xword 0x841c0b1efa737118
.xword 0x5f42306763618f8a
.xword 0x67609b65bdc8c736
.xword 0x1740ecb1dd82b52f
.xword 0xcd4e3e4ac0b103b6
.xword 0xee508e157fcb51e0
.xword 0x19e32845ebd1b201
.xword 0xb0e9b1be0e08954a
.xword 0xd0b0b2dcc72810fc
.xword 0x37fad2b9a622bb27
.xword 0x4672c4cd5b0e6651
.xword 0x94fa3049305ebe2d
.xword 0x3aedcb4aaba16833
.xword 0x5fe54c5734c05a21
.xword 0x6c082355d9ba2b2b
.xword 0x9d6d95200ea0d530
.xword 0xe5a3daac61729976
.xword 0x22115bf8a4503ca0
.xword 0xc58e6cf7f91e5222
.xword 0x31047ff147039699
.xword 0x925a2e3d89331dad
.xword 0x2536e83434768b64
.xword 0x538385fc84c77df0
.xword 0x96b77a820c1b006a
.xword 0xd8000b39c9c7cb55
.xword 0xbad625c28ca1edae
.xword 0x596fb59e4f6f4d80
.xword 0x5f1893aaedd63955
.xword 0x98c28a77b6702c20
.xword 0x146c51f42b53c12c
.xword 0xe75928674d3bfcd9
.xword 0x74fc16c49b7b7887
.xword 0x8fe1d284839a5be6
.xword 0x0d7be69e0458ef18
.xword 0xb5510f9c6acec36e
.xword 0xf514f87d86bc81bb
.xword 0xa2913fdfab3d04c1
.xword 0xc75cbf6d44d0b40e
.xword 0xc374adec562de978
.xword 0xb1880bd9e1d46306
.xword 0xae26f8ce0b0c8aff
.xword 0xbb1175bdea3b2d29
.xword 0x493405cd9938c2cd
.xword 0xcf1ddfe0497b54bd
.xword 0x2d05cdb28ffb33e1
.xword 0xf2ccbeec76126bec
.xword 0xf45b683037f29876
.xword 0x3309e69cc5e1fe2e
.xword 0x1f8883024eaa31a9
.xword 0x38d669acc4d36165
.xword 0x2b143b68b2312956
.xword 0x965833c06ccbb715
.xword 0x13cfcec6a3137029
.xword 0x439cd85001eb2810
.xword 0x1737e5111933d1f8
.xword 0x2b99b5fc9ed53124
.xword 0x8de1922190bc7698
.xword 0xefc5378c976be8f4
.xword 0x2f8675fb4851fe9a
.xword 0x53029c469a5d1647
.xword 0x2f91c3c962676f06
.xword 0xd0424c89863710c9
.xword 0x3f1408c8d8c0fa8b
.xword 0x6e4b56ae833a9843
.xword 0x476a0440d2e49c88
.xword 0x4b39874b9c7c010d
.xword 0x0e3d1cf11d6936f6
.xword 0x96a1ec1e708c400c
.xword 0xe2ae118b68c294f8
.xword 0x47948e84086ed8c8
.xword 0x5c84568a0b9bef7d
.xword 0x3a71bdafa7175254
.xword 0xe481074e5e61ed7e
.xword 0xbbbfbfabb285bfc7
.xword 0xbf31265138d167da
.xword 0xc7a66efe6bee34c6
.xword 0xf7ec9bc429ccf802
.xword 0xa144048a0bbc4692
.xword 0x6da52a4939713afa
.xword 0xa66d7376349a2a09
.xword 0x45cc5925de4dec8a
.xword 0xc52d89e4c7ccd22c
.xword 0x4089e3f4bbe627e6
.xword 0x070a94ae8c3ab851
.xword 0xa501483bb62cb986
.xword 0xd79ccf4cf4cc62d8
.xword 0xc7e6e7dc26879551
.xword 0x38500a45a9043519
.xword 0xa56d76ac7c72fd64
.xword 0x32f7969758b5817c
.xword 0xa17963ee7540adc2
.xword 0x02bda8232a020491
.xword 0x3aabcd9c89f345e3
.xword 0xa06b51c09d07eebd
.xword 0x4070192ef30f31fc
.xword 0x6f6b7fd9bc91cf11
.xword 0xbdd445b679da5efb
.xword 0x2e92a4671814ae02
.xword 0x3ac80fbf7347d5a5
.xword 0x30f26707b0dcb477
.xword 0xc34900e749e6e58b
.xword 0x0600fd8f8c5471d6
.xword 0xb40648b2ea7844e5
.xword 0x5d92de5a78947316
.xword 0x905dbffbb120c227
.xword 0x9084d736edfe2e1f
.xword 0x7640578eaf8aa98e
.xword 0x852f9f6f0ccb8cd9
.xword 0x134cde5abeb28d13
.xword 0x55bf93c96d7745d9
.xword 0x2eaa4b942166943c
.xword 0x026b1792f4cb9d21
.xword 0xf28a15c6421f17b4
.xword 0x8433ee67bc87be51
.xword 0x49c873f0154f2acb
.xword 0x381c0e083dd1afb7
.xword 0x46370afb241b24e7
.xword 0x963ee4146b62a91b
.xword 0x964504acba59d264
.xword 0x235d10fba225c22d
.xword 0x78f802475a8f1e02
.xword 0xf852732ddc33cb8c
.xword 0xe0f2a12ea9fe95b1
.xword 0x69db68450c855965
.xword 0xb9f71298eafd5197
.xword 0x44f258b092cae1ce
.xword 0xde86d417226c648b
.xword 0x18ec7b020b6f3aa0
.xword 0xc298d9d2a0b55be0
.xword 0xff7eed59941e929b
.xword 0x989f4ab964d48ba6
.xword 0xa3f266438b3e2645
.xword 0x14114f63359fc035
.xword 0xb7aa47263c1538a5
.xword 0xb8ecc6eefce2e3bb
.xword 0xc2bf8a4e337b22ab
.xword 0x335c404b2e7c5d46
.xword 0xf3fdac256c22fc14
.xword 0x7bd34f63b80aa4e6
.xword 0x835a97641dc84ed5
.xword 0x8a27334aa6cf63b9
.xword 0x1615ed735fd8ec1e
.xword 0x3e6ffdc7c4a88afc
.xword 0xf60b6bfe0c393445
.xword 0x8c83e5254ed8081d
.xword 0x3264afb3f734aa54
.xword 0xede9a53913f59f2a
.xword 0x8dfb887eb0e89a80
.xword 0xd4d060e626b5d7dd
.xword 0x34d06b092809802c
.xword 0xe110a11f3fcb8ed9
.xword 0x234c262903d9faf6
.xword 0x351de6ac095816cd
.xword 0x439c0f7978aa48e9
.xword 0x0145ce49ea93765b
.xword 0xa6fcaaf02edf1a62
.xword 0x561bff9913dab561
.xword 0xee368c1166ce0f3d
.xword 0xf4665145d3640f26
.xword 0x2f6bcc042a069cd0
.xword 0x32d5673ccce52e16
.xword 0xbd8a86a41f606113
.xword 0xf073100e2bab436f
.xword 0x8d752f38faa5e77c
.xword 0x2fa4de591db15269
.xword 0xc84692ccd0e85a6e
.xword 0xaff42ea1b53f1650
.xword 0x7c499f57fe3a42aa
.xword 0x721f9d7322aa0982
.xword 0xa383ffebc9858398
.xword 0x41841f0bf6703b92
.xword 0xbefbaae3fb4f0f9f
.xword 0x6de0b3989e79a553
.xword 0xb99b4f75fb8f552d
.xword 0xa6650d7ea4ec1548
.xword 0x66aa075a22075bef
.xword 0xe15debe637adaf29
.xword 0xf6dac475b95dcdc1
.xword 0xaa5b81c25a8dfd8c
.xword 0x55bbb1f12cc23959
.xword 0x3f4a033da87d9acc
.xword 0xd313d7578c910ffc
.xword 0xa8ea2b1bd5070315
_t1_hash_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_auth_key:
.xword 0x1120315da07c2d97
.xword 0xfa550482f12b4586
.xword 0xa68072d2a99d6a1c
.xword 0x3141f39bc48d4714
.xword 0xf375d0a932679987
.xword 0xb7e120bae232f834
.xword 0x67f072719a765eec
.xword 0xe0e16c695b5fd5be
.xword 0xbd01f70b44546a5e
.xword 0x3a9d1595054ecddb
.xword 0x723acf9b8f6af66d
.xword 0x3b804c9f1a8ded80
.xword 0x397e8056a4c79568
.xword 0xdc1278d97c11b77c
.xword 0xed9cfbdb6a9781c7
.xword 0x70926c7cba6962c0
.xword 0xa6a9dd4cd832fd26
.xword 0xab889926b55453c1
.xword 0xdbac6be28c7cc423
.xword 0xe90d416b7daf8007
.xword 0x98afce6ded63e91a
.xword 0x8fc4ffe34d3eb577
.xword 0xc5cca8c17105f0be
_t1_hash_auth_iv:
.xword 0x9379f093250d10cd
.xword 0x5033c053d57cbff2
.xword 0x839afe3f9fc8415f
.xword 0x8f5e5e17e0ca7305
.xword 0x213db1ee819e0eef
.xword 0xaadca16c614824fc
.xword 0xc9cf4cae78e1ab93
.xword 0xd6197113eb90db5a
.xword 0x84ed72e5e527b775
.xword 0xbd4bc8d811fa7088
.xword 0xaf1e3cd620cc538e
.xword 0xd9088d3dbf60a876
.xword 0x585c64416ee6c1fe
.xword 0x3265bb06d75f41f0
.xword 0xd4749b1b4f12ecc6
.xword 0x4958ca0703ce18c7
.xword 0xd2b3d099871b4166
.xword 0x4c233fb7757857ae
.xword 0x5f7b14f20598a721
.xword 0x581cfbf3d221ebe8
.xword 0x97cfabaae73ececc
.xword 0x357b95fb54bad734
.xword 0xc6fc37ddc73a6498
_t1_hash_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_key_array:
.xword 0x7e25363638c652da
.xword 0xb64adf4db3faec39
.xword 0x015bc9354987d5d5
.xword 0x1b8202e5da631d16
.xword 0x21ddd83ab7b7708e
.xword 0x858a5439ef6142ff
.xword 0x75fa57763b81555f
.xword 0xb6e05d499b8af73a
.xword 0xccd0194af9c75ebc
.xword 0x5a7e385843793ef7
.xword 0x8309b7324bfe7c5f
.xword 0xdb71cb100f2deecf
.xword 0x9cb73ffea1b7b4fa
.xword 0x3bcf0c4294c2765d
.xword 0xf449153cbcda7ead
.xword 0x42e8525cc75a6ac6
.xword 0xec1e41aa54ee856b
.xword 0x63a729171b29d17c
.xword 0x5b74a3a17402e65d
.xword 0x624f06094767b7f5
.xword 0xbc7b86c330b1cb81
.xword 0x22284678cac208c8
.xword 0xa4dd14a1667b412b
.xword 0xa38527ec696a8057
.xword 0x53c4d4534cb1873a
.xword 0xe2a4f9c326a137fb
.xword 0x614d981173e5d7a2
.xword 0x3f6f5b803848de45
.xword 0x6274a82d453548f4
.xword 0x49fc070fe2e0f435
.xword 0xf575124f1dba73f0
.xword 0x92863d129433fcf9
.xword 0x79aad2a4e43aa195
.xword 0xd40e10a7105bf429
.xword 0xc2befff06a08ac43
.xword 0x2f19efe5ea9658c3
.xword 0xf138eb283ff6d307
.xword 0x9da3e68f25baa44a
.xword 0xce4ee4b0d7852d33
.xword 0xf61fda49240812f6
.xword 0x6ff807eb755de696
.xword 0xe2bbb910c93a992a
.xword 0x874e15c530d8e390
.xword 0x33c209994e59d9bd
.xword 0x477a487c73154039
.xword 0x1be4bb7579400455
.xword 0xb737e130ee8ed245
.xword 0x7730c175f173e1ad
.xword 0x129d9a32de65b9a3
.xword 0x6a23e2a0e4e9eef1
.xword 0x6cc9e5b19913395f
_t1_hmac_iv_array:
.xword 0xcdda84f48a43874e
.xword 0x412e6c87f88310a1
.xword 0x31990dd6b369d196
.xword 0x458b74d86c34dec9
.xword 0x8e020055096dd103
.xword 0x8586a0614a08a37b
.xword 0xd557a80ee5c02593
.xword 0x2c4398a0580c26dd
.xword 0x4e7efab1f44bd4f8
.xword 0x06bc11770d11034e
.xword 0xf19c065d8ae1f235
.xword 0x791852df32d1ec70
.xword 0x0174e5efcf732382
.xword 0x7e7cef0410237331
.xword 0xe73b11f1b5fc2857
.xword 0x9044ded386e9614d
.xword 0x4e0854f51d502bfa
.xword 0x7c3f6d9ad7c37e44
.xword 0xb1ef301285c127d9
.xword 0xe3ef5143fc405624
.xword 0x040b8403945e357e
.xword 0xf1257ad727c25b8c
.xword 0xbd6633051347fa19
.xword 0x2848c01bb8130d01
.xword 0x56f1b4a50de696d5
.xword 0xb8bf070703d87aca
.xword 0x22e671c428c018f5
.xword 0x4dc388e4aadf958a
.xword 0xcd541fa2544171a4
.xword 0x1072b597975cda1b
.xword 0x2084a7b2ddac8a2f
.xword 0xff8d3e054e1c39b3
.xword 0x9571ba73c84660ca
.xword 0x4e2ff4bf540461a4
.xword 0xdd15415a2db25cc7
.xword 0x2551f8c17378e7da
.xword 0x8db992f582cf7edc
.xword 0xfb38f952adfb893b
.xword 0xe25dc9c1f2770ae5
.xword 0x1e43a2c289c4ae53
.xword 0xbf164ec5b6a55a30
.xword 0xa1012ca8d42a6395
.xword 0xc2afc19844129d7e
.xword 0x903dcaba5857499e
.xword 0xde578a408e543cd3
_t1_hmac_alignment_array:
.xword 0
.xword 7
.xword 14
.xword 14
.xword 13
.xword 9
.xword 4
.xword 2
.xword 10
.xword 8
.xword 12
.xword 13
.xword 13
.xword 11
.xword 15
.xword 2
.xword 10
.xword 8
.xword 4
.xword 8
.xword 1
.xword 3
.xword 0
.xword 4
.xword 11
.xword 7
.xword 6
.xword 1
.xword 9
.xword 14
.xword 8
.xword 7
.xword 3
.xword 10
.xword 1
.xword 5
.xword 0
.xword 5
.xword 6
.xword 14
.xword 1
.xword 9
.xword 4
.xword 8
.xword 3
.xword 1
.xword 5
.xword 4
.xword 15
.xword 3
.xword 15
.xword 11
.xword 15
.xword 3
.xword 11
.xword 6
.xword 14
.xword 12
.xword 3
.xword 4
.xword 0
.xword 13
.xword 9
.xword 2
.xword 6
.xword 6
.xword 6
.xword 7
.xword 14
.xword 6
.xword 8
.xword 7
.xword 7
.xword 14
.xword 4
.xword 8
.xword 15
.xword 5
.xword 3
.xword 11
.xword 1
.xword 15
.xword 8
.xword 15
.xword 14
.xword 5
.xword 6
.xword 6
.xword 10
.xword 7
.xword 12
.xword 3
.xword 13
.xword 2
.xword 1
.xword 10
.xword 4
.xword 4
.xword 8
.xword 15
.xword 14
.xword 3
.xword 11
.xword 0
.xword 13
_t1_hmac_src:
.xword 0xdd572c905338a9c4
.xword 0x3aa584f11377ad42
.xword 0x26e17badbb7e2052
.xword 0x1a513ea985b2b86a
.xword 0xadf40ad5c66f5a0a
.xword 0x3a8f246e13d34278
.xword 0xc034a0bf6ca976a1
.xword 0x14f94fdbaa932f0d
.xword 0x66cd3bf31d4c5c9a
.xword 0xf07cbdcaf8674f6d
.xword 0xf9050ba2fa00ae9a
.xword 0x293326585cdb0503
.xword 0xdf1e05e25bc65457
.xword 0xbea84634de023220
.xword 0xb089d2c4263f398a
.xword 0x0659ca792687b7ec
.xword 0xe08b60df76f912e1
.xword 0x350cdb832e69b1bb
.xword 0x43a139eeb456ea8f
.xword 0x8fa21c0235033244
.xword 0xf344ac12d6e28d64
.xword 0xddf48926d41c2f26
.xword 0x107fe16a4cc89ff4
.xword 0x470d5ec9e5e867da
.xword 0x665049881df4351f
.xword 0x76b7762a4ccaeecb
.xword 0xcd81127314fc8e65
.xword 0xcde5383902b299ac
.xword 0x25ab42517f1cd901
.xword 0xc47ae7d77f8700d4
.xword 0xc6bce82e2ce01daf
.xword 0xc57ea89f5c2cecb3
.xword 0xdef9a4373ba3fe16
.xword 0x1deeec8a90861474
.xword 0x37e37812675abd80
.xword 0x1a8dd0c7a80e9e3a
.xword 0x38a7e0a5335d0e27
.xword 0x65009aee08d0100b
.xword 0x051d14c94bb57221
.xword 0xe7a0fe4ff0102408
.xword 0x61e69432f3ecc8f5
.xword 0xdac779e9dcbd8690
.xword 0x91435d661fe33465
.xword 0x43aeffee7f8893af
.xword 0x14faede178bc142d
.xword 0x3287f21adec2468f
.xword 0x10c3d6f85b44095a
.xword 0xe42d51dba0208d61
.xword 0x3e082d562dabee74
.xword 0xcb5ce01416b311a0
.xword 0xbf94b0833d8b4b69
.xword 0x5da48c611462dec2
.xword 0xca3f1cf189739f7e
.xword 0xb2a74c83e3b09fbb
.xword 0xbce8f65ef7c3765a
.xword 0x5a55eb124d90bee1
.xword 0x7101f0de563753c2
.xword 0x92c2e2f9b44dd59f
.xword 0x0f9b4af6bcc58dc7
.xword 0x45dd5fdf3941f31c
.xword 0xa393dc2b6d2e65b3
.xword 0xc9599b06821fa63b
.xword 0x2205cf365ec81e21
.xword 0x0cff5c49d7821c98
.xword 0x0b24f814efd31a0a
.xword 0x71d073a8251003bc
.xword 0xd002f52d03b05e25
.xword 0x5b03b60f0978375f
.xword 0x258a81a0405fc38d
.xword 0x18b982adb4641904
.xword 0xe036e7b388a6265d
.xword 0x1f73f82757d2952a
.xword 0x1bab7b2f44fe6f65
.xword 0x7a2ba36e9350a548
.xword 0xbc11722a351bacf4
.xword 0xba26bed46846fa4e
.xword 0xcb5f9634d45a40c5
.xword 0x16ee9a916aa975ff
.xword 0xc6c59bd611f5146f
.xword 0xfa63fc0d334f3cda
.xword 0xbd3265d33c58509e
.xword 0xb0c2bccd0234c882
.xword 0x8ccd4ea28682586b
.xword 0x6bbb2a113435cc23
.xword 0x859ab30ada5588f1
.xword 0x2aaa0fb16810ee93
.xword 0x3931f44b4ac7a9ad
.xword 0x1f11dfe82f47bc54
.xword 0x5cf43750a3ae7647
.xword 0xa0893340ba0e3b58
.xword 0x01df6084b90775b7
.xword 0x31810b690ac71baa
.xword 0x76c27b676c167298
.xword 0x65252a09c77b920a
.xword 0xa310bc4ca99baaa1
.xword 0x3ba5390378b51121
.xword 0x156fb2d89f62ce47
.xword 0xaf5d9b6015e9764a
.xword 0x3f278233086722a4
.xword 0x4362ef623b2911a1
.xword 0x836dabb6e5022b7c
.xword 0x6eff29cd18e2f34f
.xword 0x02fa200d674e7b46
.xword 0x2ab9d78a41f9e1c4
.xword 0xa95db17a7c956d7a
.xword 0xc4bc1bcf33ba62f3
.xword 0xc98b43f3b0fb1593
.xword 0x238339de1f1f895c
.xword 0xa0babc23e16d952e
.xword 0x8ac28f21235f8d1b
.xword 0x629a692ff859f82f
.xword 0x890add5bbb70f5e3
.xword 0x91cf0e30dc60fc62
.xword 0x767a88155d69a00b
.xword 0x4a54b88226735041
.xword 0x43a4b00c53591a28
.xword 0x18d782c172044f0b
.xword 0x9201389f24fc3ef0
.xword 0xe44ad3747f788b76
.xword 0xa4386e5914ac9550
.xword 0x65650bc755b6fa7a
.xword 0x2c67e95826234787
.xword 0x0e68e51a09d21029
.xword 0x73acb4f1bd07122e
.xword 0x2e8c5c05babafa18
.xword 0x2ce7d4a8a056c7da
.xword 0x0c30296bd2de1b71
.xword 0x7c352f9a14e0b55d
.xword 0xef8f21aee845b815
.xword 0x3cc4ea2f281b795a
.xword 0x0e4ce79f97347165
.xword 0xec1c5029389174c4
.xword 0xc22a1e20f1071b7d
.xword 0x604de42373789333
.xword 0x0347b4966e5babec
.xword 0x39ad0b811ba9276e
.xword 0x595e319350a5ec89
.xword 0xc5d7374cfea659e7
.xword 0xb63e1853d4bb0689
.xword 0xdaebdea027c2a7c4
.xword 0xaf3842d63067b07f
.xword 0xa81222694f25c147
.xword 0x17d1c4e0d24fe06d
.xword 0xaee6764a8c7d6e26
.xword 0xbe1b1682d3a612d5
.xword 0x94b50ca3a16b38fb
.xword 0xb3f176d51cf31190
.xword 0xc4edc87f621ae9e4
.xword 0xd0417a3eee524b59
.xword 0xb5bb4d8588dea22b
.xword 0x11a5bff64dcb27df
.xword 0xa241ed4409565059
.xword 0x36385b8b6a9be1ca
.xword 0x275a569d40b6a35b
.xword 0x7123c13102f1aec2
.xword 0xe5086edc1448aa97
.xword 0x0e5dc3458bc5f61f
.xword 0x020e1323ced6ed02
.xword 0x36e8ae9aaf4d5fd4
.xword 0xe3a3349525e15627
.xword 0xd8156085be4d5f0e
.xword 0x4b23d54d17c874df
.xword 0x39eed672c7213df8
.xword 0x7fc094f771c48c9f
.xword 0x539d09f5401682d6
.xword 0xfe4aca2b103d7caf
.xword 0xec2f3288c17430dd
.xword 0x9847719b39e9863a
.xword 0x5f7881cf6c5daa64
.xword 0x2a9387ff4f78f7f1
.xword 0x39e3014bc68f558e
.xword 0x2364e8d08ab6b91e
.xword 0x2603be3cf78430fc
.xword 0xee8083dc0c236d12
.xword 0xd9b5dd23c132f84d
.xword 0x1ffcadcdc607ff47
.xword 0xd2ebb4d3483ba64a
.xword 0x1de3e8e70edc86e0
.xword 0x0d043def28f59fd1
.xword 0xa6c8314e077c90b4
.xword 0xc2d0b489ac8ee124
.xword 0xc25d07007359b017
.xword 0xed5ae8f77193d667
.xword 0x9032896778ddef07
.xword 0x633fd58b1027db59
.xword 0xda15fc6eae724651
.xword 0x661cb826bb7e3d7d
.xword 0x751b0fb83bcc32c6
.xword 0x82faef2d2ae947ee
.xword 0x93cf9f86d4ee0de9
.xword 0xee9b48515899e5fd
.xword 0xe36b38f6919337a5
.xword 0x0b5a4c557f16e2e2
.xword 0x4b1f116233d32c2a
.xword 0x2221c850c00630e8
.xword 0x6d68262790b2ddea
.xword 0x6261df27e8737c9a
.xword 0xe7c395fa0712367f
.xword 0xfab85c391c04394e
.xword 0x042f35a338e3c7a9
.xword 0xa7edec88ee891a4f
.xword 0xd9298a408922007a
.xword 0x2f5d6482449320ec
.xword 0xf623e488dc3f0e05
.xword 0x66e2474b045efb92
.xword 0xd7fb4c6c1d932e3f
.xword 0x99be279d0c6af98e
.xword 0xe54d1812773b506e
.xword 0xb38e963b8dd961d8
.xword 0x910c3aa1166c7c0d
.xword 0x3047ba6d8c1c1915
.xword 0xc404c8cfdf206fe9
.xword 0x0b2c0be3c211f89e
.xword 0xa2e6ccb1929ebb07
.xword 0xb6023d6b86b60c2c
.xword 0x852b45ea0105e15e
.xword 0x07f3c03ed81ce6e3
.xword 0xea1cd8fa5deaca1a
.xword 0x2e2b93f953daade4
.xword 0xf685d4c26c29eb09
.xword 0x2dac25844447b128
.xword 0xc64d9eab5b544491
.xword 0x3cea759d780541cb
.xword 0x76ea02bed74425c4
.xword 0xd7e66212597050f7
.xword 0xbe478f9457ae45b2
.xword 0x25243aa96a13a8ae
.xword 0x02c35977af4ceaa5
.xword 0x3bea49b13e2dd021
.xword 0x28a29c0e145a69c5
.xword 0x915128800005d261
.xword 0x0f097e5e6790ff53
.xword 0x2ae80aac3f0b216f
.xword 0xd825ca02d7cfe5fe
.xword 0xdc55523fb56a37a2
.xword 0xfd85b9f7eed2ebc3
.xword 0xf01dcb303534b292
.xword 0x0e9c9050a5fe8659
.xword 0x6babcd18b54575b5
.xword 0x32dc8d85767cbefa
.xword 0x20958a40c9ede721
.xword 0xa774a95e9386af89
.xword 0x5ca3854ac8a25975
.xword 0x9bcd0da669a0eaa6
.xword 0xbecf23b87e21b70b
.xword 0x984de6e30208c33e
.xword 0x1deb3778844361c8
.xword 0xce2a7076d92c1fe0
.xword 0xbc948cf6cb8bfb1d
.xword 0xda38cd8b81e1a4d9
.xword 0x99f9e7b8a8897897
.xword 0x73f0a55c6e3c1ad1
.xword 0x8cb847a2e535b7bd
.xword 0xce70e394691ba5e3
.xword 0x623dc266701733b5
.xword 0x4acb7c641e2eaab3
.xword 0x43a66de1686af3d1
.xword 0x7b813927c2a3c417
.xword 0xc609ac0d1ef9433c
.xword 0x34bd303bb0d15874
.xword 0x2d7c421eaf6938c3
.xword 0x8748bf3d263c197c
.xword 0x0ce20886d5dbb3f5
.xword 0xda98c8586589881a
.xword 0xe3ac32111caa427c
.xword 0xa9541a888ded7dc0
.xword 0xc7ae2ecb24321d76
.xword 0xaf0897a55b1ccea4
.xword 0x0c2ffd5088f9ad24
.xword 0xdade2d7e24a434b8
.xword 0x0a0c42634fbfec5a
.xword 0x65627d6b66be8378
.xword 0x7a67b5601bcb494c
.xword 0x4da41daeac471ca9
.xword 0xad71ff7e3f0bd3fb
_t1_hmac_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_auth_key:
.xword 0x804f9342df4f1ebd
.xword 0x386aa2baa05f5956
.xword 0xcf052ebde4c067d7
.xword 0xc94d1ca1b9f15949
.xword 0x780b52e7b9ae3a40
.xword 0x25ffd85781be3c0c
.xword 0x772e115ec7b2efb2
.xword 0x56ac862f977b68f9
.xword 0x05fd09304c7ce6c9
.xword 0x6b9fe329a7ffc664
.xword 0xd63f8ef34038d473
.xword 0x2b35691ff65750e3
.xword 0xde1fd7e2ea51c695
.xword 0xd2886635a7439ada
.xword 0xc2e7eadecdc9d775
.xword 0x599a828ba7cac723
.xword 0xed6e73539279c97e
.xword 0x65150f93ba717a27
.xword 0x21bfaa84f9c821be
.xword 0x8f160259a2d9c85d
.xword 0xae94946dd165fc83
.xword 0x1233a2e3890a553c
.xword 0xb8bf2246370e9acb
_t1_hmac_auth_iv:
.xword 0x4e699c2b95195709
.xword 0x196e2b4a2a320152
.xword 0xfe5bddfa9f58171b
.xword 0xd086c092a1300648
.xword 0x20176b8c1a9cb7c8
.xword 0x4c82c19914239806
.xword 0x8b43cc755145b704
.xword 0x882d6e0950b898eb
.xword 0xf60ca7b4941ab050
.xword 0x545604d722700aad
.xword 0x4a0f3830e87accdf
.xword 0x395bd920faeaacc0
.xword 0xa5efd2c02237fe04
.xword 0xb36268f27a239e3e
.xword 0x65a4ff1080a4c980
.xword 0xa4ac94435bcf860b
.xword 0xc393bd965d9e95a4
.xword 0x56a2a0097fac1c51
.xword 0x35fba697acb8aca4
.xword 0xe8b802a0be6620de
.xword 0x73aab8eb81d19240
.xword 0xace80ee2b9e48eed
.xword 0x386f9fa5b0b114ae
_t1_hmac_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_key_array:
.xword 0x9e804bf4069d3ecd
.xword 0x6bc5a387bc9b3ac7
.xword 0xc06076056e699b78
.xword 0xee7e6e6c114f36a7
.xword 0x0969199521f28ff0
.xword 0x942d15ea6853b08e
.xword 0xd281944a686bcf40
.xword 0x750181fb05303716
.xword 0x6ec122d27ae05491
.xword 0x29631986155ab751
.xword 0xa57663129ff6d863
.xword 0x8b6d598212fb9901
.xword 0x275bdb4e784e7d15
.xword 0xebfacd56b5a86ac8
.xword 0x2a901f96e2331828
.xword 0xb4fd2b6d185b4787
.xword 0x05a4fd34a089510b
.xword 0x60fe49a152d49388
.xword 0x78e30a30e2db28b9
.xword 0x8cacb8e3e2ce2386
.xword 0x5852561915e5081c
.xword 0xb8642b97d7be4666
.xword 0xd66ec97348f0f2bd
.xword 0xe8a96160ee5570ab
.xword 0x4f260cffd2b8f655
.xword 0x5b0b396423c06a26
.xword 0x2cf8cbf3ff93167e
.xword 0xd31bbccb34b1d18d
.xword 0x730b36f4e4d2528c
.xword 0x0ee1087e6f511e61
.xword 0xc0e006c384eced51
.xword 0x81094f1d73892b24
.xword 0x884a29ac3a253d02
.xword 0x7239c9ed6aef8031
.xword 0xfe5b0b8ca24f0de4
.xword 0xdfb1d7e063a62938
.xword 0x29e7446061f488d1
.xword 0x80a02b50aca73667
.xword 0xf0d934afddb9e6d0
.xword 0x9a9eb8c35c0a584e
.xword 0x078ec1a56e20bf26
.xword 0x6340bf1927820e2f
.xword 0xcb7ed85c9b20e6fd
.xword 0x3ae86e4701eed3d5
.xword 0xfc75b981e2f7db76
.xword 0x3d2d7a59a9e2810c
.xword 0x5251f65213136c40
.xword 0x41fd2c83989fbf97
.xword 0x992d685105f70804
.xword 0x3ed0b00ea7f13177
.xword 0x21deb15a115345fd
_t1_rc4_iv_array:
.xword 0x30c526e6169b829d
.xword 0x75321e142ade54a1
.xword 0xf09b6e29e740822d
.xword 0x6de4c48750d00ed6
.xword 0xd802a2b45f576d0e
.xword 0x0be5772a812a7722
.xword 0x9bc5d9815c522dba
.xword 0x99296f14ab6a40c4
.xword 0xcd98f3fd8de4f38e
.xword 0xf6e8d7bbbf193c29
.xword 0x6521c85efb396de6
.xword 0x4db8c7bc8c9f44ad
.xword 0x4cce2ed05787639b
.xword 0x04f1a42d7ac24648
.xword 0x1e5442ea8730d89f
.xword 0xc3e5803abf5f9c1d
.xword 0x84008c6a238e2c23
.xword 0x21695e82310e6430
.xword 0xb4b56a2f02471a0d
.xword 0xd4615773bcbe7677
.xword 0x2682e0cbab7cf59b
.xword 0xd9e45e9865d20740
.xword 0xbb9efd8225d8f350
.xword 0x82826627852dec01
.xword 0x08dac91e5a55f239
.xword 0x5083d6ea11b3c4a7
.xword 0xb783952ce8d62011
.xword 0xdc006e42e9f432a3
.xword 0x522f312ccf23e05a
.xword 0xbfee0d987ab268af
.xword 0x92f8961045fc50b6
.xword 0x47f1c9c5258fb8a9
.xword 0xa1e89ce3a8149690
.xword 0xc28d2d97f067318f
.xword 0x5c0b8be25e1698e8
.xword 0x7011431266b08d30
.xword 0x1096502ca9e72fd3
.xword 0xb41b53992e178a5f
.xword 0x9ace5cbcd617c7e9
.xword 0x9b710f34e8e3d2b2
.xword 0x06e73c2af2c439e0
.xword 0x48968b61001e1ddf
.xword 0xf1f93640d9c5c913
.xword 0x7ce4c1e6643652d0
.xword 0x354b9eb047b89a41
_t1_rc4_alignment_array:
.xword 2
.xword 3
.xword 4
.xword 4
.xword 9
.xword 7
.xword 15
.xword 7
.xword 5
.xword 5
.xword 0
.xword 7
.xword 9
.xword 6
.xword 2
.xword 2
.xword 4
.xword 8
.xword 4
.xword 2
.xword 12
.xword 12
.xword 15
.xword 0
.xword 13
.xword 8
.xword 14
.xword 10
.xword 1
.xword 2
.xword 9
.xword 13
.xword 2
.xword 2
.xword 2
.xword 13
.xword 15
.xword 14
.xword 3
.xword 3
.xword 3
.xword 0
.xword 11
.xword 3
.xword 6
.xword 6
.xword 5
.xword 11
.xword 4
.xword 8
.xword 3
.xword 8
.xword 3
.xword 11
.xword 11
.xword 12
.xword 4
.xword 8
.xword 11
.xword 5
.xword 10
.xword 3
.xword 0
.xword 8
.xword 3
.xword 10
.xword 14
.xword 4
.xword 14
.xword 8
.xword 3
.xword 10
.xword 12
.xword 12
.xword 15
.xword 0
.xword 8
.xword 5
.xword 14
.xword 3
.xword 8
.xword 8
.xword 0
.xword 2
.xword 13
.xword 9
.xword 7
.xword 13
.xword 14
.xword 5
.xword 6
.xword 12
.xword 6
.xword 7
.xword 1
.xword 7
.xword 4
.xword 6
.xword 15
.xword 13
.xword 9
.xword 1
.xword 2
.xword 5
.xword 10
_t1_rc4_src:
.xword 0x9a2ba7edf77efc5a
.xword 0x59bc98905e686a94
.xword 0xc5d79fb749147135
.xword 0x4401f242c48a3218
.xword 0xbc69939bb352f000
.xword 0xc77e3d69cf5fb5ad
.xword 0x2b48fde050983e05
.xword 0xd3c40654e8a7698d
.xword 0xb90ba340677c3d8a
.xword 0x0f5318f785246f40
.xword 0xc78d3368635204ca
.xword 0xe57945415c0c3462
.xword 0xa16928bf1b1d8092
.xword 0x5f82880c7ff4afb2
.xword 0x1f5b9f8b908e6ca4
.xword 0x985900277bacb230
.xword 0x0bd4307dee610f55
.xword 0xeba8306740fd4267
.xword 0xf362d03666b1567c
.xword 0x9ec57e474342ec00
.xword 0xa8ddb9b08c626ab7
.xword 0x863b42d834102b9b
.xword 0xf10330d938d9152c
.xword 0x1a185aa936bab107
.xword 0x7b394e512ea7ad7b
.xword 0x6a51e3562b6f1cbe
.xword 0xf4231b12c0261077
.xword 0x7688218a4bffa937
.xword 0x6d81b8e3ce3bddb6
.xword 0xccf0c97622b425b5
.xword 0xd535787cf1908a71
.xword 0xb8d4779eca5eebfe
.xword 0x1840cb5a8b419148
.xword 0x050cb62af841fd57
.xword 0xa0ea0c8c2e96a249
.xword 0x4c730c0a3beb8d1d
.xword 0xbcc91c9249a81407
.xword 0x85a79357224a80ea
.xword 0x4d24f6316200f15b
.xword 0x314548e7777920a3
.xword 0xa99112c6bf965c6b
.xword 0x76d05606c05d45c4
.xword 0x26fa9c60a2b8e96e
.xword 0x3beb278b0d94d34c
.xword 0x5ff0910e676efb16
.xword 0x0c792cf5fd2f49a9
.xword 0x3050d9964da9dcb8
.xword 0xd8be541bca674a46
.xword 0x47f1145ef9a50cdd
.xword 0x8168128f92c6d1d6
.xword 0xecfff33acebcfdf2
.xword 0x3fb2e5ece8f39c58
.xword 0x029277c9e18eafe1
.xword 0xf23de64020d59dae
.xword 0x808a17fb6dce6026
.xword 0x6e6a35266867ae2d
.xword 0x338e334d84a863e3
.xword 0x40ee5051171cb079
.xword 0x65defeb513429a57
.xword 0x0e4dcbae3a74a7dd
.xword 0xb0b6c5e93accfa1c
.xword 0x49eac8bedbcaa40c
.xword 0x20c0aa1101245989
.xword 0x24163b290989cec9
.xword 0xce82978b07d8465b
.xword 0x9019e09fcf285630
.xword 0x299dbbbb95ca3f50
.xword 0x1ee4b813608959d1
.xword 0x564230d0d21d3025
.xword 0x441a6842bf719b6b
.xword 0x73cf1b4736266ea2
.xword 0xa1cc892ee110391d
.xword 0x0bb1d51c02f1aaa0
.xword 0x20a02d809c513d10
.xword 0xa56025caee4e188b
.xword 0x91b21ca0db686773
.xword 0xa3f05b81f163772d
.xword 0xc4fb5e4cf3f8f1cf
.xword 0x69f9abbee5929823
.xword 0x7feee430e899d980
.xword 0xfa40463ed7805bc6
.xword 0x7e37bb31a438c281
.xword 0x54f01b9b4ca81ba0
.xword 0x49f12abc706ee65f
.xword 0xe4a812d253880943
.xword 0x80c1b62429de36a8
.xword 0x350e699e45fcc717
.xword 0xd4afd94ca6308beb
.xword 0x72af19e105923731
.xword 0x929531a0d1fef9c4
.xword 0xd4a5e3b60418ed68
.xword 0x6a9bda66e9cf94ab
.xword 0xa8672f158ed92bf4
.xword 0x2eb38d20dbbc5224
.xword 0xfbee5ff5ea8c7d0b
.xword 0xa3fe7de8908a25a0
.xword 0xf705c1fd55b3aaaa
.xword 0xba6e22bfef7cae22
.xword 0x3bbe6c71e58c6ff6
.xword 0xf44d24f3c8d7cb2a
.xword 0x38d240f9b1728c91
.xword 0x8d6748815bbaa59a
.xword 0x83ab047f58a53716
.xword 0x4ac05935dc670022
.xword 0xafe6f698149c8e61
.xword 0x12e4a534d9c15778
.xword 0x9c8a8be4a0443712
.xword 0x4152b7889667307c
.xword 0xf863b2aae57d19ea
.xword 0x1f3b1d8ced71cc1d
.xword 0xe4a4a849089a40d6
.xword 0x19196ada1e1de89f
.xword 0x49d758b0c59ca470
.xword 0x96a1cc861734ad99
.xword 0x6107fbf4cbb58a0e
.xword 0x42777df67f6c837c
.xword 0xd19fe40608fccf4e
.xword 0xd683eeed06709fe2
.xword 0x8898b1c1373ae51c
.xword 0xeebe73958d8efae4
.xword 0x1550e7ce94719e3b
.xword 0x421b120836dd1e84
.xword 0x68ffdd5792a74d0a
.xword 0x4e4dfe0eb4f9fae0
.xword 0x417c823301daf9f6
.xword 0x9734fd1f6093fd17
.xword 0x5f2655111679fc79
.xword 0xa97db1e66188d911
.xword 0xb3a0c17efd83c52a
.xword 0x776da2cb61a5d06b
.xword 0x3c6974f1f0ad75bd
.xword 0xf3ea687654b468cd
.xword 0xbef5c4d9a9c146c6
.xword 0x2819cc0c4c0b1198
.xword 0xcb0f4dda0bad0205
.xword 0xa316b10ac1ae0c79
.xword 0x59f44220ce7ac262
.xword 0xbf2f6d02bff2b834
.xword 0x8fa09bbcb2dc2fdc
.xword 0xdfb1fd4b200a71c9
.xword 0xbecfcfa385cca670
.xword 0x5278b451f07428be
.xword 0x63340a86d3185662
.xword 0x5ffeff6932a4a850
.xword 0xeafdc934a9cf6838
.xword 0x7530a10227263f55
.xword 0x4c2d0d27832936a2
.xword 0xfe46932d9da76283
.xword 0xfb74aa63416bfa86
.xword 0x393f1651c62fe2d0
.xword 0x33d95b7d2ac051a7
.xword 0xd81304c16ec8442c
.xword 0x024090b31b30ab63
.xword 0x72f121284bf837a8
.xword 0x81728509159b7dcb
.xword 0xe9833d60c6718bd4
.xword 0x2e423db66fab5795
.xword 0xfd3e1a48a503e2e9
.xword 0xeaf197dbb097481b
.xword 0x68ee9d5365adfc42
.xword 0xa11abba43e4ffeb2
.xword 0x498518bc06719992
.xword 0x414cf8cd97f3da64
.xword 0xbaea36dab1965ffc
.xword 0x3399d0e6e21a86c5
.xword 0x06bead304e24c8c5
.xword 0xffa233b09eeab866
.xword 0xbbe381ace33bdfda
.xword 0x88a75c83067398d8
.xword 0xe40d27246505387e
.xword 0x661cf91ec501f58f
.xword 0x73b9b88be9ee444a
.xword 0xb8b348b454fee498
.xword 0x99c54747ffc5125a
.xword 0xc52b94a4b97c584a
.xword 0x3d732e36122c18f3
.xword 0xf222d49aefcee0d7
.xword 0xc6fef4df0be974b3
.xword 0x40fb179d7a576171
.xword 0x96501a6004b28796
.xword 0x3ddca28a4051cb05
.xword 0xfea49bd535437114
.xword 0x01e1ec8e757a3e8d
.xword 0x8e977ddb2e1ad6b3
.xword 0x3cc7a6e4fd17a0e2
.xword 0xea8300cdffcd05cc
.xword 0x27a296aa4375dd43
.xword 0x4c3212f4a8225796
.xword 0x274abd65b616b79a
.xword 0x74799c9addb20408
.xword 0xdf3ffbd2d5ee679c
.xword 0xc19f0c70111d395e
.xword 0x77aceac438f06007
.xword 0xbe0141207ead44ed
.xword 0x45db32e845fda469
.xword 0xae0375f493782f84
.xword 0x0bfc7130cada637f
.xword 0x97cc78ff9c2d3027
.xword 0x8606594eb1d9e24a
.xword 0xf486656367ccac11
.xword 0x3d90c0a3a72e688a
.xword 0xe00957ad8aacc7b6
.xword 0xb110dbe99755a234
.xword 0x287de2c133add492
.xword 0x1cbc45d228136a2f
.xword 0x18962de677c68eb0
.xword 0x310dc63c7ea6b64d
.xword 0x7b6e71313fa5bdc8
.xword 0xb7b49ecc295aed49
.xword 0x8b645f443b29bfec
.xword 0x5e337ada1b0292af
.xword 0x402761065e3641d4
.xword 0x30c373ef5d0f7366
.xword 0xbc26b2356e75dc84
.xword 0x93c21a4a11ab5783
.xword 0x8b674e89d29ed24b
.xword 0x276fa9b29ce94c45
.xword 0x67ec0a1cb4d6e4de
.xword 0xf67e54b4c21d9935
.xword 0xc325288927a2280e
.xword 0x93e34590e83d5fd3
.xword 0x0aa55dd28f7418ce
.xword 0x7302fa620a41b46c
.xword 0x0fa8d1e47b2c41af
.xword 0x4feda7482f355db7
.xword 0x52d2af8a189c44f7
.xword 0x72be9450e8c6be42
.xword 0x6478d9a11810e18e
.xword 0x2ffacb46e89c00a6
.xword 0x0e95307ce30b0167
.xword 0x7bb1af4cfefefe04
.xword 0xfd31065948a7d631
.xword 0x15b37bb390ed921c
.xword 0xaf2552123f828b9b
.xword 0x90414d911de9a496
.xword 0xdf5faa537bed9cdd
.xword 0x7d73c02890857e09
.xword 0xc2b22f6701998b57
.xword 0x1d9953fd19f844ed
.xword 0x0184b245c90506c7
.xword 0x0ea60026f57d36e1
.xword 0x9a656db638bb9ea0
.xword 0x7a32aca6d9457f6c
.xword 0xe890904ac4a98ec1
.xword 0x91215233a51ba162
.xword 0x6e8e62a077d442fe
.xword 0xecc00a9bc711a84d
.xword 0x98da6eb09778e691
.xword 0x5f4b6b7b2d88f07d
.xword 0x96083349f5190a81
.xword 0xf8bf8d935007af3c
.xword 0xbe51a6f1cfbb3eef
.xword 0xb07742566e87496d
.xword 0x880902f341cb85b5
.xword 0xb1cdc0fe5d57cfd2
.xword 0xf07e130a06ab54d3
.xword 0x5921ac4aeee7e6e7
.xword 0x1dc17847e11b4503
.xword 0xcf0b427feeda27d1
.xword 0x725dded991348fe9
.xword 0x469f700863f6c085
.xword 0xf57c5c24762bad26
.xword 0xed826b5dc7ce4eef
.xword 0xfd2b408789018bfa
.xword 0xbf7284e74fd245d6
.xword 0xd0fee54dad48846c
.xword 0x9b843a85c6177e29
.xword 0xbc0d16ba99eb58db
.xword 0x0558c9b3354a1ffb
.xword 0xda95191cbacfccb0
.xword 0x2f4de22593423dd3
.xword 0xe9710adaf5d218cf
.xword 0x8698cfb0bc3715f3
.xword 0x62429488aec9845d
.xword 0x68f6d19035223f72
_t1_rc4_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_auth_key:
.xword 0x79fc57a426ecaec4
.xword 0x61cf26aab5cf9456
.xword 0xa5cecebc83f3ccd5
.xword 0x081109f960a12ed9
.xword 0x92ae5d77169b96a3
.xword 0x3344bcf4290aaf38
.xword 0xaaed35f841285865
.xword 0x8b920bc5196f58a1
.xword 0x5bb61111317dc054
.xword 0x82fbca123b198b3d
.xword 0xdb64586eb6262b84
.xword 0xf7a55dac3ec104d8
.xword 0x3cac1468ae69a736
.xword 0x17038a3f9334749e
.xword 0x68a53c7e269bfdea
.xword 0x258d7e4f264130e9
.xword 0xee1ab1481fd2190e
.xword 0x7890e6827f56240b
.xword 0x27decbb3e266b86c
.xword 0xd44c3adc3dc06eb1
.xword 0xc85cae4baeda8a66
.xword 0x94febbc09588fbc3
.xword 0xd05d2519442ef3cd
_t1_rc4_auth_iv:
.xword 0xe6a935a84d5b6def
.xword 0xf4ca54733615d502
.xword 0xf31bfe1d7d96fb4c
.xword 0x373a6dbb085702f9
.xword 0x07371b2381bc9905
.xword 0x5c32458e674a3b4a
.xword 0x35969987aa68462d
.xword 0xc627cadbbb924bd2
.xword 0xcf0ddc72d3b4c27a
.xword 0xfbb4b6f3ef9655bc
.xword 0x33422e98e0a0574f
.xword 0xf2fabc7f1eb7346a
.xword 0x6779922440e6fca6
.xword 0x2b027fbba778991f
.xword 0x8a37b9cf6f121639
.xword 0xfaf690416342a85b
.xword 0x0117f8de643d005c
.xword 0x5100263d46191571
.xword 0xb2716f7154fb22aa
.xword 0xec2b20641a543018
.xword 0xe933bb40d4e41bc6
.xword 0x0806db2f4335022d
.xword 0x1a3774ab567101f9
_t1_rc4_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_key_array:
.xword 0xc4fefa57a677123e
.xword 0x8816aa7482ae1081
.xword 0x2e8d8f7f5df6cc98
.xword 0x79472aff7c2a0e2d
.xword 0x2c4e6e6b98a971f2
.xword 0x0e5cf843c3623e7b
.xword 0x1738f0855235682d
.xword 0x67532a026e183c41
.xword 0xaff15b628423aca6
.xword 0x8469d0c52a271885
.xword 0x6792d2f25fbaacc8
.xword 0xed1f2f90fca61137
.xword 0x5329229fd8042cfd
.xword 0xd6b58cf927347775
.xword 0x650b7bd6e5815820
.xword 0x315aecc27abf325d
.xword 0xac14aa612ccc5b36
.xword 0x1bf7b8aa475a3e35
.xword 0x0771ee96172899fd
.xword 0xf8ca268228e89b47
.xword 0xa026f7b300c5f1f0
.xword 0x21272b5ba963c8b5
.xword 0x496da33acf5df7fe
.xword 0xa3f23fab2a518470
.xword 0x4aaecb4ce3407842
.xword 0x72747ceb9396d741
.xword 0xfbbaa23946213856
.xword 0x4da10ad709f63415
.xword 0xad04537660e967c3
.xword 0xee10c4a545a6bfdf
.xword 0x6fbaf2ad3ddd700e
.xword 0x2be8223ad379988e
.xword 0x764a1abc24a23a0c
.xword 0x6a3dbf4ad5cec417
.xword 0xcc37af34e1fec1f8
.xword 0xe7a789b47ef02e67
.xword 0xe64c399856b6a486
.xword 0x97d7e60d63de669c
.xword 0x0ee150b5d5ffa0dd
.xword 0x765d523248c191b2
.xword 0xdd00a32fbb6d6cbe
.xword 0x84204a3f86e3dc03
.xword 0x1f7b465646c9b26d
.xword 0xbe2ee42731088c8f
.xword 0x28178694b5a5be78
.xword 0xa6dfaf5114d286ae
.xword 0x2fbb5a89f1f8fdbc
.xword 0x0fd9a8f8297cd358
.xword 0xb33d61bdb90da050
.xword 0x3428224eed876c00
.xword 0x1eaddbf73e7db578
_t1_sslkey_iv_array:
.xword 0x2177b91d736b508b
.xword 0xa698228f88d041d1
.xword 0xd226a597649acfff
.xword 0x7f3571c0cf0d4a34
.xword 0x810459cad73b7245
.xword 0x2c1723ff0fbb9fd7
.xword 0xea6d7056c4069060
.xword 0xe5acdde262fc7cff
.xword 0x415998bb8df2aa38
.xword 0xef1280e72511d9bb
.xword 0x800fc8de8b8bef4e
.xword 0x5119bd6aa3c80a93
.xword 0x75fc568a0366d421
.xword 0x5e43af3399a0ae6a
.xword 0xb3f9d3dabe49e4dc
.xword 0x0a6a08cfbb87a4b3
.xword 0xd08b655e6db8c803
.xword 0xba783137d098be36
.xword 0x40fb990d683ac2b6
.xword 0x20bb0f03f713cfad
.xword 0x242c6dc54ede6f20
.xword 0xc67a628588cc8042
.xword 0xb88e56da1a1a226a
.xword 0xbfcb665d7d2bd47f
.xword 0x88855e8b84c800de
.xword 0xa82f511ab39a7812
.xword 0x093fd79f09145f54
.xword 0xfa6e1fb223ec8ad9
.xword 0x528bcb111154733f
.xword 0x613b66fc09c40f52
.xword 0x24664df8498875ac
.xword 0xa3cf057edc11bd53
.xword 0xc85ab83cb4705c8a
.xword 0xa83c6537ba168b1c
.xword 0x34fcf52dd7c4c3d2
.xword 0x4f0c8a94b82647be
.xword 0x6a26b13e1f1966cf
.xword 0x9be8fe813c6e306e
.xword 0xbd091f03d55ea1c2
.xword 0x2171b9603eb21634
.xword 0x4fe972942ff4db55
.xword 0x289763c0738eb03a
.xword 0xce9231b5a13cedf5
.xword 0x7b55a6fb59f561a2
.xword 0xd1a0b35a4ca2f821
_t1_sslkey_alignment_array:
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
_t1_sslkey_src:
.xword 0x33386c1cd3f0cc85
.xword 0x178441b2090d032a
.xword 0xc25d3e9b04ea7396
.xword 0x27c8c90d932305f1
.xword 0xf256fbcbd3c5ed66
.xword 0x74f31f2ce8331ce7
.xword 0x957093bd57ef5700
.xword 0x5b523d8a84a76474
.xword 0x8158639a25acc344
.xword 0x9af6305210329e5d
.xword 0x2662cadbac080f26
.xword 0x7dd5a182ce96f291
.xword 0x61d98752d584814f
.xword 0x57f302e6650bbe7b
.xword 0xdcec6d478726dc4e
.xword 0x33368aab6d3c1d6b
.xword 0xed4b4a589cac3b9c
.xword 0x4a491200dcb73e48
.xword 0xce28602401e241b8
.xword 0x9a326d47a9e01e1a
.xword 0x8fdc6149796bf1b4
.xword 0x71df1898867939bf
.xword 0x302e49d8e23c2077
.xword 0x220f7a9d51c9d6b1
.xword 0x9d0f1fe683f5081e
.xword 0xff3f14a3e2d32694
.xword 0x23f0d4e4457f1470
.xword 0xcabb9bf464f371a3
.xword 0x9ef7007652044a22
.xword 0x0f98a4475e4249cf
.xword 0xfb19eaab5e46bb3c
.xword 0x1035754a7054fb05
.xword 0x3fc3509b05149323
.xword 0x53a8dea46648e05f
.xword 0xa58402ea9c31e93f
.xword 0x7a8a4172b3f42307
.xword 0xad8c2d5611db24c6
.xword 0xab1bd26a751fdce8
.xword 0x062975ad01c7dabb
.xword 0x6159895da3dacce5
.xword 0x6bfc0023f502b486
.xword 0x883f5f7d3de4d5ff
.xword 0x238fcc429d31b3f1
.xword 0x001399d37ded4029
.xword 0xaf13edac17c86e21
.xword 0xc2311641ce215c7e
.xword 0xbbc1a717ddd1ed5e
.xword 0x7734758f5e1fad0a
.xword 0x1596409715e25526
.xword 0xfe130586794e4c4a
.xword 0x059acfdd6f080b79
.xword 0x349b018d95268619
.xword 0x96dfa3d9da73ac0f
.xword 0x166a5bf8b3e7679c
.xword 0x01fd731720fba1ba
.xword 0xdd6ee06f29e9caec
.xword 0x9b51683fdd8e93ab
.xword 0x0dbc90a8151daa50
.xword 0x74ab2818eb5f7408
.xword 0x76cebe8401531c30
.xword 0x1b43da32ee62a8e4
.xword 0xcd6ced9dfcb4c7ed
.xword 0x318b0d6135db0c6e
.xword 0xbd94fe0cb0402e88
.xword 0x0d3128e2103cc043
.xword 0x0299e31871702344
.xword 0x5925518181002723
.xword 0x81da21e4cb7c1576
.xword 0x49a22600b5c50281
.xword 0x772a0e67f497b4c6
.xword 0x6fd17fe753d88c33
.xword 0x1c9dd9b22d6418b3
.xword 0x835181da725b3901
.xword 0x4af291b27983be19
.xword 0x7cbe7b7c1fd180bb
.xword 0x6a626fac3a5148f2
.xword 0x36e502cfd3dcaedc
.xword 0xe86fe6c6a264662a
.xword 0x695a52e5fc5d6d8f
.xword 0xb962c6c18b754bf1
.xword 0xd13106fd8f801556
.xword 0xf7922eba04899c68
.xword 0x2c6faf54e3a0bde0
.xword 0xa06cd0607b20ced7
.xword 0x63855abd8ac88784
.xword 0x807d0fa7e4293e39
.xword 0x9bf9263209113f9f
.xword 0xb9df5d1ab54235fb
.xword 0x4acd3337ac7aad15
.xword 0x1f1813e3990ed0ae
.xword 0x20e8cd7750d03ece
.xword 0xf7c0b4c942baeae2
.xword 0x9636804ca0622847
.xword 0x419cc87ead3e2f27
.xword 0x3ff37b70810a9c45
.xword 0x23ab0713a50b2b6d
.xword 0x587f86ea6e2424b4
.xword 0x26fe6f15379690f1
.xword 0x02711b0898112a72
.xword 0x16d289043f7f805c
.xword 0x7f47ff11819878cc
.xword 0x1d776af21976c5fb
.xword 0x285c925143314317
.xword 0x9542c338980dcd9f
.xword 0x96faf6d11f1b43b3
.xword 0x460ab7b433d12f16
.xword 0xe7270adff16a239b
.xword 0xb09435ff384dcc07
.xword 0x3888530b9f27928f
.xword 0x0955f127528de289
.xword 0x9a048c8bbbdfd0a4
.xword 0x44ddadbfe209e49e
.xword 0x2a02ff21df3807ce
.xword 0x7a80cf9aae1c8f90
.xword 0x13afea93f6b9db9f
.xword 0x4589416bd0af2db2
.xword 0xace5a85a86cab8ff
.xword 0x9bb7f3fa7895bce3
.xword 0xb8012ceddda4d273
.xword 0xbc803251a5974a81
.xword 0xe30afc4676eec8a1
.xword 0x5dbbf09ee6b05ef7
.xword 0xa37033ac0565ba13
.xword 0x511c690c40a83bb7
.xword 0xa2df4897e59d2c4f
.xword 0x21f2493957e5e253
.xword 0x6dd0eb6fcf2c7b29
.xword 0x3a8f070ad6a04b77
.xword 0x491c819623046fe2
.xword 0x75a0193c57aa0866
.xword 0xea10f0dbe3e06bc2
.xword 0x84a0d4404e1f8d5d
.xword 0x1063dd396e3852b8
.xword 0xa8a2b840b9fef2e1
.xword 0x8cd9a7bd9f332e48
.xword 0x65ff2e204209ffa2
.xword 0x4da684dc19b7e134
.xword 0xe66104c31fb3420c
.xword 0x64b4f95aeb68d010
.xword 0x1ea4d34c0b903e2b
.xword 0x907e03ef91c2d67d
.xword 0xcf7c761c849c8ff8
.xword 0x807f31c91d76de85
.xword 0xf3eed97a4f166a00
.xword 0xcb1d4da5959a9c01
.xword 0x075e7ccfea937953
.xword 0x1ff4a23bb87c7c17
.xword 0x09ef88f55e0434ce
.xword 0xcb6ff2505f834e9e
.xword 0x71316e893df42e8b
.xword 0x16f620a373ab6ab9
.xword 0x3a69e9bd4d3e641c
.xword 0xc36b3283c669cbf5
.xword 0x1fcbfb5c9879a9d2
.xword 0x00e303c661bf4ffd
.xword 0x08f03a36444dd081
.xword 0x0af14c8b8f7cc51b
.xword 0xe93c82485243d84f
.xword 0xe11cad2ee218b88d
.xword 0x316a044f0b2c1a74
.xword 0x123042739e945289
.xword 0x55f514f72e0e9b91
.xword 0x546cec7308688440
.xword 0xfbe661737094a4ab
.xword 0xe4b402ddf83a33e6
.xword 0xe4dfb0f6cf17006c
.xword 0xedbe25e729e712b5
.xword 0x8edf3afe8eb64047
.xword 0x6701fbc34c592882
.xword 0xd612cc55efdc8ecd
.xword 0x4dfb5810ce027809
.xword 0xde59201bfb99a9b8
.xword 0xb7f1e77e8de59509
.xword 0x52d8067420521e79
.xword 0x5ded327d8a277277
.xword 0xe440e0ef37311ed5
.xword 0x72bdd5cd9227c180
.xword 0x28297d8b54fcde3e
.xword 0xaa837d9ba8a7be65
.xword 0xeb6f14f8a097e5f1
.xword 0xc8e6e20d0b7686a5
.xword 0x8742426e546eda44
.xword 0x65d412896e1b4294
.xword 0x41d5e9ea2b58cf4f
.xword 0xc875ea2335576f66
.xword 0xb4ae253e1605e2d9
.xword 0xb2ed5a32c3a59ad0
.xword 0x4554a4bf0c557008
.xword 0x34c7ff02cb7efa54
.xword 0x5a90f87b1bf4aab6
.xword 0x8cb859c7c9b08568
.xword 0xc6c29e55b6d8f98b
.xword 0xcee511ece049dbce
.xword 0xc1af0583f250e205
.xword 0x7cd30ac57b6b5573
.xword 0x43ca2e5dd89df345
.xword 0xd169f7cc945e1364
.xword 0xc4320586f348b9c1
.xword 0xcf1624dd07ca4085
.xword 0x0eb32dbc10a101f5
.xword 0xb5aa8ff18639720e
.xword 0x44507cfd7d9cf20f
.xword 0xa0c3081ec865ad2d
.xword 0x155a3bdddb60e082
.xword 0x31d2fc326bcdb52e
.xword 0x5befd0638a3711fb
.xword 0x05f86922d9d3c205
.xword 0x94ecae254c7c81b3
.xword 0x7b71c2dff64ff8ac
.xword 0x6bf7ebde75caafc7
.xword 0x86e7386b1b62f938
.xword 0xd893f09130032e49
.xword 0x40025e52033a5d0b
.xword 0x384ac0479a3dfe98
.xword 0x24f48b03b63fc095
.xword 0x0dd13d1c155930c5
.xword 0x127fba45440ff333
.xword 0x0533d6fba828b136
.xword 0x077bc3146c0a592e
.xword 0xd9e06227f012c9db
.xword 0xb739c73eecc09e98
.xword 0x493d3b2df26f3842
.xword 0x2babb2a8b524d37d
.xword 0x6993333992d87d3e
.xword 0xbb25a165bc2a57ff
.xword 0x3934d2492905d2b0
.xword 0x8ff2054f4645d78b
.xword 0x7719bd6d804af5fb
.xword 0xf4889c7393ebdbe2
.xword 0xb2c0d265455fd581
.xword 0x8d97448ba651077e
.xword 0xcc66d6634287e5a2
.xword 0x08ec684e19049a16
.xword 0xb6a8666562d7d436
.xword 0x707e7743eaa46246
.xword 0x23aaa1da26abc289
.xword 0x497b95aa0635ad7a
.xword 0xf3776369e543405c
.xword 0x28c0d37c885c85f0
.xword 0x191d5c08117d6cd4
.xword 0x73d941ad29c62697
.xword 0x8c283d05f9e1ca4a
.xword 0xb299ffb3b7c82091
.xword 0x40a5ddf7c9f609dc
.xword 0x8e00a44c9ffa6849
.xword 0xf58a214fd0a85d2a
.xword 0xebb479c89485f4d2
.xword 0x6690d833556ac13d
.xword 0xcabfb1bcdc3e71e7
.xword 0x12748b7a3cdaf976
.xword 0x97b2b20c95c8a994
.xword 0xa391c0d4aee2542d
.xword 0x6cb59f0d0b63003d
.xword 0x968324da8e8b28e5
.xword 0xc23d4156285d8d52
.xword 0xec8cffb89d2eb32d
.xword 0xf2cd502d72c07080
.xword 0xee45f98284be794e
.xword 0x8cfb7eb16bac1a7a
.xword 0x8267e0a800e99324
.xword 0x1da0acd2c2550e2c
.xword 0x1fe2e91bc2a48bdd
.xword 0x06d4cb074506dec3
.xword 0x88e494ef84bcf836
.xword 0x0dbe7cc929cf86b4
.xword 0x3d0d1143e0dd01e5
.xword 0xa5e82cd12c137c5d
.xword 0x2ffa48f1b03f3d46
.xword 0xd24216bb34d6fabb
.xword 0xca08a07300278ec5
.xword 0x2e9551c98119cb1f
.xword 0xc389d0cc02586414
.xword 0x827965cb6fab1a98
.xword 0x37f6d362a422f667
.xword 0x178deaac5893e7f9
_t1_sslkey_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_auth_key:
.xword 0xa7a0a2e85efad5a9
.xword 0x86724cad235ac7e1
.xword 0x438ab4f2fe5447b0
.xword 0xe88af834ae12ce04
.xword 0xa5aa8e4590d8ffba
.xword 0xc0929dbb6fb04099
.xword 0xcddd3934d2a766ed
.xword 0x6b425cc679d0df1a
.xword 0x659ae43063ef5857
.xword 0xf644483200c8908f
.xword 0x708e850fc7d9f38d
.xword 0x73606e742848c776
.xword 0x1eaad875d99125c9
.xword 0x6410a7538bc3c360
.xword 0xce8ca0d87f390943
.xword 0xe2c7d72f0ad9287a
.xword 0x83338dd9d26ab91f
.xword 0x01912806ce93f4b4
.xword 0xc2ff9193373b2d46
.xword 0x667b44d6f547fc4f
.xword 0x6a59ebdc642a79cf
.xword 0xed05fd2c31a57ddc
.xword 0x7c7979f171d76c6e
_t1_sslkey_auth_iv:
.xword 0x27a50b69d445d940
.xword 0xd9a1d68fa0462843
.xword 0xb3c661eb40d7f002
.xword 0x731ade0330d0b227
.xword 0xcf3b8a172620043a
.xword 0x2989a215924c00fa
.xword 0x4fee9e3d1e698d55
.xword 0x84ac3b3e62b8b5fa
.xword 0x5989767af79183d1
.xword 0xfbf24cfe51f460be
.xword 0x5ba28e6a76991ebb
.xword 0x59add2bb65475deb
.xword 0x6248bd50f44f0932
.xword 0xc3a26b05b4690cf7
.xword 0xa9aaccae9534966c
.xword 0x5ad386c9de930ede
.xword 0x994bde15fed1fe48
.xword 0x72f94576504e2795
.xword 0x41b4b7a882815d6b
.xword 0xcad7351294630376
.xword 0x92563756d0e5a4b0
.xword 0x945220f23643c900
.xword 0x7c6648ae1dc98bd6
_t1_sslkey_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_toc:
.xword _t1_aes_cwd_array
.xword _t1_aes_src
.xword _t1_aes_auth_key
.xword _t1_aes_auth_iv
.xword _t1_aes_fas_result
.xword _t1_aes_key_array
.xword _t1_aes_iv_array
.xword _t1_aes_dest
.xword _t1_aes_alignment_array
_t1_des_toc:
.xword _t1_des_cwd_array
.xword _t1_des_src
.xword _t1_des_auth_key
.xword _t1_des_auth_iv
.xword _t1_des_fas_result
.xword _t1_des_key_array
.xword _t1_des_iv_array
.xword _t1_des_dest
.xword _t1_des_alignment_array
_t1_copy_toc:
.xword _t1_copy_cwd_array
.xword _t1_copy_src
.xword _t1_copy_auth_key
.xword _t1_copy_auth_iv
.xword _t1_copy_fas_result
.xword _t1_copy_key_array
.xword _t1_copy_iv_array
.xword _t1_copy_dest
.xword _t1_copy_alignment_array
_t1_crc_toc:
.xword _t1_crc_cwd_array
.xword _t1_crc_src
.xword _t1_crc_auth_key
.xword _t1_crc_auth_iv
.xword _t1_crc_fas_result
.xword _t1_crc_key_array
.xword _t1_crc_iv_array
.xword _t1_crc_dest
.xword _t1_crc_alignment_array
_t1_hash_toc:
.xword _t1_hash_cwd_array
.xword _t1_hash_src
.xword _t1_hash_auth_key
.xword _t1_hash_auth_iv
.xword _t1_hash_fas_result
.xword _t1_hash_key_array
.xword _t1_hash_iv_array
.xword _t1_hash_dest
.xword _t1_hash_alignment_array
_t1_hmac_toc:
.xword _t1_hmac_cwd_array
.xword _t1_hmac_src
.xword _t1_hmac_auth_key
.xword _t1_hmac_auth_iv
.xword _t1_hmac_fas_result
.xword _t1_hmac_key_array
.xword _t1_hmac_iv_array
.xword _t1_hmac_dest
.xword _t1_hmac_alignment_array
_t1_rc4_toc:
.xword _t1_rc4_cwd_array
.xword _t1_rc4_src
.xword _t1_rc4_auth_key
.xword _t1_rc4_auth_iv
.xword _t1_rc4_fas_result
.xword _t1_rc4_key_array
.xword _t1_rc4_iv_array
.xword _t1_rc4_dest
.xword _t1_rc4_alignment_array
_t1_sslkey_toc:
.xword _t1_sslkey_cwd_array
.xword _t1_sslkey_src
.xword _t1_sslkey_auth_key
.xword _t1_sslkey_auth_iv
.xword _t1_sslkey_fas_result
.xword _t1_sslkey_key_array
.xword _t1_sslkey_iv_array
.xword _t1_sslkey_dest
.xword _t1_sslkey_alignment_array
_t1_table_of_context1:
.xword _t1_aes_toc
.xword _t1_des_toc
.xword _t1_copy_toc
.xword _t1_crc_toc
.xword _t1_hash_toc
.xword _t1_hmac_toc
.xword _t1_rc4_toc
.xword _t1_sslkey_toc
!# CWQ data area, set aside 512 CW's worth
!# 512*8*8 = 32KB
.align 32*1024
_t1_cwq_base1:
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.align 32*1024
_t1_cwq_last1:
SECTION ._t1_T_CWQ_DATA2 DATA_VA=287309824
attr_data {
Name = ._t1_T_CWQ_DATA2
hypervisor
}
.data
_t1_user_data_start2:
_t1_scratch_area2:
.align 16
_t1_spu_op_array2:
.xword 0
.xword 2
.xword 7
.xword 0
.xword 0
.xword 2
.xword 2
.xword 1
.xword 5
.xword 6
.xword 0
.xword 3
.xword 1
.xword 4
.xword 5
_t1_aes_cwd_array2:
.xword 0xc0e100c01500001f
.xword 0xc0e000c01700002f
.xword 0xc0e100c01b00001f
.xword 0x40e100201b00001f
.xword 0xc0e100201b00003f
.xword 0x40e000001400000f
.xword 0xc06000601500001f
.xword 0x40e000401900002f
.xword 0x40e100e01000003f
.xword 0xc06000a01b00002f
.xword 0xc06100c01800003f
.xword 0xc0e000001300000f
.xword 0xc06000401700001f
.xword 0xc0e000001400003f
.xword 0xc0e100401400000f
_t1_des_cwd_array2:
.xword 0xc0e000a008000017
.xword 0x40e1006009000017
.xword 0x40e100600e000007
.xword 0x40e000800e00001f
.xword 0x406100400a00001f
.xword 0xc0e100e008000017
.xword 0xc06000000a00000f
.xword 0x40e000e00a00000f
.xword 0x4061004008000007
.xword 0x40e000600d000007
.xword 0xc0e000800c000017
.xword 0x40e100c00900000f
.xword 0xc0e000800d000007
.xword 0x406000800e00000f
.xword 0x40e000000c00000f
_t1_copy_cwd_array2:
.xword 0x206000400000000c
.xword 0xa06100400000000b
.xword 0x206000a000000000
.xword 0xa061002000000001
.xword 0x206000e000000008
.xword 0x206100e00000000f
.xword 0x206000c00000000e
.xword 0x206100c000000009
.xword 0x206100c00000000a
.xword 0x2060000000000002
.xword 0xa06100e000000000
.xword 0xa06100a000000003
.xword 0x206100e000000000
.xword 0x2061000000000006
.xword 0xa06000400000000a
_t1_crc_cwd_array2:
.xword 0x416103e400000004
.xword 0x416101880000000f
.xword 0xc161030400000005
.xword 0x416201480000000b
.xword 0x416103e40000000a
.xword 0x4161018800000006
.xword 0xc16303c40000000d
.xword 0x4163014800000007
.xword 0xc160036400000007
.xword 0xc16101280000000b
.xword 0x4161030400000000
.xword 0x416201c800000002
.xword 0xc160034400000002
.xword 0xc160018800000000
_t1_hash_cwd_array2:
.xword 0x4163074100000002
.xword 0xc1600b410000000e
.xword 0x416302e100000006
.xword 0x41620c8100000038
.xword 0xc162002100000011
.xword 0xc1630be20000000e
.xword 0x41620ce300000020
.xword 0x416003c10000001b
.xword 0xc1600ce200000023
.xword 0xc160030300000007
.xword 0xc161012100000031
.xword 0x4160010200000005
.xword 0xc161110200000013
.xword 0x41620e4100000000
.xword 0x416311c300000018
_t1_hmac_cwd_array2:
.xword 0xc16015eb001f0007
.xword 0xc163098a00130022
.xword 0x41611987001f000d
.xword 0x41620ec5000f0020
.xword 0x41620ba9000f0004
.xword 0x4163106b001f002b
.xword 0xc1610889000f0032
.xword 0x4163082a0013002a
.xword 0xc1600ac9000f001e
.xword 0x41620449000f000b
.xword 0xc1610967001f0026
.xword 0xc1600c69000f0004
.xword 0xc1630747001f0023
.xword 0x4163114b001f0037
.xword 0xc1630349000f001b
_t1_rc4_cwd_array2:
.xword 0xc0e000200400000e
.xword 0xc0e0002004000006
.xword 0xc0e100c00400000a
.xword 0x40e000600000000a
.xword 0x40e000e000000006
.xword 0xc0e100a000000004
.xword 0xc0e0006004000001
.xword 0x40e100200400000f
.xword 0xc0e100e004000009
.xword 0xc0e1000004000006
.xword 0xc0e100a000000008
.xword 0x40e0002004000005
.xword 0x40e0006000000004
.xword 0x40e1008000000001
.xword 0x40e000c004000002
_t1_sslkey_cwd_array2:
.xword 0x10601a0000000000, 0
.xword 0x1060036000000000, 0
.xword 0x106032e000000000, 0
.xword 0x906025e000000000, 0
.xword 0x9060124000000000, 0
.xword 0x10602b8000000000, 0
.xword 0x106023a000000000, 0
.xword 0x1060374000000000, 0
.xword 0x1060344000000000, 0
.xword 0x906028c000000000, 0
.xword 0x90603c8000000000, 0
.xword 0x9060228000000000, 0
.xword 0x906027e000000000, 0
.xword 0x906031c000000000, 0
.xword 0x10600c2000000000, 0
_t1_aes_key_array:
.xword 0xb04ce7918a40512d
.xword 0xe6e36ccebcae168a
.xword 0x041f746ee6a38dd5
.xword 0xce66c23c44ec8e89
.xword 0xa52aeccc9004c018
.xword 0xf3c511db4939369a
.xword 0x60208942c18bc4d4
.xword 0x8845f482cd0ed59e
.xword 0x0d94f96e10e00000
.xword 0x842a2aae6a16ff23
.xword 0xc3a596933849a601
.xword 0x0f51d1c27585c38a
.xword 0x76da5d97faa3c7a5
.xword 0x7818a308b9cd67bd
.xword 0xf0b53008236a544b
.xword 0x5ee489f4a5a42855
.xword 0xbaad57d569b6f2d8
.xword 0x4512b751e035f098
.xword 0x609a5e669b2ae99d
.xword 0x6877644e0e5e4d7e
.xword 0x7a98667078c5b691
.xword 0x214c2507622b6a71
.xword 0x0216770d4bd391e7
.xword 0xf16dd0ee55c72d09
.xword 0xb516b039e1d8b045
.xword 0x4d168f13b2e07d16
.xword 0x2a980ab81f7d9b4b
.xword 0xb0f2abc59cccfbe5
.xword 0x9e090d566b9fe5aa
.xword 0x1c3bd08897153813
.xword 0xd3278a1166f4e054
.xword 0xdbda4ddf69cd6b09
.xword 0xe08c131effdbf2ad
.xword 0xb12f7e13909ec676
.xword 0xfb92e9b758308a19
.xword 0x8a83ac44074b964e
.xword 0xb937ccec163ffc53
.xword 0x524d1623f76239bf
.xword 0xe9d60b528129b558
.xword 0x5db5b7d586a372aa
.xword 0xdee8a1970c449649
.xword 0x936a04878eedb4d1
.xword 0x215bd51b3992ca51
.xword 0x990b4b179137f33f
.xword 0xc19c92ea180b043b
.xword 0x86126ad85ebede74
.xword 0x7ac6ab51fc9f888a
.xword 0xae4c6ef6a4dc5749
.xword 0x7c231a457d6f36a1
.xword 0xb0cb1e17e9203302
.xword 0x8d55655d303784db
_t1_aes_iv_array:
.xword 0x65b516a31b142c9c
.xword 0x65ecf2abd319b62f
.xword 0xdfc0082b58806951
.xword 0x756c95744ec53a7a
.xword 0x89e62ac2e05cb3d3
.xword 0x1d3b86baa89aa57c
.xword 0x0ef2c24ebfb870b7
.xword 0x4064f583e7480b22
.xword 0x99f79bdfc60cb505
.xword 0x759d6afb097156ac
.xword 0xa268418675648ad0
.xword 0xd36bcf3f2dbc58c9
.xword 0xb11f224c698de7df
.xword 0xe665ba5de26442ef
.xword 0x62c8a8a2cfcf6d4c
.xword 0x9ac695ceca9165f6
.xword 0x52d8797151954505
.xword 0x48b9c9160936c043
.xword 0xc46931ab3706bf30
.xword 0xc2c1b303433ed0b0
.xword 0x726f2315d5f1ff29
.xword 0x0faecbb1bab835d5
.xword 0x98764520efe0cbd9
.xword 0x2ead8dd0a6b8aeff
.xword 0x4efa8184bbcf84e5
.xword 0x4403fdffb44939fe
.xword 0x21eb0bbc3bc0a2f3
.xword 0x47056439b9fa9bc1
.xword 0x6ca1e9257aee7db0
.xword 0xc7c7ece3da7a938b
.xword 0x4e5c4c0c70a0a8e2
.xword 0x976e2dfdfe0fdff5
.xword 0xdbca75e351064891
.xword 0xb9f5ea4af9eb1f7d
.xword 0xce6ecfc4838f4534
.xword 0x93748de610dd38b8
.xword 0xbbae7e8e4ca85ccb
.xword 0x567f8dd93edd690b
.xword 0x1f89fc61ec71cfc3
.xword 0xcef15f6ceef3bb82
.xword 0x91acf0e643343a87
.xword 0x5c04ddefe95d5afa
.xword 0x7535cdcda008fc50
.xword 0x8bae343ce37d4612
.xword 0x3a5d6c10ad58d5cb
_t1_aes_alignment_array:
.xword 8
.xword 3
.xword 9
.xword 0
.xword 6
.xword 3
.xword 13
.xword 7
.xword 6
.xword 2
.xword 6
.xword 4
.xword 13
.xword 1
.xword 12
.xword 1
.xword 1
.xword 11
.xword 10
.xword 2
.xword 0
.xword 3
.xword 2
.xword 6
.xword 1
.xword 1
.xword 3
.xword 6
.xword 13
.xword 1
.xword 2
.xword 9
.xword 13
.xword 7
.xword 11
.xword 0
.xword 0
.xword 6
.xword 5
.xword 15
.xword 5
.xword 8
.xword 7
.xword 5
.xword 13
.xword 1
.xword 2
.xword 12
.xword 14
.xword 5
.xword 3
.xword 11
.xword 12
.xword 6
.xword 4
.xword 0
.xword 11
.xword 15
.xword 15
.xword 14
.xword 6
.xword 5
.xword 14
.xword 3
.xword 5
.xword 7
.xword 4
.xword 2
.xword 4
.xword 0
.xword 12
.xword 5
.xword 5
.xword 9
.xword 9
.xword 13
.xword 14
.xword 11
.xword 8
.xword 8
.xword 5
.xword 8
.xword 11
.xword 3
.xword 8
.xword 15
.xword 6
.xword 12
.xword 4
.xword 13
.xword 8
.xword 13
.xword 9
.xword 8
.xword 10
.xword 3
.xword 2
.xword 0
.xword 12
.xword 13
.xword 0
.xword 5
.xword 1
.xword 14
.xword 8
_t1_aes_src:
.xword 0xd82a1fc48db3ed38
.xword 0x8db54c98d404468a
.xword 0x3b0b874e85eacfcb
.xword 0xe423657e6b162ae0
.xword 0xc831677debac12e6
.xword 0xc92383216c9b1f9d
.xword 0xfa5aa7ee03120b13
.xword 0xe0d8b71cf36544ef
.xword 0xfb0dec75c20522e8
.xword 0x449168aeed50a34d
.xword 0x1c9ab003ee021524
.xword 0x8f8898bb1024e03a
.xword 0x878e538b935a0d9e
.xword 0x8a67a3d3a8dc9290
.xword 0xd6cfaef7ab0f4064
.xword 0x59c6e39791a0abe7
.xword 0x6252f3d9b9f25934
.xword 0x53b52473d3d78d07
.xword 0x1f52222e5f730cbe
.xword 0x396e65206488800e
.xword 0x23386f764f416d31
.xword 0xd3ddfb4ffb5b72c7
.xword 0x191e864c2034cdb1
.xword 0xcb285a2984f0358c
.xword 0xb9e51c227c67b2cb
.xword 0x1777480e00c06198
.xword 0x28cdf782273c4010
.xword 0x71420e5bf10896b4
.xword 0xe800fd1ec4d1fd3e
.xword 0x9a164e4643762d59
.xword 0x1254398eb3bccaa4
.xword 0x5c0a160442b86ff5
.xword 0x43ea9bca202f2b69
.xword 0x4e92ca35a9c7f83e
.xword 0xcc447d8ec5b62ddd
.xword 0xf7d580ff9584df1d
.xword 0x79a00006d8aa509e
.xword 0x873913aea52d4b3c
.xword 0x3fad257d7b1d36ff
.xword 0x095ec53555e068d1
.xword 0x40f2902b4e87bf41
.xword 0xa4158f5b8fe7a11a
.xword 0xc592170e23a15911
.xword 0xf503c249edb71110
.xword 0x59cd8ddd6a0645e0
.xword 0xe8a1c02c361db13c
.xword 0x1c0213d17070ed5f
.xword 0xd6d7202b888e6517
.xword 0xd7518452d895e2c7
.xword 0x2dbf8d1a97d4f5a8
.xword 0x918f61160560896b
.xword 0x9551bd34b72d7a41
.xword 0x1b2732d1295e48c0
.xword 0xf9624e2d3c3735d8
.xword 0x173e481028f636b4
.xword 0x84ed02bf90c3c0b5
.xword 0xa50ab011e2153826
.xword 0xe3fb61be5ffede1e
.xword 0x0c95e9b36cda9c60
.xword 0xafa82ec783578034
.xword 0x75b2e7681bf99d78
.xword 0xf567ed686cf5bc11
.xword 0x2639264c1d9cfebe
.xword 0x33be7c4860d2e55a
.xword 0xe7ad633cf8a65d47
.xword 0x9a3e23dd2e106744
.xword 0x9b4cfb3369ba25bf
.xword 0xb646901f1921dbe3
.xword 0x7ae0848685442b8f
.xword 0xc526b368c768e944
.xword 0xd0afd81b51b70f53
.xword 0xc65e2f99d6d9aa32
.xword 0xa1d4728e3001fa9f
.xword 0xfc9438427804d793
.xword 0xcf36bc876a0f146e
.xword 0x74f63b1bd7831dca
.xword 0xcb56eb46060da3c3
.xword 0xb90bc00c870c6540
.xword 0xdb805988634c3ae0
.xword 0xe16d3a4932f984fb
.xword 0xd31beadb6ad069a6
.xword 0x042a86b4b837289c
.xword 0xc9244bbdc3fbc293
.xword 0xe5f243d8d7eb526f
.xword 0x241f7d404ce1cef7
.xword 0x8eb360e0a4857fe8
.xword 0x0a57e22b2c9bbe7c
.xword 0xafe1ea4bb327143c
.xword 0x9e552c218eab80bc
.xword 0x2b2e2faa831b829a
.xword 0xf615570c1d8cda51
.xword 0x57abb06d598ad50a
.xword 0x0b2d381d90abd10d
.xword 0x550400e093a1ac7c
.xword 0x57e13ad93a56b7f9
.xword 0x54f29e7b24d49d17
.xword 0x91d465a032b36e13
.xword 0x37b468e5fc04fb42
.xword 0x50d574345a1dccde
.xword 0xd3ed8502bcc32ba9
.xword 0x85020cae8a078c2e
.xword 0xd9cdea4baeeafd2a
.xword 0x4f251b414005cc09
.xword 0x8236b478dcbc2e5d
.xword 0x6a6f58338df2ef9b
.xword 0x031ab3a4ccc89fdc
.xword 0x40410d3078cbbdc7
.xword 0x80d07bdbd968393d
.xword 0x8e0231969b68af4e
.xword 0xc453a47f3bc4d01f
.xword 0xe2c2b4219238568b
.xword 0xbca3be1e894ab4c6
.xword 0xf89af65cb33440a3
.xword 0x0dbde8f636aee8da
.xword 0x5e7d0454cabd427b
.xword 0xb318df664bd95d64
.xword 0x3f064a205b3b0c30
.xword 0x8b7e6bd9609eae71
.xword 0xa0283f8ff4364f22
.xword 0x9f4497bf529273a7
.xword 0x5d69ff029c60ffbf
.xword 0x0713c56a89dd147d
.xword 0x8c66373eeb23f118
.xword 0x0848d7b024ddc8c8
.xword 0xcbacc4b64f00377a
.xword 0xf00ad16b27636532
.xword 0xa32d21942802b907
.xword 0xf1e99a1bb54d089a
.xword 0xa7ca4a09b4000227
.xword 0xc8bbd1cf881c17d2
.xword 0xf3b8439f63fc5114
.xword 0x52c33410177e47ce
.xword 0xc6bfd0a7f5917081
.xword 0x99ca29f7d698860e
.xword 0x0900defa5468f71f
.xword 0x30c789a8d501d385
.xword 0x55393842de1d4582
.xword 0xb6691cceae456bec
.xword 0x7bb939fadd5131f7
.xword 0x2bf26288ef8be0f0
.xword 0xe16fc8eb56eed518
.xword 0x1d754b261745927b
.xword 0x1baa9ba410d3be49
.xword 0x4035328fb39a9615
.xword 0x9496df4ba21e09a2
.xword 0xd3028cd94be72670
.xword 0x59b0486a618c1903
.xword 0xd73422ff2a0f9efa
.xword 0x2b41f96c9dc046b1
.xword 0xc90e8aac9abef66b
.xword 0xd1d76ac8845d7e1b
.xword 0x127cce14e74f6746
.xword 0xc305420a5fd2b364
.xword 0x9219d3733c1d219c
.xword 0xd941b7eb5188804b
.xword 0x7d5e5544dd8b0227
.xword 0x39672c0ef11a3699
.xword 0xa9bf1b344a851ce9
.xword 0xd26c795a4d8107e6
.xword 0x3f1185ea10896325
.xword 0x6cc4dc9614c89389
.xword 0xe6a19e222c30f73e
.xword 0x0ca1515907e4010f
.xword 0xf2229bfa936a9d87
.xword 0xff52363b60c9c447
.xword 0x02583c9442e9f125
.xword 0xc47ed6cb31dac31d
.xword 0x4581caded97cc660
.xword 0x8ae407726757b09a
.xword 0x85a1c5a3e4616390
.xword 0xb42eeacee5163597
.xword 0x84511b10608c592f
.xword 0x7a0806333557bb27
.xword 0x9bf5c5ddad4621df
.xword 0xcb0327e8ba310fa8
.xword 0xebf3c891714a8374
.xword 0x9c55e607ab3fd49a
.xword 0x2d78fec5b6a72c90
.xword 0x6393d1c11f926a4e
.xword 0x1a232357f4731d1c
.xword 0xb4d22f04bda54e2c
.xword 0xf9b62b4697d08f21
.xword 0xcef612f74de1859a
.xword 0xead717546376a97d
.xword 0x864753963c2283ee
.xword 0x0e71a054e3d4cdf2
.xword 0x996d4abe80675924
.xword 0xb3b865332269033e
.xword 0x93b115c2b72cba27
.xword 0xa224e5c12719baed
.xword 0x8b04988b402b9ed2
.xword 0x7000b28180543dda
.xword 0x23caea75db48b6a0
.xword 0x30a7381e7f791452
.xword 0xf821a7e81ea935c0
.xword 0x5721452110dc7a34
.xword 0x63481919af16a5dc
.xword 0x6886890bd019faa0
.xword 0xb7c1903e60a1bbe6
.xword 0xb44681fbb89ffb34
.xword 0x551bffddec1aa18a
.xword 0xffae8b4d0f3aad3f
.xword 0xa27ff64f725214ba
.xword 0x697b44c9acd66b78
.xword 0x68b30c36aeb14f5e
.xword 0x27c0e6b4ca472d77
.xword 0x782033133422196d
.xword 0xf4894f2cb42b9fbe
.xword 0x78a13d1e83eb9b53
.xword 0x92eaee17beeb3d1c
.xword 0x49038b5d4d91b0c9
.xword 0x978c273d679bebc4
.xword 0xe4d87e75d4eefce0
.xword 0xcfecfd1bac5255a1
.xword 0x99a8a5ab6f568ff7
.xword 0x98664c90a27d4bd3
.xword 0x056ba5c47f118010
.xword 0x8b44087ebdbc1a4f
.xword 0x7c619b3772853ea6
.xword 0x9c7b167dc0fa7670
.xword 0x9d3142cd94feb280
.xword 0x95ca4468735b37da
.xword 0x026f6821520ec2e1
.xword 0xa8036d3753f97e17
.xword 0x183ed9234de60bb4
.xword 0x6f14be313bb05f56
.xword 0xd626bfb4e89f7a68
.xword 0xcdbfbd2501b7e41b
.xword 0x294009f0747067bb
.xword 0x3ea17632a741dd41
.xword 0x9f08697c41fcc252
.xword 0x5dcf046ed1e1dc30
.xword 0x53606927fce84824
.xword 0x31c55aedb5ca91eb
.xword 0xcdf7839dd51a09ad
.xword 0xfb5a312a10cf5e3a
.xword 0xb2da5c88644d9718
.xword 0xddaf61d202e82e35
.xword 0xf6cdabe9b756978c
.xword 0x8470d32c3056078b
.xword 0xd516200114728e8c
.xword 0x58e32f402d9af324
.xword 0x45b7fc5edf721d24
.xword 0xfe1e7acf57bcc487
.xword 0x7f307d9083954313
.xword 0xdb2535f3b5245583
.xword 0xe9017bc7290e2e72
.xword 0xb6461a09e6672fde
.xword 0xc48e6921cd5d1fb9
.xword 0x1fea018ce99ad826
.xword 0x44d5c4ad3780c697
.xword 0x460626d9bbe45aa0
.xword 0x22bea10a818e92fc
.xword 0x0a78047385f5aa80
.xword 0x41ca75b9903b02a5
.xword 0x47a15f723fe5a9ce
.xword 0xa2384e7338f2dc36
.xword 0xd41fc2bcc6d27ec7
.xword 0xe4b0125e164d1b48
.xword 0xa21f872b4f01f1a4
.xword 0x83e75ddd880657f0
.xword 0x53f7bc990b6be9b9
.xword 0xdfb650d47a5654f9
.xword 0x0c062eee056ef00b
.xword 0x154e1a2e2d756e93
.xword 0x347482864b24bbb6
.xword 0x703451b75c3e3fd0
.xword 0x7ce81f8cad69e9db
.xword 0x4970eb24a2803020
.xword 0xcdb5773ecd2ed2cd
.xword 0xc9e6fdf6f288b277
.xword 0xc046692535559687
.xword 0xe194de4bf947602c
.xword 0xc63bf948580bf570
.xword 0x9d4d1a7c4bfe38a8
_t1_aes_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_auth_key:
.xword 0xeac29cff90f40bc8
.xword 0x9b5859fc2dae198f
.xword 0xc4560362f0dfed32
.xword 0x83d93d8ea92102e5
.xword 0x983e1dc965201160
.xword 0xbfecb5864314e02c
.xword 0x900b3badd5afc970
.xword 0xa211779e3cf532d3
.xword 0x6bbfe1872395af20
.xword 0x07cd7b7aa69ff152
.xword 0xbe1d1fa0585d4714
.xword 0xa763b7acc2eaf3bd
.xword 0xdb43e4d9e77ff27e
.xword 0xb3454d4acc6b3c82
.xword 0xa7e5d044cbce5fad
.xword 0xcd0a6716edcaa31d
.xword 0x4baa52658936aad4
.xword 0x673a393dc601d710
.xword 0x25ce8777e69ba601
.xword 0x432cbce12bead7af
.xword 0xb1513e69f3527f92
.xword 0x7f4e1c6714f62d0c
.xword 0xb37bc3fc04bb2b8f
_t1_aes_auth_iv:
.xword 0x562934b8997ab245
.xword 0xc68462fc0f461973
.xword 0x45cd4fa4a78c96b7
.xword 0x257fb9af0ced398e
.xword 0x041744fc068dcff1
.xword 0x3c227ca6ed059b93
.xword 0xe4dcff0f2d7df5d6
.xword 0xbeb45c9436ee901c
.xword 0xf3dc37b6f850e748
.xword 0x32b3b7a16ad654a6
.xword 0x5cbf6bef82b7ef4d
.xword 0x703befdf6d5c7c2e
.xword 0xdcb5308b227c41b6
.xword 0xac40f469f2ee8326
.xword 0xb96fdfe3e8585529
.xword 0x9a48b2a32456b461
.xword 0x5721f662e588e7ef
.xword 0x9b2e866fdc3caea7
.xword 0xc24e4f80e50059e4
.xword 0x13ac7f175743b0db
.xword 0x34f8eacd9771463b
.xword 0xd5848a43447b576c
.xword 0xf7cba4fa2b0d83c9
_t1_aes_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_key_array:
.xword 0xa7488aa99b1636e5
.xword 0xb5e2516aaa9f8983
.xword 0x0c7a035939a83258
.xword 0x383f921eba19bc44
.xword 0x5e30bb6786527f8b
.xword 0xabcd241a325f8724
.xword 0x90fad66ff183314e
.xword 0x1aedd1b749559b04
.xword 0xa7c4ecc090526602
.xword 0x8e2c94c8f0e2b49f
.xword 0x2d49c513832ff382
.xword 0xf89107ba37d8824a
.xword 0xf9162a8ac47f89b5
.xword 0xd22ac75b5c5765db
.xword 0x4d10e6cdcf655664
.xword 0xb95525f7d941a2c1
.xword 0x3c48abdb0b2922f9
.xword 0xb6da1bef2d59ee0d
.xword 0x0b5df6cfda8353c9
.xword 0xc2117fb1110aa4da
.xword 0x65ef5499fbcc36c5
.xword 0xb82b569721a69c8e
.xword 0xc3f8105d76098a89
.xword 0x6e184e0d23f278c8
.xword 0xd609497a73ca891c
.xword 0xe4d7e9385d75ec24
.xword 0xcff1d46e73784afe
.xword 0x3d7fecfa8bd48467
.xword 0x33f14cdbf90fe224
.xword 0xafb7348d15cb532e
.xword 0x6260a39d794ffad0
.xword 0x6ba7a496857aef9b
.xword 0xa113b60cb7be2bd7
.xword 0xf4e74d24f7ab0e1c
.xword 0x060c2a9ee551fb49
.xword 0x835b65d61e95165a
.xword 0xabee5acbe19c0e93
.xword 0x5fc8c49abf64e58d
.xword 0x068d0c6cbfaca4fe
.xword 0x03eaae47012ebd54
.xword 0x61aa0fad5bf21a59
.xword 0xd38940f8fa3df8cb
.xword 0x97b4d0e70463f455
.xword 0x5296dedb275c3273
.xword 0x59193975c71c3389
.xword 0x27ecef1ef1b214ec
.xword 0x01a48ffabef359c6
.xword 0xb3be58ab30ca40f9
.xword 0xd5620d2fa9069df4
.xword 0x256de9f5b2e9ae53
.xword 0xf2c783500631aad0
_t1_des_iv_array:
.xword 0x97220bcade7a7095
.xword 0xa2056266a6ea306d
.xword 0x57ea4bddcd6bb7bd
.xword 0xab9d659a308a270d
.xword 0xdf43f8aa00c7167e
.xword 0xd2685622b0ba48d7
.xword 0x2af8f605a8d776a3
.xword 0x6b5adb82804461d4
.xword 0x9eadcbd2341f3fee
.xword 0xdb215ae1468e41db
.xword 0x8f49231ca255bb7e
.xword 0xe590716476d42762
.xword 0xc58734eb7d6aade8
.xword 0xac0c75ef6e8b17ae
.xword 0x835f37ab31936a44
.xword 0xaec8d1667d235dd7
.xword 0xbd1c54d3540d762e
.xword 0x68b9e770a7e9dc77
.xword 0x0b202fb499c01094
.xword 0x8417887ddc8c1c8f
.xword 0x20ca17659b5c123c
.xword 0xc9c88c3a45d1a14b
.xword 0x065a66e68099e887
.xword 0x8eeb5c533e429af8
.xword 0x701d72b2f0d00952
.xword 0xd97542617a4eb2ac
.xword 0x921c74cf92d42707
.xword 0x56e976afa9af54de
.xword 0xf74e26e14c63b677
.xword 0x614277c0a0ee7175
.xword 0xfa4334966a417b85
.xword 0x8b38e56894a13359
.xword 0x9960cc450bc308ec
.xword 0xfd1db3822c94b413
.xword 0xcb74cf54f541cb9e
.xword 0x161b8dcf02deab0b
.xword 0x1146e7ab32e70516
.xword 0xaef30d67467905c5
.xword 0xf3bd43b6ac56fb97
.xword 0x918f50b8e0e8c5e7
.xword 0x30eac31b1af5cd64
.xword 0xfc6e3c12d336092d
.xword 0x454e12331b92ce31
.xword 0x66945ddfa2de2769
.xword 0x3e753a9139abc2c8
_t1_des_alignment_array:
.xword 5
.xword 8
.xword 5
.xword 0
.xword 5
.xword 5
.xword 7
.xword 13
.xword 8
.xword 9
.xword 1
.xword 8
.xword 15
.xword 9
.xword 9
.xword 8
.xword 15
.xword 5
.xword 13
.xword 10
.xword 7
.xword 14
.xword 1
.xword 7
.xword 10
.xword 5
.xword 5
.xword 7
.xword 2
.xword 3
.xword 12
.xword 4
.xword 4
.xword 1
.xword 8
.xword 13
.xword 1
.xword 0
.xword 14
.xword 8
.xword 12
.xword 6
.xword 7
.xword 8
.xword 2
.xword 10
.xword 15
.xword 6
.xword 13
.xword 5
.xword 10
.xword 8
.xword 14
.xword 10
.xword 1
.xword 7
.xword 8
.xword 15
.xword 2
.xword 3
.xword 15
.xword 8
.xword 6
.xword 7
.xword 3
.xword 7
.xword 5
.xword 8
.xword 2
.xword 10
.xword 13
.xword 2
.xword 4
.xword 14
.xword 2
.xword 12
.xword 7
.xword 2
.xword 6
.xword 7
.xword 12
.xword 9
.xword 6
.xword 6
.xword 4
.xword 4
.xword 0
.xword 7
.xword 6
.xword 0
.xword 10
.xword 10
.xword 0
.xword 7
.xword 13
.xword 3
.xword 11
.xword 14
.xword 0
.xword 9
.xword 0
.xword 7
.xword 7
.xword 0
.xword 13
_t1_des_src:
.xword 0x2640a17588f199ac
.xword 0xa942424cf68bb0fe
.xword 0x4d5ba4d151fc0ced
.xword 0xa8a6d10f9bea82e7
.xword 0xa95d6200dacd7a54
.xword 0xe0fb0966aee43d2b
.xword 0xc4f2baaccb353722
.xword 0x6b2a2e713ff30e33
.xword 0x6368f6e7d50c77be
.xword 0x857eb5ed6c764ee6
.xword 0x6466e4ac9a60ff86
.xword 0xfba482ad7076948d
.xword 0x711bc3a7ad77937c
.xword 0xd3d94f4b57a97fd9
.xword 0xd9809b294057d6a9
.xword 0xe93df9d1dff153a0
.xword 0xfceb6d6920f62dfc
.xword 0xdb19dec171fde476
.xword 0x3629f91d30eb7bf9
.xword 0x61de74fec17bd86b
.xword 0x920bd2836f6abbb8
.xword 0x3eab8aea9dbca3d8
.xword 0x53b3c3b886947b6d
.xword 0x57945f191c20ea35
.xword 0x8e11aaab7e074546
.xword 0x172f988fd85a330f
.xword 0x7495fb5382058ed9
.xword 0x58ca6c63df9cae9a
.xword 0x06704cb7f2297aef
.xword 0xf2652e960d211997
.xword 0xbef62cd1a18512a7
.xword 0x2a83eba66be786e8
.xword 0x811eccd3d9fa58fd
.xword 0x1fb79a741c104274
.xword 0xdc72afc1f601ce8a
.xword 0x2ee52f39a2b67691
.xword 0xbb6f8536ba774400
.xword 0xe607deac58878d07
.xword 0xda0ccc680d8d6416
.xword 0x421d9dfb6d263571
.xword 0x5cecffea9eea8a2f
.xword 0x859f52b66b8aa241
.xword 0xc2bcbfa3776ea518
.xword 0xcab8abde53e5e09c
.xword 0x42cb15fa3f55cb26
.xword 0x6639c539f57ee466
.xword 0xf05fa757c5735283
.xword 0x0a401db20d2eb272
.xword 0xea1eaf2276d40c41
.xword 0x49221e795e9b33e9
.xword 0xa2d2f8e703f08618
.xword 0x1f06d552c41f0038
.xword 0x990857db24c251ad
.xword 0x5596f3dc58a6321b
.xword 0xffa9c2d1d161e34d
.xword 0x11f5cf8f6ce5928c
.xword 0xb186f9ee1c00a731
.xword 0x62f6888ae3d87a92
.xword 0x7c4481836873afc6
.xword 0x748b4348fb990da3
.xword 0xf73958e7eae6353c
.xword 0x8fb2814d870bd8b1
.xword 0x0afdbcad29a8df93
.xword 0x9734482955fb4032
.xword 0x3eb6b8b4185f8863
.xword 0x06806744d89d93e0
.xword 0x759601d59a3fce01
.xword 0x8e21ab3217413577
.xword 0xa80d2be577c8c95d
.xword 0xd9760f4f97e75756
.xword 0x1e3beebd8a9cdfd4
.xword 0xd54227b5d1aa1ced
.xword 0x38770384f9d490e8
.xword 0xc824e4b094920f4e
.xword 0x42a910cf8f2c9fb2
.xword 0x2267f588a74ecd53
.xword 0x0ae6ef9db5e939a9
.xword 0xbe80a2ec2a1f690a
.xword 0xe91aba46284f2d4b
.xword 0xf0ef5ff62d167664
.xword 0xe50e590ca7d7179d
.xword 0x539dddf3df35b2c2
.xword 0xb0d4a0c39b6f1924
.xword 0x5f660c486f7b6742
.xword 0x310f2b1f080b2368
.xword 0x981d823e56d192a8
.xword 0xa1dabcfb2ceec487
.xword 0xb7d6e9c8d546bfdf
.xword 0xae1b6c30f4517a30
.xword 0x40d35b9bf22d9080
.xword 0x789d507cd047b4d1
.xword 0xbee1613317b18ec8
.xword 0x36cd33331f2fd412
.xword 0x44a280b1d99f0ceb
.xword 0x8323d1660a25b548
.xword 0xe12dcfc3737f8b28
.xword 0x00e4015e3b3e7932
.xword 0xf61db0abd75d84f8
.xword 0x0f8e0a987073d2e2
.xword 0xb8c3bd01912f2fde
.xword 0x1bfcbc6baee885d2
.xword 0xca6604f747e3d03b
.xword 0x310ef6ae5acd8908
.xword 0x1ea7688dd44d2122
.xword 0xbc9f1e8baa98b9f0
.xword 0xaf45e6fd6248cce5
.xword 0xde62663969c5007c
.xword 0xf6078658e99fa9ab
.xword 0x00d41894316052ec
.xword 0xc5437b3cb27d5ea3
.xword 0xc7bef3d7d36c07cc
.xword 0x57338fbe217eedd8
.xword 0xcb588d74935ed221
.xword 0xc7eb59efd812b4a2
.xword 0x1808115d6a00f831
.xword 0x52c71a43e60b111c
.xword 0x318a9ab8130786b0
.xword 0x45d8a6c72e41fe4b
.xword 0xd5497fb34ac33e6a
.xword 0xb675521e89b3fd89
.xword 0xbc574a7d8886050e
.xword 0x98b9efb0db949c5d
.xword 0x24105e9b1c9ac8cf
.xword 0x2b1cc90add74d541
.xword 0x704b3b43e80f06f2
.xword 0xf19d0eb288aa9da4
.xword 0x691390dd2b0f373b
.xword 0x5fb77335a6a6a228
.xword 0xac3730d57e01c23e
.xword 0xe43c441629e2a483
.xword 0xc18ae98deeb27423
.xword 0x187bf3fcb42eb364
.xword 0x82ba3a78ac62f4ea
.xword 0xfc39535610d96e54
.xword 0x5268ffc29f6258be
.xword 0xf815a9ab4a84d08c
.xword 0x2249862357c19038
.xword 0xf8d8f37920749f0c
.xword 0x2e5d9a12026fb900
.xword 0x6efe4658fb8d93e8
.xword 0xae3ed0225a7bea7e
.xword 0x13ccf37b27321590
.xword 0xdc6e648516922184
.xword 0x2a259ea10205f3e7
.xword 0x5b58cfe6fb7e8163
.xword 0x839d3c875ad03bce
.xword 0x8245ff906503190b
.xword 0x02d754e460f9f715
.xword 0x488d6b4224d455b1
.xword 0x2907d5f440482690
.xword 0x34e58819d904b320
.xword 0xff501ca9a2457fee
.xword 0x0357827c2484c98b
.xword 0xf650f08fa060bfa0
.xword 0xc0597a17b7c3f2fb
.xword 0x4fe1098a1acf39c8
.xword 0x7217899a0288717a
.xword 0xa691d18c2c7c33be
.xword 0x804aca27325b6d37
.xword 0x51a00ac51073a4de
.xword 0xdb6597bd112da07a
.xword 0x9063645682343ce6
.xword 0x410f1518bd177696
.xword 0x812947533e40f313
.xword 0x4ac198831b5e5fee
.xword 0x8e4d31758785a3f8
.xword 0x55acdd6a945eb30c
.xword 0x15daa5fc6bb11b70
.xword 0xe94358250e885074
.xword 0x04be5d53f98fc292
.xword 0x32ec1f19928040e3
.xword 0xb5701d8d69672695
.xword 0x6621a0a4ba87986a
.xword 0x04acdc85247ffb2c
.xword 0x3a94f63c50dc92d1
.xword 0x98425abc07980c70
.xword 0xedbd88e85fbbb6bf
.xword 0x4af568b28ec3a516
.xword 0x16c22f3d1a07a752
.xword 0xe2c4853a4c0ea146
.xword 0x5bd0f239706e859f
.xword 0xe5133a467909bd05
.xword 0xa27081e953d93988
.xword 0xfa409fa9c6d55128
.xword 0xf3d4d94c52cd8888
.xword 0x500bdc07a66927c4
.xword 0x69411d3c300ae18b
.xword 0x6932efb7de27304b
.xword 0xb429c7ca49ca518f
.xword 0x124bfa2b649e172a
.xword 0xd517174d2ce26b7b
.xword 0xbf97955782868898
.xword 0x887e443807a32f5f
.xword 0x740903c9c8a22d8b
.xword 0x4023120c8ad92968
.xword 0xba8020bec7ede8ec
.xword 0x659bb27ddfb13dfc
.xword 0x2498265b5bc1b4c1
.xword 0x1daab61cfc5a6f66
.xword 0xbda2dc27a253ad93
.xword 0xfff62d3dbd2560bf
.xword 0xa47a768d932b48eb
.xword 0x153c59c0be68cc28
.xword 0x99119a9d7a672a51
.xword 0x956d78a48e68087d
.xword 0xd2f115395d891335
.xword 0xef0d5a4aa204a668
.xword 0x32220157e989747a
.xword 0x5695d92a3b29487a
.xword 0xbdac03ee3e540146
.xword 0x0b7d80392cef9bb7
.xword 0x5ecca2d033b26521
.xword 0xa2dc12ccd0af0ff3
.xword 0x727aa44692c2398d
.xword 0x9d9c45cbf3509fe6
.xword 0xf30295a52dc54cc5
.xword 0xbac0ee6e072a7d51
.xword 0x916a9b72a4fa3738
.xword 0x3bd503daa67bb182
.xword 0x063f47e8b1c56241
.xword 0xcfe8ad5f83a2a734
.xword 0xee4a8f317b4ef091
.xword 0x91adea7ed3574cdb
.xword 0x368390ecd08726f1
.xword 0xef98f08bd2fac81e
.xword 0xcf0b0c4d974a65bd
.xword 0x4b16a9ccb83b03a0
.xword 0x37c8569e93f857c1
.xword 0xf94e6301302fe181
.xword 0xbdf3f75ff4387829
.xword 0x7ba3dc87a41a5daf
.xword 0x68f03e99a667a1c1
.xword 0x4de70b4b28aedfa6
.xword 0x31c5521c4c8e785f
.xword 0xcee0447c08c398a5
.xword 0x1682949c55365fd3
.xword 0x0aafe9a16d35580c
.xword 0xa2547918a1317d07
.xword 0x7ba6ebd945effdf5
.xword 0x6592dae6d2612de4
.xword 0x2c5965aec45fa14c
.xword 0x7191e0c573ed16fe
.xword 0x14262a17f84aab21
.xword 0xd7e2c3339117259e
.xword 0xcc04d0d9034c982c
.xword 0x0bb0af473dcc70ff
.xword 0x1ddc788732720b63
.xword 0xa8e5d8a0f1a9228f
.xword 0x9875835cde19ac67
.xword 0xb4ef5ed09f754b3b
.xword 0x2fa9c1ad6c6fe8c1
.xword 0xab43e43459c2b80f
.xword 0xe3d2b25552459fb0
.xword 0xeb4569e219d77ec4
.xword 0xb5d4bdc034d83311
.xword 0x5cba44fe0e0db833
.xword 0x2431e572d0a00fcc
.xword 0xef02ee29e55b0d50
.xword 0xd838610255b86918
.xword 0x32984c2d4a3b08c2
.xword 0x501ba133794d3abe
.xword 0xc7f6213ab9a37355
.xword 0x389f6d64e690aec5
.xword 0x485a9c4e31c1b30e
.xword 0xb561c7b439c28859
.xword 0xa7f4ca8b42dcd5b6
.xword 0x3d0fc16d3a065235
.xword 0x61c48de3d8409de9
.xword 0x567b7dabf2a69b5b
.xword 0xbec9b06898e76185
.xword 0x371130a636c0c899
.xword 0xbe1ed87f91079e19
.xword 0x0d39d3648fef54b1
.xword 0x00ce2121e61fe05c
.xword 0x3a8e8b14ac4506c6
_t1_des_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_auth_key:
.xword 0x1f05c61c45501c22
.xword 0xab0fd3eb4e09067b
.xword 0xfebe365011ab2dfd
.xword 0x8b40c31b248d9f36
.xword 0xf3c6c8f380267cd1
.xword 0xb98d578a2d21ebae
.xword 0x2b95d64e20f8e5a0
.xword 0xa0acc4bebcd42ffb
.xword 0x65ae0533191d2e9a
.xword 0x1126091942d5c25a
.xword 0xc1e2ce2ddcc29425
.xword 0xf6d3bfdd2f9c0931
.xword 0x5dbb287365b8a7b2
.xword 0x12c6b85d0abaaa56
.xword 0xe7b652de141c9618
.xword 0x9b0847cf2584aa32
.xword 0xfa48372155a980e0
.xword 0xa2ef9c155d8a06cf
.xword 0x86286c4b3a20aa02
.xword 0xfd1478ce2622e1bd
.xword 0x602ae7b44ea447fe
.xword 0x6504a146374b55a1
.xword 0x09221acfbfb70e38
_t1_des_auth_iv:
.xword 0x36ec85d5bf2447da
.xword 0x06e34ab3d124d524
.xword 0xb7f96cf2c9fc8a75
.xword 0xa636d5a4029a4b30
.xword 0x8a29848447c9d66d
.xword 0x3d1d3f0aa49e7a63
.xword 0x4d059875900875cc
.xword 0xe5e7cd09c60cfc61
.xword 0xbe735483cce6bba7
.xword 0x20c095ab8db57779
.xword 0x6ff881471ea1a3be
.xword 0xed303558b3d8f3e0
.xword 0x40e309b00bd9f2f6
.xword 0xaa04c647cd58a556
.xword 0x56144aefdc663237
.xword 0x187804ae17d3c8da
.xword 0x5c8982a34aa694fc
.xword 0x60a86cf93186eaed
.xword 0x31574b1d51043ad1
.xword 0xd8595d445530c26a
.xword 0xeaa1d3d0bf28d3ee
.xword 0xc0eed7aad2361d5c
.xword 0x5f2ec9cfd6e86d2e
_t1_des_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_key_array:
.xword 0xbd8bed7482cb0967
.xword 0x8f66593e3de0271e
.xword 0x5e5bb8bcc34fa170
.xword 0x20b1bbfc34f0d543
.xword 0x0396176745446287
.xword 0x756799278b08622c
.xword 0x0a17cf019b7f3e23
.xword 0x424034afd12d92e1
.xword 0xe9033bad2013d4bd
.xword 0xe890eefede757136
.xword 0x10151ef285359891
.xword 0x0e9e6f32bcaffaf0
.xword 0x1ddd0d363bd41eab
.xword 0xb189b2e856ac1b4a
.xword 0xd77dff5a97b29131
.xword 0xa00a8fb02f8d5ed2
.xword 0xe99c2ce73fc65099
.xword 0x0fad27c4de86c7ec
.xword 0xa425e080b4aaa27d
.xword 0xeaaa7ab37dd36f2a
.xword 0x0fea6b4a9c33390a
.xword 0x506c2e9cef8069e3
.xword 0x15b4338b0a711c85
.xword 0xb0563903dcfca697
.xword 0x3de1670c5d93411a
.xword 0x5c394a2ab40eabf9
.xword 0xc3b33cf139c4ffec
.xword 0x8bcf4680674ba014
.xword 0x079e165b6719748b
.xword 0x2d8c3ca4083d0258
.xword 0x712bb10fcbc8bcd7
.xword 0xe0beca4156e4b9d0
.xword 0x4c85708b1968dd27
.xword 0x614bebebc0a42a03
.xword 0xa2a73e6dcf022467
.xword 0x93ff1e12080d4d14
.xword 0xc8a4e4760e8bf65a
.xword 0x85f9ce09f548ae57
.xword 0xe67ac73c200c87e5
.xword 0xa8406563db66cbfe
.xword 0x2fee8f32b7e26f45
.xword 0x680842d842387f08
.xword 0x2396800d2264f62e
.xword 0x124d35cefebec477
.xword 0x0c08840d11b6f109
.xword 0xbe86a12b5a5d94ff
.xword 0xd940433b5e2a215e
.xword 0x64b723912f20585c
.xword 0x32609ee78fba1083
.xword 0x6ac0dfe5f1599903
.xword 0x4ade3861d0db8424
_t1_copy_iv_array:
.xword 0xe1f5f59be9794bc6
.xword 0x80d631e574511abe
.xword 0xe7ff3e219186b272
.xword 0x6d56cabf5a26b4e8
.xword 0x1a4a37ba126a2fbe
.xword 0x84545df23d573b29
.xword 0xe0562822c37face4
.xword 0xad01022b9760bb64
.xword 0x5f838d8b5aaff1d8
.xword 0x59df0e4c8930bbfb
.xword 0xe9d9c81b204fecf4
.xword 0x7b06299eee1cf093
.xword 0xef57ee629d28d2da
.xword 0xb268195fdccf5452
.xword 0x311e786e1d209543
.xword 0x54713e37d01b1b12
.xword 0x3a55f3300d806639
.xword 0x73b1a4e8d3fa5a72
.xword 0x910d692961625a21
.xword 0xf646bb5a41c46ec4
.xword 0xd78b2ce6cc1c37a4
.xword 0xda4b731bf4aa174c
.xword 0x77280223d9928f0c
.xword 0xfa4231697bdc6870
.xword 0x7727ecaa1c6a99ad
.xword 0x73171356bac73e52
.xword 0xeb591251fe310fda
.xword 0x8bbacb1110d998f0
.xword 0x9051b3b9a79c46bc
.xword 0xae68ca14cfa15586
.xword 0x188463e97b94dad6
.xword 0x60715ce255b03bf5
.xword 0xc364c23235fd885c
.xword 0xd5c71490eb3742ee
.xword 0xd653924e652c566f
.xword 0xa0e532a6be9a1c69
.xword 0xca523d342f156129
.xword 0xabc3a4fe3df58c26
.xword 0xf7d42e4dac88af3f
.xword 0x2c3115b58c23ae96
.xword 0x09da1b74a9ad5183
.xword 0xe7c0043add3d12dc
.xword 0x6a1960b6eb2e241c
.xword 0xaeefd2a9e9bb0a99
.xword 0xdcfc1d70442aab7b
_t1_copy_alignment_array:
.xword 8
.xword 14
.xword 7
.xword 15
.xword 5
.xword 14
.xword 12
.xword 1
.xword 13
.xword 4
.xword 5
.xword 2
.xword 11
.xword 15
.xword 9
.xword 13
.xword 7
.xword 3
.xword 4
.xword 15
.xword 2
.xword 8
.xword 7
.xword 12
.xword 3
.xword 2
.xword 4
.xword 7
.xword 2
.xword 9
.xword 14
.xword 1
.xword 5
.xword 15
.xword 3
.xword 9
.xword 13
.xword 8
.xword 1
.xword 15
.xword 12
.xword 7
.xword 13
.xword 5
.xword 10
.xword 4
.xword 4
.xword 7
.xword 1
.xword 11
.xword 4
.xword 5
.xword 4
.xword 14
.xword 6
.xword 14
.xword 5
.xword 11
.xword 13
.xword 13
.xword 15
.xword 3
.xword 2
.xword 7
.xword 7
.xword 9
.xword 10
.xword 2
.xword 13
.xword 13
.xword 15
.xword 13
.xword 13
.xword 14
.xword 8
.xword 12
.xword 14
.xword 13
.xword 3
.xword 6
.xword 6
.xword 11
.xword 14
.xword 12
.xword 6
.xword 7
.xword 1
.xword 12
.xword 7
.xword 6
.xword 11
.xword 15
.xword 11
.xword 15
.xword 9
.xword 12
.xword 5
.xword 13
.xword 15
.xword 12
.xword 14
.xword 8
.xword 9
.xword 9
.xword 12
_t1_copy_src:
.xword 0xc97f3ff049a82b61
.xword 0xa40d96f0a5d16a04
.xword 0x0d3c14023526d2f6
.xword 0x4e00ce4765b67f04
.xword 0x703ebb855547aabc
.xword 0xae76e89027409fa1
.xword 0xe0bc51a59e39d1e4
.xword 0xa8955e876cf70e7b
.xword 0xbcafa2224e2e3276
.xword 0x0a92d1dd96b79af5
.xword 0xa2885933f4b99620
.xword 0x2b03940cb830c166
.xword 0x94e3249351ee42ae
.xword 0x8709018405e6f785
.xword 0xe47e8d949e3da5d8
.xword 0x52cd2369aaa072a6
.xword 0x0a04585da2e9b966
.xword 0x1af4ef05fa527ecb
.xword 0x7c7540cce8f6a2fd
.xword 0x1553f1ecaff481ba
.xword 0x573be02d6a3cbeb4
.xword 0xb8893c017a1a4e68
.xword 0xa19d1b6b8ce95527
.xword 0x5cff8245348ca934
.xword 0x9584b00a0a24fd83
.xword 0x7777b56d266e7528
.xword 0x47d4df12d1a92297
.xword 0x220a3a7efbeb6ec7
.xword 0x284db15c8b85f518
.xword 0x0d791619343989b1
.xword 0x15698955aa295153
.xword 0xe57d51ab59246041
.xword 0x713da01f8a7271d0
.xword 0xf650ba8dcbdd8158
.xword 0x0764bec75d4d96e2
.xword 0xc19ea7950356b268
.xword 0xc7e356aac202508e
.xword 0x0f91e0715011ffbf
.xword 0x7d35307dfe8d44e3
.xword 0xce8557310aa3ecb1
.xword 0xc38eaf6c0bd6c7bb
.xword 0x197c0b9eb86ab49d
.xword 0xae07fb9c926a0402
.xword 0x966b2d104c73c5dd
.xword 0x9f1e85d3453586d1
.xword 0xf8ca551f96b60350
.xword 0xd8b96ab1251fc19e
.xword 0x0cd38e598890d9c9
.xword 0x31381eaf93d7c383
.xword 0x22e8828598193a6f
.xword 0xf4610523575bcabc
.xword 0xf87720abd5c30e17
.xword 0x656a88da5e2327e9
.xword 0x85f62f6eecced83c
.xword 0x98f27ebdd9a7322f
.xword 0xc560d7f3950b5fa2
.xword 0x0831ed4a9ae282e8
.xword 0xb0fc3fe436d09005
.xword 0x59b8363bedd6b698
.xword 0xabff78498413ae0e
.xword 0xa5f2ba57abd343db
.xword 0xc0ec0ebfaa0ff327
.xword 0xecb1210874e0333c
.xword 0x3ed06a70889bf073
.xword 0x1837d24997fb348e
.xword 0x414975803125f2f5
.xword 0x9d0890a1bb099a84
.xword 0xb4258f607a7166e6
.xword 0x54370331357f2d6b
.xword 0x74f36e9f4ccbd8cb
.xword 0x106cb58e61f7d0d0
.xword 0x148d430e8d423df7
.xword 0x064454fc83bfb7ed
.xword 0x321a16a535d1f924
.xword 0xf9c54b480e12ec16
.xword 0x5896a913b1d93d1b
.xword 0x26881ece0d66fd7f
.xword 0x451b939cd65239b0
.xword 0xf895ec294d2e2178
.xword 0x994e15627bd7f8cc
.xword 0x3bc735aa60eb2060
.xword 0xf48fe739a22f1d37
.xword 0x6c4321c47a13e352
.xword 0x126875ebc5fd733f
.xword 0x007f0f7e110755aa
.xword 0x7ab796a0797029fb
.xword 0x3364d90b6ad45504
.xword 0x0fbd456b2596aa7d
.xword 0x2165e0a1b0a25ab9
.xword 0xbad610af6fcfb8b2
.xword 0x53d65cdbffbff9f6
.xword 0xf4fc2a1fa752b526
.xword 0x7ab49ce010ec5dd4
.xword 0x082335e3da15c4d3
.xword 0xeb8b3e0d3a08fc03
.xword 0x1c569f39d607151e
.xword 0xeb9fe63ab0c33418
.xword 0x4d5f187348fa9626
.xword 0xd3f69e68841663bc
.xword 0xdb3decdd136d67b3
.xword 0x424acf4c4f559acc
.xword 0x9772efd02a3d84ab
.xword 0x2fe5b7b0276ccf35
.xword 0xe58b819863b44f29
.xword 0xa76c86085f1c9f8d
.xword 0xf1b67f348e6a46ee
.xword 0xddfd2c04fec14125
.xword 0x1764c8cacd3cddea
.xword 0x48adfa5a1cee54c9
.xword 0x91d1526ca43a42fb
.xword 0x86ca70df57e4dc74
.xword 0x5036e4a35bf05186
.xword 0xb24b8ed78571f3bf
.xword 0x0847ba1f3ec58e2d
.xword 0x30105f862ad27859
.xword 0x62fec8d5ef392aef
.xword 0xf4193a52c03d426b
.xword 0xccb150fc7c25f941
.xword 0x181d70dca75b83bc
.xword 0xc31e949d1dfec3b8
.xword 0x5dec890b761d1880
.xword 0x2fdc0eb817a5e90a
.xword 0x6e8edf07575836fe
.xword 0x4fa713273150bda2
.xword 0x5efd694a89f9ca47
.xword 0xc2c2996617574157
.xword 0x5bc26e70c31295fb
.xword 0x99d514d2ec4dce95
.xword 0x15bbe9c3db419bfc
.xword 0x3196043f4d25538d
.xword 0x2e07b54723c5de55
.xword 0x4137d6946d86589b
.xword 0x6e5ce3551fa9251e
.xword 0x0061cfde83badd1d
.xword 0xa30092b98b1e6d8e
.xword 0xb32b14d7341053c9
.xword 0x8bf711e2e060c79d
.xword 0xa747242785c40e48
.xword 0x0f89131c97051adc
.xword 0x753d3ad90f413f4f
.xword 0x89fcf88e93452905
.xword 0xfaca09fe9400b1a8
.xword 0x487e1ad59f593f8f
.xword 0x416c6fe2abe71f33
.xword 0x7a2cad1a11f5b8fc
.xword 0xc10a38b42e7b0b95
.xword 0x9cc2f2e190d16ef6
.xword 0xf39f105a1b052b1e
.xword 0x70d181a7010844c2
.xword 0xb0d0019ec4b40e11
.xword 0x7cee21547df6d76f
.xword 0xabd9d4512fb44bc6
.xword 0xc6948d18b1612f25
.xword 0x7c4e56cffe9ca168
.xword 0x3673b2044a9a63cd
.xword 0xc47ffd8cb82b9029
.xword 0x46636aaf84b2ab1e
.xword 0x56ae8aa0b498042d
.xword 0xa8224c4e0c8fb8ab
.xword 0x8b9ca6e2e894cb83
.xword 0xba81d51a9ac7a87e
.xword 0x1021a77e2a5bc013
.xword 0x96ced1d9ebb0d67e
.xword 0x8b32c9f35948d349
.xword 0xa40bd3e5e7f91935
.xword 0x0f4915fe509b3903
.xword 0x5251608aced6f29d
.xword 0x53942b581a81c823
.xword 0x631084df57683b8e
.xword 0x09ef2624c1bce3b5
.xword 0xefe7069adb15cd87
.xword 0xf63798a9971e2d8a
.xword 0x53606d88ce587050
.xword 0x0474f9405134c7f8
.xword 0x16ea921c4df77e36
.xword 0x92879af23dc19808
.xword 0x440d652e19d69917
.xword 0xc3410a68811038a5
.xword 0x6e7853a6dee16f3d
.xword 0x5378026aa32260b0
.xword 0xa27bf4afdf5c1913
.xword 0x400c7a27aa226b41
.xword 0x862297edbda8598a
.xword 0xce65e77fdc05f991
.xword 0x6f912e0e1c7db7ea
.xword 0x2e739cbcf8f3a5ce
.xword 0x1c722ffcf9571510
.xword 0xf35963a6147804fe
.xword 0xe8e361fac12207fa
.xword 0x874eed87ed1efb95
.xword 0x5946fcbc4f9fe0ac
.xword 0xfab56acf9e23f81a
.xword 0x5a260a2fa71d9d83
.xword 0x49d0f90671ab2728
.xword 0x0748d46965719772
.xword 0x66ba098ed5005358
.xword 0x23795dfe6db83c1c
.xword 0x90fabb7171e4085e
.xword 0xf8382dba38d4e417
.xword 0xbb5e5c7842bc2368
.xword 0xab3a9e46a3f2382d
.xword 0x943f779e7be2998f
.xword 0xacf6ff90da844213
.xword 0xcd8a3bbcec2728c3
.xword 0x8d3494335644fe6e
.xword 0xe0dae8ea53210b23
.xword 0xb1d89caab1a4acb4
.xword 0x3fa099f07764138d
.xword 0x3c7be4d4b3d65ac9
.xword 0x47d9c4f4c68803a6
.xword 0x8cc59b2e3b072c5c
.xword 0xaed4ab45f37c13cd
.xword 0x2b4073e32ce93280
.xword 0x88507a55a73ec15a
.xword 0x8f61ff7045d49dec
.xword 0x38643ceca69c1f6b
.xword 0x46f751d78696529b
.xword 0x8062ea5512c8cfb8
.xword 0xf5f1646ba99cc41e
.xword 0xbb1ae170b5afcce5
.xword 0x182851e8bd593de6
.xword 0xba0568f4d4851077
.xword 0x282d616b22be5f80
.xword 0xe291edb510afe4cf
.xword 0x7f61e6b67012d8d2
.xword 0x7650374770416b3d
.xword 0x3d886e59554e4af3
.xword 0x92aad52b3ec17983
.xword 0x309ca4ce8cf65497
.xword 0xf6ef67ca3c08e028
.xword 0x43ebffdfd4032155
.xword 0x518f08c20493b8b6
.xword 0x4045706f91d59534
.xword 0x7b510546acfa111f
.xword 0x397e23e9d7f72096
.xword 0x50e460c16f07b4e3
.xword 0xb51914359209bc2f
.xword 0x230a0dd8e0ff8ea0
.xword 0xa20df8f45b303656
.xword 0xefc2c5ef2e8186f6
.xword 0xb7c6a0d555b12584
.xword 0xe1a35013eac70460
.xword 0x3deb978365ac29af
.xword 0x6b305518a33fba75
.xword 0xafb0343f730a128e
.xword 0x7b7da1510b1c65c9
.xword 0xaadee91ccb8d6174
.xword 0x4ce9c83bff56f8b8
.xword 0x5dd5b2ddef5242e8
.xword 0x08ca9d0404a50a06
.xword 0x4d7e4a5871485fee
.xword 0xffff86eec7841ae0
.xword 0xf1c6d89469fe089f
.xword 0x9ee63166f829c1c4
.xword 0xbb6f533b14bf68d0
.xword 0xbde696fe6f6e9823
.xword 0x4ddd46c109eec896
.xword 0x683cedb2780d55ba
.xword 0x78f7dfe846d0e048
.xword 0x39a0f1c285af273b
.xword 0x8963e79440f26f88
.xword 0xe47db2aabd204877
.xword 0x184dbef5bca5d681
.xword 0x9957a2c52e0c7728
.xword 0xe4609dcbd70a33e6
.xword 0xd170f616fce05170
.xword 0xd6f7d9402eb1cc11
.xword 0x025f8d49196dae6f
.xword 0xa6a095f2594d107b
.xword 0xc6278f190deeb2a3
.xword 0x460a89aebe92afb8
.xword 0x357f62645f1b3971
.xword 0xa05e0e94acabe04d
.xword 0x2679cfe79b8fbfc1
.xword 0xa13109cd67e0374e
_t1_copy_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_auth_key:
.xword 0xf9daad0cc6ac5629
.xword 0x18575e975d532967
.xword 0xe311060f2a65c210
.xword 0xf9e4a7dd627ce065
.xword 0x2f651e8082ccc628
.xword 0xf67b5d60b8833eae
.xword 0x77623952a4c0744c
.xword 0x596b85ce7f066d71
.xword 0xd491ca86887377bb
.xword 0x399e0ec86a29a7c9
.xword 0xfe2a029c3e577e3c
.xword 0x6ad3d8b950e453a7
.xword 0x023bf0c0fd28b845
.xword 0xce528283d2a4b312
.xword 0x3403c7027009614e
.xword 0x1d1a00b4033ac170
.xword 0x31e55edc90a534f7
.xword 0x22e58bb2bc111913
.xword 0xbc8e6373b8288a12
.xword 0xe8c0bfd16ff93a3e
.xword 0x09c0f672077f71af
.xword 0xa9bc07d7bdbfbfcd
.xword 0xd4d2b182a28d0e99
_t1_copy_auth_iv:
.xword 0x735259fe3431d330
.xword 0x764f5ac7b048c089
.xword 0xc31f81266bdd3d50
.xword 0x483e89892e092722
.xword 0x805206b4cba325e9
.xword 0xcf2fd0776c1aae79
.xword 0x0015f3bbb595b338
.xword 0xb74651bec63b0097
.xword 0x12bc3de6e43a3789
.xword 0x13a2818790b582bb
.xword 0xffd6fb3140bb1738
.xword 0xc35011ae0c8e68c8
.xword 0x5c08589ed64564fa
.xword 0x0f6323519b81d32f
.xword 0x88723b5d0501eb74
.xword 0x3bc8029e95d75cce
.xword 0xbd6fb5cbcdee267c
.xword 0xbe9b09ab3aa5c9d5
.xword 0xa22a4d1b6b875a8a
.xword 0x21f28b5eee376ab9
.xword 0x3b451b9338733bbd
.xword 0x5d042f8f4bc41438
.xword 0x977d0f6b7fec17f7
_t1_copy_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_key_array:
.xword 0x3263ce584aa4999a
.xword 0xf5ca9b9a1c17c76d
.xword 0x904dd6e745baa326
.xword 0x1855df1b8ebde104
.xword 0xae87c3aae174bacd
.xword 0x3207828870b00145
.xword 0xec3db6e47957e50f
.xword 0x4f3637271fd2d202
.xword 0x4b36d6fbcd0599db
.xword 0x7c8cfe01d9893cac
.xword 0xd19b92e59e7f6348
.xword 0xfdabf8922fcc1321
.xword 0xe246f1e9a1fc6d6f
.xword 0xb43336e6f4b5aed3
.xword 0x8ac0bfa33acbc78c
.xword 0x9379c4fcb3a5e8f4
.xword 0xa80c0917bc85907f
.xword 0x784625eb4ef5f9b7
.xword 0x4eb4e732737750b1
.xword 0x7074630a3e99c9a2
.xword 0xe674a0a550ce6dba
.xword 0xd4b4a574ffecf5aa
.xword 0xb3c96fa9692ea157
.xword 0x566e2d319b31473d
.xword 0x0c851c6c8d4cde1a
.xword 0x1c0f7dd14831963f
.xword 0x94dc900f04ca2cf2
.xword 0xf5a1d0acb36e3168
.xword 0xfe0011bf0dcac341
.xword 0x0ccd40a0f123dfe9
.xword 0x31a7818ed961e8d0
.xword 0xdbabd6d951c0a74d
.xword 0x6e8873ee1ddc1b64
.xword 0xc9e98a28e12d4ae3
.xword 0xc2b6c050bd3e0494
.xword 0x84b0e6ab677a83a4
.xword 0x1702df6bf54151b2
.xword 0x870333f934cc5bf5
.xword 0xb77ea5e43e8a57bd
.xword 0x8b84635cbf3b34a5
.xword 0x62c5e2f68ef5c4ea
.xword 0xfcf6ed9f0cfab53c
.xword 0xeacf4a6d486a1506
.xword 0xaf84308a673fb8ed
.xword 0x4417180cfe89b4d5
.xword 0xf8ef42412785a92b
.xword 0xb339f80ac75496c1
.xword 0xb8b1ce9cd4aeadda
.xword 0x3a9e4ebd87b6d20c
.xword 0x516f6752bc44f069
.xword 0xcabf449283b3fb4b
_t1_crc_iv_array:
.xword 0xf3bcf9a138512ae2
.xword 0x6353c13cf2671017
.xword 0xcb7d113edd5fa965
.xword 0x46c5cfe253786bf0
.xword 0x916601d4d4625e14
.xword 0xde0cd307bf5d1dcd
.xword 0xeac455d8c4543cd0
.xword 0xec06bb1866137573
.xword 0xe8d8f4086cb9965d
.xword 0x09b417ee7fe79180
.xword 0x1d9c1acc502a745f
.xword 0x8beec6984600750b
.xword 0x74ba0d0995cac857
.xword 0xefb3917eb66d5d2a
.xword 0xae0ed7ea2cf0e57c
.xword 0x281112be1dc57ecb
.xword 0x120b1d9d5751d3d9
.xword 0xa976234294ac042a
.xword 0xaf8de8dd46946a78
.xword 0x96a1219cccd5ece2
.xword 0x5e2347902c471a14
.xword 0x870714c5324ea645
.xword 0xcedc37bd7bf6d42c
.xword 0x6dc8654bddd44fec
.xword 0x9f168dd98e9ee9a7
.xword 0x0f70094f30881930
.xword 0xb5a7b12d1c2aa668
.xword 0xab6d13da3fce7f2e
.xword 0x5c1a1e8f80b2d2f7
.xword 0xc6fcab47428a1cc1
.xword 0x5063c7e606f9b029
.xword 0x88cbee6436eb51e5
.xword 0x8f42b91fd7657302
.xword 0xe0a6b160da0b431e
.xword 0x8b9955b9cff244dd
.xword 0xca403e64ca762b20
.xword 0x8806f3b20adcf597
.xword 0xa0f85355f35f8d30
.xword 0x680c4a6d7633ee04
.xword 0x08bfe73da7b35814
.xword 0xadd8c7549045322c
.xword 0x57e0a8daaa8530f8
.xword 0x8c229c2c9e45e576
.xword 0xd6ca6bf43bd9ad47
.xword 0x9778008d0e1ca3bc
_t1_crc_alignment_array:
.xword 15
.xword 15
.xword 11
.xword 12
.xword 2
.xword 12
.xword 15
.xword 14
.xword 11
.xword 10
.xword 14
.xword 6
.xword 5
.xword 8
.xword 10
.xword 12
.xword 7
.xword 14
.xword 13
.xword 2
.xword 11
.xword 3
.xword 3
.xword 2
.xword 10
.xword 15
.xword 13
.xword 5
.xword 12
.xword 4
.xword 11
.xword 1
.xword 10
.xword 9
.xword 14
.xword 14
.xword 5
.xword 13
.xword 12
.xword 13
.xword 15
.xword 13
.xword 14
.xword 2
.xword 1
.xword 1
.xword 14
.xword 10
.xword 10
.xword 8
.xword 1
.xword 5
.xword 15
.xword 4
.xword 5
.xword 6
.xword 5
.xword 12
.xword 14
.xword 12
.xword 6
.xword 13
.xword 14
.xword 10
.xword 8
.xword 7
.xword 4
.xword 11
.xword 11
.xword 14
.xword 0
.xword 9
.xword 4
.xword 6
.xword 7
.xword 1
.xword 6
.xword 8
.xword 3
.xword 1
.xword 11
.xword 2
.xword 1
.xword 1
.xword 13
.xword 1
.xword 10
.xword 0
.xword 7
.xword 7
.xword 3
.xword 2
.xword 6
.xword 6
.xword 12
.xword 9
.xword 12
.xword 2
.xword 0
.xword 5
.xword 3
.xword 3
.xword 12
.xword 13
.xword 1
_t1_crc_src:
.xword 0x7f8b7e697c2338ab
.xword 0x0482413d53627419
.xword 0xc2e7d17764533b68
.xword 0x73116ac5733c861f
.xword 0xf58fc18e19b3ac1a
.xword 0xdae65497ad73bfd6
.xword 0x9fab0b615323c861
.xword 0xc9d0233f2b1be487
.xword 0x2a8dd6f6d42b957f
.xword 0xea32c45a343d4c70
.xword 0x3bcfdec5133e9cd9
.xword 0x00ee447d0e2c5e52
.xword 0x0a3db8b47f5d28f0
.xword 0x310b11964c365e57
.xword 0x12f17a9f865ed8d3
.xword 0x838e681c5b06d730
.xword 0x0d0695d720b00507
.xword 0xef041a01ef2ab888
.xword 0x08ae5c2ea3b32705
.xword 0x3b3f958aa848b4da
.xword 0xe19af8746691d31f
.xword 0xcaea008cd501c379
.xword 0xea6f3567cd88973b
.xword 0xad578292b55fc4fa
.xword 0xc6839d2631972462
.xword 0xe6e119373aa9dcce
.xword 0x9e1dd31e05f955e4
.xword 0xbc163c88858ee5d1
.xword 0xfcd3e59c8a7ebddd
.xword 0x4fb9372a33f68007
.xword 0xcfeaab496dda0eab
.xword 0x3cdf90826b059bb6
.xword 0x853cb0fff8cdf167
.xword 0x3377593e4a28a888
.xword 0x68dc7c0b24780b0b
.xword 0x401ec33b949ec437
.xword 0x216262eb985c16e2
.xword 0x96f24c5b2fbc6e7b
.xword 0x10fd06c92e514822
.xword 0x718e8988fd3bc482
.xword 0x1a7208c6892e8221
.xword 0xa52f2b35087667c7
.xword 0xe6549fb1166b5d64
.xword 0x885cce0cce23c979
.xword 0xa49a7c0175a92d45
.xword 0xfc8653c9a7c18573
.xword 0xc2dd951ff3f342f1
.xword 0x91058806308d2db2
.xword 0x048414895896ce70
.xword 0xaf089742ffb79e43
.xword 0xc0fbf05e09dbc4d4
.xword 0x5e70b088e3a93b03
.xword 0x4fbc45e4416e61d8
.xword 0x725ef90199091852
.xword 0xecff17c74ad9e82e
.xword 0x03c7527c393bf29e
.xword 0x4594993fe9db6c07
.xword 0xbb5516c5dbc1f19b
.xword 0xffe000fd0aefed8b
.xword 0x6b6b151ba5a3136f
.xword 0x6ca597544b91aa6a
.xword 0x2cf2f83eb18b030c
.xword 0xb441722e705117e3
.xword 0x17e129f39b4d93ab
.xword 0xbbdc50363f43355a
.xword 0x4d05ca1fe0c465d4
.xword 0xece2f9fa67ad2011
.xword 0x0f425eeb345d8473
.xword 0xde2506b23e6cd3da
.xword 0x01884d59e879d60d
.xword 0x012b9dd2f93536b9
.xword 0x265a6d6000babd5c
.xword 0xe9e244dd23fa803d
.xword 0x0172d962626e6e01
.xword 0x36b730388dbe1af3
.xword 0x691f6cfb01d04d48
.xword 0xfc755b5860bbd3a9
.xword 0x92466d099d158628
.xword 0xb4e8f772650063ee
.xword 0xd441e6e43c03d7a2
.xword 0xdeb5744eaafe6a65
.xword 0xdeddc67550261734
.xword 0x83618a85d671aea0
.xword 0xf64e7aff1455a5e7
.xword 0xe10f8f4d5036aba5
.xword 0x0de9b3c8c6c3f35d
.xword 0xe1811491f8316828
.xword 0xe27907a5485c03dc
.xword 0x5c5acd914d16e8cb
.xword 0x034bfe4916561726
.xword 0xbcc6b74359d49165
.xword 0xe09450cb0e87261f
.xword 0x51d80b5ae7d4c24a
.xword 0x2a98d6823d6264e3
.xword 0x4db3e900427adc31
.xword 0x9a680764e9eae1fb
.xword 0xafe403405049a2dd
.xword 0x04dc8b8a08e1f3c2
.xword 0xc4cf114b9898c749
.xword 0x54f03156f1627a36
.xword 0x9833e2b39fdf2696
.xword 0x27ba0027b506362d
.xword 0x5b0279ca55a65d77
.xword 0x1ac671aa14b13e36
.xword 0x3327d192d75c2aa9
.xword 0xf7fffddcdeb95927
.xword 0xbfdb83bbd536b03e
.xword 0x6b2081b27fb5a09a
.xword 0x6b18e14c99af1d3d
.xword 0x68075f83bba75337
.xword 0xe0597e1e7090be94
.xword 0x8170552802f989d8
.xword 0xf7075c894212e861
.xword 0x7ce12114b0e9d208
.xword 0x695e43025e45b65e
.xword 0x34b1ff2fcce06080
.xword 0xb8617b07b0cd862b
.xword 0xa869c9abc9f28f68
.xword 0xb261faae502dd853
.xword 0xa10c4175a84f7db6
.xword 0x6bdeb2c90d92bc8c
.xword 0xf7f22904794214b7
.xword 0x8af6691cdc629e32
.xword 0x569df7f5275188df
.xword 0x10d05910bd0ff5e1
.xword 0x9dfca76120c95358
.xword 0xb8cfdb2dec174d25
.xword 0xbd3c5dfc9b80d4f8
.xword 0x5d33c934a49c7939
.xword 0x535e4849782d2a17
.xword 0x349834274e1ce705
.xword 0xbad4a472206134e6
.xword 0xeb71182b3bbcbaec
.xword 0xe0b4b782640d88c0
.xword 0x00e6b8abf429db85
.xword 0x29ca4e870859567c
.xword 0xd2bb36590d266991
.xword 0xe9513b0ffbe41278
.xword 0xf256e74148a6d581
.xword 0xf53dbab2367bd7da
.xword 0x669ae9b727e72094
.xword 0x9c511ba07a2f8873
.xword 0xf4e763e3732e762a
.xword 0xff4eb3a39dbb1541
.xword 0x90ed3a3cf634c5c7
.xword 0x33a845705ac34a58
.xword 0x41dfa5647875e3a8
.xword 0x1a8f01fa6db49c38
.xword 0x424071910795996f
.xword 0x4501d278448763e4
.xword 0xead37200b5eea254
.xword 0x360478b1dce84604
.xword 0xcbd1493af85a0f1e
.xword 0x2715e6a1beb320b0
.xword 0x8ffd5160df9814ff
.xword 0x0fce2336d1885aef
.xword 0x6b7b37a933bb161c
.xword 0xf8b903e220be5d47
.xword 0x41d7c30b5cad5721
.xword 0xbf78c18a3db81c97
.xword 0x9b6ffb974bda857e
.xword 0x7efcd7d55b5cdae8
.xword 0x374808260d47c078
.xword 0xcec863e71fc38b0d
.xword 0x9ddf3a2081e37e61
.xword 0x32af4a621eb29760
.xword 0xb7d2e669097a0b96
.xword 0x84651512c9a80a23
.xword 0xb9e3739910af52dc
.xword 0xa136fa9a870590f3
.xword 0xec9eda72b552d097
.xword 0xe095ab75732c2886
.xword 0x27ac16b05e6a1f41
.xword 0x081828ed2155306a
.xword 0x215121ce25f28287
.xword 0x46663f3d8a0cddc4
.xword 0x4cd4e6808f158f9e
.xword 0x1f53c332b205e19f
.xword 0x16401ed1682dc8b6
.xword 0x4077d137766e8ccd
.xword 0x8ca50bc2c8516ace
.xword 0xcbae082486a762d2
.xword 0x9a82c6da83b75bfc
.xword 0xa1f6c578407a9bfb
.xword 0xcec17defd9792ae4
.xword 0x3cd8ba64e9a40920
.xword 0xfe5c59304e552d29
.xword 0x882b3b6c1672d074
.xword 0x52f0efd996ead180
.xword 0x4c6beb4141424665
.xword 0x5378019ef7c1c112
.xword 0x9126818363bb7203
.xword 0xc125859880142c23
.xword 0x96d7f4222b4e4dfa
.xword 0xbaae998925adb89c
.xword 0xb551bd7522128911
.xword 0x0dfd053b90a0d6c0
.xword 0xc885c0d7fe5c49b6
.xword 0xdbcf9b426e387cbe
.xword 0x469abbea3ec55665
.xword 0x731c851892251a4f
.xword 0xca7b2bae3cf5e384
.xword 0xc549a8df09e3032f
.xword 0xfbb3e49940f5f036
.xword 0x6637345fe141d1b1
.xword 0x8c73f51bfce02397
.xword 0x6ed8b65bf8e32809
.xword 0xc15f95c85a3994ad
.xword 0xbd270e6202bf4cb8
.xword 0xa3934c4e98d4a757
.xword 0x6d1aa4be01b5a3a8
.xword 0xefd916f4f7dc0ca9
.xword 0xfa87498b697019da
.xword 0xae8dede75cda848d
.xword 0x5f3ae33b96bc7f24
.xword 0xf05c2133f8c37645
.xword 0xb5d83c28fec27a9d
.xword 0x60e386f6778f9abd
.xword 0x02c9f691b40c1e79
.xword 0x4f0c8e09949b5639
.xword 0x36c307a94eef3506
.xword 0xbd91d4e8d778d5be
.xword 0xdfe9e7ed6a15d5d0
.xword 0x6da3a085d1b0aff3
.xword 0xb19fdab87b38273d
.xword 0x59f27598c13a3906
.xword 0xe402bcd2f834ab95
.xword 0xfa41e5839198f1d3
.xword 0xb5db20aa997084b2
.xword 0xb588206b86e1c3ef
.xword 0xc2ffa0836834a623
.xword 0xf6bceeb4d776c0cc
.xword 0x63abb7db88baab01
.xword 0x23dbadac591b94bd
.xword 0x8d35ed8ac536742b
.xword 0xc224b8e04eabf7c0
.xword 0xf20fb42aa54d7e16
.xword 0x75ee7ef04d46a2a9
.xword 0x2e98426802034281
.xword 0xbdabcba563445bdb
.xword 0xd5b2d9df0a49bd36
.xword 0x0c5b1b126dba2ad8
.xword 0x8b530f56b6c00328
.xword 0x3e5303550fff07ec
.xword 0x23338e48e90ebb0c
.xword 0x8369136b5af98a37
.xword 0x961f141fcfcaf8ad
.xword 0x2d3ab3e5018bbc99
.xword 0xda1d76e90b985ffa
.xword 0x138a7ab0ee41bf02
.xword 0x4cb7dc60a6d130cd
.xword 0x501cdf315a106917
.xword 0xc40ff9c67f343e60
.xword 0xeca720b622df3ea5
.xword 0xe5c8a0c0272830bc
.xword 0x130c411dfd8c1693
.xword 0xfcbd3e9fe87cf1cc
.xword 0xbd3a002bf43a2aff
.xword 0x9792952424b135b2
.xword 0x8c8e7172d45813bb
.xword 0xbea19e5aa0b4dbcb
.xword 0xd0b9492667895544
.xword 0x0ba83053c4068d44
.xword 0x09611dd877074598
.xword 0xae8348e23a4ac316
.xword 0x47cadcb2518f51d7
.xword 0x1800417ab59feb26
.xword 0x3ab1d882c8c3d76d
.xword 0xfb8fcf028cd3045a
.xword 0x29d756226efb17b3
.xword 0xf4ea54f6aa336c6c
.xword 0xa107fa21cca03f6e
.xword 0x7f6485bd5d689218
.xword 0xb6052c12ba9120ab
.xword 0xec98bc6b0ec0674d
_t1_crc_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_auth_key:
.xword 0xd8c8f254d7dfa10e
.xword 0x7ba6ab060fc441f4
.xword 0x7b38f5f7630ad39c
.xword 0xad46937cc5d89182
.xword 0x9fd9108d76588de3
.xword 0xbf76929dfa38cd75
.xword 0x133307aee3acde5e
.xword 0x818b4f9dc14375a4
.xword 0x3acee70e2c347bb3
.xword 0xebae07b6f850010b
.xword 0x8ef0de613d4f5f7b
.xword 0xbb5699c778afa463
.xword 0x2c1ffe88a4f349c1
.xword 0x20e31f534218eaff
.xword 0xaac3009cca3f4bf9
.xword 0x8fabf9ff85475e12
.xword 0xb3bd886304f036ba
.xword 0xeb434829bd9c60de
.xword 0x71e0b659dfe2516a
.xword 0x980db76b415435a5
.xword 0x224af6e173b92af3
.xword 0x07a73b13c2d9c872
.xword 0x252039f816073768
_t1_crc_auth_iv:
.xword 0x0b4c1f47da625b08
.xword 0x5091a5ad03c45655
.xword 0x3385050d80fbbc8a
.xword 0x23757888fa4cf474
.xword 0x89d2d87bb4ef7345
.xword 0x14fffd0a494a6e9d
.xword 0x0a82bfa04bbd0d8c
.xword 0xf1d55a5fa621338c
.xword 0x6b8e7af3d42cd371
.xword 0x9a893c4d9fdb1f4f
.xword 0xfac54f6e45cd3f05
.xword 0x948426252ba14522
.xword 0x42a5a109ba98a111
.xword 0xd22adca47a5678a8
.xword 0x77ac189184bc61ea
.xword 0xd2bfe71a748a90f6
.xword 0xbbfb87cfe5c205d1
.xword 0xbae1cfc96bbe6770
.xword 0x9ac6847758261137
.xword 0x158effba32167e45
.xword 0x2c038d8921c4962c
.xword 0x37e894da9c2c1e62
.xword 0xb30b1df679c9a1cb
_t1_crc_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_key_array:
.xword 0xcff35cecd7c11ca6
.xword 0x3a3e91be29fa77ad
.xword 0x10dffd10322b15d7
.xword 0x0ad08ea4f92170f6
.xword 0x371f92df3830d33e
.xword 0xad6e1547d3fbd024
.xword 0x0711498c5d0a7044
.xword 0x0d670500660dcb4e
.xword 0x55953fc4d599f239
.xword 0xf3fb199db324c236
.xword 0x1f548e6056c508db
.xword 0x7039120c16ceac7a
.xword 0xce04d145d21e491f
.xword 0x4f229c46e5191008
.xword 0x00a45b88fe5854d3
.xword 0x20afd6424337c801
.xword 0x559ac6ce65e138aa
.xword 0x14d736f8f15c8a61
.xword 0x9bd7081aa3ff013d
.xword 0x907b4612b8cc60e4
.xword 0x5ac9cb272488dc7c
.xword 0x80da14f020984167
.xword 0xbd28adeb8a48f285
.xword 0x49ee3479fa80ea77
.xword 0x1f2b1dc732922728
.xword 0x56778de0a57fd50f
.xword 0x5a26e6ed79af13ab
.xword 0x039fbd1a3c1e5555
.xword 0xe585155bc08423aa
.xword 0xc972aed87db72546
.xword 0x2c44fa76388947d3
.xword 0x5d3beca7e4f42ec7
.xword 0x285682763f6b6598
.xword 0xc3f523938f7f7aa7
.xword 0xd520469e4ecd3c2e
.xword 0x2aa015965db8cc28
.xword 0xc65e7f003c92ed66
.xword 0x94d89bdce2445b44
.xword 0x518ac48a12144384
.xword 0xc76a8308ddcfc614
.xword 0xba0e696c9b28f18e
.xword 0x40e80af766753552
.xword 0x3c938c96b29e422b
.xword 0x06334a0c7d43264e
.xword 0xa4f022feaebda8a5
.xword 0xb941f1967b4d32e2
.xword 0x27086bf842922669
.xword 0x79e17a056c2226b4
.xword 0x8bbcdaebf89e595e
.xword 0xa15784717d2ad959
.xword 0x30dfe114b9bc923a
_t1_hash_iv_array:
.xword 0x3c39a9cf1ee4392b
.xword 0x385090d2fbb8eeab
.xword 0xcef2ff818251b574
.xword 0x270a7302ae713302
.xword 0x45593b590ebc9a4a
.xword 0x56a24b56e6c0094f
.xword 0xdd9c4738a9633393
.xword 0x4a5a90768f272561
.xword 0x686615d7fc243e0b
.xword 0xd7434b4240a00e47
.xword 0x6e1be4c1126f3541
.xword 0x6ab62c770c167d2d
.xword 0x4139dcd5c2294292
.xword 0x3b4b375e563cfcae
.xword 0x0a9b690f3b6e6660
.xword 0x0413af5b76a0d48e
.xword 0x06650cf87947c93a
.xword 0xb182a980680baf92
.xword 0x989fc34eb9e5f6f3
.xword 0x620879af2c226202
.xword 0x4a73623607a7ac23
.xword 0xde43f9fd6cc7573a
.xword 0x7746e49b940f7173
.xword 0x2e9d203acfda250d
.xword 0x01d71c18663087b0
.xword 0x71e5337556988340
.xword 0xf9c7501af071620f
.xword 0x79da7e542e5e0012
.xword 0x45f78519d0a34818
.xword 0x8d1fb5a132492e13
.xword 0x74607cf95347a8f6
.xword 0xba8e4c2fe7c829a3
.xword 0x95c26380301bcc42
.xword 0xe5bfed6071769260
.xword 0xbe2cbeec00f2701c
.xword 0x8b1f330e0f686141
.xword 0xcda734439afd37f3
.xword 0x517a93da152df934
.xword 0x9b3dfaea6ea72104
.xword 0x92429f10f284cd48
.xword 0x0085745d52110fc8
.xword 0x1bfa20bb6030febe
.xword 0xdfb12682aafc65d1
.xword 0xf00c2959b67d3e01
.xword 0xed5cf64bd2863022
_t1_hash_alignment_array:
.xword 5
.xword 3
.xword 4
.xword 1
.xword 14
.xword 3
.xword 10
.xword 4
.xword 8
.xword 8
.xword 3
.xword 1
.xword 10
.xword 15
.xword 6
.xword 6
.xword 4
.xword 9
.xword 3
.xword 11
.xword 1
.xword 10
.xword 15
.xword 2
.xword 8
.xword 9
.xword 10
.xword 4
.xword 0
.xword 12
.xword 8
.xword 1
.xword 6
.xword 0
.xword 7
.xword 1
.xword 12
.xword 4
.xword 0
.xword 0
.xword 8
.xword 14
.xword 15
.xword 3
.xword 14
.xword 6
.xword 11
.xword 5
.xword 12
.xword 5
.xword 15
.xword 12
.xword 8
.xword 8
.xword 12
.xword 9
.xword 3
.xword 15
.xword 2
.xword 9
.xword 3
.xword 7
.xword 8
.xword 11
.xword 4
.xword 5
.xword 14
.xword 0
.xword 13
.xword 13
.xword 8
.xword 10
.xword 11
.xword 1
.xword 12
.xword 6
.xword 5
.xword 15
.xword 14
.xword 15
.xword 1
.xword 1
.xword 15
.xword 12
.xword 15
.xword 5
.xword 7
.xword 2
.xword 13
.xword 14
.xword 9
.xword 10
.xword 2
.xword 9
.xword 4
.xword 7
.xword 8
.xword 3
.xword 7
.xword 0
.xword 3
.xword 2
.xword 7
.xword 14
.xword 0
_t1_hash_src:
.xword 0xed1d2361c2bf9bef
.xword 0x8fb6dd16c402dcfb
.xword 0x98f6fad06af6939b
.xword 0x21b319305d43bf8f
.xword 0xf160839d593b33fb
.xword 0x71dce4d14dba4013
.xword 0x0186140e82f79890
.xword 0xf2ffecd75428ab58
.xword 0xc39a1b68d777ace3
.xword 0x2208ab639a162a06
.xword 0xf322c001dc9da171
.xword 0x7e58be48e14876ef
.xword 0x752ffb09dff11e20
.xword 0x2eb2e984fed32930
.xword 0xb7ca4eb7e7f0e9ac
.xword 0xb5b08b399e454b11
.xword 0x482b6afa4a239334
.xword 0x9e68e442bbb42ff7
.xword 0x30bf373db8daad38
.xword 0xeddfb5e05a94492a
.xword 0xb6016137749ceb7a
.xword 0x676d95aecc4eb927
.xword 0x0f895bd840cfbf8d
.xword 0xad9aa70d501d3828
.xword 0xdb9c55a97d313339
.xword 0xad387bc0f9bcd4bc
.xword 0x3261fe8601394d89
.xword 0x7244ce2a95877ca8
.xword 0x1a5801bac6f334ae
.xword 0xac6d03e14c9fc6f4
.xword 0x2c3881597a164cf3
.xword 0x1694644ba94f2492
.xword 0xdc1e26096fc2e95a
.xword 0x62c801aba6bc4247
.xword 0xce5e6440ea2a3a16
.xword 0xd195b0f6b45939bf
.xword 0x8e591de7de60917f
.xword 0xdefbaf163384a88d
.xword 0x72f08c2811533bb9
.xword 0xd495e62d850eda7e
.xword 0x2c6ea09e8a41f487
.xword 0xe017e618a5626a0c
.xword 0x02da49b1d3f595e8
.xword 0xb2ec01d2f4550bcf
.xword 0xf320a28c7a8278dd
.xword 0x1fd7dfe4d0310a6b
.xword 0x2326c5f3c0629f0a
.xword 0xbca37a3aa5c02d07
.xword 0x34f38fcfc3cd72e5
.xword 0x4120f96c175b9fe3
.xword 0x7e1daba55020a62c
.xword 0x7846381ef83ef367
.xword 0xe493cf43fd700bb9
.xword 0xd30c5ddfc18b8710
.xword 0x6b4961d3ed4446f5
.xword 0x8a98f5bc54328cf9
.xword 0x8a548570ef5a18ac
.xword 0x36da095b24fb52ab
.xword 0x45ae2c98387ac0c0
.xword 0x80d880c8d9038d9c
.xword 0x15b44ee178edf85b
.xword 0x65a1f863934abaac
.xword 0x9d31972f12c315fc
.xword 0xba3d82d93b8e776c
.xword 0xe85f5c2e789ed91a
.xword 0x90b08213641553ac
.xword 0x92935ab9a85096c8
.xword 0x06c640582e734ede
.xword 0x74f295ea66bec4ca
.xword 0x2d7a0dd0e1e2248b
.xword 0xc125bde7d0c9f362
.xword 0xcc8e1b1712d208de
.xword 0x4803f65aa17d2854
.xword 0x95fa31e1e335abdf
.xword 0x8ae0de90fddf000e
.xword 0xb784987536c62ba5
.xword 0xf4188496cbe977c6
.xword 0xd8a51af907926a63
.xword 0x4df5f072e4bf1d8b
.xword 0xeec16a8185246da9
.xword 0x25c4a61bc73d3a3c
.xword 0x1843a23dbe0abdac
.xword 0x3ece7173767769ee
.xword 0xc074fc4caad95a6b
.xword 0xa8684b02cffb5905
.xword 0x7728526f94c24918
.xword 0xbec70611b696b96e
.xword 0xb360b4504834c825
.xword 0xf31411bde24e11d9
.xword 0x387a4256d051a097
.xword 0xc9a0f25658cafcda
.xword 0x3cf5f21631ad87f2
.xword 0xe43365d6f670e87b
.xword 0xaf30cebf02d022d7
.xword 0x638e183fa675a091
.xword 0xd7065b668703b89d
.xword 0x308dc8d5c846f07b
.xword 0xe1778d4619405efb
.xword 0x2f89f0bc19c07ae2
.xword 0x13546531d0f6378d
.xword 0x8c2c70d568c4cfa1
.xword 0x184e980674b40794
.xword 0x1530a2a34c109357
.xword 0xd7ea9ad33058bb6f
.xword 0xbcbdc6dd7ea40926
.xword 0x1ab59a7b13029bf5
.xword 0x8917c5e6331a695a
.xword 0x64aa3a1af01c6668
.xword 0xf3ccb924eeb97805
.xword 0xbedbe1ff540e2642
.xword 0xc40bc1226a526c4f
.xword 0x7e18d9a196aa9cff
.xword 0xb3506359ab3f3f44
.xword 0xbd35ea80694454bf
.xword 0xe9d3b47a5230cbd3
.xword 0x479b7c52e20665c3
.xword 0xc74766b53defa329
.xword 0x10c77dc7c4a2bda8
.xword 0x21a42edb2f709158
.xword 0xa2b90832ae7d0c92
.xword 0x78e4a14b49c3b292
.xword 0x2107b7231bd5ef52
.xword 0x1945c652e24fc0f5
.xword 0x93282a9b6aa33d7f
.xword 0x0f6b3212fc005cf7
.xword 0xa30f2843bf5b93bd
.xword 0xc0c610955964809b
.xword 0xe2d79eb724b31f59
.xword 0x00e3da5d20bd9acf
.xword 0x1ee93ce31e10fdd1
.xword 0xc474fe49057bbfa7
.xword 0xbdeaca0925f49013
.xword 0x2c98e8a77f4f4ae9
.xword 0xaa69a1f943acbd63
.xword 0x82213273cf73a0da
.xword 0xf2bff96eba6df3fd
.xword 0x27bfaf8e0dd914ee
.xword 0xfb4196596125b093
.xword 0x7908e36304e0e031
.xword 0xde88ac7002d2a41d
.xword 0x869e5b9648167d8b
.xword 0xf3216ab180c87e6d
.xword 0xee11bfc58b069517
.xword 0xd8cf8b2ba9fd1cdf
.xword 0x72f552ad973ff33d
.xword 0x15e4720b0fceffbe
.xword 0x21eed6a77ff12329
.xword 0x028ead851f6ac5c9
.xword 0x577cb3b1a46139b6
.xword 0x2e0bcb1c4f25b608
.xword 0xae44a2d0f12dcbe8
.xword 0x2650ed749bf4aa62
.xword 0x8041f27bfe3873ee
.xword 0x4c18df3b897eb44f
.xword 0xa35652f1567fe31c
.xword 0x34a0054acc39e39f
.xword 0x4a6d7e39bc01ae4e
.xword 0x9391f283e1d2149a
.xword 0xfdb869870183b420
.xword 0x03294c7ffb664e22
.xword 0x894fb98ac22b227b
.xword 0x8351f3ebce13f348
.xword 0xc0e841a84c91038d
.xword 0x286b30f6e3ffa10e
.xword 0x29a62fcc5df4e450
.xword 0x8ba07594a242ec96
.xword 0x4ca5b89e32e40b18
.xword 0xecf790102ef1ba38
.xword 0x4fe4cff1a7529fad
.xword 0x441bb9f5c76435d9
.xword 0x1a50f1af656bf227
.xword 0xba405b8248600d21
.xword 0x34edcdd09491eb9f
.xword 0xa422214a25aa68e7
.xword 0x204404b622f21ade
.xword 0xc3f0dc437f6b3517
.xword 0x23e42bbcb86c5a4d
.xword 0xdb9a62ae3abd83ac
.xword 0x4e1b6995caa5f212
.xword 0x1d9fd3f627b3863a
.xword 0x17b6d1b83108d8ef
.xword 0x9090886e80ae97d4
.xword 0x4015ac74377e9f0a
.xword 0x8491c88649ab1826
.xword 0xdf3f92424ded20c8
.xword 0x13701ec0aa5b1e2d
.xword 0xce6f4e498d1587a0
.xword 0xaea0fd103710986b
.xword 0x916d51e2b0227910
.xword 0x2bd338fcd9fe4a32
.xword 0xae03373082e35db0
.xword 0xb7fb4350f9f6da22
.xword 0x186b3c3f4dd1cf0b
.xword 0xd985a3885821e2e4
.xword 0x7b8dcb41479a04c4
.xword 0x870008680414e3e4
.xword 0x81e10312ed0993e1
.xword 0xfc88baa8bbf98ab1
.xword 0xfd26b301c23f93ee
.xword 0xa6646c559161df45
.xword 0x16d5c7b8d94d9c51
.xword 0x6a0ae55b61d8aa6e
.xword 0x3d176c91fb9d20fb
.xword 0xfccff6ff3b41db5f
.xword 0x2e7fa854e0a2827c
.xword 0xeaab5c6d64b4e6e1
.xword 0x92f4074a23751cd7
.xword 0xf48094fe87d8a81f
.xword 0x51b5555142f58078
.xword 0xbbe47c05a088951a
.xword 0x08e39c2df0a9a93a
.xword 0xd603294905d8dbe1
.xword 0xdfe9fff8b1ed8a00
.xword 0x84ea08575890d4b4
.xword 0x62bfd9b9e8b4bc52
.xword 0x153f3f061a111d01
.xword 0xd44adb839d798b0b
.xword 0xa7bea4787b31de59
.xword 0xaa2543f4c482ed13
.xword 0xcb662e67a12e7815
.xword 0x246feb4e94172872
.xword 0x761e4b88d1eb5a49
.xword 0x7ea28a1ce5918849
.xword 0xb6f5aca75f560717
.xword 0x8e7a4ba7a2b9f897
.xword 0xf0bbdde24b0e742c
.xword 0x643e3be23abbf377
.xword 0xeb5a0d07e56fcd52
.xword 0x6cc4cc769136bbcd
.xword 0xfdf6e177c0cb54aa
.xword 0x675080412288ad18
.xword 0xed64541f67734aee
.xword 0xc38e1ad7e8f011c0
.xword 0x63c580ff98344c13
.xword 0xd4fba3145c5d6fde
.xword 0xa0887134abf8ed7f
.xword 0xe9093bf327b7040c
.xword 0x83885e074870d4e2
.xword 0xea471e830cf7f048
.xword 0xfdfa31af0fdb979c
.xword 0x37ddaf0fa1101de2
.xword 0x14368cff4105f0f9
.xword 0x6cdbec904a173419
.xword 0x4962f4816185ebeb
.xword 0xb0bc05aca7299928
.xword 0x1c874817f1082bec
.xword 0xc9691f911292c605
.xword 0x37480235b55d709f
.xword 0x15dc05078fa4bfec
.xword 0xa319e8a8afdfa589
.xword 0x7035bba50c5b8bf8
.xword 0xc53db46fb2422efd
.xword 0x6a3fb5ee2e58de08
.xword 0x13f62f0df3f3efb6
.xword 0xd572e6eeb01fb1e9
.xword 0x6906aa6c7cea26fb
.xword 0xef2c9ea4d1b28dbf
.xword 0xa42babe6b50a7af2
.xword 0xd74ff7141490414c
.xword 0xf299dd174bdb1a9f
.xword 0xc9ebd7b225f586b5
.xword 0xae72981e08dd78df
.xword 0x390b283c8a3c5ca3
.xword 0xdc19665a89f43bc2
.xword 0x0adccfdb241b87b7
.xword 0xb834baca40ca3b7b
.xword 0xfc86c58f2c64c064
.xword 0xa5e865cbb46b4fda
.xword 0x0e00e18653f2ef64
.xword 0x496bb409b09245db
.xword 0x0bed1b368797894f
.xword 0x0ebf49009cf048b4
.xword 0x9d7c546b644226b3
.xword 0x745ec1058a8cc165
.xword 0x6c9706632c5431e2
_t1_hash_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_auth_key:
.xword 0xd417e970b3251f5f
.xword 0xd4b188dcacb65d60
.xword 0x5ac7b610709a4e0a
.xword 0xc1524ca9ebf033cb
.xword 0xeceaf000a242da44
.xword 0xa64433008d26aa2b
.xword 0xb44a5fd7b7854237
.xword 0xd693171b8835e136
.xword 0x39a144c26bcf2276
.xword 0x3a8ba4b076d60f12
.xword 0xf42d2179115e2609
.xword 0xe1d8c37d4d627214
.xword 0x8968e6bdb4937f08
.xword 0x92828eab0a32416e
.xword 0x847ca31821402cbf
.xword 0x14430596a694aec8
.xword 0xe2d75b574c24eb8e
.xword 0xac7a2ef667030a9c
.xword 0x3bc2f0719cad6a12
.xword 0x010186d67867434c
.xword 0x6a99faa56931cd38
.xword 0x96fa6cec2149883c
.xword 0x811c51bc3a96f29a
_t1_hash_auth_iv:
.xword 0xe7e110e8b5e6993a
.xword 0x881166034124d5d4
.xword 0x9f4f1190deec4d62
.xword 0xd8367913080d5c75
.xword 0x18a27e770c8c7811
.xword 0x4e595e71f186f824
.xword 0xc17a032291608869
.xword 0xdc1177096be5f8a5
.xword 0x4d99795c3967b3fa
.xword 0xeeb405475f2afd45
.xword 0x299e2f530e624715
.xword 0x0bdfbcbc4cf32f47
.xword 0xcabeebc681a410c5
.xword 0x02e0e0c56d46e37a
.xword 0x8a8425c70a7b034b
.xword 0x6646fdb5e54cb504
.xword 0x824051624f1ed552
.xword 0xac9eabba31db0ced
.xword 0x333cd07ca08a92cb
.xword 0x340d5112b372d854
.xword 0x545dc96f0124af75
.xword 0xcd67e9392145ca72
.xword 0x42566a722808663d
_t1_hash_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_key_array:
.xword 0x2056d405a686ff8d
.xword 0x83edf835631c420b
.xword 0xc01bc6a6b46f0344
.xword 0xc5b56fc1288dc7f3
.xword 0xd814de3b84a0aa1c
.xword 0xe26f097ea8f02bc2
.xword 0x1b6df67006aa6546
.xword 0x8c611e77d578b902
.xword 0x844a4f4b41fb0778
.xword 0x47c369ad75d4926a
.xword 0x7f5ee03d30274263
.xword 0x0bcfd71b4b480f34
.xword 0x82ca44bea8f0680d
.xword 0xf77a02570d995e01
.xword 0x6980713aaa09a69f
.xword 0x942c8efad047c611
.xword 0x16fa373c0d2f411b
.xword 0x88079f1195a2f829
.xword 0x626514118f380730
.xword 0x41ffad9a07ed274c
.xword 0x1a061b0c8f467ab2
.xword 0x43a386df09a85935
.xword 0x072ed43849be6514
.xword 0xb22238dc96546275
.xword 0x9756acc75c97683f
.xword 0xe8c7825fc7974c1f
.xword 0xe88edaf66bfed377
.xword 0xa9a2438c79bcdb2b
.xword 0x7a3032ecf0b5b14b
.xword 0xe295f9702a59f2a4
.xword 0x2ceb8bfc903bead6
.xword 0x907250e17ffbdbbb
.xword 0x8c49eb118c14224f
.xword 0x112f86564bd24dfe
.xword 0xa91b9d5b4089bb8a
.xword 0x1a672e2c847aaf86
.xword 0xa517c433795c57bb
.xword 0x1bf376d6eb891556
.xword 0xe17a4354ce8fee40
.xword 0xea4fc34d8f51bbeb
.xword 0xbcda97296f9b85ad
.xword 0x01124fc057d40bd0
.xword 0xd09b8a02055376ab
.xword 0xbd53fd91dc7ee12f
.xword 0x2dcdd52230ed9ad8
.xword 0xa4fd647202e2b9b6
.xword 0xd9fc3f0c806a1d37
.xword 0x5eba91cafd951c35
.xword 0xc8ba7b383eb6cd04
.xword 0x4b0fcf829376fd31
.xword 0x34484ade13c9666d
_t1_hmac_iv_array:
.xword 0xe39aa85e3ee3ad1f
.xword 0xd1d97e832a08281f
.xword 0x352327d24966320e
.xword 0x784d624c052b2225
.xword 0x1ef11a19f2da0182
.xword 0xc428b835cf80411f
.xword 0xe6011f057c67fbd7
.xword 0xdd37459da6eadbf3
.xword 0xe1e9aa9fc533f3ab
.xword 0x5681867a98cc98b7
.xword 0x8e415acb4cd5f6ab
.xword 0xe2345624d2f964e6
.xword 0xab96948a550125f1
.xword 0x73df86cd3ac48ff5
.xword 0x24aad51b8537a5aa
.xword 0x45485b120c9793bc
.xword 0x51e676ba3bbe8b87
.xword 0x15de8f1f51f5edaa
.xword 0x65bb5698419299e1
.xword 0xa51268b0c52e9f5c
.xword 0x5587afd8950dda5f
.xword 0xd524821501ce489c
.xword 0x14af612536034e2b
.xword 0x8f8ce2d7bebb02e9
.xword 0x458513d22bab895e
.xword 0xf565315a47795801
.xword 0xc8f7967546300ac0
.xword 0x0a796b2e3c2cab1f
.xword 0xba9de5c1cf413675
.xword 0x572688c2e0a3e36d
.xword 0x2dfd48909c7b3e2f
.xword 0x07b00c30a0e9c369
.xword 0x4429948e22d6b6c8
.xword 0xcb76c63cb72cbef0
.xword 0x8becd15dfc9534bb
.xword 0x2a270964f19db696
.xword 0x798cd604875d73fa
.xword 0x7da7d51d844d8452
.xword 0x4dc71151c864086a
.xword 0xbe67ddcadcaa8b2d
.xword 0x5b2679aa87e1c3e2
.xword 0x58765aab6c19a1a1
.xword 0x6cc799bcc37c039b
.xword 0x20d6307f684c5c64
.xword 0xd7091f1e6b7f3d2b
_t1_hmac_alignment_array:
.xword 6
.xword 1
.xword 10
.xword 8
.xword 1
.xword 15
.xword 14
.xword 8
.xword 11
.xword 4
.xword 8
.xword 5
.xword 12
.xword 7
.xword 2
.xword 1
.xword 1
.xword 0
.xword 10
.xword 15
.xword 1
.xword 13
.xword 0
.xword 9
.xword 9
.xword 6
.xword 13
.xword 12
.xword 9
.xword 9
.xword 13
.xword 7
.xword 2
.xword 11
.xword 4
.xword 12
.xword 1
.xword 8
.xword 3
.xword 15
.xword 13
.xword 3
.xword 2
.xword 8
.xword 5
.xword 14
.xword 3
.xword 6
.xword 15
.xword 4
.xword 6
.xword 0
.xword 3
.xword 1
.xword 14
.xword 9
.xword 6
.xword 14
.xword 1
.xword 3
.xword 1
.xword 7
.xword 0
.xword 11
.xword 5
.xword 6
.xword 5
.xword 12
.xword 14
.xword 7
.xword 10
.xword 14
.xword 12
.xword 5
.xword 7
.xword 15
.xword 2
.xword 1
.xword 8
.xword 7
.xword 6
.xword 9
.xword 4
.xword 13
.xword 12
.xword 12
.xword 11
.xword 2
.xword 5
.xword 8
.xword 14
.xword 12
.xword 2
.xword 9
.xword 14
.xword 11
.xword 8
.xword 1
.xword 2
.xword 7
.xword 14
.xword 0
.xword 11
.xword 12
.xword 4
_t1_hmac_src:
.xword 0xc9b3acda26bc5053
.xword 0x3ee2ca598346beab
.xword 0x37b68033d36099b4
.xword 0xfbf1c718d4cbf9c6
.xword 0x00d2ad918927c3aa
.xword 0xd0c4500a06e9a305
.xword 0xfcb4f20d0f4eada7
.xword 0x10416c3e60fe007e
.xword 0xb16813a4d83b33cc
.xword 0xd65ad8bee274cb5e
.xword 0x62eb2b2a08803519
.xword 0xd348e8eb61272757
.xword 0x1c3e5f078d1d7287
.xword 0xd54b5e14917cedec
.xword 0xd4ca8683609d4550
.xword 0x46ced699d744db76
.xword 0x947ab8af50c70b83
.xword 0xe81522d74dfc9e4a
.xword 0x1291a4507c60b3be
.xword 0x5d267b117dc983e1
.xword 0xd0b7a9c8440fc2a5
.xword 0x2b11f3b6be12e0f2
.xword 0x03b2d6179d63ef8e
.xword 0xf4b118580a2ccfc4
.xword 0x24a9c00a141e0ebf
.xword 0x268a6e91f6e8fa83
.xword 0x8462c4fce76efa81
.xword 0xe82c53d5430c624b
.xword 0x3d1de62b26445783
.xword 0x2fb44dfc10cb20c8
.xword 0x28d80113ffffcbab
.xword 0x6bedb9088a94d8d5
.xword 0xfd18b244d5968483
.xword 0xaf99aeb1f17674f6
.xword 0x32548af470d270ce
.xword 0x190ef62ec954b6fb
.xword 0xd50f1e21c1f2de1d
.xword 0x91bc5ca3d8a86079
.xword 0x0d956835371b74cf
.xword 0xd108ef3797c0b471
.xword 0x6b62e8f60b878311
.xword 0xc049b8083480b7bd
.xword 0xc929180a959ca6f8
.xword 0xbdfbc2bfc3f94e70
.xword 0xd957adba537260ac
.xword 0xa92fe6ee8c530b67
.xword 0x5ee2dd565ce08974
.xword 0x0b6f078f5ffcffb9
.xword 0xaee243dcc4f5c139
.xword 0x143ef663ac9cba7e
.xword 0x66552034d1460951
.xword 0xa9a44dfa04673217
.xword 0xe4fe6ceaac9f7136
.xword 0xc224075abc158b5e
.xword 0xae28bb00f9456dc2
.xword 0x3fb6eeceac97d7a6
.xword 0x50bce9790c144feb
.xword 0xc44fb7373f6ca622
.xword 0x41629f2703d02fda
.xword 0x1efbf527eefbebb9
.xword 0x5a659e2395d1a644
.xword 0x81bcf0a04040edee
.xword 0x4a2a370fc63057d1
.xword 0xa3c66faec242ef46
.xword 0x1282ea66533c75df
.xword 0xf47afa0691d114ab
.xword 0x2c4a6185150b7c3f
.xword 0x4ea958b84e80edb8
.xword 0x9d1edfb61ffd3e95
.xword 0x5791402cd98f87a3
.xword 0x7ca90ff552994cef
.xword 0xce5fbb0b4ffcfaf6
.xword 0xe9b54469f5685ff9
.xword 0x8098de433449db80
.xword 0x846cc9cf8af9a0e5
.xword 0xbb4893517a4a44bd
.xword 0xe2d811b9df27dbec
.xword 0xc0bccc4312dd40b1
.xword 0xefc58afbbd5791a1
.xword 0xe5bc823d29db57ae
.xword 0x35677e52724809d1
.xword 0x5b0169ac3ed3a7a7
.xword 0xb643fe9b748a6248
.xword 0x9895e05bf61f3b7d
.xword 0xaaa07c44c4a34b67
.xword 0x8cdfcfbe00407948
.xword 0xfb82fedfc0813bd4
.xword 0x987173fe42e3bf09
.xword 0xbd55dcaf6a1d1f62
.xword 0xc508f5a925900ebb
.xword 0x1d1a8d4208bfa5f6
.xword 0x067f0c76d87560f9
.xword 0x4dcb20891ef927f0
.xword 0x92ff1ca2b0422c82
.xword 0x0d13b102199a7c2e
.xword 0x53bf72ca349b7755
.xword 0x760385f47217a89a
.xword 0x6fec55b5db57404a
.xword 0x63f08845288a92c2
.xword 0xe0a067538e6c0ee8
.xword 0x641c494fdd42c159
.xword 0x1feaee876909b38c
.xword 0x234b09f5ed2b5262
.xword 0xffee9cfe6c5a9777
.xword 0x21ab65da696db7a9
.xword 0xbc6d419b1d3cda74
.xword 0x67ad1d269f471d33
.xword 0x8e070a9d2081048a
.xword 0xe4c25bb4b41b224a
.xword 0xdb342e435b5c6fed
.xword 0xb7e92e053593dbd3
.xword 0x950a1c38eb42b285
.xword 0x7dce47176facfe9e
.xword 0xe3bae602ca9c08be
.xword 0xbde0acabc1c7e44a
.xword 0xa4cadbcbb12fe664
.xword 0xa4cf9c5557e2ae28
.xword 0x8fb76695750cd61d
.xword 0xd0fa44ba64cd9e3f
.xword 0x883a6ff6b50a91da
.xword 0x8266e6b895bc7060
.xword 0xe1fa16f53a43590f
.xword 0xa372ab97231ad36c
.xword 0x1bcd4c2abb2b787b
.xword 0xdd3b02e2242f9688
.xword 0xf15fc41a4efcb730
.xword 0xdfe1ca47cfafc08a
.xword 0xaa69785205e7a247
.xword 0xc7b86625f2bbe5ab
.xword 0xf4348d589bb8ba1b
.xword 0xca2344f0808a4264
.xword 0x3144cdecc1e699f6
.xword 0x0cfe1c4b8921e6a2
.xword 0x1fd18ab227e22747
.xword 0xe922d681c6c7b3b6
.xword 0xbc26b66ad9336a4d
.xword 0xbd9154e73af41e4e
.xword 0xbea2795def190dfe
.xword 0x9a17d79707126b2d
.xword 0xdebd811c1633e6fa
.xword 0x6398edeefd1ad871
.xword 0x4f0de7f7c915bbcb
.xword 0x885180da110e38a3
.xword 0x984f140773ee0cca
.xword 0xea8a197c9a1fdf6e
.xword 0x412a08a1318e3c49
.xword 0xfd44b7d34a640d92
.xword 0xe19ca3a50f44fa66
.xword 0x7e4e12f7099e7921
.xword 0x2d55e0702b660e19
.xword 0xb60846cc66e69a90
.xword 0x42c4adfdbda6e34f
.xword 0x82f0b50a118d03ad
.xword 0x0916b76cd5457da3
.xword 0x0ed334af655220ff
.xword 0x7ee0156ad19598c4
.xword 0x4d5e18cf9a3826ef
.xword 0x712928bb1ba02444
.xword 0x75be5794e93f590b
.xword 0x7d9a4dbca2e35131
.xword 0x38e8c342d42662a7
.xword 0x01358901d61aeba9
.xword 0x0dbce8d490bffd2c
.xword 0xdabc956f69f5669f
.xword 0x2bba8f5d5941ce6d
.xword 0x9086811e057a72fe
.xword 0xc44462781215bf71
.xword 0x7bd337d3c6444a5f
.xword 0x6cea1bb06e8b5ae1
.xword 0xa39a29ae4e28760e
.xword 0xf7bada4d876f71bd
.xword 0x4bf076749d80fc83
.xword 0x601542e08aa8992e
.xword 0xe1261f28f3d3c007
.xword 0x3b9505dbac285288
.xword 0x46151a945f7eacff
.xword 0x8537096f8efd9513
.xword 0x3061183b35540fbd
.xword 0x58afcca2f5160e60
.xword 0x7ad9219839501ebc
.xword 0x11c2c3671883bb90
.xword 0x19a67ec39399b6bc
.xword 0x382fbaa1b9455369
.xword 0x3cbe56180d2df4fc
.xword 0x288b413b4be5cca0
.xword 0x620552bdefb6954c
.xword 0x56e006fa0adf0b78
.xword 0x92cf46f03cbe2787
.xword 0x0d3945cf8c772d0f
.xword 0x6506737a649aaea4
.xword 0xc4f1cc1983de7bfb
.xword 0x26db0009ec397cd1
.xword 0x3da73ae54b61b909
.xword 0x6f72c856f784f3e4
.xword 0xb1791798fac748a1
.xword 0xc0997bb643c1cc3f
.xword 0xa547251387af9f04
.xword 0xccf715f4fa451f58
.xword 0x9597998b195098e8
.xword 0xb5c86a4dc9b81846
.xword 0x60c6aa724d66e548
.xword 0xd174e4ae34496553
.xword 0x824f7dd81b1a7588
.xword 0x393115de0ab07cc7
.xword 0xa7fceecfd2cb7654
.xword 0x4843a1fc3991364e
.xword 0x36f91cb9834574c9
.xword 0xc57fb679d7495820
.xword 0xb343901b39139600
.xword 0xfdaf426947acd4c6
.xword 0x195c8be61dc9a37b
.xword 0xd24666a35b62d3d3
.xword 0xd9bf8ead5b9d7733
.xword 0x1db73883e7c07b32
.xword 0x52123457908b0235
.xword 0x44411b4fce4a76ac
.xword 0x5168d44512199186
.xword 0xb5e0589eda60aae3
.xword 0x04c59f447f1d7653
.xword 0x502d0b7ce7d9b398
.xword 0x4b26e689a7cb8765
.xword 0x0f661bea7ca5e583
.xword 0x4265dce957763244
.xword 0xbabda98bcb12b4b2
.xword 0xbd94623313e1c334
.xword 0xc538cb1d2f8ba9a9
.xword 0xad1202f7bb830434
.xword 0x400416ae641a171b
.xword 0xaadc786394e73c44
.xword 0x092ca5cb7c7491bc
.xword 0x8eb31ea57dd3b52a
.xword 0x2fe0b03e583c08cc
.xword 0x6389715f6aaa1b3b
.xword 0x07f02c8c6ef59aac
.xword 0x48e39118c06b3f0b
.xword 0xf5da88f2b37e7e1a
.xword 0x87525df38e857a2c
.xword 0x998356b239d886b5
.xword 0x1662e6f8be574f4a
.xword 0x401ed89453628a5e
.xword 0xb8c4023688bf4161
.xword 0xae3b74bb8ad8f4ad
.xword 0x8005444144a1ed0f
.xword 0xa4bf39363bd8acc0
.xword 0xf347e7abda1888ee
.xword 0x42a7bf8d799132e4
.xword 0xa468a5b89bf6863b
.xword 0xcaf73497a2b257f1
.xword 0x0860d02d562b843c
.xword 0x8f0b5276fa509e62
.xword 0x16a37825c9d0d3bb
.xword 0x5c76e7b3a47892f4
.xword 0xdf4f720e1a229a1d
.xword 0x583469551cf0d373
.xword 0x854d4c50c5050abc
.xword 0x14d5707e7842f3da
.xword 0x4f57822e76ca8cb2
.xword 0x11747fa2df7c4a53
.xword 0xeb6c196369f904ef
.xword 0xcf48c92b30842bb8
.xword 0xcb2465d820cc0fe3
.xword 0xcd57ae5003124b7f
.xword 0xfd960eea70852e9e
.xword 0x9ab025cc7277c949
.xword 0xc51e20a2bd6daedd
.xword 0x9c22983670db179b
.xword 0x38750b06bb989a0f
.xword 0x5fad99f74ad132e9
.xword 0x8f32d0cca12ab3bc
.xword 0x5f7c0fec71d7ef9b
.xword 0xb04d89242d070803
.xword 0xe1b82b8395ba7d4b
.xword 0x572ddfac6c0801df
.xword 0x895f5b7863392cff
.xword 0x2352579612bcb549
_t1_hmac_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_auth_key:
.xword 0x0c59877bbffc9b8a
.xword 0xf1da0e52952f3eeb
.xword 0x17811008ea43d953
.xword 0xee5cd4bd3046e897
.xword 0x7cfba0d81187fc42
.xword 0x4a90bc9a26853999
.xword 0xb5f9da9de4963b43
.xword 0x5b45b7247a7c69ba
.xword 0x963099e044edc59f
.xword 0x4c23541eb216c18e
.xword 0x7304af2648ccd32d
.xword 0x7f628ce22928612c
.xword 0x8bbee841ec934345
.xword 0x5ae90d0f4017f052
.xword 0x5dc0703233736b73
.xword 0x62838ccbad347a10
.xword 0xd5261ac3673c2d11
.xword 0x228311479ca94405
.xword 0xbe56db427f79ef8a
.xword 0xa26ab9f4ba14adc6
.xword 0x2f2e1a3d7ca4c88d
.xword 0x6fe46edcbcfaf532
.xword 0x5100b8c5d26472d9
_t1_hmac_auth_iv:
.xword 0x27ada9defbb5661d
.xword 0xba093a8649043854
.xword 0xb143bf72202afac6
.xword 0xb55eabd58a30eb2b
.xword 0x9943f4e96599a9a5
.xword 0xeb99b502a49a93c9
.xword 0x5b86251aa85596d2
.xword 0xb3bdc98844650e02
.xword 0xb604f3afb65d9019
.xword 0x93cb85017a498756
.xword 0x4fa3493d3f9b9b53
.xword 0xe82a1e7c07236428
.xword 0xf4f31bf0f034c18b
.xword 0x2676f06160245a41
.xword 0xe6f21f011c511fa4
.xword 0xc220ba16ce4f6a7d
.xword 0x4b99028195baa69f
.xword 0x91581405f34da8d2
.xword 0x82ae400a0e5a4e6d
.xword 0x4a28b48c2ad075d7
.xword 0xd8bd2c93ad6c2336
.xword 0x2f510dc1f5dde0b9
.xword 0xe07c5cc4883580fc
_t1_hmac_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_key_array:
.xword 0x93c9313e30d77931
.xword 0xb9f9486537d363f7
.xword 0xabce99799ef5dacb
.xword 0xa6db44a10073d0be
.xword 0x833e655fbb7be348
.xword 0x3700bbc071fde203
.xword 0xa06be9bc3dbac939
.xword 0x9e2a2511494b7c68
.xword 0x8eb3cca5402c175c
.xword 0xf6420338f8f34ec3
.xword 0xe163728a846ec795
.xword 0xaa88e1ea4948a059
.xword 0xccdcc8758ea36072
.xword 0xc3197aee1fca1b7f
.xword 0xf00d52ccfe554946
.xword 0xac3aa11c05029be1
.xword 0x6956d79dacc1649d
.xword 0x62190a9aba449f48
.xword 0x7b4fde00e8d4fbfd
.xword 0xc82abc30cb7b6cc3
.xword 0x2bcd1bc1310538e5
.xword 0x090a56681f010a2f
.xword 0x35caa36f40465be0
.xword 0xcda3696e983f9dde
.xword 0x0b576627aa82d6f4
.xword 0x96a3de97f36db725
.xword 0x2e56ff8b892200b1
.xword 0x52ffc5705fee94bc
.xword 0xf2e8adcc2369d451
.xword 0x0c8194b6ac9f3e88
.xword 0xd797a5c8fb931b9a
.xword 0x05256b141ec534d8
.xword 0x7c4cec45103408bb
.xword 0xdcb969a41431034e
.xword 0x6c72d2249e88d7f8
.xword 0xec06b2537ce30203
.xword 0x78952e23277300d8
.xword 0x3bb7501c496bb278
.xword 0x765273eac5855a6f
.xword 0x3e36c5a84d38b788
.xword 0xc497bd8be06bc75d
.xword 0xf36303abbcb56ade
.xword 0xa4c45a095e23123e
.xword 0x7f8c128df2037045
.xword 0xdb05bc71e0c28695
.xword 0xae3a9a716a57d0bd
.xword 0x4cf7db67c9d1c9ee
.xword 0xeccccf020a1585d6
.xword 0x069fb7248cddd4e2
.xword 0x46a11eaac2376e21
.xword 0x62a39cb42f99d8d6
_t1_rc4_iv_array:
.xword 0xace2e5238e5a0495
.xword 0x2e711c0c960226d5
.xword 0x80a8d82048442b81
.xword 0xa3d29bcf01a9438d
.xword 0xd730c228cf44d702
.xword 0xb99a614ad771e708
.xword 0x5360c0e148604eec
.xword 0x399f54f016e49eda
.xword 0xd41ab5033077ce30
.xword 0xaa52d736d645b060
.xword 0x8d2bc2856e6170eb
.xword 0x6f5497812add90c9
.xword 0xf6fc5b5c06311bb7
.xword 0x57b14978d290165e
.xword 0x59b14e7152421524
.xword 0x31bc7e5395fd7f45
.xword 0x6f8eb18b58662734
.xword 0x0ce7877a464f1d69
.xword 0x620e69eea9c21c1e
.xword 0x9886043bd0771b78
.xword 0xdc1974d8ce74e917
.xword 0x391efb7d143e776e
.xword 0x03e0ddb2ddf317de
.xword 0x7230fd1647d3b6a9
.xword 0x98c007489e3c1cf0
.xword 0x80ddbb3b34f9d7a8
.xword 0xd7af376ee9adbd88
.xword 0x85942a3cb9b91d29
.xword 0xc2c561bbc07b996f
.xword 0x54929ef086a07600
.xword 0x3f9d9bda5b0736a4
.xword 0x860918722e36ce75
.xword 0xe3c0453489989b33
.xword 0x63b10a56903457a0
.xword 0x4cdbd4dec9c0b5c2
.xword 0x56273b5afcacb093
.xword 0x625ff5d42338836d
.xword 0x2d1219b738d3b764
.xword 0xe7964d4f07c7f739
.xword 0xaf475af7fdf8ea60
.xword 0xb0862e73d65a7638
.xword 0x02d40ec4b32e1574
.xword 0x016dcac72a0d0752
.xword 0x4e81cd58c11d77c8
.xword 0x62c64ec766078d03
_t1_rc4_alignment_array:
.xword 5
.xword 14
.xword 4
.xword 7
.xword 3
.xword 8
.xword 14
.xword 2
.xword 1
.xword 10
.xword 5
.xword 2
.xword 5
.xword 4
.xword 6
.xword 5
.xword 14
.xword 8
.xword 11
.xword 5
.xword 14
.xword 9
.xword 1
.xword 5
.xword 7
.xword 10
.xword 3
.xword 1
.xword 14
.xword 12
.xword 12
.xword 9
.xword 12
.xword 9
.xword 8
.xword 0
.xword 13
.xword 11
.xword 7
.xword 9
.xword 10
.xword 2
.xword 1
.xword 11
.xword 6
.xword 4
.xword 12
.xword 13
.xword 2
.xword 15
.xword 4
.xword 5
.xword 5
.xword 10
.xword 0
.xword 1
.xword 8
.xword 6
.xword 1
.xword 0
.xword 15
.xword 0
.xword 9
.xword 12
.xword 12
.xword 1
.xword 3
.xword 1
.xword 12
.xword 4
.xword 14
.xword 9
.xword 9
.xword 3
.xword 10
.xword 10
.xword 1
.xword 15
.xword 7
.xword 2
.xword 2
.xword 10
.xword 10
.xword 6
.xword 6
.xword 7
.xword 10
.xword 7
.xword 3
.xword 5
.xword 0
.xword 1
.xword 5
.xword 2
.xword 8
.xword 13
.xword 6
.xword 12
.xword 12
.xword 13
.xword 10
.xword 4
.xword 2
.xword 1
.xword 10
_t1_rc4_src:
.xword 0x5865fc2270b9ac0b
.xword 0x32836834a251ad54
.xword 0x804a1d05a427c759
.xword 0x3732dc4158348299
.xword 0x1528b775449e400f
.xword 0xab38c2c41f1f9cd4
.xword 0x45478e4caee87119
.xword 0xe02c52493f6a32d4
.xword 0xa038ac76bbb1a377
.xword 0x97895cffc636be1e
.xword 0x39781a1ddc48575f
.xword 0x278180f6b54151f4
.xword 0xed34d7a95b1174c8
.xword 0x6e4d9de69a8eadc5
.xword 0x1e18bae0ac9895f8
.xword 0x3219746f7946b341
.xword 0x3726a0ab99021adb
.xword 0x8fd6edc0b0dc5c04
.xword 0x3fd4bbc8e9aecbbb
.xword 0x0365386e784ec830
.xword 0x63db82ca5b1ff866
.xword 0x68370c0d25eed57b
.xword 0xa761ec0fb0f96f9f
.xword 0xcfcca5da5a8a5536
.xword 0xe931a3463715680f
.xword 0x8a82f57f472d3bc3
.xword 0xe04cc9575faa11f2
.xword 0x3368cc70969b1c8c
.xword 0xdb2c42f9fda1b843
.xword 0x572b057642dce3d3
.xword 0x495061dc3229cc78
.xword 0x7267f45b6de82383
.xword 0x9f1d49b4924c1147
.xword 0x83bdedd73f5d17a1
.xword 0x862d8480ab502a91
.xword 0x2dd90ab9ff4025ee
.xword 0x0f1cd47535aeaa53
.xword 0x8ea402a5ed299ece
.xword 0xfc32600f8f3d1915
.xword 0xb46aa9c73b93d159
.xword 0xc6e6b37e3cc57afc
.xword 0x4a3d52ec110c7286
.xword 0x1728c37804f48c59
.xword 0x6461d06826a21b05
.xword 0xa126efbf6cbc3be2
.xword 0x407b33dbe96fa1cf
.xword 0xb9422e111505d689
.xword 0x4e81e0336161c7c8
.xword 0xbbade0900db4bd50
.xword 0x633d2443b60f53de
.xword 0x54900ee2c39bf5b3
.xword 0x1034ec54e9ef6fd8
.xword 0x20182b39559dd62b
.xword 0x6fd9b3d492861707
.xword 0x192796b0fb3735af
.xword 0x58fbf84f9f48bbba
.xword 0x6fce350b18f274b0
.xword 0x533da8f02ed539d5
.xword 0x7d43bc26cbeb4a80
.xword 0x277ab7c2c9e993ef
.xword 0x13da4b43a7ac37d6
.xword 0xdda26cc8ede7b9e0
.xword 0x07afff5fc3d9c279
.xword 0x8883b9923a9bc393
.xword 0xc53e055664b07007
.xword 0x1c03f5ab607d7cc0
.xword 0x4486f89f8b24c272
.xword 0x9c70fb295760edcc
.xword 0x90d76cb3d6cdd73d
.xword 0x258f803ebd207510
.xword 0x6fe472c6514b03ed
.xword 0x2169fbd2ff553c26
.xword 0x7594f24cb666fd2a
.xword 0x61189eba97ff5187
.xword 0x4681df0d4c0262c8
.xword 0xe892f314aed15f00
.xword 0xbaf9ba375573b982
.xword 0xab3c81c42ccd273a
.xword 0x88938efc50912bc9
.xword 0xb5391ae1e4c60e89
.xword 0x9067b5e014e9ff8f
.xword 0xcdf2fe6daf07962f
.xword 0xd3298c5796aa3980
.xword 0x47c5af5d50b4562f
.xword 0x95c21376391b9850
.xword 0x31e220c9bb8c970c
.xword 0x0d5313ef786aa8f9
.xword 0x69b7340e07b23d0b
.xword 0x66cd027acff11268
.xword 0x08ac8969feb727c6
.xword 0xa7d6982d8830c0ed
.xword 0xed8fec93a3483fde
.xword 0xb09680e712b48546
.xword 0xd08faa86f48f7ef7
.xword 0xea1712b080e47d41
.xword 0x3424cbce74d03991
.xword 0x86ba900883ffcdeb
.xword 0x9aca9ca96a4beda8
.xword 0xbb85673e8ef08e9d
.xword 0xc61f0babb8ca2e55
.xword 0x371334ef78b3572c
.xword 0xfd44ab3a80cb9f02
.xword 0xb7dc46d91e9011d8
.xword 0x07a635ffb316b80a
.xword 0x2dafbc23c1189df1
.xword 0x033a1d2f22a1f3ba
.xword 0x968f264678ffe48d
.xword 0x75eceabdb4a9517a
.xword 0x6936ce6df0673314
.xword 0x27383a632935c623
.xword 0x2d0098a42d131c92
.xword 0x23787e4dd33a75c5
.xword 0x839eb0cbf8b28519
.xword 0x0f1363c2a373363e
.xword 0x8dff147d184de3f1
.xword 0x5e9de954ef9b5fb4
.xword 0xb29fefc0b92ef2fe
.xword 0x3a4d237c06a870e7
.xword 0xb3d25fa919c440dc
.xword 0x87d90949eb0dcab3
.xword 0xe2997a00f07a9eb7
.xword 0x5485d8a7ce32908a
.xword 0x279c373b9215f178
.xword 0xc350a3401fcbeb22
.xword 0xd955ea4869da58fe
.xword 0x79e31bc63895fc23
.xword 0xa32b6bc9c3f3ee68
.xword 0x4a17e2be517d56f1
.xword 0xa8689a1789d0ded5
.xword 0xcd85a1645c961311
.xword 0x4db4b58e1c6fec3e
.xword 0x73ed78a003f50e02
.xword 0xd29bf5caa3d6940f
.xword 0x1d68e9502081f1a0
.xword 0x4e6badd329e98e51
.xword 0xc07e71b22b4f1396
.xword 0xbd3ef9242f003dc2
.xword 0x5556dc3d42e61b87
.xword 0xab38c471ecd121c7
.xword 0x769a002c4fac9e03
.xword 0xa1fee089be23a584
.xword 0x66d685dea4ca2db4
.xword 0x42b89dcf1da10a1b
.xword 0x5cc04eb9773e44ff
.xword 0x826fb3c381ca1c2c
.xword 0xaf661e35e26b601e
.xword 0x2afccafea9f3c3e2
.xword 0x9f621f90d33c620d
.xword 0xfc89771d888c25d0
.xword 0xf4ff9d7e719df959
.xword 0xe80bf31bc46c639e
.xword 0xfa8decf9578772cf
.xword 0x3f32c36d0a0ebfb9
.xword 0x1f693651144bc8c1
.xword 0x9907829d5918c684
.xword 0xa6d42327f7311974
.xword 0x39f589798c80eb81
.xword 0x33e827899aa5eda1
.xword 0xc62f519a7b1d6461
.xword 0x11b48dda3f9f9dc6
.xword 0xdee88846b3a64782
.xword 0x5fafde9292ad1ed3
.xword 0xb8deb78d43120b3d
.xword 0xcafa258547d0c4e9
.xword 0x95b8dca208e128b5
.xword 0x5778851dc3a2c118
.xword 0x1d532ed13ddba632
.xword 0x0056ed5fe41e7d4d
.xword 0xc870fba0c7c04777
.xword 0xea68fd18d82c0657
.xword 0x175b5f4ff9dffded
.xword 0x3227ca2b7ff16bd2
.xword 0xd9b3e4f02c6f8df6
.xword 0x62b9cb8f0203e41b
.xword 0x8675161197f0ffbd
.xword 0xd01687abefbe999c
.xword 0x1db2258f99e46a14
.xword 0x8368482e450dbf5a
.xword 0xd975889baa79b167
.xword 0xf16c94070c2a5156
.xword 0xff31dfc060b400a1
.xword 0xab5d4a72a4e925eb
.xword 0x5a11bf08172f28f4
.xword 0xb3b8ea96430916e8
.xword 0xb41dbc9f4cc8c0c2
.xword 0x04560fd771061d1f
.xword 0xc449738d6baf2fa4
.xword 0xf178ebc1e6f5a5be
.xword 0xc70ad3956ce34680
.xword 0x2e8a8e3269e057d3
.xword 0x8555249c28b40e7b
.xword 0x3a4750fb7b090ab0
.xword 0xbf1e36f985a5920e
.xword 0x00a9aab52cd5d6ac
.xword 0x7836be7d249a210a
.xword 0x792fa6bef1fbf823
.xword 0xf8a585c1d439cade
.xword 0x6b0dde85d6d70fd8
.xword 0x3170ead67f5a546d
.xword 0x94c70e60b19727d3
.xword 0x109e1da41dc27f2c
.xword 0x5f920cda6612b537
.xword 0x075064c3ba83ec19
.xword 0x66ab72a9891485f6
.xword 0xe6c99fd0edcb13f4
.xword 0x6ce27127f90af7ab
.xword 0x21d981d3bd4149aa
.xword 0xc84438e13add9591
.xword 0x869f7dc59670688e
.xword 0x1db14c0cbf32e03f
.xword 0xd3db86bc4acfb6c1
.xword 0xa8d789730c8cfa3b
.xword 0xfbdf68610295df8c
.xword 0x2f9fa9906f7261cf
.xword 0x28df3555d7980636
.xword 0x6cdac9d94691ad0e
.xword 0x6f2ac68282dac166
.xword 0xcf88e12482a8ddd9
.xword 0xf4843134b7c6adb6
.xword 0x8a2a39a15fa5f79c
.xword 0xb153a00c322c1809
.xword 0xf950afaa408a32f3
.xword 0xede0b809c325bedf
.xword 0x91396004690ded23
.xword 0x667cd1a8e73ad7fe
.xword 0x24bff8c877539e3a
.xword 0xa9a8a14ed6836901
.xword 0x28482eedab152f5c
.xword 0x5184830296e2edd1
.xword 0x4206b352f901d0fe
.xword 0xcfcbdfe946db5c7a
.xword 0xc446842ad5c9584b
.xword 0x4dfdccf2f4c16ee9
.xword 0x88a6a96cccedf582
.xword 0xd14449fb71522723
.xword 0xe48faa5ef06ef8a4
.xword 0x94913053f64941e5
.xword 0x6a6dd518657b0b7c
.xword 0x6edd09feeed560a4
.xword 0x7102c96141509df0
.xword 0xa2fa6dd97ea19f3d
.xword 0xc04b5059cc702d47
.xword 0x346a953c414b32bf
.xword 0x89f166a9241197ad
.xword 0xf7b354f74e0a2a1b
.xword 0x6a364c5ae2eaf57f
.xword 0x6937703a781c7976
.xword 0xba382d7704b4e34e
.xword 0xbea397aa2e731d5e
.xword 0x4bbcdc56b6c2cc0c
.xword 0xafa1ee1b30f5d0f3
.xword 0x294ea83684f2dcda
.xword 0x7d93522f87f376a3
.xword 0xedbae8f414e1c581
.xword 0x746cca4fce1fcd73
.xword 0x3703011dccf918cb
.xword 0x6d597184e0c71568
.xword 0xac61ada7cdc81a61
.xword 0xb4d842aad865c80f
.xword 0xfe990ed41eaf0ee2
.xword 0x9c37445b34519a15
.xword 0x3b2baa8e1af370d8
.xword 0x86611caf930b0219
.xword 0xb37be6e9bcf25475
.xword 0x3b334b324a8b464d
.xword 0x111d1d950124cf68
.xword 0x0d97a78ea4b3e16f
.xword 0x496746e87316c535
.xword 0x136495e09b9cfddc
.xword 0x223dc553af7074ee
.xword 0xe2b83d4ce90bb70c
.xword 0x9f196841d7346c53
.xword 0x9c23848d6b8b9c79
.xword 0x0c0b4b89d2829786
.xword 0xf6940a83ac9c5f28
_t1_rc4_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_auth_key:
.xword 0x9318567a680c833c
.xword 0x6cedb3a06e2e6adb
.xword 0x9a0b66545cd99fa1
.xword 0x8d5cf2b8054dff5c
.xword 0xe145979274913521
.xword 0x1fbb9eb8d5d8dbbb
.xword 0x8cf699a13af6b8b8
.xword 0x867fdac8935b8328
.xword 0xf7903198e8f87ba0
.xword 0x80815b9427deb6c7
.xword 0xccd99fc81bbaa201
.xword 0xa83b9d83da769ad2
.xword 0x50539ad05a684370
.xword 0x95fe0a4cef08fb2a
.xword 0x4d2ace2e0865546f
.xword 0xa498c92a8001d8aa
.xword 0x7b9383cf9130fa75
.xword 0x1cb2287757b23365
.xword 0x52ba39a360841447
.xword 0x37a41b56a0007bd9
.xword 0xb3081e4dc3119fe3
.xword 0x7fe9feb282766ba1
.xword 0x72038aa41d734e73
_t1_rc4_auth_iv:
.xword 0x852dc66dca7a4aa1
.xword 0x73377462212f3a14
.xword 0x5020e20d29b182bb
.xword 0x9a26a4c4edffe0a8
.xword 0x7def316630c7b69b
.xword 0x65cbd2b0e38c5b61
.xword 0xbfa2540bfd7fd27c
.xword 0x9f4fab39611ffdd8
.xword 0x84068754a3cb9023
.xword 0x957d7195bf5164b5
.xword 0x839542f5764deddc
.xword 0xb12679686c9ad391
.xword 0xf11f110e1ad0bea9
.xword 0xb72cb2d3a3bceded
.xword 0x1315b6e01f9cb227
.xword 0x73c2629299d21786
.xword 0xb0f373bdbc5987e2
.xword 0x3285fe64351f2d3c
.xword 0x4d9ea07da0e09cca
.xword 0x390e3ae6ce58d9f8
.xword 0x11a00da794a2792c
.xword 0xc4d33a91e96e1c1e
.xword 0x5dec1e387cb881e4
_t1_rc4_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_key_array:
.xword 0xc43e9e7203225529
.xword 0xc172dd5ca037f630
.xword 0xe3a57c23fea20ad4
.xword 0x0ceca3aa87bd05ac
.xword 0x7e0062939c92d2f9
.xword 0x94b106bd0ff8a033
.xword 0x604001ceddc39865
.xword 0xc8d9e93436039091
.xword 0x9b11f67e1940d7c9
.xword 0x6900530a29b75327
.xword 0xe80d06d7b797278c
.xword 0x43823cfd5e170fe9
.xword 0x0ef3d22c0a5b323e
.xword 0x1284e5b4d420043e
.xword 0xe270b902e99714f6
.xword 0xada3ca793cde0611
.xword 0xf5354433a106cf38
.xword 0x0f006c80bcf0c204
.xword 0x706ffdedb959eb1c
.xword 0x2621ead363ad1554
.xword 0x7e664c786c0228e7
.xword 0x213f2ad92afa9ab5
.xword 0x24bdf874e3600e6a
.xword 0x8654e12f533c4e95
.xword 0x3fcc18def7eda4d8
.xword 0x940d39f419b95f8e
.xword 0x8df0441612eb7c2e
.xword 0x4ea6847d41984105
.xword 0x0216806030f07f91
.xword 0x4f1a9db0b1f84de9
.xword 0x99920a8ad0cf8987
.xword 0xfc0445fe14cfff3d
.xword 0x42d8c02a2e99a92e
.xword 0x91e49e705141b4d5
.xword 0xeaf8dc1335683762
.xword 0x2af2bb488542f501
.xword 0x3c45060b5d8ba895
.xword 0x9ecb7d07640b6739
.xword 0xfde284f128e5c7e1
.xword 0x75a8650e99d35f9e
.xword 0x68490cd4e49b1ea4
.xword 0x402d31ec0a14e035
.xword 0xc6530f6b18954a5c
.xword 0x14c57c808188a2e0
.xword 0x0e9a251d853c22e6
.xword 0xb7ec4182aedc606d
.xword 0x37d8e7d63646954c
.xword 0x3da3649453a78e2c
.xword 0x124b5c812ffa6258
.xword 0x45b0c640773f1594
.xword 0x05d4a19cf8f506b8
_t1_sslkey_iv_array:
.xword 0x0e2ddcb1c7d5b3de
.xword 0xa4b9b1b071a84c9c
.xword 0xa73cd452b99839c8
.xword 0x4df81384df133d67
.xword 0x6ebf7cb2207ff7e9
.xword 0x43e4c600477124f2
.xword 0xf8e401de88c1e738
.xword 0xafba2eb28b4fed80
.xword 0xd95ed40bd6cfe063
.xword 0xabc239e2a47d2e87
.xword 0xd98e069b3958ab00
.xword 0x71e5efa8f5bf9f77
.xword 0xcb2b08ba6eaba60f
.xword 0xc7220a33f507ecbd
.xword 0xa3ea015ba335c9f2
.xword 0xfe3cc6a3e681bbcf
.xword 0xb8fdb6b958b29109
.xword 0x4280b37a57ffec6b
.xword 0xd81165afb1cf89ad
.xword 0x11dbaf98839b9df9
.xword 0xbe888ea8bcad7918
.xword 0xd816894410fe3d18
.xword 0x3f3b6de445113e09
.xword 0x76f100714be8c1d2
.xword 0x86f0a8e3e1a26f78
.xword 0xc1a00b9cbf5904b3
.xword 0xff28f65cb55f661a
.xword 0xcb6a911f99c0a907
.xword 0x9a8977dbe38ed86f
.xword 0x3bee51aa180ae1a6
.xword 0xaba1417a3b0dc938
.xword 0x2893725fef00af5c
.xword 0xbf11393d1ec286d8
.xword 0x5927b6ef00c6296f
.xword 0x24e4396e61bd1c83
.xword 0xdbabd4d89e14bb54
.xword 0x106935583bcbad3d
.xword 0x5e03a8f818d27673
.xword 0x8cf5ff17cba45518
.xword 0x1051359e98836e5b
.xword 0xff57567923f13c9e
.xword 0x8f862d65f034206e
.xword 0x33346e08fb798444
.xword 0xd65c74ce1e719ec9
.xword 0x0bc36d468564b94a
_t1_sslkey_alignment_array:
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
_t1_sslkey_src:
.xword 0x64d2c4a3b54f5e39
.xword 0x62ee9340aa140b77
.xword 0x6487c2566606bdf3
.xword 0x2754d45b9b984adc
.xword 0x770d4860e51917ee
.xword 0x3edeeef626fc864c
.xword 0x2df9853f9d37739f
.xword 0x70794995f6b23d09
.xword 0x00c271e4b6c41fa7
.xword 0x33ea1c8eaa58628b
.xword 0x14a7a0ae77609b33
.xword 0xe23e3d09066f77b9
.xword 0xda78913e97c354eb
.xword 0x0c23b44fdc078875
.xword 0xb6d6b43d37f6a517
.xword 0x93fe5eff5f7ad342
.xword 0xc9f335fcb8daee07
.xword 0x7067117bcca96612
.xword 0x0f4b08866d46d023
.xword 0x6297e8720e29ffbd
.xword 0x905cd94a5fc55c84
.xword 0xa945ca4e95b61a4a
.xword 0x26e04a5428dfd8a2
.xword 0x3843a477563bfe3d
.xword 0x8fc11a0c4f9d4017
.xword 0x5f3de927e7da95f7
.xword 0x26332b8795e0c066
.xword 0x135bc8a1f1b359e3
.xword 0x3510303a774b568f
.xword 0x5acc0269ba037cdb
.xword 0x01d9c2681b03fe34
.xword 0xee887c83c4874daf
.xword 0x7559a304bf53ef3d
.xword 0x260b43533f7f7cc7
.xword 0xe78e211dc7a5ffad
.xword 0xc74b43e139624556
.xword 0x0d9701e9ce171f31
.xword 0xbd5470ec823ec6f7
.xword 0x64e24ad3f5732983
.xword 0x4b067cf0549e8658
.xword 0x06991be043a48abd
.xword 0xc0fe9fc7e16c1ccd
.xword 0xb8925e06630bd3cb
.xword 0x409f73ed92c7a3a5
.xword 0x50fcfc96d30799b8
.xword 0xc4bd126a999c87bb
.xword 0x27d24321c2c96760
.xword 0xa5d899f69f6002d0
.xword 0xb6d27120cfa0ea98
.xword 0x918a7b37210ec3b0
.xword 0xe75e702c539ab6f7
.xword 0x10bdf9dc36448675
.xword 0xa78967be2a12e455
.xword 0xdd789fbec6f0cc08
.xword 0x0d8448e4acbba57e
.xword 0x8f1ec760d984e07a
.xword 0x09e926cf76cd008a
.xword 0x3ee490152b6cb7df
.xword 0xcac5ad3e91b44078
.xword 0x1b26e718c5159abe
.xword 0x2cec8f86e6feb2ca
.xword 0x5bfc936aa1ac4010
.xword 0xa5fdf4acffb0f02c
.xword 0xbecb69573e73b7e5
.xword 0x876e109601993043
.xword 0xd525ff2b6f2a9d9e
.xword 0x0b6dbf55eaf24b2d
.xword 0x7f40d0b71612f563
.xword 0xf7f98c323fe5782e
.xword 0x3af662c22ab1b6d0
.xword 0x6bd0e7cd1cff498d
.xword 0x1b065485b3496df7
.xword 0xf2fe5a9ec926e02f
.xword 0xd10120a558c9dd6c
.xword 0xdf60ebc2b558e5a2
.xword 0x2e2d8000f20d0480
.xword 0xed5b038b56720a75
.xword 0x2843994cf7220058
.xword 0x7452eb136675a49d
.xword 0xdf8bd6e5acafc2cf
.xword 0x10e94960bcd40421
.xword 0x6ab6418bb9123d61
.xword 0x4389076cf23b3c3f
.xword 0x40cb0393db3f3f26
.xword 0x725ae47aaa56f909
.xword 0xd76400686f0369df
.xword 0xbd9b17dedfba9f8a
.xword 0xa2c3360c91310ce4
.xword 0x012e694e757fdd69
.xword 0xcb62493a53b50a11
.xword 0x9f23f6848c8e39e7
.xword 0x6a7adae085bcd518
.xword 0xf4f2452639f089ad
.xword 0xa7875c45562ccada
.xword 0x0d774c27cfd9bd1f
.xword 0xa2eb9f9542d87132
.xword 0x8797dd9fc6fd1beb
.xword 0xc7ddc6f8ce040d58
.xword 0x62eac2962bcdbc28
.xword 0x2d74290977d9ca3e
.xword 0xc498de0474f9ea8c
.xword 0x0812020239ae7e07
.xword 0xf346fbce78940c42
.xword 0x35fa97586d1f5ee8
.xword 0x9d5e0873f29a7e1a
.xword 0x99af480328d1172c
.xword 0x79c79f31c6245bbb
.xword 0x12ab1eddc91df25b
.xword 0xdc049b5fa5efeafb
.xword 0x5c229e5b394a1e81
.xword 0x208be404124ed3cc
.xword 0xdf6c03307c9b0497
.xword 0x633646c98aaddf21
.xword 0x058225466c566c78
.xword 0xadaf780a588fcb2b
.xword 0xee87df762f1047c4
.xword 0xe8788fe40448fb54
.xword 0x7b0eefba9d7c88c8
.xword 0x0ccaafb868186a3b
.xword 0x2c7826c274c540e2
.xword 0x502440b555d9b060
.xword 0xc802bab02d9854af
.xword 0xd690df02f0a38a6d
.xword 0xc37f55a83961afed
.xword 0x853548691fef8b1d
.xword 0xe15ba6bef156f271
.xword 0xc720d51996c6bcd1
.xword 0x1ce8c1cd14651154
.xword 0xf0aa084e7cd3c1cf
.xword 0x70bedb07f7a97a11
.xword 0xe5f0d040ba4e43de
.xword 0xe5aaf12784e9b505
.xword 0x702d410115bff8af
.xword 0x6fe1ce39f6b6808e
.xword 0xee78685242ec2f9c
.xword 0xcd42744f0051ec1f
.xword 0x95eddf1661cf84c3
.xword 0x865a9d1d7fc3e1e9
.xword 0x93fda73c9190d844
.xword 0x9e681adb95226c79
.xword 0x5a4f8369d8faf058
.xword 0x35342b5bb2be0c96
.xword 0xd09d23254643c8a9
.xword 0x118608011ffc21b5
.xword 0x492e419116d13ce7
.xword 0x748fa51405d86aa7
.xword 0x5e4d483d2b0e2709
.xword 0xbd44301ce6420a6d
.xword 0xacbbae3ad26b7270
.xword 0x7602450317c9a674
.xword 0xa0b3a77dfbb778b7
.xword 0xf4991419f27036ad
.xword 0xa45810f72264e6f8
.xword 0x85bb94ef4151c42a
.xword 0xf7391ab2ec1d48e5
.xword 0x00ac5d470dae5199
.xword 0x8e624118914f509b
.xword 0xe98dad626d953ac4
.xword 0x609f41b8c949848b
.xword 0x96f8253c7c724aff
.xword 0xfadf65310fcc2c0d
.xword 0x5d4ad5a0b3699afa
.xword 0x69eb2f1c03392f72
.xword 0x64abd85742c0dcad
.xword 0x7b511e5027fd61b0
.xword 0xc2979947f8231dd2
.xword 0x4a725080dd62f39a
.xword 0x9fdb84b373596ab6
.xword 0x1d9e86e8acda669b
.xword 0x09e3aae420ccfd62
.xword 0xd807fec8603ed0d2
.xword 0xd23bcbe225828f78
.xword 0x30ffc1a7de0f1b7f
.xword 0x585afaad672c3861
.xword 0x99be45b8dbe2814e
.xword 0x8190b5774fa168cd
.xword 0xda60819d446f4fef
.xword 0xeec603cba3a6e3f5
.xword 0xfa3201551e7a72ea
.xword 0x5960ac6c38563186
.xword 0x997528627c0b75f2
.xword 0x90eb9e4deabe8a2f
.xword 0xed16ea38776a7230
.xword 0xbc98bdaaf7fadaf8
.xword 0x29d469ef73051f73
.xword 0x7bd9e8c3bdca1baf
.xword 0x6e1d3805226cdaf9
.xword 0x7cde6b0e043bef4b
.xword 0x1a85c290ab7bafd1
.xword 0xa7e55f3bb8083e3a
.xword 0xd38706db68177ed6
.xword 0x6ac9d2bd5435a961
.xword 0x1a5333495724c564
.xword 0xeaa62a03c09623b7
.xword 0x3412c73e262e45bb
.xword 0xbe9bbf88a0c4a4c0
.xword 0x6675fda4a0e7a697
.xword 0xffe00e0e34dc80bb
.xword 0x59382f6a30f07551
.xword 0x79daeaad077fc260
.xword 0x554790544f3c97ff
.xword 0xf5b09cf064261f5b
.xword 0x0641000d64ce57bc
.xword 0xeb4a7967fa4b26c4
.xword 0x889bca2c59cec993
.xword 0xbad445caff63ae05
.xword 0x76de1c5943ac6cf9
.xword 0x2cb22293ed6197d1
.xword 0x135fcd6b611ab09c
.xword 0xac5f2f04db1f5b7d
.xword 0xf00b4d9c2c7a6823
.xword 0xed54388ae1c84c96
.xword 0x8f79003ac11def43
.xword 0x02fbe59e032ea72e
.xword 0x6c70ac9cda9789bf
.xword 0x78da5e15bd393056
.xword 0x204a07b647d0cffa
.xword 0x1d0af37c59036c24
.xword 0xc82c65ce88f60d4c
.xword 0x6d845bf34e564526
.xword 0x74fcf8218235fb47
.xword 0x37eb31d8f7a4458b
.xword 0xc9b8a61846acfc6f
.xword 0xdc7243afdf5ab8e7
.xword 0x0caa09982ee32770
.xword 0xc48974386bdf435f
.xword 0x21e2771b4b8b6675
.xword 0x6c03c2ea10acd622
.xword 0x9f16c417e9231e9f
.xword 0xe3599afba8a07241
.xword 0x55705ffda4bdeba2
.xword 0xb3f2125ec6e95e11
.xword 0xa13a5c3755231fa9
.xword 0x7b559c7d10553bc9
.xword 0x5653410b674a17ea
.xword 0xa95a8cb22cd8c01a
.xword 0x267123c51278349d
.xword 0x093bfcca205c1f23
.xword 0xc937dc8ba05b67d3
.xword 0xab687900c74254ae
.xword 0xdd5b726464e6d19b
.xword 0x379f06f80fcedb4e
.xword 0xdc1cd0aecec3ffe1
.xword 0x0b3310abe56b56d5
.xword 0x9366d22edee71aa0
.xword 0xf76fa7b6b84c22b7
.xword 0x69fd984428c7c9fa
.xword 0x9daf4045a19ceb3e
.xword 0x496db3c49129a019
.xword 0x1ee711d84e243455
.xword 0x98c51443ae430eff
.xword 0x8bf00a1adf7b60b7
.xword 0x8852d61c0711f9ba
.xword 0xe5ea02c1e844b2b4
.xword 0x4172ba41ac74336b
.xword 0xf079844058c79437
.xword 0x5e72989d6c1fa225
.xword 0xea78666e9ff03da9
.xword 0xe88cf5c846a85108
.xword 0x785410a846ea94f5
.xword 0x5f0da210fb817573
.xword 0xdf2ac5840800d126
.xword 0xc708e5c10bd6be85
.xword 0x4202644102f3cf85
.xword 0x5b9ca47dd28f2dd6
.xword 0x15950adf05fccabf
.xword 0x815f86871d6a11aa
.xword 0xfd66df41b1befcab
.xword 0x6073250232eab316
.xword 0xc70e2aac4e2d236d
.xword 0x70f447ed79f78e29
.xword 0x53dcad331e391ad4
.xword 0x94adcf9e6d54cb65
.xword 0x0becd95d82f51a19
.xword 0x87aaf146fdf6330c
_t1_sslkey_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_auth_key:
.xword 0xcca791258f6ec22e
.xword 0x5f24a89bdb8512ff
.xword 0x49c602547b60d1d9
.xword 0x82c030af977788d2
.xword 0x87eef07f8f7f75b1
.xword 0x20aca778dbcb17cb
.xword 0x3f782e2c50ac72a5
.xword 0x3c98ff0788d93696
.xword 0x1c3d174781004f91
.xword 0xa289d7cceb7883dc
.xword 0x5d38003c93255b19
.xword 0x256af4e7b82cef55
.xword 0xd71cccd167650e09
.xword 0x257886d0972eb50a
.xword 0x85a13316c54681b1
.xword 0xb1261f4b643939f0
.xword 0x579b03032c4dd48a
.xword 0xe27eea5fc10a90db
.xword 0x4dd02096748487a0
.xword 0xdc17800fc98508fe
.xword 0x58f4fcda658a2f31
.xword 0x45e0f2608b19b671
.xword 0x0149ca4eb74e26db
_t1_sslkey_auth_iv:
.xword 0x1c50d6b2d8e50e31
.xword 0x3276111e85c7ca7b
.xword 0x6688f78b9538af8e
.xword 0x3aef4cfb0877a558
.xword 0x2065a3bab2ef41b8
.xword 0x4be991314c0d8775
.xword 0x9a528269cac4e328
.xword 0x24138f0834dd7e79
.xword 0x4d80cb0969f888df
.xword 0xc405c54ed7a058e4
.xword 0x9313b97cb991a65e
.xword 0x1e13196ed3804614
.xword 0x6ccef0b17b2dbd4d
.xword 0xfea61f92ba6eaa85
.xword 0x160fec9729ba8a15
.xword 0x8432fcb44d30dabb
.xword 0xa5888a77a02906b1
.xword 0x33f98c8e3d0a42cf
.xword 0x81996904cfe8c3af
.xword 0x23101999a7c6fc6d
.xword 0xad01f97e856e1a8a
.xword 0xd41f4e59ef3d92bf
.xword 0xb2fb9fe2ed41fdc5
_t1_sslkey_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_toc:
.xword _t1_aes_cwd_array
.xword _t1_aes_src
.xword _t1_aes_auth_key
.xword _t1_aes_auth_iv
.xword _t1_aes_fas_result
.xword _t1_aes_key_array
.xword _t1_aes_iv_array
.xword _t1_aes_dest
.xword _t1_aes_alignment_array
_t1_des_toc:
.xword _t1_des_cwd_array
.xword _t1_des_src
.xword _t1_des_auth_key
.xword _t1_des_auth_iv
.xword _t1_des_fas_result
.xword _t1_des_key_array
.xword _t1_des_iv_array
.xword _t1_des_dest
.xword _t1_des_alignment_array
_t1_copy_toc:
.xword _t1_copy_cwd_array
.xword _t1_copy_src
.xword _t1_copy_auth_key
.xword _t1_copy_auth_iv
.xword _t1_copy_fas_result
.xword _t1_copy_key_array
.xword _t1_copy_iv_array
.xword _t1_copy_dest
.xword _t1_copy_alignment_array
_t1_crc_toc:
.xword _t1_crc_cwd_array
.xword _t1_crc_src
.xword _t1_crc_auth_key
.xword _t1_crc_auth_iv
.xword _t1_crc_fas_result
.xword _t1_crc_key_array
.xword _t1_crc_iv_array
.xword _t1_crc_dest
.xword _t1_crc_alignment_array
_t1_hash_toc:
.xword _t1_hash_cwd_array
.xword _t1_hash_src
.xword _t1_hash_auth_key
.xword _t1_hash_auth_iv
.xword _t1_hash_fas_result
.xword _t1_hash_key_array
.xword _t1_hash_iv_array
.xword _t1_hash_dest
.xword _t1_hash_alignment_array
_t1_hmac_toc:
.xword _t1_hmac_cwd_array
.xword _t1_hmac_src
.xword _t1_hmac_auth_key
.xword _t1_hmac_auth_iv
.xword _t1_hmac_fas_result
.xword _t1_hmac_key_array
.xword _t1_hmac_iv_array
.xword _t1_hmac_dest
.xword _t1_hmac_alignment_array
_t1_rc4_toc:
.xword _t1_rc4_cwd_array
.xword _t1_rc4_src
.xword _t1_rc4_auth_key
.xword _t1_rc4_auth_iv
.xword _t1_rc4_fas_result
.xword _t1_rc4_key_array
.xword _t1_rc4_iv_array
.xword _t1_rc4_dest
.xword _t1_rc4_alignment_array
_t1_sslkey_toc:
.xword _t1_sslkey_cwd_array
.xword _t1_sslkey_src
.xword _t1_sslkey_auth_key
.xword _t1_sslkey_auth_iv
.xword _t1_sslkey_fas_result
.xword _t1_sslkey_key_array
.xword _t1_sslkey_iv_array
.xword _t1_sslkey_dest
.xword _t1_sslkey_alignment_array
_t1_table_of_context2:
.xword _t1_aes_toc
.xword _t1_des_toc
.xword _t1_copy_toc
.xword _t1_crc_toc
.xword _t1_hash_toc
.xword _t1_hmac_toc
.xword _t1_rc4_toc
.xword _t1_sslkey_toc
!# CWQ data area, set aside 512 CW's worth
!# 512*8*8 = 32KB
.align 32*1024
_t1_cwq_base2:
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.align 32*1024
_t1_cwq_last2:
SECTION ._t1_T_CWQ_DATA3 DATA_VA=288358400
attr_data {
Name = ._t1_T_CWQ_DATA3
hypervisor
}
.data
_t1_user_data_start3:
_t1_scratch_area3:
.align 16
_t1_spu_op_array3:
.xword 5
.xword 1
.xword 6
.xword 7
.xword 0
.xword 0
.xword 5
.xword 0
.xword 2
.xword 5
.xword 6
.xword 2
.xword 7
.xword 5
.xword 3
_t1_aes_cwd_array3:
.xword 0x40e000401400000f
.xword 0xc0e000601400001f
.xword 0xc0e000a01000001f
.xword 0x406000201300001f
.xword 0x40e100401b00002f
.xword 0x406100801300000f
.xword 0x40e100601b00000f
.xword 0x406000601500000f
.xword 0x40e100201500001f
.xword 0x40e000801b00003f
.xword 0x40e100201900001f
.xword 0x40e100a01400000f
.xword 0x40e000e01900000f
.xword 0xc06000601500001f
.xword 0x406100601900001f
_t1_des_cwd_array3:
.xword 0xc0e100c00c000007
.xword 0xc06100800d00001f
.xword 0x406100c00d000007
.xword 0x40e000600a000007
.xword 0x40e000200c00000f
.xword 0xc0e0004009000007
.xword 0xc0e100e00800001f
.xword 0xc0e100800e00001f
.xword 0xc0e000a00d000007
.xword 0x406000400a00001f
.xword 0x40e000c00e00001f
.xword 0x406000800a000017
.xword 0x40e100400a000017
.xword 0xc06100000800000f
.xword 0xc06100000c00001f
_t1_copy_cwd_array3:
.xword 0xa061006000000004
.xword 0xa06100800000000e
.xword 0x206000a000000003
.xword 0xa061002000000009
.xword 0x206000a00000000f
.xword 0xa06000800000000e
.xword 0x206100a000000004
.xword 0x206000200000000f
.xword 0x2061004000000002
.xword 0x2060004000000007
.xword 0x206100a000000003
.xword 0x2060002000000009
.xword 0x206000800000000e
.xword 0xa06100a00000000f
.xword 0x2060000000000009
_t1_crc_cwd_array3:
.xword 0xc16003240000000d
.xword 0x416201080000000f
.xword 0x4160032400000009
.xword 0x416201880000000b
.xword 0x416303a400000008
.xword 0xc161014800000004
.xword 0x416003e40000000f
.xword 0xc16001680000000b
.xword 0xc162032400000005
.xword 0xc16001880000000b
.xword 0x416203a40000000b
.xword 0x4161018800000009
.xword 0xc16303440000000f
.xword 0xc16201c800000003
_t1_hash_cwd_array3:
.xword 0xc16012e20000003e
.xword 0xc1610b0100000014
.xword 0x4160076100000000
.xword 0xc16116e300000015
.xword 0x416308c30000003f
.xword 0xc1620fa10000000e
.xword 0xc162090100000040
.xword 0xc16101c300000004
.xword 0x41630fc10000002a
.xword 0x416018a30000000a
.xword 0xc1600ee300000000
.xword 0x41600a210000000a
.xword 0x4160036100000035
.xword 0xc16101e100000025
.xword 0x416007e300000015
_t1_hmac_cwd_array3:
.xword 0xc1620fe9000f0029
.xword 0x416301c9000f002f
.xword 0x41630045000f003d
.xword 0x41600cc5000f0022
.xword 0x41620ca60013002e
.xword 0xc16306c7001f0039
.xword 0x41620d49000f0000
.xword 0xc162006a0013000d
.xword 0xc1620ec5000f003c
.xword 0x416000c5000f0040
.xword 0xc1610c29000f002e
.xword 0xc160056b001f0038
.xword 0xc1600c25000f000f
.xword 0x4162064a00130040
.xword 0x416301c9000f0002
_t1_rc4_cwd_array3:
.xword 0x40e000c000000001
.xword 0xc0e000000400000e
.xword 0x40e100a004000000
.xword 0x40e0002004000001
.xword 0x40e000e00000000f
.xword 0xc0e100a004000003
.xword 0x40e100600400000b
.xword 0x40e100200000000c
.xword 0x40e100200400000f
.xword 0x40e000a000000003
.xword 0xc0e0004000000001
.xword 0xc0e0006004000003
.xword 0xc0e000c00000000f
.xword 0x40e000800400000e
.xword 0x40e000000400000b
_t1_sslkey_cwd_array3:
.xword 0x9060348000000000, 0
.xword 0x9060030000000000, 0
.xword 0x9060208000000000, 0
.xword 0x906040c000000000, 0
.xword 0x10603cc000000000, 0
.xword 0x106020a000000000, 0
.xword 0x10602b8000000000, 0
.xword 0x1060118000000000, 0
.xword 0x906013a000000000, 0
.xword 0x9060016000000000, 0
.xword 0x90600a0000000000, 0
.xword 0x10603fe000000000, 0
.xword 0x906012e000000000, 0
.xword 0x106001a000000000, 0
.xword 0x1060162000000000, 0
_t1_aes_key_array:
.xword 0x6aee54e9a323ff9e
.xword 0x89623e381ec5db8d
.xword 0x290e08a5a6cb5ad4
.xword 0x7559699198b62670
.xword 0x6c575e1c39fcc326
.xword 0x6a470b229e6a470c
.xword 0x58475e85dfeff4f2
.xword 0x549205d367d41c94
.xword 0x515f67e2e5d39d42
.xword 0xfce77fbf27e43ffd
.xword 0xea38c6e852135061
.xword 0x6a15a9ec41502e70
.xword 0x6d6c10ff9c442609
.xword 0x7e1644c4a950cfd7
.xword 0x7cfdd1b11d1390c3
.xword 0x44964c484083e0aa
.xword 0x2394d179d59f122c
.xword 0x0091ce550a8f077d
.xword 0x603a7dd66c7ff5d6
.xword 0x850ab36b2f33b09f
.xword 0x7aac62b0b827a3fc
.xword 0xf4831ec0eb43e912
.xword 0x64fbe0fe7eb9ccba
.xword 0xc8f660ba6cd15171
.xword 0x4571cbc738d6a6de
.xword 0xbcc257f9709875bc
.xword 0x5564640f08fce61d
.xword 0x9b323608c8d22007
.xword 0x7c62cc7c5bbe1c55
.xword 0xb2bfea31879e355f
.xword 0x2f5711b9fdfc770a
.xword 0x0ee282135d21d02f
.xword 0xe0af6fe2b9aa9481
.xword 0x1c20013b41672645
.xword 0xb04a3cf6b8890651
.xword 0xb4570fa0c109fc25
.xword 0x0c67cf2569b4bff2
.xword 0x4ac71d631577cfa2
.xword 0x6fe1404b8f23309f
.xword 0xc848f278f59ba722
.xword 0x445107201c319be9
.xword 0xd65961defe78b50f
.xword 0xf8b1a97d8c562435
.xword 0xe4aa1cf60a1bda38
.xword 0xd7b3cc735e04d97b
.xword 0xafbed460bdd2aead
.xword 0x1a64ed590e3555eb
.xword 0x73b0f90397a062d5
.xword 0xc601d60e5bdc918c
.xword 0x2b2a87f6d8c2a679
.xword 0xcbcd88970ad06608
_t1_aes_iv_array:
.xword 0xbe6ccb97d77f29b8
.xword 0xa958e7f8fc622e45
.xword 0xd9b72df87df65d63
.xword 0xcdf73b2068fda43c
.xword 0xf2db4b5d742ba09d
.xword 0x499210c5ec286aca
.xword 0x215a20541402e8cc
.xword 0x0a060eb04b6b8c0d
.xword 0x571320bda3e0854e
.xword 0xf767ad340f9f2a1d
.xword 0xbbd8775e7f7a502c
.xword 0x169d50dcf1f6825e
.xword 0x1703399aa2113ed3
.xword 0x83ed63d9056174e5
.xword 0x67cc4ec9d9ae6bec
.xword 0xa4a3392dfc450976
.xword 0xde8185bc52788657
.xword 0x09ac2830745e1c34
.xword 0x403ad0a92abb7c9a
.xword 0xde11c90e540ea5b8
.xword 0xcb3e14faf9e3747b
.xword 0xedbc95aba30438de
.xword 0x8810951fdb0333fc
.xword 0x12664f39ed74cacf
.xword 0x768ef3588cd2da7e
.xword 0xd36f6c0b44d0451b
.xword 0x0ed9e2b7f9a2bac0
.xword 0x7b347a5deb3cb06d
.xword 0xfa7acfea16b535fb
.xword 0x588c02a26959e77c
.xword 0xb884d8d5b1cb7a4d
.xword 0x02cacac4e18c7ddb
.xword 0x0b60a5059b730081
.xword 0xd43b974142311452
.xword 0xb1266eeb9370f02b
.xword 0x210db540f48a1ede
.xword 0x77876c94c2701b6f
.xword 0x091320e3d85afff4
.xword 0xd22cb402242acfd8
.xword 0xf8111328dbbf3b66
.xword 0xbb2ecff044d868dd
.xword 0xebe0eb1d8097a5b5
.xword 0x50207aa80a97d572
.xword 0x0caef44a04b743c9
.xword 0x8d2b7115b288306f
_t1_aes_alignment_array:
.xword 8
.xword 12
.xword 5
.xword 15
.xword 0
.xword 8
.xword 14
.xword 9
.xword 14
.xword 0
.xword 4
.xword 7
.xword 8
.xword 11
.xword 8
.xword 9
.xword 5
.xword 13
.xword 0
.xword 6
.xword 10
.xword 3
.xword 1
.xword 13
.xword 9
.xword 11
.xword 5
.xword 2
.xword 4
.xword 2
.xword 5
.xword 9
.xword 7
.xword 6
.xword 7
.xword 0
.xword 12
.xword 9
.xword 13
.xword 12
.xword 5
.xword 9
.xword 7
.xword 4
.xword 11
.xword 12
.xword 14
.xword 14
.xword 14
.xword 6
.xword 14
.xword 2
.xword 0
.xword 13
.xword 15
.xword 4
.xword 14
.xword 12
.xword 9
.xword 1
.xword 9
.xword 12
.xword 2
.xword 6
.xword 13
.xword 5
.xword 14
.xword 1
.xword 11
.xword 8
.xword 5
.xword 5
.xword 14
.xword 11
.xword 15
.xword 14
.xword 12
.xword 13
.xword 11
.xword 11
.xword 4
.xword 13
.xword 2
.xword 5
.xword 8
.xword 15
.xword 4
.xword 11
.xword 3
.xword 3
.xword 7
.xword 11
.xword 13
.xword 10
.xword 3
.xword 4
.xword 3
.xword 3
.xword 8
.xword 10
.xword 8
.xword 4
.xword 14
.xword 2
.xword 4
_t1_aes_src:
.xword 0x0b2870c5b1bf1714
.xword 0xa294ec1205d1a144
.xword 0x345c635929e4f4a7
.xword 0x7cc7cc5e3ea524c7
.xword 0xfe68b51960039e0f
.xword 0x60e6eda62eb9c209
.xword 0x689efbf2c84ae94b
.xword 0x62b9469faaf6056b
.xword 0x278b06cf94ec9289
.xword 0x3cc23241e1e2ff33
.xword 0x19ef1ef69834db51
.xword 0x5b1a50ac8db592f0
.xword 0xe2614f0e7c51f84a
.xword 0xa99c7583c62a2357
.xword 0x72384792a21cd0b3
.xword 0x7790fcf2d7f58112
.xword 0xa3ce5ead09f372a5
.xword 0x66512170ef4e5ede
.xword 0xad8d89a49d43c9d2
.xword 0x852a3a039ca2bde7
.xword 0xb4cb727f207c973d
.xword 0x633124bdff811be0
.xword 0xc053582d9c8e25cb
.xword 0x107f07004c22c9cd
.xword 0xd5b70f33fc4a33ea
.xword 0x55387f35618e155a
.xword 0x61579d65a1d60372
.xword 0x66a24912de1075e1
.xword 0xa5266c4fad5bb30f
.xword 0xe695e483ddd71e90
.xword 0xaa3e81351d242690
.xword 0xc9ae4016a32f54af
.xword 0x6fe1997f953abf23
.xword 0x65f53aa4bac0283e
.xword 0x6cc4aee6be6ec542
.xword 0xee9fbdc54c4c0b44
.xword 0xc76ed26fcd3a1c62
.xword 0x08484ef2c5f81f9d
.xword 0x0812cf6d57240dd0
.xword 0xda3877c7137059df
.xword 0x6622a01ec98c45ee
.xword 0xf53f27e8691a2e5b
.xword 0xad1a1f097043c077
.xword 0xe3d379406eb05134
.xword 0x0e7278df27a7a2e8
.xword 0xb43fe7b20d94bef6
.xword 0x12da1dc8ffbd4fa9
.xword 0xb6acf5ad934c801e
.xword 0x192ccb4511b36ed9
.xword 0x8c4a310fe7eb93e9
.xword 0x862a30e222b250eb
.xword 0x51eca95b32b8fde3
.xword 0xaaa24891761122aa
.xword 0x75bf0ff7cda71500
.xword 0xfa894209b1c28f26
.xword 0x0b80830253b55e30
.xword 0xcc6d6721e91ca3fa
.xword 0x3364b99da4f21705
.xword 0x3d80c23e4f80f753
.xword 0x8fe9a03f4a4d051f
.xword 0x780c1666e8da290a
.xword 0xde9fd70314a42b67
.xword 0x1cad0570c02089e1
.xword 0xeaa9809f765c5d43
.xword 0x9c44aa04c1830efc
.xword 0xb28b82448d7e8ff9
.xword 0x4763e370c2c97093
.xword 0x5c63832142db18fe
.xword 0x873816e442600178
.xword 0xacc30314c9a42b90
.xword 0x113de5faf2e07691
.xword 0x72c498011f902aaf
.xword 0xa0d481b977fe7a14
.xword 0xf962046dfd050623
.xword 0x6f998c7b3b549db2
.xword 0x594c738bfbe5f47c
.xword 0xb2fe1cfa3799c94a
.xword 0x734d4bbfb615a5a4
.xword 0xa5b934ae91379898
.xword 0x3186127562c826de
.xword 0xd85a503ec81ce90b
.xword 0x61fe167de054f7b8
.xword 0x5b9ad8741b976770
.xword 0xa7a187fae39418d2
.xword 0x9c1eea45b2aea47c
.xword 0xb171e1c5007a458d
.xword 0xea5008834ac97718
.xword 0xee05312eb2348c8e
.xword 0x45fae032964dedae
.xword 0x449b923e18f923f8
.xword 0xf07b2362503ec830
.xword 0x6bbd2403f0695a29
.xword 0x1ced7a6e56032130
.xword 0x5dc64450e9b7faae
.xword 0x1a6eca3fa7cd95b6
.xword 0xb90753aa5a883ebe
.xword 0x561b23cdc07a71fa
.xword 0xe18252930493b221
.xword 0xd7e7ae70442653cb
.xword 0x0543771f6e5185a7
.xword 0xf9be73bcdd8f378e
.xword 0xba2f6f0764ab21f9
.xword 0xb1f457370b24c68e
.xword 0xa981c7dd4ab3d50a
.xword 0x1beebb7be38339eb
.xword 0xa7fe29c76ca36d81
.xword 0x34c8dffea201acaf
.xword 0xad419b07aa1862fe
.xword 0x7f54ca827fe3a87a
.xword 0xce48a5e0f75a78cc
.xword 0xf8c79faf12994bd8
.xword 0x535ea479a103e99b
.xword 0xf4d5cdea9e000fa0
.xword 0x8f671a3569652aec
.xword 0x4048f0b4a90bfb7c
.xword 0x46ab658b443839bd
.xword 0x007d7ef911f2cd45
.xword 0x809e164148d858c5
.xword 0xce79aef1a282d463
.xword 0xe18cec22dd8c831b
.xword 0xa66d4345b9d9d8ae
.xword 0x6fd0fd9a665a4afd
.xword 0xf4478cb283a437b0
.xword 0x0907143f53692d16
.xword 0x51f1e55e86ca13c9
.xword 0xf471a8728a8471fe
.xword 0xd16b1479e2a9d9f6
.xword 0xeafdd4aca6882356
.xword 0xf9e263ec2e10fde0
.xword 0x8a037907572c8fbe
.xword 0x737b4e4e7b53823d
.xword 0x052e611e36bb3877
.xword 0xd259e3695570c94d
.xword 0x0451b2840d1e4b0e
.xword 0xe50ada179f336a17
.xword 0x643fd428092e4e3b
.xword 0xeae2c7962774488f
.xword 0x2495cf16a7190b86
.xword 0xbae4196039882674
.xword 0xe8c2d87c8d41b139
.xword 0xa2417dae0c1a3c53
.xword 0x0a6c8cea5d27955d
.xword 0xb07ad675b12c92ba
.xword 0x29a0a0d312a01b74
.xword 0x18c39bbd52a4cfae
.xword 0x4253613cde33f522
.xword 0xbb20d2fb220a146b
.xword 0xe95b438013867f62
.xword 0x345a3a75941a6846
.xword 0x164dc47735e589b0
.xword 0x7758412fb13a438e
.xword 0xf413ebc8ecf68b3b
.xword 0x930acc4bd806bfdb
.xword 0x9534aebbe299aec8
.xword 0x04e102f002973015
.xword 0x8304737c3b193c63
.xword 0xc6036727f7f37425
.xword 0x3a82771897b74c0e
.xword 0x82bfc7e55bb31e5e
.xword 0xcfe98858ead68b4a
.xword 0xa882049939c82b66
.xword 0x40883717be58358d
.xword 0x27bbceb614d74d33
.xword 0x415b09e2eed40417
.xword 0x121b8582f01e8a9a
.xword 0x3389e01c8abebd18
.xword 0xf0706283fe7847f5
.xword 0x948b50dc4fe0940f
.xword 0x061d5102a409affb
.xword 0x24ffba7da2f010aa
.xword 0x8f5b21b867f2283d
.xword 0xe9e55f5e1acf22fc
.xword 0xbf8aa4695ac8f640
.xword 0xb9927c1cb61ae465
.xword 0x5c02ee49448fc5aa
.xword 0x208a9bedf0d5fdbd
.xword 0x8a6edadb1d1d3be2
.xword 0x6ce7d00760192a1a
.xword 0x6c196c0a8e1b8e46
.xword 0xdf25016a191aea1b
.xword 0x999f675bc171de68
.xword 0xd9f297952d7d7b48
.xword 0x7d9425eed3cd1dce
.xword 0x80970759229e9acf
.xword 0xb695d62f870832af
.xword 0x685d3173d19816f2
.xword 0x5bfbb015bbee1ef0
.xword 0x3fbfe6edf5ffc345
.xword 0x9c7ea3d545c5cb03
.xword 0x7cbc77109e59d18d
.xword 0xdfda3cfb4eff8f14
.xword 0xe349b1d02c0fbd4e
.xword 0xfd0c2fa64f5babfb
.xword 0xc632b6e6d597214b
.xword 0x0d2ca454f256fb2c
.xword 0x51696ca86a080cb2
.xword 0xec25b54be95cac86
.xword 0x0de522fd672e3588
.xword 0x60be2b0a9b5de56a
.xword 0x6183567b774f8e45
.xword 0x8e8222a133817501
.xword 0xb32bcbaea8e52cc7
.xword 0x2b84f8d0c1990c2b
.xword 0x613182b8f91b929c
.xword 0xffe722c19fc3a81b
.xword 0x22ab5c3c3c9b9188
.xword 0x48ff79de677af9ec
.xword 0x2fddaf7a37bdda75
.xword 0x350092bcf7f06d30
.xword 0xad4d6a4bf8ee4597
.xword 0x6011ca79fd22bdbf
.xword 0x8ba877e46be7e176
.xword 0x28137cd871a84b4a
.xword 0x2b8150fadee0c05b
.xword 0x4d94f7d688630053
.xword 0x4452345f50469edc
.xword 0xef3833c2c22bdf18
.xword 0x003e917eb72f064b
.xword 0x729e5cb189f79fbe
.xword 0xa87fa84df8b46dc1
.xword 0xf56e953aad353dc2
.xword 0x6683c720a4c6fe38
.xword 0xd1ac8cd1612330ae
.xword 0x02a26dd5bfe2431e
.xword 0xffc80bd71f699910
.xword 0x28cbdd3dc06c78a8
.xword 0x58c67b79856e6e65
.xword 0x06f338546119290b
.xword 0x3e5a815d4262b5bc
.xword 0x915920389a83f4d5
.xword 0x2ef7d730291cf280
.xword 0xec04a0cfede4e4b1
.xword 0x39f7a89133e45d1e
.xword 0x80e62306a64feb79
.xword 0xcd149afd2ddb3f0b
.xword 0x65486596c5ca2ae2
.xword 0x0b440ae0941a3531
.xword 0xb618fa2f95e0ddb8
.xword 0x686916b0ab69e85a
.xword 0x174f480ad3477d13
.xword 0xf038fee558649d92
.xword 0x56afa8db9012924e
.xword 0xb0d1357316d6e0e0
.xword 0x090e69164a6f40b1
.xword 0x90882904cbaff4c6
.xword 0x016e37fcfc4b9bc8
.xword 0x8d763ad0dd684501
.xword 0x8ea29cef717f2692
.xword 0x56378ff96346840e
.xword 0xf3951f01e08f9188
.xword 0x4bd2c4c753c8171c
.xword 0x05abc1aefdafb6f4
.xword 0x667490f8c0478c3e
.xword 0x600ae55e3cbba6d1
.xword 0xe09c3c4400af4699
.xword 0x682a60381d7034cc
.xword 0x7e889cc5679532e2
.xword 0xbde97bed11c713b2
.xword 0x5957228b477fc93a
.xword 0xb47c63d8d907ac4e
.xword 0xeedc2b8483acc5f0
.xword 0x4b4c4e7a40d69a9b
.xword 0x6b7dfbb6772c0608
.xword 0x2d8d903e2fb218c4
.xword 0x3ed301119ac5171e
.xword 0x68210a06f8f13237
.xword 0x616bfa68e7b8d44d
.xword 0xa91876cd2c1f3514
.xword 0xf42c14f12fea34de
.xword 0x2019cfc60dbcf3ca
.xword 0x1cd31170a61bcb0a
.xword 0x4c0b1058ef043cd3
.xword 0x112228d715ab090a
.xword 0x57d5932c3d5a9029
.xword 0x7e395463b27641c1
_t1_aes_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_auth_key:
.xword 0x0ca0049adb7348ce
.xword 0xfa8937b317a46a4b
.xword 0x4d86906ac026ef05
.xword 0xc474d54d93f89739
.xword 0xd281fe1f8c2f579f
.xword 0xea5b0b01d9c912a8
.xword 0xd8c4b9e74199cacb
.xword 0x43a9c0abb409ac40
.xword 0x1a5c350f3e38f73e
.xword 0x97aa6b390cb65654
.xword 0x25d69e898f174788
.xword 0x9ba1ba7cbd12ad77
.xword 0xcc88ce9b2eb6243f
.xword 0x5a02b86f5fdad4ee
.xword 0x99d9ff032a5fb4af
.xword 0x2a1ddd460498bce2
.xword 0x964e47cc0c73103f
.xword 0xb9244539e8ce706b
.xword 0x3971969cbf73c596
.xword 0x91fb43e84084df67
.xword 0x9249d2f6812c0ad8
.xword 0x5fa31d707207a06d
.xword 0x8ecb17d812ce2e70
_t1_aes_auth_iv:
.xword 0x98d34d0d8be0e620
.xword 0x4d92e05bc3d351ec
.xword 0x1443f8cb1bcfe739
.xword 0x796fa649b0514151
.xword 0xb8245b1c1601d3de
.xword 0xbecddab95df955f0
.xword 0x1efefb69408852bb
.xword 0x0ce4bcc7f07c2dcb
.xword 0x387032738d8a144b
.xword 0x3725e49127cbd130
.xword 0x0182c8b1dc667b27
.xword 0xb963b1521d517f0c
.xword 0x0bb7b03aa23fd79c
.xword 0x78c55abb81b7d001
.xword 0x3a9c2b9c725f959f
.xword 0xae096056bfd7d5a7
.xword 0x8787ddffe02e6624
.xword 0xa2c28c07fe24506a
.xword 0x8d4d13999de68a1c
.xword 0xf0f43933c90860dc
.xword 0x1b52ccf77c812ee7
.xword 0xf532db4b6ddf9b9b
.xword 0xf5323238e0d56e31
_t1_aes_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_key_array:
.xword 0xb943cad99d5e3e29
.xword 0xfc18e842ad9d8fc5
.xword 0xb29adbbb2a6bffa6
.xword 0x75865c2b5c94cd7d
.xword 0xdf9da7f5c6d80609
.xword 0x7bcca6f56c4135cf
.xword 0x5745da72458becf8
.xword 0x0fa770aa9de79ead
.xword 0x645ee19dc63037ee
.xword 0x97fec9e8bc6ef9ac
.xword 0xea6831a5fb808787
.xword 0x752413b3dfdb2151
.xword 0x9257ba70c98e270a
.xword 0x031e7d8c649a058a
.xword 0x957487289eb36b88
.xword 0x009d870e25164aae
.xword 0x2777f309c3684810
.xword 0x820fed74328f7e72
.xword 0x834bfd5607fa830a
.xword 0xcbc49c5ff0869496
.xword 0x65fc0110e75e6d93
.xword 0xb848e4d55e1ecae0
.xword 0x8c81f2421ae442c6
.xword 0x299394201022e9d4
.xword 0xdc38468b3e1af05e
.xword 0xcd07150fd2a280c0
.xword 0xb494db43577d1826
.xword 0xf0395a849a68587b
.xword 0x106c5f6ed501ca4f
.xword 0x8079db3367f91c69
.xword 0x6a141e7918cbe8b4
.xword 0x489d71c660cab6e0
.xword 0xd261f60a019c825f
.xword 0xe6c0490a8c0b8754
.xword 0x1181d8bc8bcbae83
.xword 0x16c182836d0a312c
.xword 0x6dfcc6bf8cb9bccd
.xword 0xcb36f46f204f31db
.xword 0xad3d5f352494f4a3
.xword 0xdf6b52cedd0c388b
.xword 0x713aaab45da7bd74
.xword 0x1d72e47e610b19c5
.xword 0x6d13a4c41c409575
.xword 0x5a9d251385b47e77
.xword 0xfde1b8382b0ccb29
.xword 0x78bf0b32b376ec42
.xword 0x654ada07c9f40a42
.xword 0x76b8d535427672bb
.xword 0xcc9e25e909f1dd6f
.xword 0x0e3469489ea01fd3
.xword 0x64ab91a196f1dc0d
_t1_des_iv_array:
.xword 0x948dc7c1aefdbdb6
.xword 0x99c9dbe37db686d5
.xword 0x8c0136645510bddf
.xword 0xb2f986d4750508ee
.xword 0xd2c70a47393f62b4
.xword 0xfc6e4bd55a46f538
.xword 0xf9c775c68ac4a90c
.xword 0x064fe0fa47c98723
.xword 0xfba030884820c468
.xword 0x3834f6a13c8380e2
.xword 0xab55f7f8680a0cec
.xword 0x2ba952ec8d4a5b0d
.xword 0x765c13f2b7b2c2d0
.xword 0xe258dcc009011d1b
.xword 0x16a85c59df96fbf1
.xword 0x199db56514f139ac
.xword 0x5fd864e4daafb2a8
.xword 0x22246f10c143df01
.xword 0x484060a8144cb648
.xword 0xa5c317a7fee738d4
.xword 0x0bec0a217cd5710c
.xword 0xc366834496970d94
.xword 0x7da6166b666e1f2d
.xword 0x5ade65c62e93ffb7
.xword 0x884985af32d4a935
.xword 0x991ee0f469ba4726
.xword 0x0928607de9a3d835
.xword 0x464adb81ec4514ea
.xword 0x1f1dfd80efcc8af5
.xword 0x68d2ae1c7ecde3bc
.xword 0x5f69c3d036ee0ce7
.xword 0x7083e6a26769acd5
.xword 0x9cda1483bf39ca9d
.xword 0x33752c5ef1c7fddc
.xword 0x7942b130b464dfa7
.xword 0x27fa1cd20a42b47c
.xword 0xddb610331748a9e7
.xword 0x91898ff4ceccd6e6
.xword 0x044db2d99780dc43
.xword 0xf2e09271af82a67a
.xword 0xc03decd1c7a97f46
.xword 0x4ffe8de24ab90a94
.xword 0xb551f6557f012308
.xword 0xc0f7e8cdbbad0fa0
.xword 0x905f95c6b14f1a19
_t1_des_alignment_array:
.xword 15
.xword 14
.xword 7
.xword 4
.xword 11
.xword 13
.xword 9
.xword 5
.xword 0
.xword 15
.xword 11
.xword 3
.xword 1
.xword 13
.xword 9
.xword 8
.xword 8
.xword 9
.xword 9
.xword 6
.xword 4
.xword 2
.xword 4
.xword 3
.xword 12
.xword 4
.xword 3
.xword 10
.xword 2
.xword 9
.xword 9
.xword 9
.xword 5
.xword 8
.xword 11
.xword 0
.xword 7
.xword 13
.xword 6
.xword 7
.xword 10
.xword 4
.xword 14
.xword 12
.xword 7
.xword 11
.xword 6
.xword 15
.xword 5
.xword 12
.xword 4
.xword 15
.xword 14
.xword 8
.xword 0
.xword 3
.xword 13
.xword 4
.xword 9
.xword 3
.xword 2
.xword 13
.xword 9
.xword 5
.xword 1
.xword 4
.xword 15
.xword 10
.xword 12
.xword 12
.xword 5
.xword 14
.xword 9
.xword 0
.xword 13
.xword 8
.xword 2
.xword 1
.xword 12
.xword 10
.xword 3
.xword 8
.xword 13
.xword 5
.xword 2
.xword 12
.xword 15
.xword 9
.xword 2
.xword 2
.xword 7
.xword 13
.xword 5
.xword 9
.xword 10
.xword 9
.xword 7
.xword 6
.xword 13
.xword 12
.xword 12
.xword 13
.xword 9
.xword 3
.xword 13
_t1_des_src:
.xword 0x5e1dc25cdc2f9ae3
.xword 0x21b70d299ccee05c
.xword 0xa334ae9d5b01685c
.xword 0x3ad5144290dc61cd
.xword 0x744c90dc7a7d7d0c
.xword 0x4f99d5782ac53540
.xword 0x2c9f65be165e2beb
.xword 0x38c522289d9eaba2
.xword 0xf0f5f19fee3b4fef
.xword 0x9b0c65ee9e38054f
.xword 0x26b29f28b0c2045f
.xword 0x32754dc5c347a804
.xword 0x813e500f1e059ebb
.xword 0x5cd7f60c887b8e13
.xword 0xe9607c31ee94b05a
.xword 0xd59fdecdcd54979c
.xword 0xfff092c16d12d641
.xword 0x0fb89241423ccb0b
.xword 0x1b0d881d149c8cf5
.xword 0x18c2b3b70e6dc005
.xword 0xa9408b8ea2806ed5
.xword 0x7b0730054379ad29
.xword 0x09b20b69ba4876f3
.xword 0xb49cb915115d526d
.xword 0x83bac77abd74c8cb
.xword 0xf70ab0df1638f193
.xword 0x14f5016e3217fac2
.xword 0x33affeedc43a39cc
.xword 0x46f9bf949a5860ec
.xword 0xe9021cdbd2d76508
.xword 0xcec0a2a0e09bd537
.xword 0xae2526eca6436ba0
.xword 0x32f0ffd8e148acbe
.xword 0xe7f32766ac09ec04
.xword 0x04aa25e388d1a36d
.xword 0x9cafe0b2612c3bac
.xword 0x2e39e3cf2b58b302
.xword 0x16f92cdb58a7e850
.xword 0x0f65af30de382535
.xword 0x6db76a7e1d75e5c2
.xword 0x730fa93c24055f51
.xword 0x71b2e7abe5a66197
.xword 0x94d1619dca99a9ec
.xword 0x8895cf5f74adc101
.xword 0x46271766be029d85
.xword 0xb143935d54bac2c4
.xword 0x6a46f6cc63238887
.xword 0x10305e602a2f90fc
.xword 0x770368e40539ff34
.xword 0x13bfada455ad60e3
.xword 0x5a0b22c02617815f
.xword 0x0bb5c59086a7a5ff
.xword 0x210cfbc7748c7c77
.xword 0x5bef2d607bc3ffdc
.xword 0xd86085c76f05dcef
.xword 0xce20a7a9f950bef6
.xword 0x4372defb12ed0d93
.xword 0x430a3ab99c5d0878
.xword 0xd9853ca4c0dcd5ba
.xword 0xea6c3898b37a8ad5
.xword 0x5b4de91f11e0f0fa
.xword 0x96bd871be2c2a919
.xword 0xa4de31f4e0e4db0a
.xword 0x4d986352aa6a129e
.xword 0x40f3bb68aa182641
.xword 0x4cc6c03dbe6c6554
.xword 0x66bc383ab711cc3a
.xword 0xf023e70f45d3ec6b
.xword 0x9df7169465dcc31a
.xword 0x710eaedb07ddde81
.xword 0x179e700f5693331a
.xword 0x18ac19e7beabef74
.xword 0x2d8681cb52ba1447
.xword 0x30941e627930f506
.xword 0x26ed4776ee2c2983
.xword 0x3eda31f649e0097d
.xword 0x53695a5faac0cbe1
.xword 0xafa6bdb99d8394fe
.xword 0x2e005a848262863d
.xword 0x12cb239f38254534
.xword 0xb79ee2110e17f6b0
.xword 0x1a53ba3329733ea7
.xword 0x6619b5558cbc01b4
.xword 0x959533edfbd673c3
.xword 0x7ac81670f688fb8b
.xword 0xf76804aa3495f733
.xword 0xc5b7aad0a0e408ed
.xword 0x9bfc9b59f04fa9ad
.xword 0x899eec872e8187cf
.xword 0x3cbb049e5474af8d
.xword 0x4a6abe33eb277217
.xword 0x6b09c4507546da0a
.xword 0xd5618168dc1e48bf
.xword 0x497d1077b89364cb
.xword 0x4a6f366185eb4793
.xword 0xc70d8e27a47037d3
.xword 0x7c93d583db16de92
.xword 0x87d07268d4abf70c
.xword 0xefac74f983d50cc6
.xword 0x94626cdd479640a0
.xword 0x53e2f08003341dad
.xword 0xabbbd51f15820558
.xword 0x9eee93c807d15801
.xword 0xc4aae35452f9fee9
.xword 0xc45e505d0d04d89a
.xword 0x8634ee77ea7ff0a1
.xword 0xc99dcd34a5edcf3d
.xword 0xcb7273661583d134
.xword 0x2e6429d5895b81e3
.xword 0xeeebb1a0c1156bea
.xword 0x0a31447e3e4427c9
.xword 0x01e00caed74724f7
.xword 0xe78159ca2a3f24eb
.xword 0x1f2ebbca0b11cddb
.xword 0xd1321f5ae2af0e0c
.xword 0x6574e481f73978b0
.xword 0xf1b11dd80abea2c0
.xword 0xc0ca98821de78757
.xword 0xccf569741369be24
.xword 0xe6bc95f79dc929fe
.xword 0xb31920877cff8bf1
.xword 0x17e31f2e6bbe62f9
.xword 0x52d2343df0d5d1e6
.xword 0x6b1564243a376406
.xword 0x514407f1608d03e5
.xword 0x4aac39239fed1e9b
.xword 0xab1182e3308df993
.xword 0x72c1287e1c24d654
.xword 0x73c296e06edaff3c
.xword 0xb686ec5194adb809
.xword 0xe1547abf5018c656
.xword 0xf7b37e59451550cf
.xword 0xf71b4b1a92f070bb
.xword 0x3579ceecd32a692b
.xword 0xe4b1d43f79a14628
.xword 0x2005a46b0af2ba89
.xword 0xe9c0bdc257ecbc02
.xword 0xc551179cbca72936
.xword 0xb18f28eebfb252f7
.xword 0x149fe1851be796c0
.xword 0x77e1fee846567845
.xword 0x56b2a40e59cbad50
.xword 0x74fa4a83175659aa
.xword 0x38029c1e4d4047e2
.xword 0x71e4f19c4ff06678
.xword 0xfa45b746fd0c131e
.xword 0x2ec90061041c6608
.xword 0xfb4104f8f0ba90c0
.xword 0x1b41482cf2032444
.xword 0x7ee54cab28309703
.xword 0xdee60b6ab03c2dd8
.xword 0x78d20eb1798b18fd
.xword 0x9ab2f53d73c315d2
.xword 0x6f5b03a50112ca5a
.xword 0x5f81a062ee0e4334
.xword 0xef61bbd8835f6901
.xword 0xfa0707fe6265eb94
.xword 0x65e1270d75479682
.xword 0xd1d9960a0c47e9d0
.xword 0x711bea377e326b5a
.xword 0x63e78df0fc21f444
.xword 0x8078a6d743c5c531
.xword 0x649b6d2dbffffe01
.xword 0xb0f7dbf57d8aa0ef
.xword 0x0085225d10139ce4
.xword 0x963798f7a7648bf8
.xword 0xb32a854cb6a422be
.xword 0xd459d0004dc77a02
.xword 0x7be9ef14bbc9329c
.xword 0xa31637fe55fed430
.xword 0xe851f299d02fb36b
.xword 0xe56912b93361dcb0
.xword 0x2deebdc0cc38d468
.xword 0xcf265f1bfd258e5e
.xword 0xe36ec6b4329d4cd6
.xword 0xb6741f0103e4233e
.xword 0x8da718d50da90115
.xword 0x9876e124321be690
.xword 0xce08c6687c5a6562
.xword 0xe139b78ea0830aa4
.xword 0x777a31486b8c00e0
.xword 0x6ff11b7c8569e24f
.xword 0x0d8b46b07ab32bb9
.xword 0xba586315d603f638
.xword 0xca7e729ab62484b3
.xword 0xd7ee7dc9f95f37b0
.xword 0x345a1b5767345362
.xword 0x8ab71079931cd4e6
.xword 0xba4fb756c0446d97
.xword 0xdff8d08975b02bd7
.xword 0x18b4877651a3e5ac
.xword 0xb4d0982834d68f11
.xword 0xffa642e68077146c
.xword 0x585c795fbdde5ddd
.xword 0x351f285f25f596bd
.xword 0x4b76459feeed55ef
.xword 0x11b0400718dbbad4
.xword 0xa798246012bfc19c
.xword 0xeff7eb090cd21c8d
.xword 0xad9625ffd93cd9a3
.xword 0x7c8927122e17034d
.xword 0xf96ac6e29bdf1f32
.xword 0x6169b9b5e52bfb28
.xword 0x2f146542c39db2f9
.xword 0xf576459fc07ba974
.xword 0x1dc6aff3656f6358
.xword 0xab2fc2ec27d35069
.xword 0x7236731c184344d3
.xword 0x15506d7d2c1d6318
.xword 0x71ac5bfdac62669e
.xword 0xd806f40fdde71a8b
.xword 0xfcf8d9764293c458
.xword 0x293183f505e9f1d3
.xword 0xcfebf65d9960c937
.xword 0xe3124fe1e12de2aa
.xword 0x35341f1fac7d2d9f
.xword 0x5d5c29beee10db72
.xword 0xc4ff8b3d4976a814
.xword 0xa77b8d1fee8da6cc
.xword 0xe464b481294fd4ee
.xword 0x190326904ee3acc1
.xword 0xdd1d3915867e5a40
.xword 0xb086865809755b49
.xword 0xec8700a2e93bb6c8
.xword 0x3529124ce6df3dfa
.xword 0x292d9b8632b53b1a
.xword 0x822411373aee0045
.xword 0x0af0806c4eee1eb7
.xword 0x4011d215b1453f02
.xword 0x85e1685d1046a034
.xword 0xc2bf8921e96344da
.xword 0xf132074eadba38af
.xword 0x039ae5a01dae10d8
.xword 0x1fe9062e647bbff1
.xword 0xfc3aca655750808a
.xword 0x83d560c256f9cf9f
.xword 0x00ce218bc4c5b033
.xword 0x628cfdb4fb93392f
.xword 0xb61c6eb18e909243
.xword 0x90a0e3f472379209
.xword 0x2baee17d1edc7701
.xword 0x871921e43f09d7d2
.xword 0x8df09887d7be8016
.xword 0xcc30124c7d0c3fd2
.xword 0x37e5ed344fd82acf
.xword 0x2a0b08b121df1710
.xword 0x6a579868d62fc589
.xword 0x5d19e6e81f5a5348
.xword 0x072e5985591a6325
.xword 0xc01190ec0031ea3b
.xword 0x052c0956618a2244
.xword 0x145f150c028c81ed
.xword 0x6686b6d52df20f5d
.xword 0xc9111e6e3e7f8e3c
.xword 0x78ad48f176210108
.xword 0x7963cc27c046462a
.xword 0x47f13d0bdbbc05c1
.xword 0xfd08f3da946254e7
.xword 0x326ec9632cf0f8b2
.xword 0x9eb533bedae1401b
.xword 0x7d7fd3cf0ecc0a1e
.xword 0xd590505cc62e7473
.xword 0xe2cfd80b80cc89ee
.xword 0xedeca38bf9d27741
.xword 0x38389f1cfc146f00
.xword 0xb45a2093952b9e94
.xword 0xf14b2b59c930fc7f
.xword 0xb693dc4574f4db58
.xword 0x16c76b01b3eeb250
.xword 0x57a8b29d89c22ce6
.xword 0x38eb8ca3af14642f
.xword 0x665b6ac03bc92843
.xword 0x682364008fee4aa6
.xword 0x56fae42a8198bb33
.xword 0xc88875ad219d26a4
_t1_des_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_auth_key:
.xword 0xa9a58b83233e5eac
.xword 0x694593a6a2e6068d
.xword 0x304e87ed0abf0c6b
.xword 0x6d08b2b63e82ca87
.xword 0xeb9ab85e902ae328
.xword 0xb486ac7f65b5823a
.xword 0x971aafa902b3ff43
.xword 0xd4cd65aaf914deb5
.xword 0x753fe607a20e102f
.xword 0x9f594b2194a45134
.xword 0x25e92dfa39d156b6
.xword 0x68c0b8995ae11ed1
.xword 0xaf8e37c1aedbe81f
.xword 0xc3d882cc6b098f47
.xword 0x74a2a1b3f9a401ac
.xword 0xbb17e1a95fce15d0
.xword 0xb54140cbcb320281
.xword 0x3ee37153c20f71ae
.xword 0x71dc8bfce1765968
.xword 0x7dc2a7753a9b87b3
.xword 0xb1b5558f2135a516
.xword 0x97d0657a6ce5f577
.xword 0x1acdd16d867f6177
_t1_des_auth_iv:
.xword 0xb701e53b9bc3632c
.xword 0xb97cb5b4c802c6f2
.xword 0xa57e7ece01eab539
.xword 0xde9869efd29ba3cc
.xword 0x973c554c236f6092
.xword 0x16b51606e97ed981
.xword 0x0e21513033949c00
.xword 0x6aea560c1b5dd68d
.xword 0x0a401382a2d209a5
.xword 0x8b67bdb3093c130c
.xword 0xcaa9a6e0e895d811
.xword 0xaf36813efca6008f
.xword 0x2f876f053a7a4576
.xword 0xc475fe9eb0bb3b61
.xword 0x1586680758ef9eeb
.xword 0x0d53ec008fae32ef
.xword 0x25808f6dc4489e01
.xword 0xe44b48bc262fe666
.xword 0x8a6b1bff4d0a49d5
.xword 0x006fe9559f09916a
.xword 0x5b92a331fdc16e51
.xword 0x59f122b72355dad7
.xword 0xa4d34b760fb1b143
_t1_des_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_key_array:
.xword 0xd630a8a4fbb64865
.xword 0xf8915cb53716ad71
.xword 0xdb939d45c6d106ae
.xword 0x262bc057295412dd
.xword 0xa6b4df444bb78eae
.xword 0x96fe2a2fc363ada4
.xword 0xf0ccce72eb268035
.xword 0x9b5cd9116472b058
.xword 0x2fe8669b56497cfb
.xword 0xf81d80ae2fda638a
.xword 0x2a301c7bde3265b1
.xword 0x1781caad43aa8e3d
.xword 0x2d9ebe287f278f27
.xword 0x2325b8d610fae512
.xword 0x96499b964680f3f9
.xword 0xe26963f23a1eeeb8
.xword 0xd48d23835d855130
.xword 0xf2f4e8489234e88a
.xword 0x45af4d5260b583f8
.xword 0x772d460d2078b35a
.xword 0xad9b334107d4d063
.xword 0x5065b3431d884b04
.xword 0x54ad775d0a3573b7
.xword 0x7f1689d228859a42
.xword 0xafdb56e6d0e13daf
.xword 0x480307a794107ea3
.xword 0x6486dbcc87837cd6
.xword 0x20fcf4c3a1af441c
.xword 0x169f0be3028d5eef
.xword 0x9b66ceac59ff3425
.xword 0xd3fa6444fb2f1792
.xword 0x3f377a3b12c37387
.xword 0x752630e392e6daf8
.xword 0xf8527c3dcdc2c52b
.xword 0x38a4a6fc796b2803
.xword 0x870707f4f3d2f41c
.xword 0x30d0dc9c71ccecf0
.xword 0x586fa3c04feba297
.xword 0x4b26aceaede3f633
.xword 0x9a879ead8cbf9735
.xword 0x9130c948e4742e13
.xword 0x5f8efb5811c28878
.xword 0xe904fdca5891612c
.xword 0x341a0045bef5dcf4
.xword 0x29c1a49c9713694e
.xword 0xc977d55e1bf07f47
.xword 0x0a51e87b06207e01
.xword 0xbeb30f6ecbf95a18
.xword 0xbb94d5307548524b
.xword 0xdda92b001c43816e
.xword 0x59ec70ef1356fb9b
_t1_copy_iv_array:
.xword 0xfd762563fabf0d51
.xword 0x4af52b05eb916826
.xword 0x26ce2e24aa3caed7
.xword 0xb4b8c4ec3adaa587
.xword 0x86450365ca2ec84c
.xword 0xd68db3aeba076672
.xword 0x22d0fb4d7c55f31d
.xword 0xc369f6799c2244e0
.xword 0x61f1a2aaa368c2e0
.xword 0x762da5d3d6813a10
.xword 0xda0f5ea30710d291
.xword 0x9730a1e5363c1f13
.xword 0xdf83bc62ee82ad55
.xword 0x86cb3bd687059f44
.xword 0x41c87ba06db6ce0d
.xword 0x02dc72f385252fd2
.xword 0xa9738f4d92bcd8cf
.xword 0x69378f839c638b6e
.xword 0x2f1b8e569de7794b
.xword 0xd23583f5eab415a3
.xword 0x45e186bb87aa4f1a
.xword 0x8f45484a677b206c
.xword 0x33b7a412e8ce804c
.xword 0x0f6c54c0ea10cf30
.xword 0xe367107c9fdf91fe
.xword 0x5e027695f8933f45
.xword 0x83d9dce909f2d85c
.xword 0x3184a620f61cd800
.xword 0x7afba04e03c40bd2
.xword 0x8fb8ae78ffd70277
.xword 0x851cd692cdff211f
.xword 0x58470ec78f35bdcc
.xword 0x955abfaa4c64a0f0
.xword 0x126d36e27cd29036
.xword 0x4b1d3927904a5bef
.xword 0xd7a76922fb71bb2b
.xword 0x491b38f5abbd61b0
.xword 0xd26369d4128bfc34
.xword 0xbe9f373abded5c8a
.xword 0x672b3f1c9ec2dbaa
.xword 0xa4c15d3a4839d5d8
.xword 0x596f18829636b62d
.xword 0x356228d15368031f
.xword 0x4efbec83b3bfa104
.xword 0xab3100477a3f125b
_t1_copy_alignment_array:
.xword 13
.xword 2
.xword 9
.xword 6
.xword 4
.xword 11
.xword 7
.xword 1
.xword 15
.xword 0
.xword 0
.xword 14
.xword 11
.xword 12
.xword 12
.xword 0
.xword 7
.xword 3
.xword 6
.xword 10
.xword 9
.xword 7
.xword 5
.xword 1
.xword 13
.xword 13
.xword 15
.xword 15
.xword 0
.xword 8
.xword 2
.xword 4
.xword 2
.xword 6
.xword 7
.xword 7
.xword 12
.xword 10
.xword 10
.xword 11
.xword 1
.xword 9
.xword 12
.xword 5
.xword 11
.xword 13
.xword 6
.xword 15
.xword 8
.xword 6
.xword 5
.xword 9
.xword 10
.xword 12
.xword 0
.xword 1
.xword 7
.xword 8
.xword 7
.xword 10
.xword 12
.xword 1
.xword 5
.xword 1
.xword 3
.xword 12
.xword 11
.xword 1
.xword 2
.xword 4
.xword 10
.xword 10
.xword 8
.xword 7
.xword 5
.xword 11
.xword 10
.xword 1
.xword 4
.xword 7
.xword 0
.xword 15
.xword 4
.xword 10
.xword 13
.xword 15
.xword 4
.xword 10
.xword 9
.xword 5
.xword 14
.xword 8
.xword 5
.xword 5
.xword 12
.xword 8
.xword 6
.xword 15
.xword 13
.xword 8
.xword 5
.xword 5
.xword 5
.xword 0
.xword 6
_t1_copy_src:
.xword 0x1e9144384a80663f
.xword 0xeaac51627ec4d061
.xword 0x0ab21625b1e0ae1a
.xword 0xc06a5c3d9f715b3b
.xword 0x03b27deef7c1003a
.xword 0x0ffed49482b72e18
.xword 0xc689232d45beb9f6
.xword 0x191305ff979bf137
.xword 0xaae3961df49cb69a
.xword 0x4765f20473ec4f85
.xword 0x019c7b4779c109c5
.xword 0xebca23b406dda4d1
.xword 0xd523993f45e3c017
.xword 0x5b300acc776056c5
.xword 0x74de5b4290883d97
.xword 0x6123519e712ed662
.xword 0xe5ef1a1d73447859
.xword 0x145fb710e99cba81
.xword 0x0dafb8baa105760f
.xword 0x0de96a5d7570031a
.xword 0xcc435912473a4558
.xword 0xc4d1983ab36a163e
.xword 0xf80caffa379b33ae
.xword 0x874f9e260f567b56
.xword 0xd9f97ac8d31b672f
.xword 0xc656fb9577054108
.xword 0xb51760506a7fe39a
.xword 0x2662ddc8d06ba309
.xword 0x5083336ec599f320
.xword 0xd72982224ac8665e
.xword 0xec79160b2ca0be77
.xword 0xcbb971a1e95d77ed
.xword 0xe24969d7c9e7f274
.xword 0x096f4caf57477619
.xword 0x6adab75d620ff53d
.xword 0xa62ffe805f497a59
.xword 0xd69c4a1a6b0d1236
.xword 0x492bf294752cce64
.xword 0x9479abea945ab6bf
.xword 0x390bb6ffe78ab1a5
.xword 0x96f7e36e233ef77c
.xword 0xf39d01d00b8771fa
.xword 0x06a05de9020bff56
.xword 0xb342dcee0dcca499
.xword 0x154c94ac41ed972b
.xword 0x5db30c7c8f51c583
.xword 0xee1cb3c2642090ea
.xword 0x45e6af9bb12f9d2a
.xword 0x70b72f60c8f5e56c
.xword 0x8d7c53060ee9c1ad
.xword 0xe3a6f17b269b2c91
.xword 0xc637534ef703bb8e
.xword 0x912467374fce6bfc
.xword 0x9edf93c4a485144b
.xword 0x5267fa255f5f8aa6
.xword 0x69237a2d54051395
.xword 0xc497a129c9cb79b4
.xword 0x57cee3a10f017c25
.xword 0x14e78db068239e9b
.xword 0x34888ecd6e774bfc
.xword 0x93d21af7e9aa95c0
.xword 0x71c4d55d40ad37b0
.xword 0x2a5a4570c6b2713f
.xword 0xb42146525257ec65
.xword 0x9b2ad365c30c6c5e
.xword 0x27a0f3e3678251df
.xword 0x1c134beabaf8f3ce
.xword 0xf47f9319ee31cdb1
.xword 0x42aebdce4f3e4dad
.xword 0x10e3491f1cc326ae
.xword 0x4bba0e75e6561926
.xword 0x5267dc9c055edd57
.xword 0xcac306eeb8a9b614
.xword 0x1a62b525b0065787
.xword 0xdd60dea5fae759e3
.xword 0xb0943d4cf59193d3
.xword 0xea1ba4c51f9afb82
.xword 0x73281d177ac7aa20
.xword 0x89610829a2b05dd5
.xword 0x7bc26853532abe95
.xword 0x5eced374783641d4
.xword 0x6996ea3188ec1204
.xword 0x5aa82d8d0cf635e6
.xword 0x319fb8c8156ccde9
.xword 0xf70eb3dc53e1d0cd
.xword 0x02554a56264271a4
.xword 0xa8b314a7a9580827
.xword 0x40d66444978d1153
.xword 0x81667ed4d72cebe7
.xword 0x2ebd640c97b00a55
.xword 0x0fd3f69e44e1ff3a
.xword 0x3bdd54fb8ac980bc
.xword 0x4a39fe90de7b749d
.xword 0xa2cdea74a975d318
.xword 0x387c1792e707e95e
.xword 0x03ba534b30f3e0ca
.xword 0xe62d61bf0edf8507
.xword 0xc5cd06dcb7697de8
.xword 0xda9be214b257a363
.xword 0xb410a19af1bfe173
.xword 0x70b66a7cdc51b230
.xword 0xb81ab3c4cf591149
.xword 0x7b9356bbd2f54fa7
.xword 0x1aeaa7fb0ad0ed21
.xword 0x4381595d5c5e13e2
.xword 0x68020583eae4483b
.xword 0x2e04ee3ae8264145
.xword 0xf15c9101ff823b43
.xword 0xae4b3dc42da1f671
.xword 0x2601f0610ea1cea8
.xword 0x010aa211b1530508
.xword 0x7468dffd460e2fd2
.xword 0xb6f9ac76d0a66399
.xword 0xae0d556409197f92
.xword 0x4416235adda9c3fb
.xword 0x0306cbaacc723a1a
.xword 0x6b853bdfafc736b5
.xword 0xb56bc10fd99c277c
.xword 0x1a48bf4222ea541a
.xword 0x9e947bcba0f9907f
.xword 0xfcbf319b655d9ca7
.xword 0x443a719c94ecfad7
.xword 0x97a6dc16a2d526d2
.xword 0x2692120852d0d15a
.xword 0x02fd8f5462ecd1e5
.xword 0x6adc4e256fe8c811
.xword 0x4fc03d315e62b564
.xword 0x995b8e3fcc57f908
.xword 0x38fb47079b151358
.xword 0xe1b249aead9c5ed7
.xword 0x0265cbc49b0103b2
.xword 0xcc49d9174a98011a
.xword 0x9290d688acd8c940
.xword 0xa46b3b0f0b18ef1e
.xword 0xdf33455bcec166ec
.xword 0x3d51c3889c95cf75
.xword 0x7268caad97eb05db
.xword 0x5edbdbf5e1be5ab0
.xword 0x438899d2263b7dc8
.xword 0xa5eb288d6b3de370
.xword 0xaec021171780a47a
.xword 0x009c4353b3e5ecf3
.xword 0xb193c3a478218721
.xword 0xc15290add94ad83d
.xword 0xaac5c7aa863e28da
.xword 0xc71ddbe2a491e7f9
.xword 0xee73b8b682712ad0
.xword 0x27d856b8f42b4b0e
.xword 0x78da8d704b9d3821
.xword 0x14da5ba028a49834
.xword 0x25e8db967f9da1c6
.xword 0x9003482405c77872
.xword 0x88cd25130236d0b9
.xword 0x52818d88fedb92ad
.xword 0x27f3199597543d51
.xword 0xc37da98318f9255f
.xword 0x7519fa871dd65f85
.xword 0xe76222ef06920c24
.xword 0x0f167167904f689c
.xword 0x14cc22c139e73802
.xword 0xef4c2b1b9e69d26f
.xword 0x29c9754783c8b8a6
.xword 0x51ca54bd1b7ddf3f
.xword 0xb8e750fb5ac96c2d
.xword 0xbfbd21ac4bc4fc72
.xword 0x5132e23e69b06fa6
.xword 0xd1bcea9cc55079e7
.xword 0x495ecad46599eb9d
.xword 0x68bd1a0e680f4d89
.xword 0x6d6116849343a528
.xword 0x9c0d78776bf830f9
.xword 0x2a79ad14c27adb52
.xword 0x6f7f2e05702bbc05
.xword 0x5b547968001b7535
.xword 0xdf67aad8931b7945
.xword 0x21f25e98e7515b81
.xword 0xf6b5ddbe1ec6b355
.xword 0x4d6838317a14d49d
.xword 0x7eee0422ec23a9cc
.xword 0x47c29b0a61447185
.xword 0xe77f5e4376e6d198
.xword 0xc92b16c9aca7a081
.xword 0x429e4bc792f5dd70
.xword 0x92aee9dff207e85d
.xword 0x0ab3791938e4dbbd
.xword 0xa9cd05f9c7377598
.xword 0x9c1b133a4e2d05ed
.xword 0x74345abf8e7a0106
.xword 0xa85819d00bb74760
.xword 0xa7619f7f927f2aa9
.xword 0x891f864dc6323ecc
.xword 0xf69b17608aa19972
.xword 0x9f4de14f1f4d3269
.xword 0x004704276c956807
.xword 0xf3616126fc869b3b
.xword 0x43a60258391133a3
.xword 0x7e5c53fd1b1f9fa2
.xword 0xb4d175bd6c8b60b0
.xword 0xa52b248de0e0c1be
.xword 0x07d519c6afea4690
.xword 0xb5a7b115215e198f
.xword 0x879f791e27da87e0
.xword 0x348b5653249c96be
.xword 0x4535fe2d3c67a1cb
.xword 0xf00108537933ea37
.xword 0x35b4da0ddc326127
.xword 0xd6da6d127694a0d9
.xword 0xa9dae75aec7888e5
.xword 0x7932ee50035c3b84
.xword 0xf4e31641182877f8
.xword 0x76c6c415b6635cb1
.xword 0x025e4f7469e73cc4
.xword 0x34ee1636da980c54
.xword 0x0ad53f394ad39c75
.xword 0x63fde9fc1edfabcb
.xword 0xaa642d0ed64d7305
.xword 0x60566458683ee5a3
.xword 0x5cd9764ada6af626
.xword 0xdc73bde6c91f3eb7
.xword 0xd1da8463dab4c79c
.xword 0x8d26bb6bd23b498f
.xword 0x03b15bb662dcb652
.xword 0x858dbffc27091c07
.xword 0x5d162c155d979db2
.xword 0x6e1f230556346937
.xword 0x7ba4204e802232db
.xword 0xced3e67beb1b9577
.xword 0x643c7eaf7dae7225
.xword 0xd9d13cc2b29cbb72
.xword 0xde426bb0d76f4e01
.xword 0xf68c7f4a8832a8f7
.xword 0x05a81e8ad572279a
.xword 0x5fe3bebcd264209d
.xword 0xccbd55c2b08a2e67
.xword 0xf34c38d776ea6d24
.xword 0x272e90f90c0b897b
.xword 0x62dc1de15e48f67d
.xword 0x358d96412cf7703c
.xword 0x92c707778d5471f3
.xword 0x27966c6e24f122a5
.xword 0x6f963420a6f5fd05
.xword 0x76b3a982f9e0236f
.xword 0xdb98e50ad59ec368
.xword 0x304708ef282c500b
.xword 0xbd3934b7d185d51d
.xword 0xe52b6dacc78630c7
.xword 0x3ccaf1e8a34f8b09
.xword 0x7a4c80bc4286d188
.xword 0x37f54ba26218b340
.xword 0x83835dd7db48c447
.xword 0xf191eb0d50c6500a
.xword 0x45ef585b34bb5b5c
.xword 0x3d8bf96789058961
.xword 0xbbd92205acac5e02
.xword 0xe16196ed4624e88e
.xword 0x24f415507cc1c817
.xword 0xbfb07c253dba3b82
.xword 0xefbe748c0207c4b6
.xword 0x51f2c7a3e9bb1c09
.xword 0x80672662bd66e82c
.xword 0x6c57061f51671b4f
.xword 0x8aa07581ee02a760
.xword 0x67ab683d9c8f821e
.xword 0x0dd252efd3a62d48
.xword 0xaa266e2e8b290a9f
.xword 0xca5b0f5b0bc72cae
.xword 0xa0e7e1f016a51e41
.xword 0xf01a17ac3ac2778d
.xword 0x17420f3f5f273055
.xword 0xb4af8904601f3d77
.xword 0x9923a6dbd48dcf97
.xword 0xfc2b17dcf42982e5
.xword 0x433177eecbdaf555
.xword 0xcf8541ac7b09b1a9
.xword 0x90aaef14359a250a
_t1_copy_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_auth_key:
.xword 0xfd40512dd8c7ad2e
.xword 0x628ceb831818fe08
.xword 0x02467bc8e7822d66
.xword 0xe4cc6f7a34dcb835
.xword 0x7a72de4e038fdd47
.xword 0x5b9ff64a4d2d59da
.xword 0xaad220dc112e750a
.xword 0x13a48bf1696926a9
.xword 0x3568b1735ab033bd
.xword 0xe1b5b14552a0ba13
.xword 0x12507e2700b6bd77
.xword 0x6aa8f8363401f1f0
.xword 0x84856773779f6063
.xword 0x31a2987f27746c4d
.xword 0x96f09ea2fe3838f7
.xword 0xe6df4f2f35da7031
.xword 0x3022d70ecb8f1d51
.xword 0xc5eb018c02aac242
.xword 0x46d4e0136a589471
.xword 0xcfa712613a17fca8
.xword 0x32d99e96bbe93112
.xword 0xd401fb5e45add890
.xword 0xafb50bdd2c2b68aa
_t1_copy_auth_iv:
.xword 0x880bc04620ba6da8
.xword 0xfd0db05aa6537841
.xword 0x1bc9bb09782edfd1
.xword 0x882468713be4b1ec
.xword 0x584d542d0e1d6ec4
.xword 0x4a87615d33c60869
.xword 0x326774142fca94f3
.xword 0xb618278c65156c57
.xword 0x4359f51f19ad90e3
.xword 0xe4855520a2a4a760
.xword 0x70f256e3994a3568
.xword 0x24d44ab625027eab
.xword 0xb2c6a52261720e35
.xword 0xf674856ec1464642
.xword 0x455d3acb7fa8b9aa
.xword 0x82ed427beff999e8
.xword 0x744bf65b9bc6cf1d
.xword 0xcdd0127cf41a6395
.xword 0x0c034d748a128bd5
.xword 0x3115629b1ff4dded
.xword 0xffb8084f2fcfa181
.xword 0x89b553050dbfd207
.xword 0x24ed7ad6595ffbe8
_t1_copy_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_key_array:
.xword 0xa42de3c72e20204e
.xword 0x07875c33126699f2
.xword 0x157c8175960470f5
.xword 0x4f7867bad89e383f
.xword 0x6f4d5042c4b78ec6
.xword 0x2c8e9c01130622e7
.xword 0x8b6a4d81cdda5015
.xword 0x10b711f558969858
.xword 0x274ebf4582f782c4
.xword 0x778a8f5f77a86c8b
.xword 0xaae8e6ea4ddbf377
.xword 0x21b30daf795c1ce5
.xword 0x74343d469e28755d
.xword 0x471f5bde4b1c915f
.xword 0x2275daef40ffbb4c
.xword 0xa4c0f9a8e79a14af
.xword 0xef7f24aeb5758840
.xword 0xb5434654ddb49fe6
.xword 0x3d48a764b22e00b9
.xword 0xa5afb8cc13c0c810
.xword 0x6e45372209689623
.xword 0x224f399c8d0b77a1
.xword 0xdc297b5681a390a5
.xword 0x084a9dde698fe9a7
.xword 0x543514d2a46a3408
.xword 0xd74964c57102b43f
.xword 0x127fdf264f7e281c
.xword 0xc5d117d337ddc6ae
.xword 0x58148ca2f189f3b5
.xword 0x2db887d6825ceb98
.xword 0xce1e33266a22b8d9
.xword 0xb798a402b2aecac5
.xword 0x054232b1d1f0168d
.xword 0x6de73a5da8a83edd
.xword 0xa1034fe68a137caa
.xword 0x7e90f1d6c7ffff59
.xword 0x3fd6c7b3f068d745
.xword 0x589ddd84cd337dcf
.xword 0x48063e18df43188c
.xword 0x8006eba0f3aad712
.xword 0x79b2c4327837e16a
.xword 0x830f243810a5f433
.xword 0x0ffb978a48c83e67
.xword 0x0da6a3c8c8d33b94
.xword 0x0cc362890dca4da4
.xword 0xaa06f041a2306483
.xword 0xc5a8b925adea3d25
.xword 0x031cb0dcf5e553bb
.xword 0x7493cae970a79c2b
.xword 0x7334af597d22e3ab
.xword 0xc8fa9559cf616cdb
_t1_crc_iv_array:
.xword 0x7815a07f0fab4ee3
.xword 0x050cfdcf6ae6ce8c
.xword 0x536c59c48809c803
.xword 0xd5cb811a5e63e9fc
.xword 0x925efb41ac0d9532
.xword 0x1bd9051f1295c3cc
.xword 0xda25ba40f774693f
.xword 0x5ee7c28ebc4eb4c3
.xword 0xe2b183238d45e33b
.xword 0xa34b5ed0957fac2a
.xword 0x0f45ae111e9605a6
.xword 0xd7093e6103585d39
.xword 0x93b054a44eba9580
.xword 0x71a1dfc6a44da5a9
.xword 0xf76d069948983924
.xword 0xf954dce58a5a0035
.xword 0x38e63360b1c8940c
.xword 0x0e380c4c8b166568
.xword 0xe5af7d211b1011be
.xword 0x3f035b74fb434b91
.xword 0xa1698ac9b02869f6
.xword 0x9d75bf6e30965a1d
.xword 0xc3900825debeded3
.xword 0x433ef4eb7ddb4e25
.xword 0x783d1036d9b13d65
.xword 0x31d8ad2bd8304909
.xword 0x6262071a14c3b721
.xword 0x230af4db744191d7
.xword 0xff4cc7969865d670
.xword 0xcbad7c11f3b8558a
.xword 0x27a97941d3b278cb
.xword 0x1aae77b2bac2380c
.xword 0xbbaa1565f3ce3c39
.xword 0x5b546de55ba142c3
.xword 0x460ca8e87fa155cc
.xword 0xfafcb08bdcade524
.xword 0x874f5f8d4e0cf8ef
.xword 0x9c2237549d120121
.xword 0x54c3486d1fef938a
.xword 0xa285b572fa44e59f
.xword 0x767023618611b789
.xword 0x74336e8d9451e133
.xword 0xff4641ad90c383a1
.xword 0x5fc9ebb4b62229b2
.xword 0x1aaf766b6334317f
_t1_crc_alignment_array:
.xword 15
.xword 9
.xword 3
.xword 0
.xword 2
.xword 12
.xword 13
.xword 0
.xword 7
.xword 12
.xword 0
.xword 3
.xword 3
.xword 3
.xword 8
.xword 4
.xword 5
.xword 9
.xword 3
.xword 15
.xword 6
.xword 14
.xword 5
.xword 14
.xword 12
.xword 14
.xword 8
.xword 10
.xword 2
.xword 7
.xword 1
.xword 0
.xword 4
.xword 1
.xword 4
.xword 9
.xword 12
.xword 6
.xword 13
.xword 3
.xword 14
.xword 13
.xword 10
.xword 9
.xword 3
.xword 2
.xword 1
.xword 4
.xword 1
.xword 9
.xword 4
.xword 9
.xword 4
.xword 7
.xword 0
.xword 10
.xword 5
.xword 12
.xword 15
.xword 8
.xword 3
.xword 7
.xword 2
.xword 3
.xword 4
.xword 12
.xword 12
.xword 6
.xword 4
.xword 4
.xword 15
.xword 7
.xword 6
.xword 8
.xword 3
.xword 12
.xword 7
.xword 8
.xword 3
.xword 15
.xword 1
.xword 13
.xword 11
.xword 12
.xword 14
.xword 8
.xword 2
.xword 2
.xword 2
.xword 10
.xword 9
.xword 0
.xword 7
.xword 6
.xword 2
.xword 14
.xword 15
.xword 3
.xword 6
.xword 0
.xword 1
.xword 2
.xword 15
.xword 3
.xword 5
_t1_crc_src:
.xword 0xdf8732a8bc9462ee
.xword 0xd29fbadd4fc12a97
.xword 0x8207d10e460700df
.xword 0x513252010fd48741
.xword 0x8f12c7cd332f5ee5
.xword 0x1859262016faf747
.xword 0x36390150f039b5e4
.xword 0x05d1eb220b26e0e4
.xword 0x9e8de954911e79e4
.xword 0xb28427863f0c6461
.xword 0x11e13239d8202eee
.xword 0x905b84e67a1ce20c
.xword 0x863b6fcfd0a49c96
.xword 0x256f54642f4e85b7
.xword 0x15836da028b666fc
.xword 0x4c5d78ac4334182f
.xword 0x6e549c62f9c0bf92
.xword 0x9a0506c9fb7eeb35
.xword 0xc0d3b3df9234d738
.xword 0x9a35e60773634a36
.xword 0xd9329c2f3d79a0e2
.xword 0x749315873cdd64ae
.xword 0xa6ab6e4f40a935db
.xword 0x7d30171c74283c2a
.xword 0x37d4de1b754d70c0
.xword 0xa43b8ed2b1c0324f
.xword 0x35fb9103e89c0307
.xword 0x080a47c01ac86f21
.xword 0xd86cc88974b70150
.xword 0x425febc99e30a70a
.xword 0x9b78b485b3495e4a
.xword 0x7ba6b1e07baca518
.xword 0x64ec5a9983735c53
.xword 0x42b5c8426fc64f0a
.xword 0x427e4ba691bd8b91
.xword 0xd9086a9e2e3e4fe4
.xword 0x1a2ee4c7c9bf9835
.xword 0x97d17c7a23e39aa3
.xword 0x61193d6e68b4f8cc
.xword 0x0bf9a545a9421c47
.xword 0xe8bd9d4991e71ed4
.xword 0x23b69a4208d9a161
.xword 0x0a71ffcacdf1ed05
.xword 0xd9d533b5e4919854
.xword 0x1fb8d4ba0d5c7c9d
.xword 0xc07e0af39f192d71
.xword 0x5a9cf2dc90a9d398
.xword 0x28166c3e1707d688
.xword 0x082a9d49402b4d74
.xword 0x11f380949885beff
.xword 0xf0a4111abbf26428
.xword 0xabb8d24ee9c4705f
.xword 0xa071a3d53f8a6866
.xword 0xc2dd79da953d62d5
.xword 0x7b02486d911e9462
.xword 0x4499de1cfa1bf217
.xword 0xbba7890448656563
.xword 0x9723736340781cfe
.xword 0xd0619b94e2d80066
.xword 0x3f0cef6e239218e5
.xword 0xbbf3d0ccedf3b70d
.xword 0xc859dc9405a7bd13
.xword 0xf88bfee9c3b291f6
.xword 0x638d45d079bf2ed7
.xword 0xbad6f77fd22d270b
.xword 0x5177c5dc0744ca26
.xword 0xcc34f68f7b7e3ee0
.xword 0xa2b69a08bbcb9542
.xword 0x67981d7e330f862a
.xword 0xff130c0fcf9b6f75
.xword 0xa3e22970dfc279c2
.xword 0x57b1b103a1df9e35
.xword 0xcb8ff962417e086f
.xword 0x8f8f02f503833daf
.xword 0xf0a7548f43ff5ba3
.xword 0xc683ef8d6979a4fa
.xword 0xd14264e43dc849e6
.xword 0x69aa476e97d9e925
.xword 0x4c51e1764053e390
.xword 0xffcbccfc1d9137a4
.xword 0xe479bd75ac422b8a
.xword 0xfbf49e781392cafd
.xword 0xf673aee559148450
.xword 0x8903891cf3a60d0c
.xword 0x34ea2ae7ce1af0e8
.xword 0x6acb9c6c8ed5ff4c
.xword 0xaf63a6879e4cc2c8
.xword 0xd659195d0acb1d31
.xword 0xaf76aebb590cdbec
.xword 0x2e27b946f8d5e4a6
.xword 0x43bb8cba425c35fd
.xword 0x6f2a6f18c178d947
.xword 0x2897ed23301747eb
.xword 0xdff155d80f20501a
.xword 0x5b3364b5133d55e7
.xword 0x1a2d9fb500c366cb
.xword 0x950c01c62b79386f
.xword 0xe55d24b23e964ea2
.xword 0x4cd4847524d00ab7
.xword 0x6770f1daa00bb2a3
.xword 0x9e94179da90ad825
.xword 0x9033703aa381e2e3
.xword 0xd0ea64e5e9b80687
.xword 0x5d9d33d55ad67afa
.xword 0x38e856e222883406
.xword 0x353ceaab14390795
.xword 0x2f93a8723896b1eb
.xword 0xb2962777359391b8
.xword 0xcad5248d411d2ffb
.xword 0xfd85b3eb20776e6e
.xword 0x998c9e26c1913b64
.xword 0x857b16eb6d104ec5
.xword 0x041cb0c747ffed3f
.xword 0x052f4636c87a2c90
.xword 0xf540ad793e0d7228
.xword 0xb064d8a01575eeb1
.xword 0xcab401fc64d7349b
.xword 0xc029152e163c91cb
.xword 0x99d70481f87960d3
.xword 0x2b755d8e1c7839ba
.xword 0x34184b55970aa4b0
.xword 0xfe8cf2b681b80563
.xword 0x55fae50bb53edbbb
.xword 0xda27db2f899e2f93
.xword 0x102c0bdd97743514
.xword 0x61364012a7fe4636
.xword 0x3a26f7a5996640cd
.xword 0x53a144cefbff05e1
.xword 0xced592f5f9087854
.xword 0xa7eb293a0305f5a5
.xword 0xca3857eba088c5ee
.xword 0x90910e8710fed7c1
.xword 0x9655a1b7e7f8d59b
.xword 0x8d8d613eca087de9
.xword 0xc4021b2184df94e7
.xword 0x29b4f6cd4770ffb1
.xword 0x5fbeb6621bd07d78
.xword 0xcf38e307920ff0a0
.xword 0x33be597d8877f65b
.xword 0xc6607617ed84d903
.xword 0xf49964314b9a6805
.xword 0x2ca3ff4b027441d2
.xword 0x8ee783ce149a915f
.xword 0x0c99744ec5d82c64
.xword 0xff84f4b75664815b
.xword 0xaca11d2de7575c90
.xword 0xfd0e264ce35a5de0
.xword 0x7ef6631f1c169f30
.xword 0x88d8b0b1b6d6d784
.xword 0x4954080624196286
.xword 0x2180bfcbe722f6ed
.xword 0xfd505d9996096fb5
.xword 0x7102bf10eed0c2a3
.xword 0x84c2b321a836e6c9
.xword 0x96862b6d36ad0157
.xword 0x6ef2fcdff93628e3
.xword 0xa050e8fa0e186f10
.xword 0xe5ca4f90e447ee1d
.xword 0x670e840532f9f52d
.xword 0xad7a3ce54f94cf08
.xword 0xbd0ddcc8490a4e67
.xword 0x08d289513fca754f
.xword 0x08189e06d1f59f0c
.xword 0x4e631195af1fdb7d
.xword 0xb5a619399e9d3562
.xword 0xce52163c1deafb46
.xword 0xd01fe715e46326d6
.xword 0xdb21f3d6c800b56e
.xword 0x0eb9774fe1446dc1
.xword 0x036998db06934e82
.xword 0xcb4f213ab07e4b97
.xword 0xda1e5ee16a641ab4
.xword 0xa295624d218013d9
.xword 0x7331fea7b45b5337
.xword 0x70a0c9bc71471290
.xword 0xacba894717a64b00
.xword 0x1c9f07c7a1bb9b35
.xword 0x49c9241db306ccdc
.xword 0xf4248a88cc95ba8f
.xword 0x173c2af8cb100881
.xword 0xbc6a92c8fb4fc436
.xword 0x7b95459324ec7573
.xword 0xebcacd6324d8c81a
.xword 0xda57b74eb20f53cd
.xword 0xaaa4be427ea99d3e
.xword 0x1aa1bf212f022e9a
.xword 0xbe5cc504265cd632
.xword 0x624d6abe6fde379b
.xword 0xc42dbba60e159a2f
.xword 0xd460bf02df4a6904
.xword 0x1533401833b0bd12
.xword 0xe157fdc0ec5fc638
.xword 0xa0ea8b88dc6732be
.xword 0x071b1d07cb499e1e
.xword 0x3a4ccbf4b4826f18
.xword 0x129dd5259445dc14
.xword 0x2ff1cbc149aef01c
.xword 0xb1b5a873317b6689
.xword 0x3a66ebdfdfceba29
.xword 0x1c558557fe10f976
.xword 0xce626c8d297e329b
.xword 0xc07333d8ea9a8a2d
.xword 0xfe8bd86df6338827
.xword 0xca4158108ad5ed00
.xword 0xbbbe650dd9d45313
.xword 0x01f354976c69b7e1
.xword 0x4e311e46e93df74a
.xword 0x76b6fd5fee9c0370
.xword 0x52a0109103b2d99a
.xword 0x622c67da1f68ce04
.xword 0x4b117a3b5cb4cbcf
.xword 0xc58fb05409e52efe
.xword 0x9496fe3e5725336f
.xword 0x727978c80d16b8d9
.xword 0xcc395b3dc4266b3b
.xword 0x88294d770ee6e87f
.xword 0x9f78ee65f62b785e
.xword 0xf590217adf8ecea5
.xword 0xe1f199f690a8ca50
.xword 0x4b13a41a1c508317
.xword 0x1dee1f6fc293d097
.xword 0xec5300639b5b5f24
.xword 0x4b28809dbe4909c3
.xword 0xa7f68d3954cfcdb9
.xword 0xa466eb5956fe2fee
.xword 0xa36e512e445d67e4
.xword 0xc52dcaf47d7a1998
.xword 0xf651e554d3dd354a
.xword 0x60e48eb9a9a2793f
.xword 0xcf660e9170b41d1d
.xword 0xa0d5ea71c3a8dd47
.xword 0xab2264f6613f9fbf
.xword 0x88c8bcad939b36ac
.xword 0x506f348113d2a0c0
.xword 0xd2224cc221a17e48
.xword 0xbf8683054cb7f871
.xword 0xb8cec16876b5096d
.xword 0xde14f9b26195eb58
.xword 0x577523e0c52a1fda
.xword 0x9c1803cca3f5d153
.xword 0x85df8c35cc22139c
.xword 0x4a6a0d52e20bf064
.xword 0x9fea924bdc7724b2
.xword 0xfd48778054cfcb3e
.xword 0xbae26fcc8f83825e
.xword 0x4e96a16e35005052
.xword 0x87342f75d08bcc9b
.xword 0xa6181760de04eaaa
.xword 0x64d8969938499a32
.xword 0x4442cb5abe469992
.xword 0x670e1137137bff4c
.xword 0x05cac323f21bf17f
.xword 0xace0024f0e67fa68
.xword 0x1172b9935aa0d8d1
.xword 0xd212ff3e745d9580
.xword 0x44a467c13ca459cc
.xword 0xdd12ebd7ac6ae5de
.xword 0xb1f9a9d98bd707ac
.xword 0x1c11030bb1ef99c5
.xword 0x01be53b64fd06fbf
.xword 0xf15a3949414cd6d5
.xword 0x7f6728876ba6da3d
.xword 0xdffd4c410121c252
.xword 0x69da015be9ef32ac
.xword 0x32eca765674195d7
.xword 0xc8db87d9f1ce3078
.xword 0x7b7d5200d42ed1e0
.xword 0x01910042bc08d619
.xword 0x0db729fb8ec8dff0
.xword 0x30e3b7000a7bc2dc
.xword 0xfeb76998bceef601
.xword 0x8eb9bbbedfbe602b
.xword 0x6b17c43b50661328
.xword 0x4e8e414f12a381d0
.xword 0x3cacbf2e6a320e7f
_t1_crc_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_auth_key:
.xword 0x74f4bf5ef3466004
.xword 0xd7e40540cf22a66e
.xword 0xb43ee2444e1bcbbc
.xword 0x180c983171040a88
.xword 0x7545cc0c0d17697c
.xword 0x29e56f073acf038d
.xword 0x4b7b51429fee0f56
.xword 0x255d34fcf0714be5
.xword 0x2fa4102580c73665
.xword 0x097bdd62b87e9ff1
.xword 0x0dd238030cb2fc79
.xword 0x061445a5891cb140
.xword 0xab827b4e1d54346c
.xword 0xc2f032d7ff632a9c
.xword 0xd679cd4e166a6089
.xword 0x9306c7f0c220e05c
.xword 0x829c8ad3be8a2a27
.xword 0x28df77d43064d7df
.xword 0x87f22a86e4056bb0
.xword 0xffe2d7ef15bf965a
.xword 0xfcdf7435432fcf84
.xword 0x35d11719b2c28963
.xword 0xf36faca0af2ba363
_t1_crc_auth_iv:
.xword 0x55b8ac430caad3f0
.xword 0xa2ca35bd2079adbf
.xword 0x2116ee63682f1f40
.xword 0x5136ea9e4e462bb2
.xword 0x82f4c6ef2f478111
.xword 0x7cdf647e7ae24ce8
.xword 0xcea520af7e6ec486
.xword 0x20a99d105c05c1e7
.xword 0x4f376a28c3620801
.xword 0x582f81a25988bfd2
.xword 0x2f608c280b10f771
.xword 0xd3705529750fc3a9
.xword 0x39c8ab2ff0ac4b17
.xword 0x9e801e527fe0bf1e
.xword 0xfcc21ca22565be51
.xword 0x7ee569094ceeaf15
.xword 0x2aba0a598d297406
.xword 0x25a30ba8bca42c49
.xword 0xd59be72cfa954d31
.xword 0xf7fc7ae0a683681f
.xword 0x27220aae8e9425b6
.xword 0xc47f859293f7b364
.xword 0x86699b0d2699e3b6
_t1_crc_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_key_array:
.xword 0x2b51ac48780b708e
.xword 0x5a68cd7fc9d5d235
.xword 0x992aa2deb19bdbeb
.xword 0x1f46b9efeb3677d5
.xword 0x7c79f23f199611ef
.xword 0x7774586945850ef1
.xword 0x49833c82f3a09400
.xword 0x6b06ff092ac37d75
.xword 0x8bfa4a0858618260
.xword 0x55d80ed2829eeca3
.xword 0x86dc0ca8f054e14c
.xword 0xd367377066bde343
.xword 0x6f9a591ba7073f18
.xword 0x22f9ab4ab24784b3
.xword 0xcde8973e26e82b2b
.xword 0x6d9dfb6a7daf8d88
.xword 0x9b1545a328197f5f
.xword 0x336ec9275a3d125c
.xword 0x9d553bfde6641c25
.xword 0x2b091cfef5ea2c5e
.xword 0xac80785e407678f6
.xword 0xf5dd7774557332ed
.xword 0xa70c4be79de824a6
.xword 0x079c742a38d7c0d9
.xword 0x2e03dc5afc6f0be8
.xword 0x8db3d4bc1aa34f06
.xword 0xbe0411f47992c34b
.xword 0xccc6c4f8ae7611c5
.xword 0x3fd0dbeb81251e96
.xword 0x97549213cb831f47
.xword 0x62ec0e67cc587a91
.xword 0xef40d4979dc46745
.xword 0x18311dd49701121d
.xword 0x90e398a317cf37f3
.xword 0x41a31b0bc735ca4f
.xword 0x919dad48dc6a9d39
.xword 0xe6c8c4dfdfb27b9b
.xword 0xf04b45392ee84708
.xword 0xdc84a9ae9198f5b8
.xword 0x1ce67ad531b99848
.xword 0xd02a9c3d0615347e
.xword 0x4d7d3b268212c8dc
.xword 0x4fa1d74f72eda375
.xword 0x75081bcdc3b9914f
.xword 0x3c6715c51d6e3262
.xword 0x600270dd0a80685f
.xword 0xeac49d4b665c9042
.xword 0x876afd4ea2f1bd27
.xword 0x23576a7a90deaac7
.xword 0xb7ecc27fdae521ea
.xword 0xe6fbe765b19968e5
_t1_hash_iv_array:
.xword 0xa0a515d8d0459d38
.xword 0x2969f1ed167f195b
.xword 0x2ef7f0498936ac70
.xword 0x2f10b452ba206057
.xword 0xada160eb843cc43a
.xword 0xc44b326b777efaff
.xword 0x4dd0ca70975f974f
.xword 0x19c17d76c141354d
.xword 0xe217774d0732b35b
.xword 0xb33db83099d41df8
.xword 0xf76b92232fe922c6
.xword 0x637e5b12ebadc93f
.xword 0x02ae8d3a238f8211
.xword 0x08b65cc0b5308df6
.xword 0xa1b4f3047e359286
.xword 0x1d7b8bda48979e74
.xword 0xce5960acb361c36d
.xword 0xdf82a5ead0229c48
.xword 0xc0eb9ba958faaa04
.xword 0x6aef22b53d36f86d
.xword 0x2b43484743081bb7
.xword 0x56c6122364f18831
.xword 0x2ba92a6bfb0b34aa
.xword 0xf193a4cba7ea9cb0
.xword 0xd0c97a7750bb16f5
.xword 0xb6d68c9b4939dee2
.xword 0x19c716071c92c9c7
.xword 0xd2c08123a6d896e0
.xword 0x987b755cf6610a6b
.xword 0x44b634881033dd6e
.xword 0x8a5010181cc64de0
.xword 0xad3ecb2c525cc697
.xword 0xa366c6ae712fd2bf
.xword 0x152b31994e6356b6
.xword 0xfe3014ac571cc223
.xword 0x3d8f588a0b9ef676
.xword 0x882620ce3df9e9db
.xword 0xcf8a7dbee519950c
.xword 0x349637123328a774
.xword 0x939dacec07d8abb1
.xword 0x8c830fb9b7f498ea
.xword 0x986399fcffb74959
.xword 0x58889533ee2da00d
.xword 0x79dae728771ffc77
.xword 0xb15bd9001a738909
_t1_hash_alignment_array:
.xword 15
.xword 8
.xword 2
.xword 14
.xword 3
.xword 10
.xword 14
.xword 14
.xword 1
.xword 7
.xword 3
.xword 13
.xword 1
.xword 0
.xword 15
.xword 14
.xword 8
.xword 12
.xword 15
.xword 15
.xword 6
.xword 9
.xword 4
.xword 10
.xword 12
.xword 14
.xword 6
.xword 3
.xword 4
.xword 10
.xword 3
.xword 9
.xword 13
.xword 14
.xword 10
.xword 10
.xword 14
.xword 5
.xword 13
.xword 3
.xword 15
.xword 14
.xword 6
.xword 7
.xword 9
.xword 7
.xword 10
.xword 12
.xword 8
.xword 10
.xword 6
.xword 10
.xword 8
.xword 9
.xword 13
.xword 10
.xword 3
.xword 5
.xword 3
.xword 12
.xword 14
.xword 7
.xword 10
.xword 13
.xword 1
.xword 2
.xword 8
.xword 9
.xword 3
.xword 8
.xword 7
.xword 7
.xword 6
.xword 15
.xword 15
.xword 13
.xword 9
.xword 2
.xword 13
.xword 1
.xword 10
.xword 10
.xword 14
.xword 12
.xword 8
.xword 8
.xword 5
.xword 3
.xword 0
.xword 3
.xword 10
.xword 7
.xword 15
.xword 6
.xword 9
.xword 12
.xword 3
.xword 13
.xword 3
.xword 7
.xword 12
.xword 2
.xword 4
.xword 14
.xword 5
_t1_hash_src:
.xword 0xcd1a9f8b6df0bfa9
.xword 0x263751ed6839b416
.xword 0xcd13a48992c83a98
.xword 0x9b21258046c4eb6e
.xword 0x37a9a82422d1b805
.xword 0x1907460d187517e4
.xword 0xe7055cfda846fcc8
.xword 0x82a1bc894175f431
.xword 0x52223ec23e832e43
.xword 0xc446cad7c90937c5
.xword 0xc0547c950838aeff
.xword 0x1ffcb99c40380125
.xword 0x84484d8c7c668993
.xword 0x2755477977be1474
.xword 0xa8d821535fcbfe53
.xword 0xa603050c818004b1
.xword 0x74e7548f08664c99
.xword 0x64300822b1773bb0
.xword 0xe8efd5debda1e486
.xword 0xdff2131d8e8c24a6
.xword 0x48f7226cdcf7d062
.xword 0x989319040f4ba830
.xword 0x7655b9c3d0a59cff
.xword 0xc2b297b7a04afee9
.xword 0xb07f754d39bd420a
.xword 0xe561c5d265afadcd
.xword 0xe34f521faef4857a
.xword 0xaebd7604cc3d2e18
.xword 0x2880ab0c868df2b8
.xword 0xee9ee0357395798c
.xword 0xbce1ee65def2e363
.xword 0x6e82d41bd4fdae54
.xword 0xd02e24b434161763
.xword 0x2c7d9281caf0102a
.xword 0xd58285fac860eb0e
.xword 0x98d5152e5c570a75
.xword 0x9d54595dc3678cb3
.xword 0x39e365dacde339e4
.xword 0xdbb69f9e72c6a3fb
.xword 0x106eaec81e4f4782
.xword 0x6095b6c713269a12
.xword 0xde0e99bcb90ac23d
.xword 0xf3409ee29b097688
.xword 0x1378ac1b24251cfe
.xword 0xd94a4621174da0ec
.xword 0x41a9456861779d3a
.xword 0xb728c56cbc2de24d
.xword 0xcdcac5771f37b57e
.xword 0x5eaa0e8524d5bf9d
.xword 0x91c03cddce72eb80
.xword 0x6cf4f7b84b559b52
.xword 0xe8730772cb50262e
.xword 0xfea978434b03648b
.xword 0xc606b9979c5cf134
.xword 0x3c539b6a884df9e9
.xword 0x72440f0f9723006f
.xword 0xc90f1fe190224e41
.xword 0x99ac142f1e10aebc
.xword 0x37d5ddd660b56c40
.xword 0x660ec466b1fd9892
.xword 0xcd6d8d47a1b7d24a
.xword 0xc0e7f7334181c72d
.xword 0x69a20c5356affe44
.xword 0xd4b56f2c5fb5cb25
.xword 0x3a9631fca1d6f0ed
.xword 0xa178a241044ea43d
.xword 0x47d913c123747eef
.xword 0xe9001c5943b896e1
.xword 0x7477f0347f1e8b3c
.xword 0x9f29fffce2fd273a
.xword 0xed5b60109bb2ed8a
.xword 0x3d8a1c570c5ca28c
.xword 0x3cb26e62fab0a739
.xword 0xed9a205ea8db3ff3
.xword 0x5942f8a1d8bf5c90
.xword 0xa0fcad4f9de40f27
.xword 0xbd852d3d0ffd1dd4
.xword 0x9e971ffb2c09f72c
.xword 0x0d8528225b371c68
.xword 0xb7906dbd72718744
.xword 0x5e4310e4d775286b
.xword 0x98d989c3c62ed4cf
.xword 0x7eba22bf8c30a27c
.xword 0xeebfb3b7cd497793
.xword 0xa7cc37fb827a8f16
.xword 0xc08236d2655b694b
.xword 0xa55337eebd7e4dfb
.xword 0x2babd464f72def04
.xword 0x483340f00047c72c
.xword 0xb891b8936f2955f6
.xword 0x580223302e67a79e
.xword 0xa44fcd8339866b0b
.xword 0xad79b46ff1df2e9c
.xword 0xe8e03670143db35a
.xword 0x89db7f31ce6f3b58
.xword 0x558b6db9700a7ce2
.xword 0x2e14780105b1e911
.xword 0xf88ab975b2132313
.xword 0x6827b80eec2764fb
.xword 0x078ba3f7f52d074a
.xword 0x04c2466ab37ce921
.xword 0xa0e3cf6e72bbf6eb
.xword 0x054662c1f32303c5
.xword 0x04171b45390d7451
.xword 0xc038ea39c517b703
.xword 0xd8f70cb2ae3affda
.xword 0x7e47c114fc20743b
.xword 0x41a2ee00434f3825
.xword 0x457ef36aafb4e7bd
.xword 0x3455e12d45e4b964
.xword 0x20909d7ba10970cc
.xword 0x9587a540490800be
.xword 0x8a37367f9e43936d
.xword 0xfffd2e9876c22722
.xword 0xc84b52c87b2331a8
.xword 0x035188e372e6d672
.xword 0x49934f2cc1627a31
.xword 0x8c6c0959b4c98b88
.xword 0x7629f8a5f4af508c
.xword 0x429f7e7e2c645b54
.xword 0x8a991f098e5df212
.xword 0x535ce76c9b9a29a0
.xword 0x438520519764a0bf
.xword 0x0016a31c7e12cff4
.xword 0xaa7ec773b97a50f6
.xword 0x761c6baa5adc3800
.xword 0x1b7b70302d3161a9
.xword 0x15e4b302c2d7f605
.xword 0xe28c2775d4b29fab
.xword 0x254fb55b552be3af
.xword 0x0d69b2fe35085d0b
.xword 0xbed3edf59c19f955
.xword 0x5386b43b4b61d09b
.xword 0x72885b439945473e
.xword 0x258e8042af30f771
.xword 0xe2486bb1752513f7
.xword 0x3ae3a8140e500ce0
.xword 0xe640b25b95f54a7b
.xword 0x7f542da4f5e04e10
.xword 0xf3f1b997819197f5
.xword 0xaacf0bd2bc225cd9
.xword 0xb91522ff1773b1f3
.xword 0x3d1cdf304116b0d3
.xword 0x2d457f367780d0c2
.xword 0xdbb1188dbcebae64
.xword 0xb5d1a3982ba74bd2
.xword 0xaf29b2310d85ab07
.xword 0x6f7f89d4344a6022
.xword 0xb423cc67556821cb
.xword 0x6992a6675f602176
.xword 0x5327360b5b059eba
.xword 0x816ed769aca1df64
.xword 0xfa4cb515f43ad1a1
.xword 0x0c96305da5ca78a3
.xword 0xa43b8953e1859288
.xword 0x9272b56f6ae3559a
.xword 0x70b613eb5759086e
.xword 0xcd52b7689b6f67d7
.xword 0x5ceda763476cb637
.xword 0xdbc0ce78923fbdea
.xword 0x346a78e8abcc7332
.xword 0x9b2c68885d9d6d1b
.xword 0xc36e95c4e32e3cdc
.xword 0x797eb298d58903b4
.xword 0xbc35c76bdbceede7
.xword 0x21585586f57c9ba0
.xword 0x49ac86338fd65a74
.xword 0x9786f121b07bb750
.xword 0x1358297689cda8ea
.xword 0xded4c2f3c1f79dea
.xword 0xcd2297c8a8e42e00
.xword 0x9a9e0fd652aa6978
.xword 0x2f07613671317e98
.xword 0x787183e8701d6ee3
.xword 0xb2b2c58bb9ade4b0
.xword 0xb9fbcbfa82b68c19
.xword 0x63bf93149376d9a6
.xword 0xbecfb49357602738
.xword 0xc9cf3c7c3d7b8a0a
.xword 0x94db4427d27d71b4
.xword 0xc118ff4285d5a404
.xword 0x36c2ca7eec9b4650
.xword 0x5f5002a5388be083
.xword 0x4d675f22aa587e2c
.xword 0x61fd1e2b971ebf09
.xword 0xac406e21ed7062de
.xword 0x114673fb69af9508
.xword 0x252f511d9775e4e8
.xword 0x1380c193be94cd13
.xword 0x01262635fc532405
.xword 0xc17d32f39a26608e
.xword 0x696dab5278bed56d
.xword 0x11b8ee4391350420
.xword 0xc0438fbb94feed78
.xword 0xd2a2f3c44348e735
.xword 0x85f00154a03329b1
.xword 0xe5a1a9056ed650d8
.xword 0x3aead789d8120e1d
.xword 0x3b11b9b64e3c8eb3
.xword 0x9e28288f08947918
.xword 0x4cde456d7500b440
.xword 0xc113442ad2c2169a
.xword 0x45ca5367a00787b9
.xword 0x5788f750df084e32
.xword 0x2f2c846a7f161e8c
.xword 0xfdca4e0d71af68f4
.xword 0x07ec3683e9eea335
.xword 0xdf171e458f70c6c7
.xword 0x10c4f03c3a4d040c
.xword 0x9be041d287136400
.xword 0xb016f72ddf4ab0c7
.xword 0x471877d7a6a9357d
.xword 0x6fa315cd4d3923d6
.xword 0x0d746dae87e3a2b7
.xword 0x03c8d9ff261a747a
.xword 0x1d8d8e8d63bba067
.xword 0xd58b8e82190d3746
.xword 0x41966749e63e1f1f
.xword 0x689b25c1c157d012
.xword 0x8e5b5ba807121644
.xword 0x8008ca99ba7d184d
.xword 0xdf09e3bd2d554ad4
.xword 0x1ffa13088883338a
.xword 0xa6a642b17f4b3cfb
.xword 0xfb66d8414a29dd81
.xword 0x11e53528e88893d8
.xword 0xeb962ee9cb52ffe2
.xword 0xb6616e5fa52b1805
.xword 0x3ca465e91fdb8917
.xword 0x392227a10e3f6d92
.xword 0x1f804ce2bb053bd8
.xword 0xd985dbb706114e55
.xword 0x5784972b0d2f0170
.xword 0xccfe54a9b3d2dcac
.xword 0xd455d45a5140b7e1
.xword 0xd27e46b13c24b0f7
.xword 0xe47938db6e5f923a
.xword 0xa8c04ff1d14a8964
.xword 0x6b15b43466077afe
.xword 0x7a1a486c6db6dc7b
.xword 0x7391060ab3764898
.xword 0xde71a5a88ee5e9e1
.xword 0x4e99432cd0df67d0
.xword 0xa6da644831bd46ac
.xword 0x399c424b173a8f28
.xword 0x5b26ce59d2102789
.xword 0x66651285c8071860
.xword 0x1c09fe98bd57fd57
.xword 0x1bfbeaceb53e3c01
.xword 0xfa770786513b7208
.xword 0x2d797920643d7495
.xword 0xefc1407ff06aefb3
.xword 0x26ad4b8fa7a7286f
.xword 0x982aaf991f9bd137
.xword 0xe789dedcdc85ca51
.xword 0xc622a13a36c5dd97
.xword 0x5ba760cc56e3eee9
.xword 0x0b1516bdb7c4c4fc
.xword 0x3d6264fa4a47ab70
.xword 0x80844266f30d83a9
.xword 0xdbc8f2904bf2ccb3
.xword 0x2036125ec31e4af3
.xword 0xae58e0c16eeb9255
.xword 0xe60523a7b4740066
.xword 0x2207ef53cf9990b8
.xword 0xa1e2febc588442c2
.xword 0x00e21000334381f1
.xword 0xd8deeb0a9a7cbf16
.xword 0x990dd2fd2f5e9292
.xword 0x31888b8ade1a5614
.xword 0x375b834f42d60fef
.xword 0x80e3ffe1c4796f4d
.xword 0x1524d321cb8854a9
.xword 0x984bd055541ccfc0
.xword 0xae4d547f54126f28
_t1_hash_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_auth_key:
.xword 0x14aec998aeabd9eb
.xword 0xd64bca2fc6088d4a
.xword 0x039fec4ff452dcae
.xword 0xe8b27cee251ba569
.xword 0x0ffdbb4b6b9e65ea
.xword 0x8ddefefdc9ccc9d5
.xword 0x73f466898e1c47b3
.xword 0x34d9f9ae9c945383
.xword 0x52cdf007a7696585
.xword 0xd4764d1eeba797af
.xword 0x31fb6b642df2e1cd
.xword 0x9ae5f8f7266c7630
.xword 0x891052367e2340e2
.xword 0x7e631912682da505
.xword 0x9345843cb1f9cd62
.xword 0x4c26724da779f244
.xword 0x21b8d78e591415b2
.xword 0x0c3dd0876d1dd524
.xword 0xdb168d1b3163156b
.xword 0x8241d885a2f30e58
.xword 0x34d5e21244c3f136
.xword 0x5efbafb2f1aaa119
.xword 0x324132464a58b4d9
_t1_hash_auth_iv:
.xword 0x79dc2d57f1a2dd96
.xword 0x11584d4a4c4ad1ba
.xword 0x46b99a59c7828073
.xword 0x1f3c95167e4d4f2e
.xword 0xe68760367ff3e149
.xword 0xa711c5b2b16d6152
.xword 0xcd2474d6dcce46dd
.xword 0x9e4e5ecc7815e355
.xword 0xe3f0fe9c4568cd69
.xword 0x3095feb441351691
.xword 0xaedc36f3aae88294
.xword 0x1d2c3371c132a504
.xword 0x46424ce7b1025975
.xword 0xc46be224b24f3f57
.xword 0xc13d6b41818e4d4e
.xword 0x9ce2e524de5eea30
.xword 0x6d51317210102e74
.xword 0x3071b7b830f81004
.xword 0x400dd32778cc4615
.xword 0x8db74d32f6f790aa
.xword 0x4430cf2c03a156d0
.xword 0x7293b75001f18eb7
.xword 0xe509a1d340fa54ae
_t1_hash_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_key_array:
.xword 0x1e18f80bd68801e5
.xword 0xa8831ab840820536
.xword 0xd9fe91d8d7f64edc
.xword 0xa192f1171d76f284
.xword 0x800bb9998ba61814
.xword 0x4c0654c158fe97fb
.xword 0x2c59c1d948bfcc1e
.xword 0x6f0f668e158d4110
.xword 0xc30a6579432d087e
.xword 0x92c917b83bdb0519
.xword 0x1370a0c2f4381dcb
.xword 0xee6b02d687d0f01c
.xword 0x14c5f6a7dda6d654
.xword 0x217bc8c4e9be17cb
.xword 0x07e271cabfbb2b4b
.xword 0xc2ff6f3a4349a92e
.xword 0x6f1283c25694515f
.xword 0xd3f8703cfb011e3a
.xword 0x6516efd715124813
.xword 0x26417b2f0df9a6fc
.xword 0xf667a00d32f12d18
.xword 0x58532d62835a4f1e
.xword 0xf5990e30ad7a86c8
.xword 0x9571e6a3ade4e784
.xword 0xb8b3b9bdeea0541a
.xword 0xa7a1824f8a758982
.xword 0x78b5dff6e7054745
.xword 0x352506aa87b874a2
.xword 0x4654388501dcc0ff
.xword 0x95dbffd8d03f5786
.xword 0x1c8e0e3afbbf30d6
.xword 0x5f0feb6de8a37e8d
.xword 0x2bd2f25a5e342815
.xword 0x5b25a21c8f021b38
.xword 0x5a2d131ee52d7e8b
.xword 0x93cb1415579a7234
.xword 0x28dd5cd40bada8da
.xword 0x7c60934332bf4d89
.xword 0xe6089efb1d70ba04
.xword 0xe3f63f65d38e752f
.xword 0x7f3c5c9f543d8797
.xword 0xbf33481a9de02180
.xword 0xa8889a59f21c3c96
.xword 0x9d28afd108f4f0ce
.xword 0xa440fcc3fd10bea3
.xword 0x60d6e9591bfc7884
.xword 0xbeb899abbb2be33a
.xword 0x84c193f3eda48351
.xword 0x702d704f7e402512
.xword 0x6c26cf6fade1c79c
.xword 0x82edbb1ff4860262
_t1_hmac_iv_array:
.xword 0x3efaba47d886682d
.xword 0xcc340eb461d4002e
.xword 0x8cf3be4200e50803
.xword 0x6c9445aa205bbc6c
.xword 0xc66a4105b603e9cc
.xword 0xb071efaa53e22dbd
.xword 0xbf95ff0c53bf2a7f
.xword 0x3fde08979a1de9f6
.xword 0x9ce407f48b802eb7
.xword 0x7dadb700f06ee4e4
.xword 0xaa77d24ae234736c
.xword 0x1cf17aba5f28bf5d
.xword 0xfe224092d26bc9c7
.xword 0x6ebaaf968432b955
.xword 0xa98d1db553a130ae
.xword 0xbb39f75f9d8cbef1
.xword 0x7a58cd4308f15470
.xword 0xa753c2011653d775
.xword 0xff583fff70858fb7
.xword 0x70b006cb43f0c29f
.xword 0xee981f67668d0da1
.xword 0x49b22673491de93a
.xword 0x1f8ceeaa3865ef2d
.xword 0x6f6e18cae85723b9
.xword 0xec6af618e888ad33
.xword 0xe7a0312e44cb479a
.xword 0xe6cffb2f77e498ac
.xword 0xafccb0ba5a6af246
.xword 0x696a93bc0e92ab6a
.xword 0x71a5a63e15d2ed6f
.xword 0x359c7bd961d0d584
.xword 0x2d0318ef1b7bc556
.xword 0x77fad03253e02955
.xword 0xa3b2081537e91d9f
.xword 0x0775dce5e1e9cf20
.xword 0x0b7572c66328c70a
.xword 0x6ee50b98e6171e04
.xword 0x55983887bcdaceb2
.xword 0x8423b770dc49821b
.xword 0x351149197a209ce9
.xword 0xaac536e032a0eb3b
.xword 0xa4bed25ae4331b0e
.xword 0xf61500589e89eaf0
.xword 0x39c6556b24650da3
.xword 0x11b82163b176632c
_t1_hmac_alignment_array:
.xword 12
.xword 0
.xword 4
.xword 4
.xword 1
.xword 12
.xword 1
.xword 14
.xword 1
.xword 5
.xword 13
.xword 3
.xword 4
.xword 4
.xword 6
.xword 4
.xword 8
.xword 12
.xword 15
.xword 11
.xword 0
.xword 7
.xword 1
.xword 12
.xword 12
.xword 7
.xword 12
.xword 9
.xword 6
.xword 5
.xword 8
.xword 6
.xword 3
.xword 1
.xword 15
.xword 15
.xword 7
.xword 13
.xword 6
.xword 15
.xword 2
.xword 9
.xword 4
.xword 12
.xword 8
.xword 7
.xword 3
.xword 1
.xword 7
.xword 5
.xword 5
.xword 6
.xword 14
.xword 15
.xword 11
.xword 6
.xword 7
.xword 11
.xword 13
.xword 2
.xword 1
.xword 7
.xword 14
.xword 3
.xword 13
.xword 14
.xword 14
.xword 15
.xword 4
.xword 14
.xword 4
.xword 7
.xword 12
.xword 9
.xword 6
.xword 11
.xword 6
.xword 0
.xword 10
.xword 12
.xword 11
.xword 5
.xword 9
.xword 12
.xword 8
.xword 14
.xword 11
.xword 13
.xword 2
.xword 6
.xword 12
.xword 3
.xword 13
.xword 5
.xword 1
.xword 1
.xword 2
.xword 11
.xword 0
.xword 11
.xword 10
.xword 2
.xword 10
.xword 12
.xword 5
_t1_hmac_src:
.xword 0xe74e1edcfd3d4ba4
.xword 0xa364ac13c303c12b
.xword 0xf52eaeab4cc6c29a
.xword 0xf9d1cdcd05a1b806
.xword 0xfdd66a0578414fe0
.xword 0x4a2a68d67d50fc15
.xword 0x3d4c96ffe21a2006
.xword 0x6e1a47ccef536de5
.xword 0x8edeeae0694c7b29
.xword 0x416b772bd3d393aa
.xword 0x31425fe73f17e15a
.xword 0x3d08a20accacfa07
.xword 0xfcb935c396d5f5eb
.xword 0xde0525efceae37c5
.xword 0x75240a6fb4ed98c4
.xword 0xa783a9ffcdaf35dc
.xword 0xb700b26c6cd28f8a
.xword 0x0e921d8ad56c5780
.xword 0xa3d354f7056cf801
.xword 0xbdac89f3f58ebbd3
.xword 0xee2928b4883d5113
.xword 0xa1f14c65eb5e7e67
.xword 0x2760f714cda77973
.xword 0xb656c5cdf5b6ddd0
.xword 0x5b1156a12914b9f8
.xword 0xeca45232dcd1f9f7
.xword 0xf97da882ef5be7b9
.xword 0x089e8f0f1cd563c7
.xword 0x9ff3ccfd51757aa5
.xword 0x2f2bb24586cc055d
.xword 0x2de41d7c93c785e4
.xword 0x9e4ae47bc6ccbd38
.xword 0x95096ca7c783ec9f
.xword 0x7f37e2141ab545af
.xword 0x46375b5316d63cc7
.xword 0x326cd03c71edcfe4
.xword 0x1292222d88e2305d
.xword 0x38281312ce6a5b8d
.xword 0x2735020517ee4ad1
.xword 0x0101425c065465f4
.xword 0x1ed11aaf638d1284
.xword 0x72521167e5acdda4
.xword 0xdc4c49a04e11a900
.xword 0xb089c877a495e6aa
.xword 0xd482e895534fbfd6
.xword 0x1001701181f9d3f4
.xword 0x419421d985179b7c
.xword 0xb16cf77ee05c7576
.xword 0x026e8d380acb72fa
.xword 0x36c51bc01e9ec343
.xword 0xf1e87aae2449a3e1
.xword 0x2763906cf9c4dacd
.xword 0x9870b0820a881d81
.xword 0xbb5d12efc6d0df64
.xword 0x8d4e49f28648df23
.xword 0xf92bf55416798a71
.xword 0x6310bbf230fa8d86
.xword 0xd1e21e276b61ce5f
.xword 0x487dc2044f79f355
.xword 0xdc0892b80971d688
.xword 0x1664e39131dff636
.xword 0x6b568a8bf0f9a9ad
.xword 0x96fdb25f6daf5b51
.xword 0xb79e49575014d4ac
.xword 0x08c4cec4149131c6
.xword 0x840c4efd8e6edd5a
.xword 0x99fbb7a3f831947d
.xword 0x1cff1057b91bfd61
.xword 0x4280004c4a39e400
.xword 0xe94e5622591f60e9
.xword 0x5a649aacf3aac330
.xword 0xf5c215a78a3a7893
.xword 0xa5540d93441a81f8
.xword 0xdf9d5707e8420eb4
.xword 0xae2cca6d96e3d4b2
.xword 0x5d4bc0cbce721edb
.xword 0xbabe6f7bbb59bc50
.xword 0x96892ae372ebc22c
.xword 0x884abcb2fb1bd626
.xword 0xb84f17e449a97e11
.xword 0xfcf9952b2c243cbb
.xword 0x51bb225876d5c7ed
.xword 0xe5f4101f564f6a67
.xword 0xdfaaa28317bf6e5a
.xword 0x42593a5cc2aeb4f0
.xword 0x18f5f53081b5c373
.xword 0x8e19112e3d3ca7dc
.xword 0x6499d1284901f443
.xword 0x283dcaa587691909
.xword 0x664fd56a65ac90b0
.xword 0x7881d40d9c425349
.xword 0x82dbad137b46bfe5
.xword 0x97fec5f6269806f2
.xword 0x48ddbb58a615b9ec
.xword 0x170f852d102562e1
.xword 0x04c0d4ebaa2c0312
.xword 0x2091c9792da7873e
.xword 0x9c1f9a650554b4e8
.xword 0x8037f8ba4b72dd7d
.xword 0xf04b3aad276de896
.xword 0x62f1d03f4885cba4
.xword 0xc6efa42161472053
.xword 0x2b81b3890b7c710a
.xword 0xa57cd3ef0b94d218
.xword 0xabb83fb0624b7845
.xword 0x902a40a03a97bf44
.xword 0x516044c9b2d714de
.xword 0x7c8538f6ce2e2812
.xword 0x35637b35856aa1ad
.xword 0x4c912bea5d07abca
.xword 0x47e908f4f42ddcf4
.xword 0x56ccc085c2a98f64
.xword 0xbd54af10860aa09e
.xword 0x018a912d8b470d58
.xword 0xff6899e36b8bf199
.xword 0xbcca41ff702ad44b
.xword 0x1e7c8957238ce032
.xword 0x6331c406399f23ff
.xword 0xc9ddb78fc74c3b56
.xword 0xa218160866586ad6
.xword 0xbaf63548399a2958
.xword 0x4130faf55c35df57
.xword 0x733070411dd70033
.xword 0x5647c208c0d4d68e
.xword 0x89a8d777edb59f1d
.xword 0x505b387a0809ca0f
.xword 0x9b25960146c41f59
.xword 0xe555b28854f70bc5
.xword 0x0fc7e79dc32a6042
.xword 0x51fb170af3ce1701
.xword 0xd77443f131df48fe
.xword 0xad2fb7504975b60f
.xword 0x36427f0edb180676
.xword 0x0b946ec9c28dbc13
.xword 0xf81065c7cffeefbc
.xword 0x3a5941baa865a3d5
.xword 0xbb40e77ab60112cf
.xword 0xd111d0688066670d
.xword 0x0c10ebc798d739a4
.xword 0x9858627d0e67678d
.xword 0xe59453208c24bd43
.xword 0x40c9969f5464aebd
.xword 0x51a83db8d81603ee
.xword 0xc183cb0e08c01ebb
.xword 0xffcfa6126c94d706
.xword 0xf4396fd982d50752
.xword 0xc022b6d034658bc2
.xword 0x27a20204424e148c
.xword 0x761c07f8ffa0c5f3
.xword 0x7debab209948d7f8
.xword 0xdd73ae85fb7eb1f5
.xword 0x25751485eef5ffd3
.xword 0xd3be5ce8d83b6d12
.xword 0x472e4c0959119146
.xword 0x3fd4d0ffcf0dad18
.xword 0x311927533f7ee104
.xword 0xffbe6dbafae6ea2f
.xword 0x08455dcda3d854c8
.xword 0x6536a908aa9d304a
.xword 0x2d8ae11316b0f324
.xword 0x037dd312335d452e
.xword 0x845073787c886349
.xword 0x1023d6fff07cc7ff
.xword 0x5197ed86f5a87bf5
.xword 0x09c2e923f27be7a2
.xword 0x0148984ba3c7f83b
.xword 0x4b5edc4a9a9a46f1
.xword 0x76f110b1cf848028
.xword 0x119c3c3a06dc95af
.xword 0x5cc416d9f4f7404d
.xword 0xdc8836aa2aabb31b
.xword 0x3c6a885e42d3ffa9
.xword 0xe70d3b25f0d24f4a
.xword 0xbdb238648866b1fb
.xword 0xc40593f3fbeac2ab
.xword 0xa1af027250de17fb
.xword 0xa0e2734948e822bd
.xword 0x27198e2fc08ecb79
.xword 0xa0b1546cb323029b
.xword 0xf83468ffd6c47048
.xword 0xcad26fca39efab3f
.xword 0x148a887a7834dd35
.xword 0x0c88649aa8e12197
.xword 0x46ff0919497ab8d9
.xword 0x9f95b9e83cf9de3c
.xword 0x8b6f71b636d30057
.xword 0x709df41ae1537298
.xword 0xed0ed5285998ca49
.xword 0xb77b1e9defc2bdd5
.xword 0x6eee19368f536c1f
.xword 0x0b8d8d91e3b9e58e
.xword 0x6ed1f067b772bf3e
.xword 0x1708c36c7b90d7e0
.xword 0x483a8589de62810f
.xword 0x4e940518e124b020
.xword 0x4c6e2650328aff08
.xword 0x3d086d045a9bac1b
.xword 0x0ffb25899a40ae5f
.xword 0x3cb985068e9d7fed
.xword 0xd635913aeccbac24
.xword 0xd109fb2f27b54961
.xword 0x31116c3135fbf8d2
.xword 0x23b46a6ed6dbe25c
.xword 0x1e4d1cce5e6446bc
.xword 0x928781c32cdb9e2e
.xword 0x64e6dc7365bcbc08
.xword 0xb2cf77c78855f6d9
.xword 0x2c13f70100c13ea0
.xword 0x8886d45f40e95b08
.xword 0x86d40d3032b9c83b
.xword 0xa7d200eb4c051e94
.xword 0xb441f4a25ffb08fa
.xword 0xb405c6b60fe0c424
.xword 0xdaee704ff7abef71
.xword 0xb8681dcb68b8a15d
.xword 0xbf4acc9e710d003f
.xword 0x20492f2c74019d17
.xword 0xf0f7d4ffb1d44767
.xword 0x76dd0f9a35c626d1
.xword 0x213aec1a21aedfca
.xword 0xd514d180ac200167
.xword 0xad4b170284a4ed10
.xword 0xf4c9e99f7adbe17d
.xword 0xf774b7597a4391aa
.xword 0x4e1c8e43b4d18960
.xword 0x1d15060bd1a92ad4
.xword 0xdebe90a8e54cb2a7
.xword 0x79396031601dad8a
.xword 0x2638ae1c1ee73d49
.xword 0xbb1aa79cb056af5f
.xword 0x849fcb380aaa009f
.xword 0x0938918b82a6ae77
.xword 0x1061c4100489cd0a
.xword 0x14e28ea75fdc9e13
.xword 0x6d44030234ddf9c1
.xword 0x8db65b1cf79563b5
.xword 0x705e0bdb66cd970f
.xword 0xfb97697954b5639a
.xword 0x42225ea2ad08c8a4
.xword 0x958c257946aa7886
.xword 0xab556296085e34c4
.xword 0x1bc5373a63854cd1
.xword 0xa33708a3a7b49281
.xword 0xbfb8e561790b0784
.xword 0x1e3ca3e511fce4c4
.xword 0xa09291d63d88f1aa
.xword 0xc48ee787f50753b7
.xword 0x46f1f4d1a0b338fc
.xword 0xc94ffdde5a4dabd3
.xword 0xf8e9ce7fb0ffd752
.xword 0x83f120ef6f96d60f
.xword 0x995856d48703bca8
.xword 0x25635854932682ac
.xword 0xfd325d53f23b08ff
.xword 0x7a1ec18817278881
.xword 0xb077e25d3d546044
.xword 0xc78de9cad7cca9e7
.xword 0x846d6c18297e7c0f
.xword 0xfd8bfd74f48baeb1
.xword 0x6d9deebe0c7b5a70
.xword 0x5eefa1e8de0c8d55
.xword 0xbc2ab4c82cc6115a
.xword 0xff69060eb3e3fdc0
.xword 0xbaef6ca348db3d03
.xword 0x102baef3074b2242
.xword 0xd625448834a0f0f9
.xword 0xa5c2d1dcb917b638
.xword 0xabcf677b90ce383c
.xword 0x194ad77f7ced0462
.xword 0xbec5c266205bb3db
.xword 0x412734bd810d2049
.xword 0x58988d9841b91983
.xword 0x3a3cc38a9d6f16a5
.xword 0x4dedf49480ff5662
.xword 0xb7bb98dcb5a6c292
_t1_hmac_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_auth_key:
.xword 0x3c38cd020ac6ba8b
.xword 0x7577525fbf07aace
.xword 0x690aa870ce1649c4
.xword 0x09c8def52af3f56c
.xword 0xc9fe6b14bb8e3a46
.xword 0xa99c1fc9e530628f
.xword 0x9d24b17c4b0d5cca
.xword 0x1243bd10f00d1dac
.xword 0xecbcb79533da8088
.xword 0x5d14b4b439989324
.xword 0xe294e3b2d4b9ee66
.xword 0x700adde7c16c1913
.xword 0xad61bea11ed0af2b
.xword 0x5c1172c8d920adc6
.xword 0x41ff3bc8c28e9374
.xword 0xc9a79ea99f2d457a
.xword 0x2afa3b116ad16e82
.xword 0x23352b7e29fc1a9c
.xword 0x0b73ddc1e6ed5206
.xword 0x8d818d009f77ac50
.xword 0x27d9d8dff8d3a886
.xword 0x2cfaa9f86054b427
.xword 0x75ab14376518b9f1
_t1_hmac_auth_iv:
.xword 0x317efdf8a060f255
.xword 0x00d247dd7e2b877d
.xword 0xb4050eb62f549623
.xword 0xf00407827b99960f
.xword 0xd5e1e0b1fc1492d4
.xword 0x36ba3de67e3a32e2
.xword 0xc2c3f9ab80de173d
.xword 0x266e480636c79e66
.xword 0x9c890a87af33ce0c
.xword 0xb33923f69cd81c58
.xword 0x7847aaf267a634b8
.xword 0xf5b528082ffd1ede
.xword 0xf8c17325f6a771c7
.xword 0xb842057e13cc4f02
.xword 0x3982f03e54719a7d
.xword 0x3c76f91aec99d1d7
.xword 0x5f2e859137567550
.xword 0xec8ccecadc223739
.xword 0xbeb1918dd6549d0a
.xword 0xec5364e8fe708b5d
.xword 0x3bff18aca3b373ed
.xword 0xec0c8ca2fa264560
.xword 0xf35cd9e21fbf5c32
_t1_hmac_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_key_array:
.xword 0x91810d2c1bf1bda6
.xword 0xf224db025b31b4db
.xword 0x070c4573bc07ef01
.xword 0x75021561abc0ba65
.xword 0xd1863dc02fa7bd43
.xword 0x0a1bcb6ef57277d6
.xword 0xaaa00b299bd5c666
.xword 0x2026f0738ad208ed
.xword 0x7dc80ee7dd5d79e1
.xword 0x1a928c866aa0ae56
.xword 0x3bfdcb3a4a3bf984
.xword 0x643a4b22179c5c03
.xword 0xbb519dc2bd1ee8af
.xword 0x664c603d2961bbba
.xword 0xd7210a933ca070d6
.xword 0x04a666f2c637f44a
.xword 0x8940840bd2b82d85
.xword 0x0323a6bd0916e049
.xword 0x419a2cb3bc040ce8
.xword 0x0c64c1981b3d23e6
.xword 0x43f00775704a3333
.xword 0xec9528f0caa78246
.xword 0xd378487e410b851b
.xword 0x85b6d453496c3baf
.xword 0x7d6a175437302764
.xword 0xedc0f0f23271e91b
.xword 0xb380474e728f38c7
.xword 0xee8880b00d015e70
.xword 0xbc49b8d4c4fa3b4e
.xword 0xe94d979da896b57b
.xword 0x8cc4958ef7930bf1
.xword 0x1f2fcc156145d5b8
.xword 0xd682509b8c7a95fe
.xword 0x401aed326740cbb9
.xword 0x8a7c11f569a66352
.xword 0x468613af80e831b3
.xword 0x3ce95166c5805166
.xword 0x785030ae5435fea5
.xword 0x304eae93dbfd73c1
.xword 0x9d8d87e66769f76e
.xword 0x1c595f5f41459fa0
.xword 0x433b3762a2fb0c1a
.xword 0x94b9ee1c6f8b08c8
.xword 0xb596f92039797156
.xword 0x1f3d9787d5acd7b8
.xword 0x6fdf3839c89394a3
.xword 0xd416cf3e90733efc
.xword 0xcf64db86a7ce4a2f
.xword 0x0aeb6ff2461da2ad
.xword 0x6fd440c18c429862
.xword 0x877a91e3281e7fa3
_t1_rc4_iv_array:
.xword 0xfdde33db93f58911
.xword 0x6a3362dec02a4239
.xword 0xc77f3b30e2af6fb5
.xword 0xefe9aaa906f096e1
.xword 0xc0893691a31d1574
.xword 0x2374628cc7935519
.xword 0x2e50e394bea88413
.xword 0x8f6349331fcb27ae
.xword 0x25f58f019e6ca622
.xword 0x9b2c846debe842a5
.xword 0xafff511e140236fc
.xword 0xde067c09679de6d8
.xword 0x752cfcd0895886f8
.xword 0x02d3e9f1c04ad259
.xword 0x28e0e5bfe4f7c77a
.xword 0xfe05df85527d92af
.xword 0x01b0d9e69a99a25c
.xword 0xa4b7ee400aa42ab4
.xword 0x814d94c17dc03eeb
.xword 0xb75c7965fb2f8d5b
.xword 0x16cfd0644d202237
.xword 0x0afb684eeb4dd9c4
.xword 0x352e176555d4e89b
.xword 0xc0890c1c202d19c9
.xword 0x7eef2e347fcf12db
.xword 0xa4aa6555ceae730d
.xword 0xab48eb3c72d9db86
.xword 0xab6e9b2ab8289fdc
.xword 0x1da46737f84803cf
.xword 0xb2c411d993f1e73d
.xword 0xcad2044a0035349e
.xword 0x17c7217e58a8e59f
.xword 0xc18f1f6dcd744586
.xword 0x9c363c1c73906f41
.xword 0xca2bed98f452c997
.xword 0x302cbc435fc24c1f
.xword 0xfaf0335733b8524f
.xword 0x1c62532669e7a8b6
.xword 0xfdcc1de454be7d40
.xword 0x7693238889c9d89e
.xword 0x1961985ac12953f5
.xword 0x7f80f8f1c93300c8
.xword 0x173d3ca598e75305
.xword 0xcfc57e19335dde68
.xword 0x5c1fdb3774f29946
_t1_rc4_alignment_array:
.xword 5
.xword 9
.xword 13
.xword 2
.xword 4
.xword 4
.xword 1
.xword 14
.xword 14
.xword 8
.xword 11
.xword 2
.xword 2
.xword 8
.xword 8
.xword 1
.xword 5
.xword 4
.xword 9
.xword 8
.xword 12
.xword 5
.xword 10
.xword 11
.xword 6
.xword 1
.xword 6
.xword 12
.xword 0
.xword 1
.xword 3
.xword 13
.xword 14
.xword 9
.xword 13
.xword 7
.xword 5
.xword 1
.xword 3
.xword 3
.xword 12
.xword 3
.xword 8
.xword 4
.xword 13
.xword 1
.xword 1
.xword 10
.xword 10
.xword 15
.xword 1
.xword 10
.xword 6
.xword 11
.xword 1
.xword 9
.xword 14
.xword 6
.xword 11
.xword 10
.xword 5
.xword 12
.xword 3
.xword 11
.xword 4
.xword 6
.xword 10
.xword 3
.xword 1
.xword 5
.xword 11
.xword 11
.xword 3
.xword 2
.xword 5
.xword 1
.xword 13
.xword 2
.xword 7
.xword 1
.xword 8
.xword 11
.xword 13
.xword 15
.xword 8
.xword 14
.xword 12
.xword 14
.xword 10
.xword 7
.xword 14
.xword 6
.xword 5
.xword 5
.xword 4
.xword 15
.xword 1
.xword 1
.xword 8
.xword 1
.xword 15
.xword 5
.xword 9
.xword 15
.xword 12
_t1_rc4_src:
.xword 0xa903e26bf512bbf4
.xword 0x595d3dcedc23347a
.xword 0x40c43173f71dde08
.xword 0x2db942137183deb0
.xword 0xc7683ec3171c104f
.xword 0x2aab234a94168065
.xword 0xc8031f899b9e080e
.xword 0x7629a148af28a5c9
.xword 0x7ded04eef17aecfb
.xword 0x835cb1adfc2bf2dd
.xword 0xb85193be79971906
.xword 0x184e06a227f981a1
.xword 0x6358d726d676116f
.xword 0xe718ebba089dd681
.xword 0xb54181c285a46a09
.xword 0x97c96355eb782258
.xword 0x3079558a292e19b9
.xword 0xda633533c664280b
.xword 0xd506b97aecb0b2e7
.xword 0x05483f03d26bae2b
.xword 0x50deecd743037f96
.xword 0x8b779d9adb9ea64a
.xword 0x25ed3f35b854da2f
.xword 0xdb037d98b76612d7
.xword 0x07b710952bae2bf0
.xword 0xa75f66b0bdb0c37c
.xword 0x46fba5a8836fd5db
.xword 0x7ec8e4f8074e08b4
.xword 0xe73eaa6b5fa84bc9
.xword 0xb56ad0910a923535
.xword 0x35c807852d7b05ec
.xword 0x41471b2329a4079a
.xword 0xb655053ae9ac8aa5
.xword 0x1c66b8b6ac7b2979
.xword 0x4ad6247b3b4e799d
.xword 0xc7a7c817d13c4fec
.xword 0x6654d242bfe19e36
.xword 0xbbbf9b2fc135f4a5
.xword 0x5880ba0b4c61d6a6
.xword 0x74579415368dd2fa
.xword 0x3bc341e2225b1cef
.xword 0x19ebe82701a6bbb9
.xword 0x2ee472f6e87c4ae2
.xword 0x730644921408827d
.xword 0x56eb53599a2a6109
.xword 0x1be55ba91e92c67e
.xword 0xba0ed19a6bfb9a87
.xword 0x22e14dfe5d453d15
.xword 0xe1f68196ba2091e4
.xword 0xf9e42ab57b0ef10a
.xword 0xdc09c13e2c7e410e
.xword 0x316a28b754efe062
.xword 0x88b5da54c0727070
.xword 0xc82436dc5ad4182a
.xword 0xfa4d825d664adcf8
.xword 0x0a724062b72743ac
.xword 0x90121d254f68a950
.xword 0x7e62eaa19e5867ba
.xword 0x3d34a4549fbbfc95
.xword 0x725ce256591a4a19
.xword 0x0de8d94e8667d925
.xword 0x60d2b4e47dee963e
.xword 0x32bc77e9166ec38b
.xword 0xb173077acb179480
.xword 0x90aaa15a9b5f50f9
.xword 0x610c2a20d1bf78db
.xword 0x01cf638c752ed471
.xword 0x1620aace62673691
.xword 0xc6553196fd46859e
.xword 0xb17f3bef7f73c808
.xword 0x9ed875ea6f92b545
.xword 0xba8da880dc23671f
.xword 0x3aa3e58159763475
.xword 0x927dceafda4bbb3e
.xword 0xa0d4805ca6d7d54f
.xword 0x72d19235d194d2e7
.xword 0x4e2d4e048cc163bf
.xword 0x25bd8330f2986b41
.xword 0x6e6fd9d68e5e86a6
.xword 0xfe301d5c4b86b982
.xword 0xf866b3d2dd5ee3c3
.xword 0xe582ba9c1482c8f6
.xword 0xcf52cdb11852c33f
.xword 0xc7099e01bcaeca6d
.xword 0x581a3286a8e42016
.xword 0x7e7d8ddac5884b4b
.xword 0x2105bd94b5313423
.xword 0xc689d9b137d8b0ff
.xword 0xb7935ce4a49c3118
.xword 0x800d3a18bedc6cf3
.xword 0xb144c740934c44ff
.xword 0xd3476e2862e1bad9
.xword 0x63e4b828f4668fd0
.xword 0x096a7f9d954eec5b
.xword 0xb48ad4ef5e2ecf93
.xword 0xbe49fc97b2e60291
.xword 0x981a66cd4ffdca26
.xword 0x20b63219aa90277e
.xword 0x49ce27fe1b3265f6
.xword 0x06c855199629ec5a
.xword 0x57b088442ef05b96
.xword 0x7c1ace42b624bb83
.xword 0xd891a56e9b521261
.xword 0x7f889db770387cf8
.xword 0x50a97fd8378fb9d4
.xword 0xb3610271ade52956
.xword 0x6e40da44552f403c
.xword 0xe06f5eeb1556eee6
.xword 0x621416ffca18b02d
.xword 0xa8d64b220b638d54
.xword 0x85ce07496d56f3cb
.xword 0x5a213d76ddbbf99a
.xword 0x2022240b88f0b1df
.xword 0x3bacfb80a7b9f5d2
.xword 0x4d794b34ca7c74ac
.xword 0x03b1e5e31af990af
.xword 0x307717773e288598
.xword 0x8d0203287546cdbf
.xword 0xf1b0f7c33eef49cc
.xword 0x0005466ba6024f82
.xword 0x6a84a2a6e384649e
.xword 0x3110a8372dfb3955
.xword 0xd77b672433b29643
.xword 0x225142e93297db69
.xword 0x596aedd8e2eb99f5
.xword 0xb668534641f5846e
.xword 0xddb17c44ef0f4afa
.xword 0x11de77b52ffa88f0
.xword 0xd0040ed267375ab3
.xword 0x1c92c92b4bb65f26
.xword 0x21c02315a86d15f3
.xword 0x496242d34191deb9
.xword 0x9c1f9add543a03e4
.xword 0xfc24d01bb6f46569
.xword 0xc07627b3112f61c6
.xword 0x3ded0343fef22a2d
.xword 0xd5ca141b280d5015
.xword 0xb0ca79d168e5a29f
.xword 0x954552f6561128ae
.xword 0x41be24756bbbc5ea
.xword 0xd2aa39536f9b3154
.xword 0x327201cd42c76170
.xword 0x7f21cd5879c0a7a9
.xword 0xa6e21de1eea1e675
.xword 0x15c1940ba88ec069
.xword 0x313eead52f6cad99
.xword 0xc4891ec7b1b5b892
.xword 0x60b720bb6bae8b44
.xword 0x62248a97e2356c14
.xword 0xc680284715023a8e
.xword 0x47e13f3ce80faa87
.xword 0x0665ca332623c456
.xword 0x06a48fb2b3949c1b
.xword 0xeeb9b0d3a85a6525
.xword 0x6b99c5065f8db303
.xword 0x5f504495e105c85e
.xword 0xc355afefa808f36a
.xword 0x5ff5bec2baee50f4
.xword 0xc52c6ae9034ee52a
.xword 0x3ce7dee5c8786453
.xword 0xe4bdc9e4a51d26c9
.xword 0x90792ea5cea5e224
.xword 0x6b57ac72b6bb8612
.xword 0xa4cae00c97d818fd
.xword 0x00edea5deddbe9d3
.xword 0x3279523403b8f4fc
.xword 0xacd846ed56ead947
.xword 0x4a91a1fa2492b9e8
.xword 0x7b8f5035be500689
.xword 0x15f9a17db4bef5c9
.xword 0x4257ea5ae6f8ed87
.xword 0x45820e9e3370caa3
.xword 0x92ff76920200a18f
.xword 0x7a1aa94da650cc59
.xword 0xe3f41df20c787387
.xword 0xb30614ff060072d1
.xword 0x83c76c8900f45ce7
.xword 0x00c6930f26adbcc4
.xword 0xcd9fd3db78504669
.xword 0x0d2c9577f30eff4c
.xword 0xe5a1c2df145e4d41
.xword 0xf9de0bb8e8012015
.xword 0x39c41b929a0756e6
.xword 0x2f979985b94cffed
.xword 0xbe7c444440ceb7c1
.xword 0x964a573932fa149f
.xword 0xda8dc9c4d3532026
.xword 0x86354d7f5bac3a4d
.xword 0x7ab5534e6e3f2f1c
.xword 0xfc00d95738ec1115
.xword 0x4a01d6cf9760a52e
.xword 0x0199fca1220b63d9
.xword 0x8c4f4a742fb70b4b
.xword 0x3ffb414928650af1
.xword 0xa90ab6cb3b3ef24d
.xword 0xf635dd0e912a5c06
.xword 0x99fbedcdd1f89da0
.xword 0x454992a95326a049
.xword 0x17d72ed3885c2e24
.xword 0x79229f7bcf528ecc
.xword 0x30fe61e4c97bea91
.xword 0xb395281f93334fd7
.xword 0x64bc0a39258a2e89
.xword 0x7ef0bae2e9a60ac4
.xword 0x781cfce355361367
.xword 0x24df0a10302fb9de
.xword 0x619edd1bf03882de
.xword 0x9a656a7a4516007e
.xword 0x2154ebc2b3aea0e7
.xword 0x302999e745e4152d
.xword 0x4cf0d69627b89a1d
.xword 0xb628383a41bc6dd1
.xword 0xad24ced276820d41
.xword 0x4546a3472962333a
.xword 0x74ebfa6db1331b4a
.xword 0x3f5db7123e05d01f
.xword 0xbf20b121b8209d28
.xword 0x6b920d47c37db08a
.xword 0xd44ca2f2a45035c2
.xword 0x1bbdff946d8db903
.xword 0x0649c52117150eb8
.xword 0x92f49465809c00fe
.xword 0x33e230fea4e00404
.xword 0xe1f65158beebbe6e
.xword 0xb1c9d8db8b97fb6c
.xword 0x6cd999f811276ff8
.xword 0x588247c8006687fa
.xword 0x4a901e62323c627a
.xword 0x5f5d904bbb46b743
.xword 0x13140ae0e6601e1a
.xword 0x37440ae50b63bdc7
.xword 0x817d8e47280227e9
.xword 0x2f9c738b05ffee9f
.xword 0xc19fe96913c86b4e
.xword 0x51de9e473046d374
.xword 0xc99bcc11ea633830
.xword 0xdd8b3e3dc2ad1598
.xword 0x374883d7c132bf9c
.xword 0x5d6fc2775f4e4e43
.xword 0xc5f38307f08fdee3
.xword 0xf7c353fbd59614bb
.xword 0x09544eb40df6ca24
.xword 0xd10b47d1ae377cea
.xword 0x9ce137ac2299fef8
.xword 0xc2f9b0c460118dc3
.xword 0xa0bbfff56b3942ce
.xword 0xb58d760594690378
.xword 0x5310495744633b0f
.xword 0xceb924dd6b76940c
.xword 0x561dd9a25dfe52ab
.xword 0x16e48557a9e8826b
.xword 0xdde6af1ebb8eb1dc
.xword 0xef11041fcb70ab55
.xword 0xf8bf4a649c128a37
.xword 0x5219b08792647163
.xword 0x0da744c4fdef8b0c
.xword 0x13f29f55854934b1
.xword 0xc88bdada127fa17e
.xword 0xf22998ec1714165f
.xword 0xb59f72352b322c07
.xword 0x95f96ef370367c6d
.xword 0x9cf73d93f4dd28e9
.xword 0xf311c74af9015f80
.xword 0x52bcb67b4ae8213d
.xword 0x3f4693ce9e7106d3
.xword 0x884ec1620971b480
.xword 0xe053299f3cd06729
.xword 0xd44145a418e276d0
.xword 0x499416d474c9f4f9
.xword 0x92b6c4eefb26e4cb
.xword 0x4f2a5d09e4333005
.xword 0x0b8f4d84250ac6b9
.xword 0x6c19d506714972f5
.xword 0xb956118fdb74baef
.xword 0xbfa2de8f8a7cb670
_t1_rc4_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_auth_key:
.xword 0x23e322e4b369712b
.xword 0xf01ba86e077342a1
.xword 0x940fd0f25fb3bb52
.xword 0x335ea16552405b08
.xword 0x2ded6354c316f0f7
.xword 0x5992dc13f5f73d69
.xword 0xc306ff8b2acc732b
.xword 0x8a0a8be664caf138
.xword 0x1e0b90316fac5d5c
.xword 0x9e20df4a67f83212
.xword 0xd6d143d3f04a537e
.xword 0x9f2b8ae1b0d5a9c5
.xword 0x468d416c1256729d
.xword 0xcba86d410d3f4e00
.xword 0xccec19321919829b
.xword 0xdafa0bed47262d93
.xword 0x97a3d9550a8e28a0
.xword 0x85966bdb499b4396
.xword 0xbfb3baed1e29ad76
.xword 0x1693ec05a131e2e9
.xword 0xb4c464dc8e4ea763
.xword 0x800c0aa1114996e9
.xword 0x0a7487afb69e9284
_t1_rc4_auth_iv:
.xword 0x1fc8c6915a64f7b0
.xword 0x1dab7fce34659098
.xword 0x8dbb668a87c487e9
.xword 0xf832713913eadb67
.xword 0x82501a32526edd89
.xword 0xb0cd5dd26ed1aa82
.xword 0x67ae38d53d115a1f
.xword 0x895e77b80177cc21
.xword 0x7b26dccbb6e32e7c
.xword 0xca108f858b0c16ff
.xword 0x2d48116e72b023d9
.xword 0x0aba2697cb868147
.xword 0x89dd3f417cf5550a
.xword 0xea85e0bb1142d7f2
.xword 0x6a001d21a1aa1269
.xword 0x05992661ceac60d2
.xword 0x328357027d5c374b
.xword 0x42f2cb652eb0903d
.xword 0x21d2603ffd48b43b
.xword 0xd6438f46be12a5f6
.xword 0x79cccd92e9df8b5e
.xword 0x87cb0dd6daaf79fe
.xword 0xf2b66d12072b715e
_t1_rc4_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_key_array:
.xword 0x164d6bc400abfde6
.xword 0xb613043f79cde92d
.xword 0x5f23d297a77f06ba
.xword 0x4b9308f5f245468c
.xword 0x3b9c1ac472d93eff
.xword 0xf95a08bc3a5949c9
.xword 0x345fa1a933d07a10
.xword 0x25f5830b0f3520a8
.xword 0x113f18a92e6a779c
.xword 0xa5e1ed5c5570ab87
.xword 0x34baf6f68e51bbf0
.xword 0x03cdb47797a0ca75
.xword 0xa7f962d669529b7a
.xword 0xa2883aadb1ced13c
.xword 0x35b1fee92ce96d67
.xword 0xbb70b28374d3fc26
.xword 0xc26b86280de8bf86
.xword 0xb49a7e5b04cf0bb5
.xword 0xdea023488d30b86a
.xword 0x1103c3b364aee865
.xword 0xf6bb4f51df90c9ab
.xword 0xdefeac24c6b756f0
.xword 0x79a8f543d1a3f03d
.xword 0x0c0f970ada169f36
.xword 0xfd98c5fe8a17f5dd
.xword 0x1de60d2ce7d7dfdd
.xword 0x9bfb92cea907dab4
.xword 0x197ad1202080fe07
.xword 0x3330bd17b70d686d
.xword 0xd692a9e712c73d83
.xword 0x96b116ba2a245030
.xword 0x7a51ed050dd0ed91
.xword 0xe1f2022ad1df4845
.xword 0x14df9d104aae7590
.xword 0x0000c12573cb86ee
.xword 0x84d98f2e6e64cfaf
.xword 0x92b63352adb2737f
.xword 0xffcbebf3e0d5b774
.xword 0x1272d144e1049938
.xword 0xd6f8aee49218341d
.xword 0x393eff333c4aee8a
.xword 0x7094a6ec18622710
.xword 0x042e7f853b86306d
.xword 0xdd969bd76557a519
.xword 0xbf3a70bdb5c4c499
.xword 0x4a82d50ff561c233
.xword 0x67b32989ea207446
.xword 0x2c6ebcac9ffaf4b9
.xword 0x1b6a05a06660a159
.xword 0x04f3734799aa274a
.xword 0x07672e253a43c879
_t1_sslkey_iv_array:
.xword 0xcbaa950a39807253
.xword 0xa936ebe7a2bfd181
.xword 0x2b8e90f46f1da68d
.xword 0x8f2a02642fb6d8c4
.xword 0x186c0484f96b88dd
.xword 0x037e8ab130b55d32
.xword 0x603e97419814e25d
.xword 0xfe04d0489ed0cbc0
.xword 0xabff9baa69b2e763
.xword 0x5dd12de707353b3b
.xword 0x117ceede4aa57c2e
.xword 0xc29f9c3a2b0c31c4
.xword 0x153bf59fae674cd3
.xword 0x8436e4954bbdaae0
.xword 0x704d92134fabb894
.xword 0xca14c120705c8389
.xword 0xe75a327ee2d2f1ab
.xword 0x3bbbf5ddc268f283
.xword 0x1f097b64025bed48
.xword 0x825bbe587e230ac4
.xword 0xfaf39aacb3031c0e
.xword 0x0e6a78f1dfab8f63
.xword 0x0e820470087c74e6
.xword 0x6b026a464467ca7d
.xword 0xafd0c5e94700758d
.xword 0x6f96a03e7e055ca5
.xword 0x7a20942db1d31fad
.xword 0x86b4cfa5d56dde4d
.xword 0xccf835b97bea99fc
.xword 0x68db800b4d93fc23
.xword 0xeb04235fd72860b5
.xword 0xd549bbd9e9d24c7e
.xword 0x3901d9035f42a001
.xword 0x26fedab01f8dadf4
.xword 0x799f5f2c0b628d3c
.xword 0x155e87bf5b52d4c2
.xword 0xabce38c9ba374539
.xword 0x5a0546e280a2dcdc
.xword 0x92eb92c0f178d8df
.xword 0x409b676d600b5a05
.xword 0x5c80fda7bfcd0177
.xword 0xe27a0bc8d7e3a043
.xword 0xebc55b1f52363532
.xword 0xf7f817cc3d2dec89
.xword 0xc4455210f71c0239
_t1_sslkey_alignment_array:
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
_t1_sslkey_src:
.xword 0x18f03a109da1a457
.xword 0x692f682f0674351f
.xword 0x981ab10c200bd6b1
.xword 0xf9ff87fc4ed0ecc6
.xword 0x2b6c99b2401d0e62
.xword 0x1587394a8a3202db
.xword 0x17427f6aa8acf5a7
.xword 0x54b0f29b495a457f
.xword 0x8b8e92276c5acbb6
.xword 0xf70fea53054733a5
.xword 0x5dc284f990808e19
.xword 0x2f451dca5e0e55ed
.xword 0x81bd58716ada44c4
.xword 0x20d934935d863423
.xword 0x862b067c19ad17d4
.xword 0xb2a2815364aecd4f
.xword 0xf3004802ee8f32e9
.xword 0x75b95c5737b74d0e
.xword 0xc4ba6f2449a9ce74
.xword 0x55f2f8e77fe09c8a
.xword 0x630a8a1bab54d189
.xword 0xdbbb78e040684be7
.xword 0xe3b29d9d6d8e8396
.xword 0xedb5dd985ad3f5a0
.xword 0xbc984959a583a1d2
.xword 0xff76030db4b3ae43
.xword 0x98a1c1b2d78ac151
.xword 0x6b6fe28c4c84ed3b
.xword 0x396785703427b17c
.xword 0x7a3c822e03471ca9
.xword 0x7b13cc04eb6b9b73
.xword 0x9b29eadf89d9898f
.xword 0xb57d11d4657901c7
.xword 0xbb5094a40b4f9241
.xword 0xad8716e7d75dc0e6
.xword 0xb322016ce96c02fa
.xword 0x2aac22fd4e61d65d
.xword 0xf8ffa49f190a40aa
.xword 0x5da19e9e9a824313
.xword 0x968f8b846365af65
.xword 0x94674805030d521f
.xword 0xfdf0f4fa97900cd1
.xword 0x17341c954d6f021b
.xword 0x29dd61b99fdd83d3
.xword 0x2c428946a6b6c87d
.xword 0x38fdf33c0f92d24b
.xword 0xdb564eb0fa1a4334
.xword 0xb081e247eb37d9f9
.xword 0x9440b8f0d0629135
.xword 0x033a55146fd412d3
.xword 0xba52387dfacafe8e
.xword 0x5c800fde0d1c7a84
.xword 0xcfb0740a68d5284a
.xword 0xea4359c0e2ca0729
.xword 0xb7ed7bd55e441d0f
.xword 0x79619b72baa52bd9
.xword 0x7fa8b2a0a467bc0d
.xword 0x9b23d1164f387c48
.xword 0x0cb4e84fbb355518
.xword 0x73d43fd6c11417b6
.xword 0x9c65709648eef5ad
.xword 0x45a3a0d31c9b3418
.xword 0x6b1682bbea20c6eb
.xword 0x3a9d07e58893e89e
.xword 0x2651e91afb7bee88
.xword 0x7e8a16b8ae2f342f
.xword 0x7fd02f5aa2e30955
.xword 0x140bb24806631815
.xword 0xb7ac2ac0c18ffa56
.xword 0x10e1763c1edf4f7e
.xword 0x14742b837b85ec1d
.xword 0x2c2c75cca74672a6
.xword 0x873c39f10d5d34bd
.xword 0xaaa97f903eb2ed85
.xword 0xcd8efe3a5fb1dcb8
.xword 0x5e4c91d2d83ea547
.xword 0x011fedceb9ccc45b
.xword 0xf30ab6b8f646d3a1
.xword 0x5d2f27b572e22ae3
.xword 0xf78415f49cae64a8
.xword 0x119ccd2ccb4f4892
.xword 0xd6f8ca0d995cf61a
.xword 0xe339d283de5c2664
.xword 0x3fa45971cc52b337
.xword 0x31593488f78f0ef5
.xword 0x285301bba23463bf
.xword 0x1833d24dab6cd043
.xword 0xe97168e6c0510fe4
.xword 0xdb675ffa744e6734
.xword 0x9a941d33752749f2
.xword 0xe595a65ad8d128dc
.xword 0xc3f766dc5a488b75
.xword 0xd5ed16bf0fb83258
.xword 0x7e767f77d5a61450
.xword 0xfe3ba3c264b8c62f
.xword 0x22b380fa03fb67eb
.xword 0x665819f487b74d83
.xword 0xf3a897f5aa7d0fb6
.xword 0xa405a6eab6c6a769
.xword 0x809ca1c9021e2ba5
.xword 0x830e4d6b0a29e0f7
.xword 0xb411f3f9d8f03e08
.xword 0x2bebff183555a21e
.xword 0x9183e7bb899bfedf
.xword 0x51e228b8448a4465
.xword 0xe0ee354d4097712c
.xword 0xb7aa50826fdfb324
.xword 0x71d05f30b6be6329
.xword 0xc901a62916dfcfca
.xword 0xc11810005ee92aa2
.xword 0xc28d1778aab2765a
.xword 0x9acb56101cdb2bc6
.xword 0xeebf718c435f1dca
.xword 0xfd0e02cde9caf73c
.xword 0x03315ec4b92a18c5
.xword 0xeddfc1cc20c96ef2
.xword 0xad71d8e468920cc3
.xword 0x67f1e6a62635b58a
.xword 0x80ae3319954eeeea
.xword 0x01c999ed640ab80b
.xword 0xb858f0453cfbc3c9
.xword 0xa564b35b6883a2de
.xword 0xa419818654907a88
.xword 0xf06738ea9216d9e5
.xword 0x29b5731e4b00e748
.xword 0x6c47c8190452432a
.xword 0xa9bb6c3c989f810e
.xword 0x671601466fc65239
.xword 0x94a9a1626f7a0c13
.xword 0x5cc9592326f9a397
.xword 0x4720ca0cfd98e844
.xword 0xb80b02552ec48603
.xword 0x5dcccc807888906c
.xword 0xa079543f106faa1d
.xword 0xb3de55012ff25148
.xword 0x2e46e132657e8cde
.xword 0xf159646ca575a2a7
.xword 0x1b571bb6287cdfdb
.xword 0xbd36361425bcc496
.xword 0x7a2d53ab90e2eca7
.xword 0xbe03e1c89e84caaa
.xword 0xd982f9dd852b2d94
.xword 0xca58e72e69aeba66
.xword 0x13987359687a2a3f
.xword 0xe1b640c1ca75d5da
.xword 0x3fdbcd2b99148862
.xword 0xd0c70ec3d1c07970
.xword 0xa996c3c09e44487a
.xword 0x8e4a1cb18ba31253
.xword 0x0c75839d531897fa
.xword 0xf1900e85446e12bc
.xword 0x465e8e52ca053a1b
.xword 0x5e65e97dadd06ee8
.xword 0xfd6854a7d1e0f782
.xword 0x30920439e2aca5e6
.xword 0x39a682cc382d7ba0
.xword 0x1830a5f0d8f15cff
.xword 0xfd19bd37d77dad5b
.xword 0x67271edd59b75981
.xword 0x2b4e92932c24ba43
.xword 0x03bd7fd99a0d067e
.xword 0x4a61113a2958e890
.xword 0xd74ae1373d8e36a8
.xword 0x47d73cdc4e74e7cd
.xword 0x2075126452cae508
.xword 0xdd543882e34ef0f2
.xword 0x0135ca38f17ac010
.xword 0x50a386059c523af6
.xword 0x19302ffcd39a0a4c
.xword 0x039857f302ff8f03
.xword 0xeeef8f80357f6026
.xword 0xcdc94b2009e0a93a
.xword 0x965ae90373344fe9
.xword 0xbdcb69910b12705e
.xword 0x8f13d55f50a822c1
.xword 0x4f6a0e9400e5db6d
.xword 0x6284071b7cd45767
.xword 0xe3556d97ca29739f
.xword 0x94b375612abf94d1
.xword 0xe08a309d1ed78f62
.xword 0xe49a292d359e0e7a
.xword 0x8fe214d7ec019811
.xword 0x7b4f6da6c70ca400
.xword 0xc25102bcb5dd5692
.xword 0x9f17e4f527259909
.xword 0x3474c270033b6474
.xword 0xa03ac04ab8e81f6b
.xword 0x50c5eeee45011847
.xword 0x4b4cf75cdb7986a9
.xword 0xea10ef2f965f8994
.xword 0x7feb997e487c3ca5
.xword 0x954294dad63fdc57
.xword 0xbbb8be6a8a8bd5c2
.xword 0x0e733dad8e129795
.xword 0x54e8304f7cf2c43e
.xword 0x55b06e84996e1d37
.xword 0x3f4a33eef7038a0a
.xword 0xf83e6d4ae59d798a
.xword 0x4153ce3a85dba20e
.xword 0x67aa3c5086fb6484
.xword 0x8cde95c51d7dd440
.xword 0x5861f36f5fe671a3
.xword 0x40c4e2925d362dee
.xword 0x40843ae2cc85342e
.xword 0x5dd853ef3f12cb82
.xword 0xb30fdbf5f54f3865
.xword 0x3ed18cbe8932520e
.xword 0xe43dd6c2c5e0ed20
.xword 0x2dbafc363aacc91f
.xword 0x5c2583ce9de75193
.xword 0xc4b65d49f52a242f
.xword 0x6160aee02f443d76
.xword 0xcf600e50df0028c7
.xword 0x112f212dcc25d02f
.xword 0x50e9d70532b12cd9
.xword 0x97c7aebaa852da1b
.xword 0x3a21a3d30a4ef4b5
.xword 0x803588a9102e9c92
.xword 0x29d8d327ffd1b41f
.xword 0x9bac2e7d508c3c3e
.xword 0x72cec84d3a81e6ec
.xword 0x1217eb83e3c9d6e1
.xword 0x69739243a104b068
.xword 0x33a210311af3ff31
.xword 0x2db93ab90f048c2c
.xword 0x033b3b43c1733e34
.xword 0x8c5a1e77d4df84d8
.xword 0xb706ed2b990a9d2b
.xword 0xdd4d5a6118cf4029
.xword 0x4de3a03ce2d220cc
.xword 0x767b04a04b407f3a
.xword 0x456605316801aba5
.xword 0x5bee94e129ce154c
.xword 0x1c42f2aa76788a6e
.xword 0xbeae16de77d39f52
.xword 0x698705ef3fb6db3f
.xword 0x42a9d32dd66a909a
.xword 0xf3754c2722a47356
.xword 0x9b2452dfb711aa71
.xword 0x2c9676c961beb71d
.xword 0x66586020491d625c
.xword 0xe53d1da7d7d52cef
.xword 0xf7f85768990b8999
.xword 0xa6ce204126169c97
.xword 0xb62475c816bf2978
.xword 0xf9457fd0f4212ee4
.xword 0xd0ca275297f030b2
.xword 0x9247390dff2b59c8
.xword 0xc6002b832c5d947a
.xword 0x29cd0133e0059e7e
.xword 0x31083740e52b2e96
.xword 0x2cb5de220a2529f7
.xword 0xf69ca4544dcfeb03
.xword 0x28316a0efe864fc1
.xword 0xba5ce2b0478b35f3
.xword 0xd6f101f1ea3cff71
.xword 0xe216004ecc0e976c
.xword 0xeda8d568e6f89eaf
.xword 0xc34b1d46d272a41b
.xword 0x2dbec9e227f49592
.xword 0xc11a047929950089
.xword 0x9cb81899589701af
.xword 0x2e22cf5fb3ee77d3
.xword 0x3557daea21ccb8ac
.xword 0x8270ca28562e77a3
.xword 0x1ea0fde8f353fcf1
.xword 0x8b4e318ae4aac09d
.xword 0x47d1b71550ec101e
.xword 0x1339e5e5dd8d814d
.xword 0xa99a4a686646595a
.xword 0x1c889ad15396f157
.xword 0x1de9f5cbc412b945
.xword 0xfad4a19d9b475a4e
.xword 0xc4399731cfd007ba
.xword 0x8d94bce2fa8cde4a
_t1_sslkey_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_auth_key:
.xword 0x276981661f7354ba
.xword 0x2f151080020ee003
.xword 0x4f33c1b2fbcd796e
.xword 0xd86e1d8f0ce0fe4f
.xword 0x8b7ea0e29ff41eca
.xword 0x9fc400c201106ba1
.xword 0x0b298be14df86f76
.xword 0x67420c71e7982b81
.xword 0x015fc5abb6182bcc
.xword 0x6b70a07c3de64c7b
.xword 0x2e72066e841918aa
.xword 0x5d8721e9d5ff13f2
.xword 0xc2e3671e7b0997be
.xword 0x04ba460019f4d9c7
.xword 0x4ca2a9683083b392
.xword 0x0e6ca29e9e4fae55
.xword 0x188b9efc6642a85c
.xword 0x9e4c0dbe279ea6f6
.xword 0x4bf536345908c5e8
.xword 0x8bf625139f8c1af4
.xword 0x6d0505ed09e8c37e
.xword 0xf4f214eaa00bdaa7
.xword 0xdfae7f657103edd2
_t1_sslkey_auth_iv:
.xword 0xffc9c5e6a5014b78
.xword 0xd894d89b676f3715
.xword 0x8e3a0c71cf5fa71a
.xword 0xf9ab9997c6c310a4
.xword 0x53a9aac5be18fb0c
.xword 0xb07a41bdd1f3d16e
.xword 0xb6501170a97a646a
.xword 0x8bf4c051c0391773
.xword 0xe522f087bf3e26e5
.xword 0xbb531729962343f7
.xword 0xc56037506abac8db
.xword 0xcf07ad2b1dc5af07
.xword 0x2294f82fa9f8f3f6
.xword 0xc572d2db85780d6d
.xword 0xb27907b4f0077c02
.xword 0x40f86e4c2e9dffdd
.xword 0x80306710ae13d232
.xword 0x3c0aa6b35bac2f8a
.xword 0x374a70fecc6707bb
.xword 0xc2aff33df8ecff47
.xword 0xc3b58ccd992a3661
.xword 0xd55adf7510276ee8
.xword 0xb8103454102f00b4
_t1_sslkey_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_toc:
.xword _t1_aes_cwd_array
.xword _t1_aes_src
.xword _t1_aes_auth_key
.xword _t1_aes_auth_iv
.xword _t1_aes_fas_result
.xword _t1_aes_key_array
.xword _t1_aes_iv_array
.xword _t1_aes_dest
.xword _t1_aes_alignment_array
_t1_des_toc:
.xword _t1_des_cwd_array
.xword _t1_des_src
.xword _t1_des_auth_key
.xword _t1_des_auth_iv
.xword _t1_des_fas_result
.xword _t1_des_key_array
.xword _t1_des_iv_array
.xword _t1_des_dest
.xword _t1_des_alignment_array
_t1_copy_toc:
.xword _t1_copy_cwd_array
.xword _t1_copy_src
.xword _t1_copy_auth_key
.xword _t1_copy_auth_iv
.xword _t1_copy_fas_result
.xword _t1_copy_key_array
.xword _t1_copy_iv_array
.xword _t1_copy_dest
.xword _t1_copy_alignment_array
_t1_crc_toc:
.xword _t1_crc_cwd_array
.xword _t1_crc_src
.xword _t1_crc_auth_key
.xword _t1_crc_auth_iv
.xword _t1_crc_fas_result
.xword _t1_crc_key_array
.xword _t1_crc_iv_array
.xword _t1_crc_dest
.xword _t1_crc_alignment_array
_t1_hash_toc:
.xword _t1_hash_cwd_array
.xword _t1_hash_src
.xword _t1_hash_auth_key
.xword _t1_hash_auth_iv
.xword _t1_hash_fas_result
.xword _t1_hash_key_array
.xword _t1_hash_iv_array
.xword _t1_hash_dest
.xword _t1_hash_alignment_array
_t1_hmac_toc:
.xword _t1_hmac_cwd_array
.xword _t1_hmac_src
.xword _t1_hmac_auth_key
.xword _t1_hmac_auth_iv
.xword _t1_hmac_fas_result
.xword _t1_hmac_key_array
.xword _t1_hmac_iv_array
.xword _t1_hmac_dest
.xword _t1_hmac_alignment_array
_t1_rc4_toc:
.xword _t1_rc4_cwd_array
.xword _t1_rc4_src
.xword _t1_rc4_auth_key
.xword _t1_rc4_auth_iv
.xword _t1_rc4_fas_result
.xword _t1_rc4_key_array
.xword _t1_rc4_iv_array
.xword _t1_rc4_dest
.xword _t1_rc4_alignment_array
_t1_sslkey_toc:
.xword _t1_sslkey_cwd_array
.xword _t1_sslkey_src
.xword _t1_sslkey_auth_key
.xword _t1_sslkey_auth_iv
.xword _t1_sslkey_fas_result
.xword _t1_sslkey_key_array
.xword _t1_sslkey_iv_array
.xword _t1_sslkey_dest
.xword _t1_sslkey_alignment_array
_t1_table_of_context3:
.xword _t1_aes_toc
.xword _t1_des_toc
.xword _t1_copy_toc
.xword _t1_crc_toc
.xword _t1_hash_toc
.xword _t1_hmac_toc
.xword _t1_rc4_toc
.xword _t1_sslkey_toc
!# CWQ data area, set aside 512 CW's worth
!# 512*8*8 = 32KB
.align 32*1024
_t1_cwq_base3:
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.align 32*1024
_t1_cwq_last3:
SECTION ._t1_T_CWQ_DATA4 DATA_VA=289406976
attr_data {
Name = ._t1_T_CWQ_DATA4
hypervisor
}
.data
_t1_user_data_start4:
_t1_scratch_area4:
.align 16
_t1_spu_op_array4:
.xword 2
.xword 4
.xword 0
.xword 1
.xword 5
.xword 2
.xword 4
.xword 7
.xword 0
.xword 0
.xword 1
.xword 5
.xword 5
.xword 3
.xword 5
_t1_aes_cwd_array4:
.xword 0xc0e100401500001f
.xword 0x40e000401400003f
.xword 0xc0e100001500003f
.xword 0x406000e01000000f
.xword 0xc0e000601800003f
.xword 0x40e000601300002f
.xword 0xc0e100401000002f
.xword 0x40e000601b00000f
.xword 0xc06000201800000f
.xword 0x406100001300002f
.xword 0xc06100e01500003f
.xword 0xc0e100401000000f
.xword 0xc0e100c01100001f
.xword 0x406000601b00003f
.xword 0x40e000e01900003f
_t1_des_cwd_array4:
.xword 0x40e000400a00001f
.xword 0x406000400d00000f
.xword 0xc06000200a000017
.xword 0x40e000200d000017
.xword 0xc0e100400d000007
.xword 0x406000600c00000f
.xword 0x4060004008000007
.xword 0xc0e000800900001f
.xword 0xc06000000a00001f
.xword 0xc06100600a000017
.xword 0xc06000000c00001f
.xword 0x406000e00c00000f
.xword 0x40e100400e000007
.xword 0x406000800a00001f
.xword 0x406000e00a00001f
_t1_copy_cwd_array4:
.xword 0x206000a000000000
.xword 0xa06100e000000005
.xword 0xa061006000000001
.xword 0x2060006000000000
.xword 0xa06000200000000d
.xword 0x206000e00000000f
.xword 0xa061004000000001
.xword 0x2061006000000008
.xword 0x206000000000000c
.xword 0xa061006000000004
.xword 0x206000000000000c
.xword 0x2060008000000002
.xword 0x206000200000000e
.xword 0x206000000000000b
.xword 0x2060008000000009
_t1_crc_cwd_array4:
.xword 0xc16203840000000c
.xword 0x416201880000000d
.xword 0xc162038400000002
.xword 0x416301c80000000a
.xword 0xc16303240000000c
.xword 0xc160016800000009
.xword 0xc160032400000000
.xword 0xc160018800000008
.xword 0xc161032400000001
.xword 0xc16201a80000000c
.xword 0x4163032400000002
.xword 0xc16101c80000000c
.xword 0x4161032400000009
.xword 0x4162014800000004
_t1_hash_cwd_array4:
.xword 0x41630be200000023
.xword 0x416115a300000035
.xword 0xc16303c200000018
.xword 0x41600fa100000027
.xword 0xc1620a610000000d
.xword 0x416207810000002f
.xword 0x416207610000003e
.xword 0xc1620fc100000014
.xword 0x4160136300000032
.xword 0x41630e4100000020
.xword 0x41630ca100000011
.xword 0x416204610000003e
.xword 0x41621fe300000012
.xword 0xc16103810000001e
.xword 0x4161040100000008
_t1_hmac_cwd_array4:
.xword 0x41600749000f003e
.xword 0x41630805000f002e
.xword 0xc1630409000f0021
.xword 0x416101a5000f0034
.xword 0xc1620245000f003c
.xword 0xc1600289000f000a
.xword 0x41600025000f002c
.xword 0x41600dc7001f0033
.xword 0x416008a5000f0028
.xword 0x41620c29000f003c
.xword 0x41630189000f0001
.xword 0xc16113ea0013001c
.xword 0x41620329000f001b
.xword 0x41600ecb001f001d
.xword 0x41610349000f0003
_t1_rc4_cwd_array4:
.xword 0x40e0004004000002
.xword 0x40e000e00400000d
.xword 0x40e1006000000000
.xword 0x40e1000004000005
.xword 0xc0e100e00000000c
.xword 0x40e000a000000008
.xword 0xc0e100c00000000e
.xword 0xc0e0004000000007
.xword 0x40e0006000000006
.xword 0x40e100000000000d
.xword 0xc0e0006004000007
.xword 0x40e1008000000000
.xword 0x40e000600400000c
.xword 0x40e1002000000004
.xword 0xc0e100a004000006
_t1_sslkey_cwd_array4:
.xword 0x90603c4000000000, 0
.xword 0x906009c000000000, 0
.xword 0x9060230000000000, 0
.xword 0x10601fa000000000, 0
.xword 0x1060328000000000, 0
.xword 0x1060244000000000, 0
.xword 0x9060036000000000, 0
.xword 0x10603c6000000000, 0
.xword 0x9060300000000000, 0
.xword 0x1060384000000000, 0
.xword 0x90600d4000000000, 0
.xword 0x106004c000000000, 0
.xword 0x106031c000000000, 0
.xword 0x10600f8000000000, 0
.xword 0x906006e000000000, 0
_t1_aes_key_array:
.xword 0x6a373e4683d8e917
.xword 0xfb2407f9f5c15d80
.xword 0xda94fd7f24a3d1b5
.xword 0xaa1de306e2e18210
.xword 0xd41e9afa4dc87553
.xword 0x779ef1515c58eb4c
.xword 0xc647fab78a374c0a
.xword 0x0cdffbb5bc849cff
.xword 0xd4538674cd27ad4a
.xword 0xddc151bb70bded54
.xword 0xb7a038902818652e
.xword 0xfb15678f2ac81602
.xword 0x95b5ee0a232605cb
.xword 0x055e1e3671cd06ce
.xword 0x779d4e80dfe16b3c
.xword 0x1135c33b4953c87a
.xword 0xf220544304fd156a
.xword 0xa8598db3930bef2e
.xword 0xa00444bc4d9dfecb
.xword 0x57315f9b9ab76284
.xword 0x4d1786d916e9dbd7
.xword 0x5e50110777f2b5b2
.xword 0xd0f88c520e7139cb
.xword 0xf26e813a88ea0d7e
.xword 0x5bf23dc609ab2643
.xword 0x46370c63fa414cc6
.xword 0x434d76e327167e66
.xword 0x1d728cdddad210d8
.xword 0x00b4b8131c0fbbbd
.xword 0x7f859c8d25c33808
.xword 0x0b0deb58ffc934a8
.xword 0xda21bb3d648c494b
.xword 0x66f44aac0843d3c2
.xword 0x850873f6fb47b489
.xword 0x3e6d4017f7912213
.xword 0x86d5cf01cbf46762
.xword 0x0fa03383331f5392
.xword 0x11355a4faadaa0cb
.xword 0xd6beed8702f6b85b
.xword 0xab1a766aa80c4831
.xword 0xfe4103775d0700d9
.xword 0x469f798bb50f029c
.xword 0x4ad486c6a4b0a7cb
.xword 0xe478bcae97cefd10
.xword 0xd301fb5e694a544f
.xword 0x4b507d9dddee9fd9
.xword 0x335d1c9bc80054e5
.xword 0x7c84fc58d16bca29
.xword 0x97dbd3d81ac16f7c
.xword 0x148f5c34a656e9a2
.xword 0x4e05707f9545fd75
_t1_aes_iv_array:
.xword 0x28e59a5ea05e492b
.xword 0x020e50ad73244ed5
.xword 0xf670643882428f43
.xword 0x030829700cf06d6c
.xword 0xd994d3acf38f8c8d
.xword 0x9e9f2f6ccfb5bfce
.xword 0x0bd87573d2e6a00d
.xword 0xd5988b0ad50f57ca
.xword 0x0511e6b9c2d709ec
.xword 0x7cc739052ddbb6f4
.xword 0x3d4ae2369c58af50
.xword 0x9f7e8849bee407c3
.xword 0xd6a13aa834fe1809
.xword 0x467e269e2e6c3e35
.xword 0x1c377ba97e8d0026
.xword 0x17f834824f045569
.xword 0x78806a60b91f5214
.xword 0xd46c29e7c891a64b
.xword 0x234736f4d3246867
.xword 0x81741a00795d0237
.xword 0xaab59ecf472edd57
.xword 0x91c5bd596e16b40f
.xword 0xfdd68a07b835176c
.xword 0x6ca3ee3a80b83147
.xword 0x63efb516f01fc17c
.xword 0xbc9a5c51784b94c7
.xword 0x67efca66459ff52e
.xword 0x4154162a47a33f23
.xword 0x86f12247bfdf356f
.xword 0x336c9c65ad9e6c7e
.xword 0x384e06df0a0a4728
.xword 0x362e65c69f9288d4
.xword 0x119c067f39658c63
.xword 0x01c04353c0bac15a
.xword 0x3ba86d7a1e63a002
.xword 0xdeedadc45ab26d43
.xword 0xb1941e66af6700d5
.xword 0x6d2b9960b772b9a2
.xword 0x22f7f9aeef83e171
.xword 0x17d8e1b83ca81ec8
.xword 0x8436dd57b9e1f207
.xword 0x674280b1135ec60a
.xword 0x3d15a9f0c8dabe6e
.xword 0x39921312040d2750
.xword 0xd25c7dc01bff8126
_t1_aes_alignment_array:
.xword 2
.xword 0
.xword 10
.xword 2
.xword 10
.xword 5
.xword 1
.xword 2
.xword 8
.xword 0
.xword 13
.xword 11
.xword 11
.xword 3
.xword 11
.xword 15
.xword 12
.xword 3
.xword 14
.xword 1
.xword 5
.xword 15
.xword 12
.xword 13
.xword 13
.xword 5
.xword 6
.xword 13
.xword 12
.xword 4
.xword 9
.xword 4
.xword 2
.xword 12
.xword 6
.xword 1
.xword 5
.xword 9
.xword 9
.xword 10
.xword 2
.xword 1
.xword 1
.xword 5
.xword 1
.xword 10
.xword 8
.xword 5
.xword 1
.xword 15
.xword 12
.xword 14
.xword 15
.xword 4
.xword 3
.xword 7
.xword 15
.xword 2
.xword 15
.xword 5
.xword 9
.xword 9
.xword 15
.xword 12
.xword 15
.xword 2
.xword 12
.xword 3
.xword 15
.xword 5
.xword 2
.xword 11
.xword 14
.xword 2
.xword 15
.xword 1
.xword 11
.xword 1
.xword 9
.xword 8
.xword 14
.xword 0
.xword 10
.xword 13
.xword 14
.xword 15
.xword 11
.xword 4
.xword 14
.xword 3
.xword 1
.xword 10
.xword 11
.xword 11
.xword 6
.xword 13
.xword 15
.xword 9
.xword 13
.xword 10
.xword 1
.xword 2
.xword 7
.xword 14
.xword 9
_t1_aes_src:
.xword 0xd8112ac8570329c1
.xword 0x16763216f033f3c6
.xword 0x56783e82eee4c0b1
.xword 0xb24be2efee67318f
.xword 0xfea77362d5f739be
.xword 0x0d760926b32859e9
.xword 0x6c02f8cf0acdd93b
.xword 0xb63b0ac361052152
.xword 0x8fa749a1a32b9c2f
.xword 0xcab9cc2b021b54a9
.xword 0x6383a88f0148154c
.xword 0x9eda3ffff93276dc
.xword 0xf28bad0afedc18f5
.xword 0x66545de2c2d6f623
.xword 0xfc643deb0d339791
.xword 0xeb3a7af18177f671
.xword 0x46668b30534e95f1
.xword 0x27a865bef77a9953
.xword 0x2474f610a9832eb1
.xword 0x70dc05c4ada15329
.xword 0x7d01f705e657b4e2
.xword 0x198837514863d763
.xword 0x16de09dcb2bc9215
.xword 0xc2e9e08f883af95b
.xword 0xdba412e305f7f1d0
.xword 0x4242d4f29145978c
.xword 0xb6722d3024623e2e
.xword 0x44a6a4aa90c3e9dc
.xword 0xa743ece43e316d56
.xword 0x997ad061c60fc0b5
.xword 0x98a5c0f4987eb5ed
.xword 0x8d3dd4294f178254
.xword 0xd16b58d77d7b4e86
.xword 0x10eeed55c602f16b
.xword 0xde21310eae8a0283
.xword 0x5ac97990305e0b0c
.xword 0xccdad25a895937c6
.xword 0xea10dd6c10250e93
.xword 0x6d5e2738316ac18c
.xword 0x0803e9dbbe37031a
.xword 0x461992304a4214c1
.xword 0x592f8be25d3f8d5e
.xword 0xf796f1c3432131bc
.xword 0x796c838ea7d676f8
.xword 0x357af1d0aeb38f1b
.xword 0x4dbe6568fe7ae195
.xword 0x74f480518b4be234
.xword 0x869a2461c89762a8
.xword 0x2bbde5be4f1406d1
.xword 0x6ae2f1d46ead2ea2
.xword 0x83e211fb28752ea8
.xword 0xcebf132e6397fb82
.xword 0x8fd29cefd29acfcd
.xword 0xfed354a77bd1f388
.xword 0x439b6601a81fdc0e
.xword 0xda4115d774aa3d02
.xword 0x18e4b2ecd195efe3
.xword 0x7947eb21bf34d76c
.xword 0x6efbb43240fb0fb1
.xword 0x645881ff955b82b9
.xword 0x9a8f2328ed7c84c9
.xword 0xde55b5c89830cca2
.xword 0x283fb4bb829f0f6b
.xword 0x243b7201b8aab87a
.xword 0xe4df2dc8daa8a270
.xword 0x1b71d23b728c93fe
.xword 0x06a7eb20f9d98e5a
.xword 0x28b49ebca34cbf60
.xword 0xef9a010d51a46893
.xword 0xc984614d6c5b94f0
.xword 0xd59b24074d5a3016
.xword 0x1aae0f4df756b0d4
.xword 0x5c88399bd72787e8
.xword 0xaad73a3af3b793cc
.xword 0x06b1536c85cff32a
.xword 0x2e7b2bfd709cea0f
.xword 0x8e13b93b39c1bb32
.xword 0x3e260e52951a8fdd
.xword 0x842056780ba69776
.xword 0x463e27de184dd91c
.xword 0xd202185e3083e811
.xword 0x19c46802733582cf
.xword 0xe2978980bf4c83a6
.xword 0x92727e45a8d97d0d
.xword 0xf7ab7029fa410d60
.xword 0x6272a37258f79585
.xword 0xb7e569d398acf933
.xword 0xabbbc8a3485aba7c
.xword 0x5411c557578dc882
.xword 0xc91867982491bfa4
.xword 0xb4fa39fd8f0868e8
.xword 0x8b33becb8ea093fb
.xword 0x371c6872f8adf00e
.xword 0xea192161acbf8efc
.xword 0x4c858b067c423129
.xword 0xe26cdf0c498d5422
.xword 0xc713e3aec42b3bca
.xword 0x77abdbae48882d51
.xword 0x59aeed76d69486dd
.xword 0x24c2afc7c3a77526
.xword 0xb2099a8d51b38ef2
.xword 0x669fc567d63d3b03
.xword 0xe3807634e8025ae5
.xword 0x6eb0a5510aedc2f3
.xword 0xbe4e9236c3284d07
.xword 0x55d7179ca6eabb3e
.xword 0x878ca3e4295644e0
.xword 0x88b562918861b8a2
.xword 0x152564a50d2801b5
.xword 0x7eef43394a344d35
.xword 0xcc87cb2c3bc1ce68
.xword 0x4a6612f0f70a9365
.xword 0xe649964463d80797
.xword 0x332ddcbbeb93d953
.xword 0x002f60ea6bbe395d
.xword 0xc500ad6d8933f0cd
.xword 0x41182b8e20f49b33
.xword 0xb9978ef1bc464d91
.xword 0x12d632a75a3910ad
.xword 0x5052c0e363f60f5e
.xword 0xfb86eee7efb5dcee
.xword 0xf0c7353d750572c1
.xword 0xd3f95d804a095dff
.xword 0xaaae50149dbfa32a
.xword 0x9cd8249205f9b2d2
.xword 0xed711efaa3e25d82
.xword 0xfebb56c0f5f4ff8e
.xword 0xe90e3c36362f3611
.xword 0x16b756117d35c1d6
.xword 0x565ed4e5717276d8
.xword 0x0ef8f69e61499fc2
.xword 0xb1cc2f73b733cc50
.xword 0x2ab3109d2a4fe4f6
.xword 0x9e9c31d7d7278d06
.xword 0x2e1d7352e7d3a9ad
.xword 0x716c0ab01f25a83d
.xword 0x471693f65e23213b
.xword 0x1d9886c0d93712bb
.xword 0x53d86e99df90fcb5
.xword 0x72968248988b1d83
.xword 0xcf8d356b356e803e
.xword 0xcfcbcff8dad98505
.xword 0x882b89eda2cb15b9
.xword 0x7c7956b0b5def876
.xword 0x1fe5f1eae92297a7
.xword 0xfffd96a740fe7675
.xword 0xa1ab0cfdd5e25966
.xword 0x15fa1e4fa8913886
.xword 0xf13fcd201bdc3bd0
.xword 0x396e963f6722b05e
.xword 0xb9c718d8840c16fa
.xword 0xa54f7ac6a9a56f82
.xword 0x70282b35a10a5543
.xword 0xe0b8429fa26acc52
.xword 0xc556b3e7ff1e9530
.xword 0x51e99999836276d1
.xword 0xd24daca701daa677
.xword 0xabe9267dfce71dd9
.xword 0xe5360a24ee52c54b
.xword 0xf429a4e0a26fc02f
.xword 0x48083926fbb410a9
.xword 0x481fb4058f583d57
.xword 0x7849764774afb465
.xword 0x3b6717cd42887cc3
.xword 0xfdbd3688cd7a7627
.xword 0xde5a03e009a4cfb6
.xword 0x03c0779b2bd81857
.xword 0x5013016f4b2c19a9
.xword 0x54adddb86eb5770c
.xword 0xc312347064fb3444
.xword 0x40896c37f0a14dee
.xword 0x304838f3c22b0948
.xword 0xdfc77fd4811743a3
.xword 0xe893bb1742588a43
.xword 0xbb392476ea9e93bf
.xword 0x270e6aad0c18e6fc
.xword 0xaa47e5672e0246ca
.xword 0x12dee153c54fa477
.xword 0x6902d7d6ca1739fe
.xword 0x2a5b93bad08fcc36
.xword 0x35451b30dc175321
.xword 0x6d02f17ad7ecf812
.xword 0x67311312a94d17cf
.xword 0x0bdad2a80380eb86
.xword 0x345fdb50c40af4dc
.xword 0xc42e075f29989527
.xword 0x3d053fff067a48bf
.xword 0x7c167625db5ad496
.xword 0x0666a6e7a5fc5632
.xword 0x378a6d68706600a8
.xword 0x5faa86b305f04711
.xword 0x6cab69a799e7b7b0
.xword 0x21ac3f9785b52ec0
.xword 0x3d637f09020b26cf
.xword 0xf8c48afd45b102a9
.xword 0x56a11b166c0b20b0
.xword 0xebfc98904ec211b4
.xword 0xf2620c22ebc5f3d4
.xword 0x125bc578672af7ea
.xword 0xa8d689dfa976f146
.xword 0x2cceaa4a6e4cb05d
.xword 0x7288ec9b523e70d7
.xword 0xe949369aa41ca422
.xword 0x4f543c4a94ab74ed
.xword 0x965040d4ba97b352
.xword 0x158623f4502d7568
.xword 0xced83e73aab7ad82
.xword 0x5ba54a2edeb9f911
.xword 0x3e56001382fa31e5
.xword 0x87fc0e559c6f5859
.xword 0x7b9bb33b26350d3c
.xword 0xbad101cb858b7c1c
.xword 0x7832be1eafbab72c
.xword 0x4474b2f3f29ad0ef
.xword 0x916bb78675dfc74d
.xword 0x845fe2ff29688a06
.xword 0x327242cb7788d3b0
.xword 0x768af88fb8ecce67
.xword 0x6fbdbca237828890
.xword 0x0ee9d6f356bb4116
.xword 0x5f9b2c6191688e81
.xword 0x36582d17affeba69
.xword 0x0afeb18f2cc3c61a
.xword 0x920c017ed13f3656
.xword 0xf90cde3c36fe2f71
.xword 0xa98105b9db5f2c83
.xword 0xca69934b1cfd2b3d
.xword 0xe877f3960a779c4f
.xword 0x54490621ae1c1de9
.xword 0xafb7515889a3487f
.xword 0xbf16f6efef026690
.xword 0xf8d070d7347f787c
.xword 0xa12faa15fdbdfed8
.xword 0xaadf51b05d2a69a1
.xword 0xfc39428319d83f10
.xword 0xee12998f817d948f
.xword 0x9d5f59b7e2ce79f1
.xword 0x15aaaef00ffdbf1a
.xword 0xd14ca864b7eb3f53
.xword 0x5487f5c83148fb68
.xword 0x48638b8df7763058
.xword 0x2575bc37530453c1
.xword 0x11076a30f63b90a5
.xword 0x63d774da4d6383b4
.xword 0xc82db062f8bc947c
.xword 0x3f9f83500a66ba31
.xword 0xef448c35f9952e90
.xword 0x3d4070501aea67df
.xword 0x7aaa4a247196e860
.xword 0xea794903b12138d8
.xword 0xab0b4e6060910526
.xword 0xc6ef4fcecc48b531
.xword 0x219e9ab69e26b96a
.xword 0x800f2fabd348695d
.xword 0x5373c65440260ff8
.xword 0xf27d6d8cb8748d2e
.xword 0x1e902c0a0781ef8b
.xword 0x3635efe9ea4237c6
.xword 0x4ac5657ed3cf4b9e
.xword 0x7b35a89196230a2a
.xword 0xdfa5f742ae030d68
.xword 0xf44709ee9dea5c68
.xword 0xf0427b3ce2a99cb3
.xword 0x688fe077d514151c
.xword 0x2f75f8d39986284e
.xword 0x5979abb622b5072d
.xword 0xc56eb93135c8f3d7
.xword 0x8814ecb2f7466746
.xword 0x23cbe85dc00c049a
.xword 0x84505b8e68c94a7c
.xword 0x334df9f0abbddc99
.xword 0x7ef27b261aeefb0d
.xword 0x825d8937397eb285
.xword 0x3fbcf424ad2ce570
.xword 0x3e70e5e3e2fc17aa
_t1_aes_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_auth_key:
.xword 0x670b108948a0be73
.xword 0xc5f8984d2558584a
.xword 0x775712b71e370996
.xword 0xcaa62b650291916c
.xword 0xe52d9b05e06c9e4b
.xword 0x622ba164ec09f2de
.xword 0x8344fe6257f9f340
.xword 0x66fc43fc3652037a
.xword 0x7cc7a29ad11b43e7
.xword 0xd6ed7b88e3c30677
.xword 0xb4b3d6aa6414f778
.xword 0x172b0e74a2ec9aef
.xword 0x125102e486674b0e
.xword 0x51c883a1fc53d9c0
.xword 0x73fdea7ebfb9f9e5
.xword 0x06d965bf15973c08
.xword 0x984061114b2a7086
.xword 0xa89c018dd57cff69
.xword 0x30034180949ed9ff
.xword 0x327d772a50325bfc
.xword 0xe274209072452734
.xword 0x80841c0a08d35995
.xword 0xdd3188d3df764e56
_t1_aes_auth_iv:
.xword 0x658a6c142bba5fc2
.xword 0xe884819848a5c5d2
.xword 0x5e8bfb3e0c991ab4
.xword 0xb8c4b24caeffbb72
.xword 0xc0d5f222c5752023
.xword 0x4b26ca321c1c35c4
.xword 0x13b387e2c71822bd
.xword 0x48b91b40d43b6edc
.xword 0x62644075918893d3
.xword 0x3d5d8913103ce63a
.xword 0x81bb0916f2f5f9f4
.xword 0xa507f1ec0a27379b
.xword 0x2f681b8ea83d328d
.xword 0xb4a4bc9473b20041
.xword 0xddf6ccd010800080
.xword 0x9c19f3a1e9f866b9
.xword 0x37f7354e8b420d2f
.xword 0xcdb9f0c0791cf940
.xword 0x2e871a9bf6588a4d
.xword 0x5530119054a4bb42
.xword 0x38df6750eebf66c3
.xword 0xd5ba2e58ad1955d5
.xword 0x08d50adfaf036de3
_t1_aes_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_key_array:
.xword 0xd372306f1d9b235e
.xword 0x0929baafc2cc29bc
.xword 0x9ce3af5dff9521eb
.xword 0x2d1a220dcde1e81b
.xword 0x76aa187a034c5ecd
.xword 0xf74a5e489eb963fc
.xword 0xa2cc38c85465f983
.xword 0x2e03d36b8bc437f2
.xword 0x05e88b3504910ca4
.xword 0x9f2de2c4e980bcbb
.xword 0x8785a4f9fe26c8f2
.xword 0xae6c7526d925ef55
.xword 0xa6f0979e071b1f7d
.xword 0x0efed422a46db85e
.xword 0xb6cafb5db20a5efc
.xword 0xab5032f8deb781bb
.xword 0x0667bb80130f78f0
.xword 0x36110e55bf729bd9
.xword 0x9b97463b2eb29480
.xword 0x729509b111a1e85d
.xword 0x20721f729ec5b1cd
.xword 0x5f95152c3ae6484b
.xword 0x0ccbf35fef3df6ec
.xword 0x71f1bf7c80025471
.xword 0x6b3030307bb5d178
.xword 0x7f53f84ae93952b8
.xword 0x539ee988052def49
.xword 0x65e54f18d993cb3e
.xword 0x9693abcf7f6fc11c
.xword 0xb5d4296c39b72d75
.xword 0x77e7bb62c2e48cd0
.xword 0x1d9d8c057d3964e5
.xword 0x860fd97472c9107e
.xword 0xe6b79bea25223184
.xword 0x1ec3d5787ed517ed
.xword 0xe523528e29774b82
.xword 0x9fb7f0186f2c23b6
.xword 0xc470784e71c1bff3
.xword 0x2fb18678ecaccbbf
.xword 0xcec453f64e1bb835
.xword 0xe6a995b3561e5579
.xword 0xf13313ea6145b591
.xword 0x045660c6e56fd53a
.xword 0xa606bc1503e79171
.xword 0x46726ee744948e67
.xword 0x32615f5ac95caa8c
.xword 0x7a0570de7b01c658
.xword 0x963a3cd3bad09b71
.xword 0xd210c75cd31186c0
.xword 0xf311235a0bc87da9
.xword 0x460b2457a41fc4c4
_t1_des_iv_array:
.xword 0x05e204255df19677
.xword 0x4a6c8d76190b4b16
.xword 0x46e190cd22479ad2
.xword 0x3fe6507a90b137e8
.xword 0xcb6e4010b9d73d30
.xword 0xea4c6063a0c7b724
.xword 0xe5d3342004d31706
.xword 0x48fbcc9c04c98dd9
.xword 0x1ae508f80dd22abb
.xword 0xba182d7eda7d0a83
.xword 0x73fe6e8be15494ff
.xword 0x4feb2afb303ca6ad
.xword 0xe3b15ec9076e46b7
.xword 0x25be6aa6688f1fca
.xword 0xa4e8c8c5a6111c5c
.xword 0x4552d4b3db3d5f01
.xword 0xa51a96f4d3b71ceb
.xword 0xbb144cb674cd8f50
.xword 0x0a4c03ebc85aa11e
.xword 0xf13022310193e2f7
.xword 0x8707543c37b42a5d
.xword 0xe95aabddff406efc
.xword 0x7697d950f11c1ad3
.xword 0x3893c4dee2ccf067
.xword 0x2669d4161cc36de1
.xword 0x27062fe13f438dec
.xword 0xcb3d31b62ece82fc
.xword 0xe7716a3f2296557b
.xword 0x068fc11fe13f56d9
.xword 0xfb4f17e22c47e210
.xword 0x46408d60f59306ac
.xword 0xdf9e2a24f09b23a2
.xword 0xc6bbf1e6e0e50970
.xword 0xa9c77f6a5c2de66c
.xword 0xf93812cc39fc18d9
.xword 0xf1e4170669dab668
.xword 0x77c735c30bff888f
.xword 0xba901633a7dbf451
.xword 0xd6588b013332785a
.xword 0x94a6ae74a9736155
.xword 0x07a4f18d76fd581f
.xword 0xb4ce4c38a9a57691
.xword 0x3647c68ac87577c8
.xword 0x7d26bfb37669ef53
.xword 0x6f008b98cbf4d933
_t1_des_alignment_array:
.xword 5
.xword 14
.xword 12
.xword 3
.xword 9
.xword 9
.xword 10
.xword 3
.xword 6
.xword 13
.xword 5
.xword 14
.xword 14
.xword 3
.xword 9
.xword 7
.xword 15
.xword 8
.xword 0
.xword 5
.xword 0
.xword 12
.xword 12
.xword 11
.xword 15
.xword 4
.xword 4
.xword 3
.xword 12
.xword 10
.xword 1
.xword 6
.xword 15
.xword 11
.xword 15
.xword 9
.xword 8
.xword 5
.xword 11
.xword 12
.xword 13
.xword 12
.xword 7
.xword 4
.xword 3
.xword 3
.xword 6
.xword 3
.xword 4
.xword 13
.xword 9
.xword 5
.xword 1
.xword 1
.xword 3
.xword 1
.xword 9
.xword 14
.xword 5
.xword 13
.xword 13
.xword 0
.xword 0
.xword 1
.xword 6
.xword 8
.xword 4
.xword 8
.xword 3
.xword 1
.xword 5
.xword 10
.xword 11
.xword 4
.xword 4
.xword 9
.xword 8
.xword 7
.xword 14
.xword 3
.xword 6
.xword 3
.xword 15
.xword 4
.xword 11
.xword 4
.xword 11
.xword 5
.xword 3
.xword 3
.xword 0
.xword 1
.xword 12
.xword 9
.xword 2
.xword 6
.xword 4
.xword 12
.xword 14
.xword 9
.xword 6
.xword 14
.xword 3
.xword 3
.xword 8
_t1_des_src:
.xword 0xb4205455b589f986
.xword 0x52f12ab450e902f2
.xword 0xe73cbac7bb800060
.xword 0xad35ee540882f927
.xword 0x542fb2cf77c16830
.xword 0xe8467d0c7869af1b
.xword 0xc8c185d2867f428e
.xword 0xc660f0eb850339b6
.xword 0x2a28cf1e05815e88
.xword 0xf55057bae8b1cc23
.xword 0x4633a2a525a90ccf
.xword 0xfa7b6a09e01efa5e
.xword 0x8215c77620983213
.xword 0x3a2bcfc2fb6d8a08
.xword 0x3ca98f9dfef5e15a
.xword 0xf578209cede38909
.xword 0x4d924ae9442017d4
.xword 0x5a6b3ebfd205007f
.xword 0x77e318699f6af41d
.xword 0x34cd34c230c4a463
.xword 0x93db60f0858a4069
.xword 0x5e2b7276df7faa74
.xword 0x6b31e591736db3a4
.xword 0xc9c2472579f3223c
.xword 0x5f1d675dfed0d9d3
.xword 0x2fe58e16e27236ab
.xword 0x3138d13d07df9b26
.xword 0x7bbcc7797ffb8ea7
.xword 0x01138ea9d4af9099
.xword 0xd9d58ccf9397c08c
.xword 0x4a02a3a586b883b2
.xword 0x8bfcec0c3026d283
.xword 0x44d12383d4701ef5
.xword 0x6f1e65ad02a05ce0
.xword 0x77fd04c438529a82
.xword 0xb2f6f5d5fa9a21a9
.xword 0xe8feee715f82321e
.xword 0x191c846032ff5473
.xword 0x6338b6a55e6fbf7d
.xword 0x34540c4cab44c0ae
.xword 0xf5f648309200b0b8
.xword 0xd6a4ab33352c8517
.xword 0x600da58c9be783c4
.xword 0x0622cc13727c22e1
.xword 0x1b11342791a3cdcc
.xword 0xf0dbee4aaa8eaef9
.xword 0x4b4a41a9ea45c721
.xword 0x46b7995d95b53ab8
.xword 0xb4d40e4953c3facd
.xword 0xf088fea76169d6ad
.xword 0x00b49a01de47725d
.xword 0xeffaec9840c1a2cb
.xword 0x345934d98e5b97f2
.xword 0x44e6364cd83c8bbc
.xword 0x6552c40eea24cf60
.xword 0x6964f300d39c846c
.xword 0x6f3c6ec4241c5786
.xword 0x7b12b9a6b730c2b7
.xword 0xdb345a056d2862b6
.xword 0x06a2fc0b177a054a
.xword 0x8253efae25f001bd
.xword 0x8c4ee91a4863d510
.xword 0xa5da5bfaad9dfbcd
.xword 0x3a001842251f3fdd
.xword 0xf505a79151aa9c21
.xword 0xdf921986ad413c6e
.xword 0x757b5bdeef9863c2
.xword 0x24d18c21e30f9b9e
.xword 0x97ae7c94eeee0654
.xword 0x8eb3486449cee2e1
.xword 0x6631b667e4abd07d
.xword 0x291eeb652700da4b
.xword 0x47570f75a04ffa3a
.xword 0xd2b5756b7162a20e
.xword 0x446e93953b2f053b
.xword 0xb1984d8588dff6a4
.xword 0xa85ddfa5f7a51105
.xword 0x51a89cbef73d3dca
.xword 0x112a92c46d7323d5
.xword 0x0e94a36cd01975b5
.xword 0xc2c40549daa88593
.xword 0xba98b528e9e81d6e
.xword 0xd177e1e5a90afeba
.xword 0xcae82dc847c9d69f
.xword 0x3333396575077dcb
.xword 0x5bcb063d7fd66755
.xword 0x8e257181ed1fac82
.xword 0xd77625b09ec9cda0
.xword 0x47a2571a4c852d72
.xword 0xd291d6a30c10568a
.xword 0x55f27279ab90adc0
.xword 0x613b8e805241792f
.xword 0x6296c0f2764ea4a0
.xword 0x474cb7f4547da30f
.xword 0x9a6a8e1f67b8dc79
.xword 0xbda954e7e53db526
.xword 0xd47d8f4e6d30afb9
.xword 0xb4a7b3f52a200f00
.xword 0xb7f29d7aba58a30b
.xword 0x7a0fac92fb7a5363
.xword 0x52c221e65d2791bc
.xword 0x8720583c33064027
.xword 0xb0243f236c44baad
.xword 0x1980b5f12c0e510d
.xword 0x3207d6574c486d42
.xword 0xf0fb26f472cac00d
.xword 0x70ef6bab19c32480
.xword 0xfef1520b4781d33f
.xword 0x3a010535fee16e79
.xword 0x0f603dbf6304ad53
.xword 0x7878a7eee5cb396e
.xword 0x5ef6989ec616b337
.xword 0xcf5b364ec69c36b5
.xword 0x007679afc92cef3e
.xword 0xb050c0ba7a11a716
.xword 0xac80495c39995f7c
.xword 0x305cd47fd2fe8b8a
.xword 0x6e515b7ebad504f3
.xword 0xb5c8fbe68bd5aaf8
.xword 0xbefe0af847f5bf60
.xword 0xb2c06dd00ad90846
.xword 0xd839783c186bd86f
.xword 0xc5ad9476e3b131f3
.xword 0x2c3bdc9738ab90f4
.xword 0x563d2559c34f22ba
.xword 0x06c0174562d3f28c
.xword 0x4372cb34a15ec36e
.xword 0x8e83e2331b87f113
.xword 0x95db31575b9ce299
.xword 0xd3b9afbe437f0fb5
.xword 0xa4ff2537f1fccff5
.xword 0x9b6abbfa17955b74
.xword 0x4366b6ba863b5810
.xword 0xad7bbba6a0b2800b
.xword 0x87120ae86ca887ab
.xword 0x995cf8762ffaff7e
.xword 0x07fa4c18bb1c5333
.xword 0xba5a72902a28dc4c
.xword 0xecfa4008bf67cd5b
.xword 0x615b531d300fdb89
.xword 0xc41316b0da76f713
.xword 0xa1aee5e16188b4ef
.xword 0x733b7f4f2626cd7b
.xword 0xe9f25c465b8103f5
.xword 0xf561407dc71cf2d2
.xword 0x68d01b5745a72e91
.xword 0x8bb9177487c0c394
.xword 0xed151d4735d4be4d
.xword 0x3c3677b5af09dfca
.xword 0xd5443fd896f11c61
.xword 0xa003c0f30d873436
.xword 0x1b2156782c24c99e
.xword 0x2e728658f8bad6a9
.xword 0x83ae39b904d8bfac
.xword 0x3f70d77b8aa8ba30
.xword 0xe8b520f1aec74d27
.xword 0x66d0384630e14058
.xword 0xe9f65ce0762f465a
.xword 0x6571204090a7f0bc
.xword 0xce371a22a0879147
.xword 0x736594b7f2880c2b
.xword 0x583e3a951ea550b2
.xword 0xf29889b026bdb4c2
.xword 0x36c3b42dce58aa52
.xword 0x53d4f39ea6e14d3a
.xword 0x6c26e9947d339264
.xword 0x04734cba115b9153
.xword 0x5ce56a0b73c9c6cb
.xword 0x3c187ce59c8fd1ca
.xword 0x8b81068c0624c749
.xword 0x8620c6fc9bb412e9
.xword 0xf1868c65910b2c08
.xword 0xc31e551ce612593f
.xword 0x807223af55358dea
.xword 0xd7091329f3cecef4
.xword 0x7a1f09b2e8c435e6
.xword 0x28e976cba698d0fb
.xword 0x50ebd7edf0ff86bb
.xword 0xc3cccb49fc745771
.xword 0x458caa86a3672d65
.xword 0x12a25382553b4d7b
.xword 0x06640112185c106c
.xword 0xad5049076e52745c
.xword 0xb24112b58658124d
.xword 0x20cf021d7bec273c
.xword 0x6f5e8ab41550bd0e
.xword 0xb3d7428fcb8421d6
.xword 0xde3c830fd80056dc
.xword 0xbbe2e282a19eed3b
.xword 0xe03d84f515575b8e
.xword 0xe7474ed54c9de13c
.xword 0x0090fef6bdea5cdb
.xword 0xce3c2ff447954916
.xword 0xf507c6b7b25869fe
.xword 0x59c1e9f7109e4f6d
.xword 0xa8b64a517c6a9b27
.xword 0x0a7981740f17c0f5
.xword 0xe7a1276835e28fed
.xword 0x2b90c69bea11c132
.xword 0x3f69ea216a86e9c8
.xword 0xb2112117928bda88
.xword 0xf7921060ac47cef4
.xword 0x9764490a96280bf6
.xword 0xf5a704c6e35c255d
.xword 0xb9d25c21b8422a92
.xword 0x36e3a90bfae1af17
.xword 0x9741ef6b6ad87320
.xword 0xb0224881e87ff44a
.xword 0x3b8b3f209e273b02
.xword 0x41f6033e5a0602f9
.xword 0x2852a1d515d1a717
.xword 0x5050fcd7a181299d
.xword 0xf2d48ba11a795cfd
.xword 0x6a2c748ddc1ad30f
.xword 0x91dc133d577fc444
.xword 0x2d796d2f163679c9
.xword 0x74940b5fa7438558
.xword 0xf02e9f08edc71d1a
.xword 0x00d30f5419d5004d
.xword 0x09b5975d7efccd2b
.xword 0x1e47f4deabcb6cea
.xword 0xc1c21468af27175c
.xword 0x64e90ded1ea5dd55
.xword 0xd1916224e2968246
.xword 0x2cb31c03abf0ffc1
.xword 0xd7197ead1ba1db00
.xword 0x0474db2055e647a2
.xword 0x62209ab60ca491bc
.xword 0xff205243c4894d68
.xword 0x88ad1aa4f8b70bb9
.xword 0xbaf6c91168b444c4
.xword 0xc5bcd9d9af62bdcf
.xword 0x8de825e90368895f
.xword 0xe85e9e54f1a494b9
.xword 0x9dc62acce68bbcf6
.xword 0xe0c63dbbcecf23df
.xword 0x19945dfcf5e21dc8
.xword 0x3f5147f2610dbc59
.xword 0x28c4e3521e74d482
.xword 0x9181f261b2810d93
.xword 0xeefb1288af9c9f71
.xword 0xdcee517e117af161
.xword 0x8da7d9a7d2029e94
.xword 0x6e57afeb7688ea8e
.xword 0x382442d6281d0ce5
.xword 0x786f90f41c3d63de
.xword 0x8ac72e0fccd373ba
.xword 0x7f518a285d0c265a
.xword 0xec3e1da8e394d8d8
.xword 0x381312c4753f396f
.xword 0x86886c7b50503f7d
.xword 0x00bd0d472cf81611
.xword 0x81c244ff029d0b7e
.xword 0x2954e67c95a8bb2b
.xword 0x0dc72c8c9d2f1073
.xword 0x2b05009e529a859a
.xword 0x8ee2749a5a390a6d
.xword 0x33b7e02d91bc5a7b
.xword 0x39d0b25acd4d0323
.xword 0x5beb35d52ea40e36
.xword 0xd4ff1a8352135cc0
.xword 0x3b9771efdea7044d
.xword 0xde8606224611bcc4
.xword 0x2d8e333acfd0db02
.xword 0x8d351c58866626f0
.xword 0x7aa14b0e0f0f1dc8
.xword 0xde26846c6274551b
.xword 0x76e24b533caa63c8
.xword 0x58475ac281cdac6f
.xword 0x494e21f6d2f17abe
.xword 0xfe87617754b99e55
.xword 0x8e86cd18f0812efa
.xword 0xad309c9912b03238
.xword 0xae98ba65c6d0c858
.xword 0x305107f99ced17ed
_t1_des_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_auth_key:
.xword 0x452b9384f7f032e1
.xword 0x861f989ae1294eff
.xword 0x136cbdf739f8199a
.xword 0xd5929a73bc4650c4
.xword 0x538c206cc522376e
.xword 0x6ec5de67f65e2b5d
.xword 0x17b7dee91bbb5f32
.xword 0x4df183124cc41038
.xword 0x455be4c33202733d
.xword 0x72ceaf4e018b5c3b
.xword 0xdc1e57742251c20c
.xword 0xedca0515a93799f8
.xword 0xeff9d61a9e50e100
.xword 0x208eb2d5b5febda0
.xword 0x6a7c7d5560d3b8e4
.xword 0xb4e17f96b463616d
.xword 0xd8f3d08e12884ee8
.xword 0xebbfd3eb5764344d
.xword 0x3011eb760b459e9e
.xword 0x92d3b82e3d980b1a
.xword 0xbcee0c26fb20d762
.xword 0x09dfef86ab614433
.xword 0x70d1beafda50af2d
_t1_des_auth_iv:
.xword 0xad4cfb3d7f4242e4
.xword 0x73c15d0c982a99b9
.xword 0x56b625802cd236ff
.xword 0x502cc3a2e806056f
.xword 0x826755491d07036b
.xword 0xb30840238f00fc08
.xword 0xa01413752e6759bd
.xword 0x66089b9b4cb71f51
.xword 0xd59f6800ddbdc5c1
.xword 0xe868d7937bdc5868
.xword 0xf4766aa2627d22f4
.xword 0xa6c49e92393a4db5
.xword 0x78bca6a592e3a625
.xword 0x3384e543e8a772b6
.xword 0x54d01eac61eb4313
.xword 0x4cbfd4aafef55dc4
.xword 0x92215aefd1b968d8
.xword 0x9e1cf21b79c4946f
.xword 0xd043ad6f31755839
.xword 0xa73337f3248e6399
.xword 0x4bfde8acdfaaff6a
.xword 0xbac8610edd4d1e41
.xword 0x2a174b6e977e766e
_t1_des_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_key_array:
.xword 0x448c66084813e524
.xword 0x0c8dfbe44531002d
.xword 0x769cc0b3aceb96d0
.xword 0x08c3059ec2e98e66
.xword 0x7c16bf86abc592ba
.xword 0xfa1e25e15704d70d
.xword 0x8005ef20f32068f1
.xword 0x72587b7e65ed49ff
.xword 0xeea5a2dba1d11277
.xword 0xcea0e5dfc0788605
.xword 0xd0105fd74084027c
.xword 0x2106a5d64629215a
.xword 0xe863bd1070df6fca
.xword 0xc8d3ced17adb2fc6
.xword 0x7e3448224c185b5f
.xword 0xeba0151307aee31a
.xword 0x00dae4e761b00122
.xword 0x06a7b5ac11b6672d
.xword 0x3abb9819b69273e1
.xword 0x2b9cd4c180c187db
.xword 0x14a566f175346b1c
.xword 0x7fbdc920cfaf353c
.xword 0xf7d70b57d54fb07c
.xword 0x786cd1fd5f44e074
.xword 0xf53bfce6bbdb5508
.xword 0x16ca5f0896ec1143
.xword 0x72d95060bf8b3a0c
.xword 0xcf4a0401a03bef5c
.xword 0x56806e032c277384
.xword 0xf8a5854f89e42c3f
.xword 0xc0ba05b0f420dd77
.xword 0x0d15a473a52f11de
.xword 0x6c95ef3dd72a15ea
.xword 0x0300c26e6e25a699
.xword 0x1f73c12fdb138f87
.xword 0xf8e2d2f62fa190fd
.xword 0x30ec9c4945dce8d1
.xword 0x154d3d780b6102a6
.xword 0xa74532e709bfca63
.xword 0xcc92cfb752e61b3b
.xword 0x14f461215264f30b
.xword 0x3a3dd5f7a0e49b8f
.xword 0xd6d665ea21bec3f9
.xword 0x6694374a96cecc74
.xword 0x8fe5afc82e2f5650
.xword 0x39adad142b91a468
.xword 0x98b73c1d79482c73
.xword 0xb7081452339a7983
.xword 0xceccb5f86e1fca8e
.xword 0xc9fd91f5c75c62b5
.xword 0x4f8012e16448f718
_t1_copy_iv_array:
.xword 0xe079c434d1d4468c
.xword 0x3cfccd5ba62e90d9
.xword 0xc356c623011277f3
.xword 0xdb7f9d79b78d139c
.xword 0x2005d6fe485c1557
.xword 0x188bbf65ff571ba3
.xword 0xdbd429dd5bc4705c
.xword 0xb3d0b2d0effea42b
.xword 0x549a7b9f7041f9e8
.xword 0x0cf91f9823bf469d
.xword 0x69bbb003cc3f634c
.xword 0x61d10cc0375c0be0
.xword 0x071632405b3312d3
.xword 0xb3414620c67bca10
.xword 0x6fcab45987fcaa85
.xword 0xdda190291c9e5c19
.xword 0x1ef1dd8f464ac21c
.xword 0x6a874f25b6790438
.xword 0xeca5f532c0624422
.xword 0x27131bbe213fbab1
.xword 0x2ce8127da8a5dec9
.xword 0x3a402c87137ed887
.xword 0x67a2607a5944a5fc
.xword 0xc8ffcb0aae76a6e8
.xword 0x7b0c7adc2ec7f58f
.xword 0x1b4e4a5e13ce0aa0
.xword 0xc7842804ad345e75
.xword 0xb63934bdea1d2328
.xword 0x95cafe5e5465b059
.xword 0x65660eefeed776c4
.xword 0x1a0e6423507bca16
.xword 0x1ea83ccd324c6518
.xword 0x17d2f8e8a9d884d4
.xword 0x4732ba8c29d79c5f
.xword 0x9a3f00cfa9bc3568
.xword 0xbff8e91e7f661714
.xword 0x6f0109cc0b8bab8b
.xword 0x6ff216fa88c7db73
.xword 0x0d5fed50b3488e38
.xword 0xe65bcac3b511a89e
.xword 0x5f77d7ed5e1d7471
.xword 0xc31e7dd74cd3a41f
.xword 0xe72ade887db9877f
.xword 0xb0957543b1609baf
.xword 0xe2a7c48e14b75e09
_t1_copy_alignment_array:
.xword 4
.xword 11
.xword 8
.xword 10
.xword 11
.xword 11
.xword 8
.xword 4
.xword 0
.xword 8
.xword 9
.xword 11
.xword 10
.xword 8
.xword 4
.xword 6
.xword 0
.xword 12
.xword 13
.xword 8
.xword 14
.xword 7
.xword 15
.xword 13
.xword 5
.xword 4
.xword 11
.xword 5
.xword 3
.xword 14
.xword 6
.xword 0
.xword 10
.xword 4
.xword 3
.xword 4
.xword 15
.xword 0
.xword 9
.xword 11
.xword 8
.xword 15
.xword 15
.xword 6
.xword 1
.xword 15
.xword 1
.xword 15
.xword 13
.xword 4
.xword 4
.xword 5
.xword 12
.xword 8
.xword 6
.xword 5
.xword 12
.xword 7
.xword 6
.xword 2
.xword 13
.xword 15
.xword 7
.xword 7
.xword 3
.xword 13
.xword 11
.xword 9
.xword 5
.xword 12
.xword 11
.xword 3
.xword 9
.xword 10
.xword 15
.xword 12
.xword 0
.xword 3
.xword 13
.xword 10
.xword 10
.xword 7
.xword 11
.xword 0
.xword 8
.xword 13
.xword 15
.xword 7
.xword 10
.xword 9
.xword 1
.xword 13
.xword 9
.xword 6
.xword 0
.xword 2
.xword 2
.xword 14
.xword 2
.xword 11
.xword 7
.xword 1
.xword 6
.xword 7
.xword 8
_t1_copy_src:
.xword 0x96b345c17fd7189b
.xword 0x347d8f4168230562
.xword 0x2c4cec79db298c14
.xword 0x55f3232d6d59a91c
.xword 0xa7394421a4a61adf
.xword 0x50a0c04a40a979ea
.xword 0x6c9af3d8bf663dbb
.xword 0xa9e49e50661d97e9
.xword 0x5dcc178934be5777
.xword 0x059d858957857a95
.xword 0xa5e7759f8d542acb
.xword 0x90767abdf7e7275a
.xword 0x8aa3b2de2256aad8
.xword 0x1e27c16c112b4742
.xword 0x4957371e3a2d742a
.xword 0xc408c4f35510d621
.xword 0xe6a8ad21a1b5e8e6
.xword 0x330be52103d29bb8
.xword 0xe1f9d83a4cd4ff1b
.xword 0x664e08546698decb
.xword 0xd364b11326b54217
.xword 0xd59b94f070d8fec3
.xword 0xd6f2d28e6aa2e210
.xword 0xf5aa2a34d908546b
.xword 0x05a4672718b11aa0
.xword 0x82f5ca4da1f32eb9
.xword 0x5059736339e3cb44
.xword 0xedef3a5408bf943a
.xword 0xc62a742b6ee5d3b7
.xword 0x842b679ff5827ab7
.xword 0x24b7bbc1466ed92d
.xword 0x4c16ac4f9fd00a55
.xword 0x732a03b9851f3dbd
.xword 0xbe02a430fa227253
.xword 0x59dcde37288a308c
.xword 0x15498a1b8774c623
.xword 0xe9ca62617bccf0b7
.xword 0xefa1552df9be7e80
.xword 0x2bcbf3275ce399d7
.xword 0x7c410f30269da8ed
.xword 0x0ef4c2f573074a65
.xword 0x426e03f098189bc7
.xword 0x54d93fc58470ebe5
.xword 0xdd92cee82962cbc0
.xword 0x3eca735f45677712
.xword 0x90cd663cbfd35669
.xword 0x058046df17d7d9b6
.xword 0x82aa1e1287cc0f23
.xword 0x2ee93ba53126e81d
.xword 0x82b536e0051c7aea
.xword 0x1234c1d53bea7e5f
.xword 0xe4c372ed844acf7c
.xword 0xa4761b9f21214b5c
.xword 0x4279c851b85cf558
.xword 0x013ccacea2fac553
.xword 0xfdb4f14c59dd5bc5
.xword 0xb86a2bf639713787
.xword 0xe174b7a487c273da
.xword 0xa1341b1141164e54
.xword 0x9eeed50e274b4311
.xword 0xc54071aa30690cb1
.xword 0x8181ac87ab4848c2
.xword 0xd03e564998de4228
.xword 0xf08c6598c0701e0b
.xword 0x36d5f535adc9ae77
.xword 0xc8e528d62505eff4
.xword 0x690a19d2997c87e2
.xword 0x999943dba2bc658a
.xword 0x1e46825dc1e3ab65
.xword 0xfee2d8d3ee01d2a7
.xword 0xca24369a53618c94
.xword 0x3f6c238528dc797d
.xword 0x6305985f768aca9b
.xword 0xa3733ee5bb9c6e89
.xword 0x0dc7b19709d10597
.xword 0xb10522925a9bdf76
.xword 0x3fc9878e94994fa0
.xword 0x7092b0de9e668d37
.xword 0x6b3685aa6d351ef6
.xword 0xa361e9aec2096921
.xword 0xb95a2287f1d671e9
.xword 0x38a65e45a59934ae
.xword 0x667a8ed33ed9873a
.xword 0xf21661fe066fb8a2
.xword 0x9769f6c0991a1297
.xword 0xe2a03f54701e5666
.xword 0x721c6ad4d97227db
.xword 0xa127dbcd1f721c77
.xword 0x6de9c0163f8a471f
.xword 0xa36e5a24df6a94df
.xword 0x8d5b4806477e24a8
.xword 0x6b1ac9cfad6d227d
.xword 0xe5fe94cc9840ba37
.xword 0x23d61bd7aee64560
.xword 0xb597a0eb9cdfac03
.xword 0x6652c09b17938843
.xword 0x7f0a0a04a7ef8248
.xword 0x25d931a26f924667
.xword 0x14906b37b51b0c70
.xword 0x475ed8a92977cda5
.xword 0x7095e77241f750ca
.xword 0xb6663e6af0849705
.xword 0x32a1efe82742eee8
.xword 0x921494ae62bae1f2
.xword 0x060c7ea42d32c727
.xword 0x16bd2a37c23e5b46
.xword 0x5adfc8a23ba28862
.xword 0x8a32979b6ffb0c7f
.xword 0x37b85d579bec2b85
.xword 0x9d3f7384bf50c018
.xword 0xc921472705ad2ef7
.xword 0xc90779de9fac7af5
.xword 0x492354c632d30226
.xword 0x9b8ca6160d2f53b8
.xword 0xfe89f9bbe41779e2
.xword 0xcb6ea3deeee53e0b
.xword 0x0411e5a82c41a084
.xword 0xca669811b42de16d
.xword 0x3f57f177bd7bb278
.xword 0x5d72d68f7d29a22b
.xword 0xf8d37d5cf8ec42ab
.xword 0xf9ad6892d380f41b
.xword 0xda041c84b4645333
.xword 0xa57036dc61a85f2e
.xword 0xe4fd4bd06f5e95c0
.xword 0xbc240929e0538342
.xword 0x4d8bc7bfdae06283
.xword 0x7c1486ee0193324e
.xword 0xe5688a3bba82aa0d
.xword 0x77321be3f894dac6
.xword 0x488689d7a4a88894
.xword 0x834ccf9eec4efb00
.xword 0x18c8b9001601e2c9
.xword 0x53331c14431d4380
.xword 0x4c5aa258a68fc1a4
.xword 0xc0bc954fb15fc26f
.xword 0xf94db0043f969adc
.xword 0x9ad62a55da666fc8
.xword 0xd9961fd2ee9443f1
.xword 0x0c8b03eef5e35968
.xword 0x3d12f8c7402cc589
.xword 0x046caea050dfca1b
.xword 0x65e4a1f9c853a53e
.xword 0x63bbe09ddf0d7f7d
.xword 0x570f8699d61ea9e5
.xword 0x26d1c889f6caed62
.xword 0xc6134a1c30464b0f
.xword 0x9601677c49de5cce
.xword 0x1d4fc75392cd2ec6
.xword 0x3995342734a5b20a
.xword 0x73e5dec5db8a8dcf
.xword 0x8b880581a216242a
.xword 0xcc05ab18295f317e
.xword 0xb1c0607e96ebd0bc
.xword 0x81bb5349605d86bb
.xword 0x7afb57430dabbff2
.xword 0x945b3f6324faa1a1
.xword 0x84eb33438ebd3490
.xword 0x525e731f144cea25
.xword 0xa433e430475ee59e
.xword 0xbe3bb1c7404e935d
.xword 0x8a8a7e1f12547d05
.xword 0xedff44bb7bdea0ed
.xword 0xe96234d5e64ca70c
.xword 0x5afdf2b6c9fabffa
.xword 0x79f31158b70ec4d5
.xword 0x9b827a62b4124d5a
.xword 0xf226568b57d89fc8
.xword 0x6eb49eb89a20be83
.xword 0xb577f0d13195ddaf
.xword 0xe1355b31a693a061
.xword 0x93f17a29eaac3cbc
.xword 0x27b09384af804e86
.xword 0xd42767f46400c1ab
.xword 0xa3d53bfe4d34eb4a
.xword 0xbdff46e4c39a6389
.xword 0x07209bba79d4f5e0
.xword 0xdf7a234001f16476
.xword 0xc12132b69702ea1a
.xword 0xf00bea3d2c84081e
.xword 0x9b2f4843873cc017
.xword 0x56a9f8d23053e869
.xword 0x10237631dd79ef58
.xword 0xcfc83afea6ab9e63
.xword 0x28492437f65aa6c4
.xword 0xad8ec90f7071c9ad
.xword 0xc5de2ea6b30bb61b
.xword 0x835990f90180867c
.xword 0x4a99e9901676adeb
.xword 0x854a2b6bce64304e
.xword 0x1326c88a7104afee
.xword 0x29eed86812f89019
.xword 0x4b44be9cdd5431d9
.xword 0x0ac48b9f3240cbc1
.xword 0xe05737961937d2a5
.xword 0x48d6c72a57de6533
.xword 0xd0c7d4f62a0934f4
.xword 0x31b95eb5fdd6edcc
.xword 0xae261c4160f573ae
.xword 0x5abaf0de42dfef8a
.xword 0x432a756c830aa486
.xword 0x7cdab4ab1b56b658
.xword 0x8d2811dc3bf93f2e
.xword 0xd8dda63554c52ffe
.xword 0xda83583f37d119c3
.xword 0x30d5436d947c4564
.xword 0x26be2f8b98615cc2
.xword 0x1e61c92f1e56488a
.xword 0x64806b7fabd5c797
.xword 0xa559786dd3d69d62
.xword 0x994426b978769f9e
.xword 0x2c04f9d5b4516467
.xword 0xe4af1d20bb65b047
.xword 0x9d10baf5475a2c6b
.xword 0x1270b83033c68df4
.xword 0xf11153aad948d97c
.xword 0x7b7a2739f9d98457
.xword 0x359decb8d574ab5b
.xword 0xd31917ea68216b4b
.xword 0xe01cf5281479cffe
.xword 0x5384e8e5e2e9f1a8
.xword 0x0dcf32272a90e0bc
.xword 0x59f5313ae11d5df2
.xword 0x63e09bfa54454784
.xword 0x8247ca61102cc35d
.xword 0xcbe7917cb21c1221
.xword 0xf693f91e2baa890f
.xword 0xd2ecf7abcbc57768
.xword 0xdf63c5aca3858b96
.xword 0x82056cf4ca105473
.xword 0x1b82c810f178661f
.xword 0x82b05029d4a33da4
.xword 0x3e99087f9b2ae08b
.xword 0xec7a6b4a3e79182f
.xword 0x57978004e71fd39f
.xword 0x3a2bc1c097595919
.xword 0x364f6b5a53343761
.xword 0xc2603f230cdab6be
.xword 0x30b92ea68d332b9f
.xword 0xc68ef1acebeaccf1
.xword 0xd28b0bdbb5c2658d
.xword 0xba76206fd18fa39f
.xword 0xfeac6b22ddbdfd2c
.xword 0xa427ad481582ceef
.xword 0x65fde75631d3f373
.xword 0x45b3f911977642e5
.xword 0x462146facf649a3e
.xword 0x5b8a90d0ad765ee9
.xword 0x293d38322ee6e6fc
.xword 0x23990ba4489f3c20
.xword 0x531a95fd0f18eb25
.xword 0x64af4e8811add381
.xword 0x1baf6e9b5e405c91
.xword 0xe2afa52f6f682d31
.xword 0xe89cfe55d9c3e8be
.xword 0x91cbd16c519a1ead
.xword 0x081a84f42726c8c4
.xword 0x67795c7c987086cb
.xword 0xa4aa157788ae9cdb
.xword 0x647dc0611c097bae
.xword 0x50d76bed39c83e92
.xword 0x3fa313773f581546
.xword 0x92e591d60ebd0e06
.xword 0x619781692bec9bba
.xword 0x2ea86bf1d9a1171e
.xword 0x72caebb9cf482abf
.xword 0x4501a9a72a581a6f
.xword 0x5aaf37ad1ed83522
.xword 0x7a9f45f7391b88c5
.xword 0x5567324912ae3839
.xword 0x999de540bd4f018b
.xword 0xd22ea3cd490d0849
.xword 0x0ad49f0176a3f3f0
.xword 0xa2490431342add64
.xword 0x7cd1bc70f1ae1983
_t1_copy_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_auth_key:
.xword 0xe782890fa90356e4
.xword 0x2ddbf2b5151b52a7
.xword 0x133d2911d3f5ead0
.xword 0x086aeeeceb1e1ae6
.xword 0x9a6ca0382386d152
.xword 0x03afc64983cbb73b
.xword 0xd5e36cb434bf3089
.xword 0xcc7e47bd8dedc63d
.xword 0x5a9dc9503609b6a3
.xword 0x2efa721fc35afe00
.xword 0xe9a13a61e2e9ad01
.xword 0xba88613c4f99d927
.xword 0x8cb05b07dbeaf846
.xword 0x8ab62ab4251478ec
.xword 0xa9ace9328e4c4350
.xword 0xd42684032c8d3807
.xword 0x59569ceef33f93b6
.xword 0xe3f08cf5ef216acb
.xword 0x35f18e0acc72738c
.xword 0xdce6da3077fcf2f5
.xword 0x3b2a813fcd0986f0
.xword 0x8904072435ebf661
.xword 0xff9ce53d0c8592e9
_t1_copy_auth_iv:
.xword 0xb77f2f113775dbea
.xword 0xf2b8a3283563ca2b
.xword 0xb3e059345b1e0d75
.xword 0x76d1003e8d1283f4
.xword 0x13620fa76a185a68
.xword 0x49580d959bd346c9
.xword 0x9ebc1dc1420208d5
.xword 0xe4b4e492ee3c6972
.xword 0xc538b614117ec00a
.xword 0xf894a955efd8089e
.xword 0x54bbabbd880e8ebd
.xword 0x1687dbcbfd42c2db
.xword 0xa39d286a54820684
.xword 0xae24aba1fd72f425
.xword 0x5b4f6b8a96f0bd2d
.xword 0xf4e0385da2782367
.xword 0xfbad80b42d810427
.xword 0x8628c948606bc297
.xword 0x4773021e4577607f
.xword 0x80bb647db8ed8cbf
.xword 0xa6541118ddf2a14f
.xword 0x539c612eeb688a51
.xword 0x55db9cf1eb328ef1
_t1_copy_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_key_array:
.xword 0x1c15d4840925b4fb
.xword 0xf6962df1c367aac1
.xword 0xff70a431fe2a8202
.xword 0x29468297cf3e21d1
.xword 0xb06d28e6cf3b8fff
.xword 0xe24e57632950e1b1
.xword 0xc803431aa1b0a261
.xword 0x028784a14ad628aa
.xword 0xcbfc78a985ff87d3
.xword 0x0aa3ebf3c4a6f97e
.xword 0x1b5f7ebe6fd47919
.xword 0xfee94935299387ae
.xword 0xddbe1f930e528d06
.xword 0xa692918927704f1d
.xword 0xd108d97bd064b17f
.xword 0x73086734d78328b8
.xword 0x80425031f882cb05
.xword 0x192be3f5967a65bc
.xword 0x9c2ff283be81baed
.xword 0x1916719eb76f0993
.xword 0x2d181f010f778954
.xword 0xe3f4fdc7d5c095a3
.xword 0x09c9cbfa5ace2ddd
.xword 0x3afb7ba820f34996
.xword 0xe98c8b507c9b7b1e
.xword 0x648dcb98fd47b82a
.xword 0x83ff59b44f34ffcb
.xword 0x4d6a220a59bfc6ec
.xword 0x9ffe1187e126e54b
.xword 0x1af8750aa2aaa887
.xword 0x85ead401d0c12a97
.xword 0x4e61c7818f8a07fa
.xword 0xf214bec053af16db
.xword 0xab77b9ba4c6dd1ce
.xword 0x14d7f79a06aae6e9
.xword 0x6e25e1738d9433d1
.xword 0x869fab505227aec9
.xword 0x0a9bd3366821a77f
.xword 0x8e7642621a6f3407
.xword 0xd6f8dbf5231a0bfe
.xword 0x3bfaf50fffa4b6f5
.xword 0xc23bc9503289ba1a
.xword 0xc7a105183d751c9d
.xword 0x7bae3ecdb57bb702
.xword 0x93d077217d4ae1b2
.xword 0x08d40b0a6c492f4c
.xword 0x5038f1135bb58604
.xword 0x0f7897b347db801d
.xword 0xc7e9fcebb3f68641
.xword 0xd5f581fa29fcb6e8
.xword 0x3d68f0675630f942
_t1_crc_iv_array:
.xword 0x081a0b960689a6fe
.xword 0x156270d26168de58
.xword 0x7ea1ec30f572c0ab
.xword 0x48e89dd6cb15b0dd
.xword 0x947c52680dacab4e
.xword 0x4507fcddef3106a6
.xword 0x3a4d0e96dd6bf8f7
.xword 0x9147b7ee43ebdda7
.xword 0xe7934a826a76007e
.xword 0x62f1fb9d3da720b4
.xword 0xad8fb2f58eb78881
.xword 0x8b4b9484e75a4a0c
.xword 0x0af39e88317b2116
.xword 0xca75a8da10234186
.xword 0xb1cd2cba5c0f2c25
.xword 0xf5fa4f11daf725ee
.xword 0x8ffd6acb1c53aa38
.xword 0x3ffccb78d999d92e
.xword 0x8646c3556a566953
.xword 0xc6be608644a3a401
.xword 0x05bc69645962d65b
.xword 0xc856e340b04b7789
.xword 0x646e834d9d5b70bd
.xword 0xec85cda4b53af575
.xword 0x30eef1bd86a35fac
.xword 0xffed082b6e197a7f
.xword 0x43a49ae62b00225e
.xword 0xcf8018b2d3e4807b
.xword 0xe1a508313142ab3f
.xword 0xbc3f84d6cf9ab2c6
.xword 0x93d4c82da6ba147a
.xword 0x1ffe849046a28d44
.xword 0x600275edd857b394
.xword 0x971f333eaac1608b
.xword 0xcaaa0bf4e9a411e0
.xword 0xdd83121be20a35fb
.xword 0x66bfc74711968026
.xword 0x37e70f2494b19ad3
.xword 0xd8b09c05e7adc8b7
.xword 0x3d650698edd33e3f
.xword 0x5a3eac0c9f8e5e72
.xword 0xf0f8c5e6e3e1bc87
.xword 0x74b301aa0af799ab
.xword 0x2cfa0975b548c13e
.xword 0xc0f7ba44e5d5b70d
_t1_crc_alignment_array:
.xword 13
.xword 2
.xword 13
.xword 13
.xword 3
.xword 5
.xword 0
.xword 12
.xword 10
.xword 7
.xword 2
.xword 12
.xword 8
.xword 12
.xword 5
.xword 11
.xword 9
.xword 8
.xword 12
.xword 7
.xword 0
.xword 14
.xword 12
.xword 8
.xword 15
.xword 12
.xword 4
.xword 13
.xword 4
.xword 8
.xword 1
.xword 3
.xword 10
.xword 12
.xword 4
.xword 10
.xword 1
.xword 8
.xword 5
.xword 3
.xword 8
.xword 10
.xword 14
.xword 2
.xword 6
.xword 0
.xword 5
.xword 6
.xword 4
.xword 10
.xword 7
.xword 6
.xword 0
.xword 5
.xword 0
.xword 4
.xword 5
.xword 6
.xword 3
.xword 7
.xword 9
.xword 9
.xword 0
.xword 0
.xword 3
.xword 14
.xword 9
.xword 3
.xword 13
.xword 13
.xword 2
.xword 10
.xword 10
.xword 0
.xword 8
.xword 1
.xword 4
.xword 3
.xword 14
.xword 15
.xword 3
.xword 1
.xword 10
.xword 0
.xword 9
.xword 2
.xword 9
.xword 7
.xword 2
.xword 2
.xword 14
.xword 5
.xword 14
.xword 4
.xword 12
.xword 0
.xword 13
.xword 7
.xword 0
.xword 0
.xword 0
.xword 0
.xword 7
.xword 1
.xword 7
_t1_crc_src:
.xword 0xc85ba9942356520c
.xword 0xb681ba1f961ec7e4
.xword 0xd89af4b8c11c2421
.xword 0xaef5b13a5cd853a7
.xword 0xa339e0862cce1376
.xword 0x973bbcd099ac7ce4
.xword 0xf6fe2f6bebd907ac
.xword 0xbf5b1a3055062080
.xword 0x01cebdb2ca513d5d
.xword 0xde68a4e86b0c8881
.xword 0x10b234a42dcf92bc
.xword 0xd64fe62cf3871bd6
.xword 0x45fe68dc5dd6990e
.xword 0xa97b55f4461c48c7
.xword 0x3a9f1e19fa8687b4
.xword 0x048c235ca83cf56d
.xword 0x03bee09c26dbfaf6
.xword 0xd246930ba37e2d7f
.xword 0x51b0585acef635dc
.xword 0x1df4e7a6cb76fb21
.xword 0x4e3ea0b0a7f89aa1
.xword 0x8d31e20a6d3684ce
.xword 0x0997eb8ed8360989
.xword 0x92bdac67fd8ba49a
.xword 0x3b076a2fdd950a65
.xword 0x583bade74adab09e
.xword 0x47345a3cd879c8ae
.xword 0xd9f9f25e96759010
.xword 0x8f2f902fed39b683
.xword 0xaf2510456c7caa44
.xword 0x5b5c82e30c7b3471
.xword 0x3f2895e1a75eea62
.xword 0x31a37b9b3ee1dfbe
.xword 0xc6ff9d5f90f205d1
.xword 0x2525150c6d86eb06
.xword 0x2451f90fd3d976c3
.xword 0x8d741421437de650
.xword 0x474562fd7a66979f
.xword 0x91efc907d8be78d7
.xword 0x057e58e31b0cd007
.xword 0x63281110c477582d
.xword 0xcdf93af33f14ed06
.xword 0x06f68f6629b2eb5d
.xword 0x2d8dcf9bb3f2d8fe
.xword 0x7bf17c606dbf2a0e
.xword 0x3d7d95e613b8f2a6
.xword 0xd7068b76cc9cde12
.xword 0x888cc21ca0d6b447
.xword 0xf37d37ab4b4c3cb0
.xword 0x8a7cdd079e0b442f
.xword 0x2e3e14609ec1e187
.xword 0x6a1ea649c9d311b6
.xword 0x3895cb2f9ebf2091
.xword 0x863f68a66df9c584
.xword 0xa4108369f3867401
.xword 0x9a508e0464274abf
.xword 0x49e04a51e1e1eca3
.xword 0xff05c08743f93644
.xword 0x3425c0f5b8aba252
.xword 0xbaf6ea30d4e304e6
.xword 0xcea8ba48da890ecb
.xword 0xf003f3c15018b47c
.xword 0x356924cca345b837
.xword 0x4169142d10cad8e1
.xword 0x6a8cd534d04feeb7
.xword 0xfb0f6a31547024f3
.xword 0x4acd2cf8c7d36327
.xword 0x2288e156fa9e3523
.xword 0xb3bfb414cdd0f683
.xword 0x0583428a331b8249
.xword 0xb4b1208c792314f4
.xword 0x40031c9f04fccae8
.xword 0xc89d2d49d8da97ae
.xword 0xe6f92c6bc354109b
.xword 0xe28c78ea5c4f8695
.xword 0x1753f314ef6286e8
.xword 0xd010caecaab4252c
.xword 0xb74fed2045afb92c
.xword 0xf109e1284fb2e432
.xword 0xdb574c809d8b76cb
.xword 0xc99426af1bc841dc
.xword 0x3787a53615ccb9a9
.xword 0x086a13f2241942a9
.xword 0x81e571febfe7b8f3
.xword 0x8c7ac151d7326c5c
.xword 0xa56a414e270583a9
.xword 0x1173cc78f1e5465b
.xword 0x92440bd0df47ecd3
.xword 0x35c5356f2e6853dc
.xword 0x3c74e0ddd89de2f4
.xword 0x4b914d98287333c2
.xword 0x41f80166aa59fb2c
.xword 0x5f03eb650c659d4a
.xword 0xd909f57911eb6f98
.xword 0x3f8cf45ae151eec6
.xword 0x81908737756c5858
.xword 0xd458d12420d3fed2
.xword 0x2b0f5044bff65245
.xword 0x0e0dade7b71efa84
.xword 0x632fc704237e43d6
.xword 0x7e04ead4f7ad5aa7
.xword 0x2b0b16f695f4ce45
.xword 0x9e266644658e01db
.xword 0xd70322879baf638f
.xword 0x043e6443ed239036
.xword 0x94826f330cb85bfd
.xword 0x620093f04606c8c6
.xword 0x8e5566b32826a67e
.xword 0xf0a8fa201b01623b
.xword 0xbaa9825f72ec2b74
.xword 0x683acb0a54fb55a4
.xword 0x9cf3b3219a7d23b1
.xword 0x281d0c9b4d517ef8
.xword 0xaf48babd9edf8713
.xword 0x8bd1cf77154e014d
.xword 0x988e4ca91cc13d26
.xword 0xf67619311ceb4ebb
.xword 0x9d761159b1259fdf
.xword 0x937de7fbf486cf69
.xword 0x86782c7c73c469cd
.xword 0x69adf32451db7127
.xword 0xc008547309e0a96a
.xword 0x136ab309fa179376
.xword 0x15044907230d8ba2
.xword 0xfdfe5460232c55a1
.xword 0xb4f8e384c2e268a8
.xword 0x8070bda0a7ac3df6
.xword 0x22806c855d093e38
.xword 0x3cd68ea54caa23df
.xword 0x21aa605833fe73d9
.xword 0x7ee074635a05c8e5
.xword 0x8389b658eb48b085
.xword 0x2f04a248a5eddc26
.xword 0xeab40f6b4af16ca6
.xword 0x628d3a4d24a3dde4
.xword 0x63266fb023bd8f9b
.xword 0xe350150ca26bd8a2
.xword 0x47fba6633fd67486
.xword 0xf522e47195a19fe1
.xword 0x108b959cadf5c970
.xword 0xfa78229eec8e9135
.xword 0x471f3626f622b1a1
.xword 0x3d805ce92828d90f
.xword 0xea39cc6340d8b6e0
.xword 0x395a54373dce7db1
.xword 0x137af8bc90830d54
.xword 0xfbe97c25113b7006
.xword 0xb582812aa749e6fd
.xword 0x3083ed79c449ad3c
.xword 0x6d8fd22049572ade
.xword 0x9385931b9f342cf9
.xword 0x6511e4a90037850b
.xword 0x08e166f25bfcda0a
.xword 0x9197609ef2859846
.xword 0x0445c700d7518cbe
.xword 0xfb2915a296544843
.xword 0xf098517f4d6c690e
.xword 0x12a9b0e03cba9e3a
.xword 0x00f3207952c75730
.xword 0x8025c0758ebbe9d3
.xword 0x60061658f32c6d66
.xword 0x48b4c4d178b8adf9
.xword 0x428aea4dc3ad18c8
.xword 0x40d4b1cbcced8915
.xword 0x95ccda9d21ff5e12
.xword 0x1e1584208ffdf249
.xword 0x69988e161d76dbc6
.xword 0xe5601a87d3d0c3ad
.xword 0xd3830772f5f58a31
.xword 0xb966ba440a11473b
.xword 0x50c54aa82f151a93
.xword 0x6ffb31cb2734bfa1
.xword 0xcf1f1e1d3fe499d1
.xword 0xb3e3686c15ca38ea
.xword 0x839f0c7da50f85da
.xword 0x8d311c722db1e80d
.xword 0xbc17140451a31029
.xword 0xac2083d88a08c8f5
.xword 0x013253ef65f8d2ec
.xword 0x841c440b43c8ed4f
.xword 0x44bfac6f03065553
.xword 0x58591264b95d73a8
.xword 0x49a9e7d8ff5682dc
.xword 0x49daf1277e71d8c3
.xword 0xf8f50a884d632b09
.xword 0x9e202305238b081f
.xword 0x08e40c31c56a3b65
.xword 0x3853c88e39731b78
.xword 0x9e845ffc9ad472f5
.xword 0x5046ff17c255b523
.xword 0xad7a2a28539b7033
.xword 0xb6edbacdf6a60543
.xword 0xedf7dff1728e515a
.xword 0x0a75447dc9434d37
.xword 0xa6878cdef3732425
.xword 0xc702f9709bc846b8
.xword 0x61c6e24d28885c6a
.xword 0x02258320b8b375c3
.xword 0x96d0b9afbdbe322f
.xword 0xfa860a69856bfd11
.xword 0xbf0f535931cb666d
.xword 0x2e761e6f994aaafb
.xword 0x3b7ce2aa644c9b53
.xword 0x6743c4a649f15b88
.xword 0xed559b3bf483fa18
.xword 0xe0ec70164720afa7
.xword 0xf7e6c11c9841201d
.xword 0xe75c1a4fdbd0ca93
.xword 0xdfdca45c2dc1cd09
.xword 0xae89c900d0a7ee09
.xword 0x0f64c4a677cbefba
.xword 0x63726ce93c7406ed
.xword 0x16001d93a4723344
.xword 0x08ec808667a109f4
.xword 0xd60b45262a8d4e55
.xword 0xf0d69498a67671bb
.xword 0xa58c54d185208792
.xword 0x4ac4c7394971ca42
.xword 0x13044ae3a40bb11c
.xword 0xae59a6529195108f
.xword 0xf44ce16550dcd3fc
.xword 0xb100acdda81f6b90
.xword 0xc4c77cb332deb577
.xword 0xf3b211bbbe9736d9
.xword 0x4d03f3879146af67
.xword 0xbd587d0d206b3161
.xword 0x23d738b15c575c72
.xword 0x229441141545af22
.xword 0x3f75ef47131238d5
.xword 0x0c437dbffddfd6e0
.xword 0x05f9c6d6376baa87
.xword 0x500fc829919d3e9d
.xword 0x73336d50be7b9669
.xword 0xbee032f187338f60
.xword 0x596a53cacbdf93e8
.xword 0xd11fb0f562cc503c
.xword 0x4124030ba9cf096f
.xword 0x38f291868b7b4d86
.xword 0x3249c6c6e33d7a95
.xword 0x9248676fe8e4fc77
.xword 0x82694a3dc76d566c
.xword 0x1eba4ae727e26014
.xword 0x80cb6813c8010d3d
.xword 0xfc39440d1275d374
.xword 0xf285a4238d046ded
.xword 0x87c1614c3450becc
.xword 0x4cec19112d87c30b
.xword 0x1d243e0edd9b4d1c
.xword 0xb14142e0242d884a
.xword 0x8b187effb7cec639
.xword 0xf3ed59f3128bc447
.xword 0x442f5da2b5f08c46
.xword 0x7a7b2f66afb30b83
.xword 0xd6e4b4ea55532c92
.xword 0x3991de2c1282f269
.xword 0x4d45bc5512454dff
.xword 0x88658d33ddced010
.xword 0x5fe9b293d2a14a17
.xword 0x705b6fc4d2d21fe2
.xword 0xbe6809a4fdf37fa2
.xword 0x64f9ef709441291a
.xword 0x77a61ba0fdcb7a8c
.xword 0xb20b3acdfa2e0d77
.xword 0xd61a31b0fa893104
.xword 0xcde74cdd4b128a64
.xword 0xecd5015e774a6b4a
.xword 0xfbf7555d2270f08f
.xword 0xf922ebcdfc65db83
.xword 0xddd8defbfa46663e
.xword 0xe6230a520190a196
.xword 0xeb88d3e86f7371e2
.xword 0xc87b28fd7211c2ab
.xword 0xacb36c7f3a3d93c9
.xword 0xcd7dfcce1fefe462
.xword 0x3c3c7aafb4919521
_t1_crc_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_auth_key:
.xword 0x4e9fab2ecd95cba1
.xword 0xd1e9b4173f31d546
.xword 0x289b954125e8ad38
.xword 0x086228068584aa3d
.xword 0xb7d0542bc5c7e158
.xword 0x7172efa8899ad6cd
.xword 0xc8545894ab3c5b5e
.xword 0x97b78aa3674a4673
.xword 0x57c7fb0e92fc7a3e
.xword 0xf62e693b67a318ee
.xword 0xb08b13e1ec285ff1
.xword 0xcb401bbee09d3dcc
.xword 0xd501dc24fcfbea33
.xword 0xf65898908ffa8c98
.xword 0x1486aa9943bc5f87
.xword 0x62656531cdd5a8c4
.xword 0xb1fa2a14a0260040
.xword 0x8b17ccd74aaba5de
.xword 0x6f4ecbb0147d7aa9
.xword 0xd0a319a73d7e6925
.xword 0xd8ccafd8b3f030fa
.xword 0x0ebbf9c6ff8d8abe
.xword 0x0b0dbdfd0a5afa2b
_t1_crc_auth_iv:
.xword 0xbc87aac7406c843f
.xword 0x1af79b9cd13249e8
.xword 0xb19589f301db551d
.xword 0x23e329bb82c5784d
.xword 0xbcbbab80d1b5724f
.xword 0xccb3db1e0fc26ca5
.xword 0x93bada0c47e7df9e
.xword 0xe31d56c5fc6184ea
.xword 0x2f9b629392b3330b
.xword 0x1d378d3a8a5a1f27
.xword 0x37b456bcfc0f3fc1
.xword 0xa4ffbe0f106713d2
.xword 0x28a68b6af16c4cf8
.xword 0x1682b69db148e3f2
.xword 0x05267f23b563afde
.xword 0x3cce8d8b834644d3
.xword 0x3ed5508c62ded27e
.xword 0x3c00a2b5a56a75db
.xword 0x8f91eaee8bac4e52
.xword 0x0462f79a8d49d3db
.xword 0x3398b7161d9c169e
.xword 0x1195cdd28b2bf9af
.xword 0x6b105ef7161b6be2
_t1_crc_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_key_array:
.xword 0xb2ae66218cdc112e
.xword 0x097218f09a30cd02
.xword 0x4ab4f05c2f5d1312
.xword 0x9de242b92e66b3d1
.xword 0xe896b8ede212fc20
.xword 0xfa70975d8400cbe6
.xword 0x989025c98c618559
.xword 0xa7d2cf738a438671
.xword 0x2ec5237e939bf987
.xword 0x65d0b0cd721a1909
.xword 0x146c20ccf9ac6710
.xword 0x4d9d57782bdc304c
.xword 0xf2466a263f4dcd84
.xword 0xb98eff320e8dc6f9
.xword 0x0fa65e43ff175e5d
.xword 0xe2b1319cd51478e3
.xword 0xcaef01560f32a8c6
.xword 0xb5883de53b7cf05a
.xword 0x28cda03700f5e79d
.xword 0x7fc108be32060aa9
.xword 0x1a6ccb8eaf81aab7
.xword 0x4537e771ac5f9403
.xword 0x46966b85e88c573f
.xword 0x5c506eee3654a6d4
.xword 0x8622144a94f5a427
.xword 0x8536433e8388c86a
.xword 0xecc23559d2c63ec9
.xword 0xbe0a370631a326dd
.xword 0xa24ca55e8ff96413
.xword 0xee335e02797d372c
.xword 0xeda4a5466d2e22d5
.xword 0xf03eef64dca99c74
.xword 0xb6b1951d6af1ce78
.xword 0x9c8106582b790d6f
.xword 0x8c97a812dabe8de3
.xword 0xf9d50d49bdd1112c
.xword 0x047dec0bb3f0f48c
.xword 0xb240eee27407c318
.xword 0x3306d14378a0bd4f
.xword 0x90425e2c6af522a2
.xword 0x062baec23b456a0d
.xword 0x3b578f0b505ab213
.xword 0xbb296de5bbc879f7
.xword 0x95d45730edda8af9
.xword 0x3b4c4c317087ce57
.xword 0x2b91a59c4d8a9a34
.xword 0x39dcfe40da465ed5
.xword 0x5104c2a340f84328
.xword 0x9a6c5506ff767305
.xword 0x90f2fe97078009f7
.xword 0x0ee5cb283d88b0e7
_t1_hash_iv_array:
.xword 0x25fb2e55ccad6ac5
.xword 0x3ce972dcb0610a30
.xword 0x1b09f86b1269bed4
.xword 0xddfe4537dea77643
.xword 0xf79f3763f735c804
.xword 0x62b8af4dda5bd4ba
.xword 0xab72b1d5b767a5da
.xword 0xd8711b7fdbd8387f
.xword 0xb2a27492d9ed74d0
.xword 0x66a50dde84e1f8d6
.xword 0x272d1929876bb367
.xword 0x543d740756942056
.xword 0x66d17e5fe8bb706a
.xword 0x420c4fb3d9018c17
.xword 0x4849b6b11a8734b7
.xword 0x2cb3f7123c537639
.xword 0xeb9323622c3aaa90
.xword 0xa697be9853e73661
.xword 0x1c50265029cbcf28
.xword 0x3137c6a31166d73e
.xword 0xc214211d30b0bf91
.xword 0x43297d7dd1e27d87
.xword 0x9769c9b528590c71
.xword 0xd98cfbdd557b88f6
.xword 0xeafebbb2be571b64
.xword 0x08a39f6a2e08321d
.xword 0xf340707400e920ef
.xword 0x01f43c4dc3b9ce71
.xword 0x0600163da182d23d
.xword 0xddefe0e5fee04899
.xword 0xf31f461d5e17ac69
.xword 0xb8427dbdd7c91bd3
.xword 0x031f9f02af8e9c31
.xword 0x19aefa74d6d0f39b
.xword 0xfad0b0d2be6e5b05
.xword 0x4d6c418c94665267
.xword 0x81695f67080c4074
.xword 0x776a9199f1b5b3c2
.xword 0xdd14767670818c18
.xword 0xdc575a3e0eab527c
.xword 0x9ae1c482338074ad
.xword 0xacd1e6c9da7568d7
.xword 0x664d4550a798b305
.xword 0x16e170a2facd2218
.xword 0xa9c2f32466351060
_t1_hash_alignment_array:
.xword 14
.xword 14
.xword 3
.xword 2
.xword 10
.xword 0
.xword 0
.xword 5
.xword 9
.xword 4
.xword 14
.xword 6
.xword 9
.xword 5
.xword 4
.xword 7
.xword 0
.xword 0
.xword 7
.xword 13
.xword 13
.xword 0
.xword 13
.xword 3
.xword 9
.xword 7
.xword 3
.xword 7
.xword 0
.xword 3
.xword 9
.xword 0
.xword 9
.xword 2
.xword 15
.xword 12
.xword 7
.xword 14
.xword 2
.xword 8
.xword 2
.xword 3
.xword 14
.xword 4
.xword 10
.xword 12
.xword 5
.xword 11
.xword 6
.xword 13
.xword 2
.xword 8
.xword 15
.xword 15
.xword 12
.xword 15
.xword 3
.xword 3
.xword 8
.xword 8
.xword 0
.xword 15
.xword 12
.xword 1
.xword 4
.xword 7
.xword 15
.xword 14
.xword 10
.xword 3
.xword 3
.xword 2
.xword 0
.xword 13
.xword 9
.xword 3
.xword 5
.xword 5
.xword 4
.xword 0
.xword 4
.xword 12
.xword 3
.xword 14
.xword 11
.xword 10
.xword 15
.xword 14
.xword 12
.xword 9
.xword 5
.xword 2
.xword 4
.xword 13
.xword 12
.xword 2
.xword 14
.xword 15
.xword 4
.xword 1
.xword 3
.xword 12
.xword 6
.xword 10
.xword 10
_t1_hash_src:
.xword 0xe9ff8b71d93621e7
.xword 0xe567f6993fdf664f
.xword 0xc0c191cf1fb92057
.xword 0xdb544ca8d6ceca27
.xword 0x5a54319756bff8ee
.xword 0x525b1d54a9f8a39d
.xword 0x02bf6b20809597c1
.xword 0x8f97df42002c55b4
.xword 0xc2240673981694bb
.xword 0x2acc88ce859f174d
.xword 0x905e7d25b083fed6
.xword 0x5a229292e2b88619
.xword 0x633db2202691b857
.xword 0x4a5f57d9309a1a69
.xword 0xf765b8a85c19d480
.xword 0x347a4b964da67f68
.xword 0xaeda1e8e93230120
.xword 0x50e282b832c9c9b0
.xword 0x1b6cb10b5b6ab8e4
.xword 0xd94445aa000fc9fe
.xword 0x8e4c7332ccc71c8e
.xword 0xce01155329a3a0bb
.xword 0x2220a5dd877b29c8
.xword 0x27c721a3367f4a26
.xword 0x4952cd9e392ca5b7
.xword 0x30eb822bf9015049
.xword 0xa1792b2da5a6a9b9
.xword 0x3eaae75c61e9d5dc
.xword 0xa464d4a1b8974b32
.xword 0x5f2cb121ce2a0e65
.xword 0x6b159319db243e2a
.xword 0x70a7a635f1fcd2d3
.xword 0xd1debbc5608990c2
.xword 0x8bb63aaa042e467b
.xword 0x63fb4d2177f7dab4
.xword 0xace0babab7bd78e0
.xword 0x3c9d05ff95ee0b27
.xword 0x64d73bf7b845b540
.xword 0x2787f492e1f4fa80
.xword 0xa7891a49f55154a0
.xword 0xb525e5a759bf66cb
.xword 0x6c5622020289cf69
.xword 0x665d25effa8d82d2
.xword 0x63164631514df37f
.xword 0x539c96adfd886d18
.xword 0x077c449d4d462111
.xword 0xd55da355f791e861
.xword 0x53a10dc6990ed23d
.xword 0xc945520bc219e54e
.xword 0xf57d8d62aedba978
.xword 0xe31f42d894f36a07
.xword 0x56c7d15e7e3c94aa
.xword 0x2c013f873a725739
.xword 0x3bb01d6e72d3a6be
.xword 0x2b51f40b92a7323c
.xword 0xf20cb87d095841ce
.xword 0xd2136696725d5889
.xword 0x35a3adf8bf65dfc8
.xword 0x37d33c21c5a31dfa
.xword 0x6387bbb005200fd5
.xword 0xe608bdaaffa7898d
.xword 0xab76c3748d50d3f7
.xword 0xdc3daa032e9ba4be
.xword 0xa7d589fd7045de8c
.xword 0xf6dbc298cbd53ab7
.xword 0x4fa17f5d2e3220ee
.xword 0x6b8bc7f5ddfd185d
.xword 0xd61af710b83d75f7
.xword 0x2639f6cd6e0bb8bb
.xword 0xf0a25ecf522fe151
.xword 0xf7e063d452d9d78a
.xword 0xd215aa785d5840ff
.xword 0x97cad10c40428b46
.xword 0x4a11e85703218a20
.xword 0xfeac8910156b5135
.xword 0x76fa38e1291b8dc8
.xword 0xaf1136d3e5876bf9
.xword 0x67a54d1d61002d40
.xword 0x3ee23c46668aef63
.xword 0xc94350e63337c995
.xword 0x1226a5ec2e198894
.xword 0x20e71fc1b2cc8335
.xword 0x45deea60627fe00b
.xword 0x9624935612e50d68
.xword 0xe528948d96e9fb52
.xword 0x73f0bc26e800eb93
.xword 0xfe67ae68ec5c8edc
.xword 0xa720c7021aa99c2f
.xword 0xfa199ee869da6701
.xword 0x6659db327a51415b
.xword 0x632b6d61d2a168c2
.xword 0xe6165401fa5b8d6a
.xword 0x9bc24c4bbbed41a4
.xword 0xa32d2d8553f4740d
.xword 0x9ecff78faba58e54
.xword 0xbb757cf0c86002b3
.xword 0x5344307a6308075c
.xword 0xee89f15356f70a46
.xword 0x2bfb9862ad395364
.xword 0xc5b1d0122c8d8e0c
.xword 0x68a3417d3a632d25
.xword 0x7f8820af352e17b6
.xword 0x2e68df0e2018e620
.xword 0x150cd1d9e91177c8
.xword 0x437e389b763f6848
.xword 0xce78218ec7983f0b
.xword 0x6f532e070917ac09
.xword 0xf89ca9c45a0af0aa
.xword 0x142dd88d7366facb
.xword 0xeb57a6c78d6dfe52
.xword 0xfef0e224aa83a234
.xword 0x81d6ac95187a50ff
.xword 0x1f00a2b0dd6cdd9f
.xword 0x2e8be0cbdad0de6d
.xword 0x8e592a2780927181
.xword 0x91efb0b57b479fb7
.xword 0xcb0d2ee1e8f88c67
.xword 0x8d066be410a2b4f5
.xword 0x4c11dd37b12fa891
.xword 0xa8a087c6e76f93c0
.xword 0x1c3b993ad8ba8361
.xword 0x2af0cc4747b76eaf
.xword 0x4624006e6a5d5b2a
.xword 0x30bedd73473f4968
.xword 0xbea74323f727bff0
.xword 0xb45168ff90466192
.xword 0xd06c5aebc8cf976e
.xword 0xe764793740647934
.xword 0xf74fb101f2dcedb1
.xword 0x4f74e78a8fe02fb5
.xword 0x8bf8f8cc873a5a0f
.xword 0xb2746239435de7dd
.xword 0x2fd7e54fc0c76e1b
.xword 0xe166e5174ff9d05b
.xword 0x7075010723409007
.xword 0x2ee6022086f3eb9d
.xword 0x79b6d4d5de2c2430
.xword 0x89fc92e2e14ff09e
.xword 0x163cbbc0c18255fa
.xword 0x286b8ebe8a629ccc
.xword 0x5e847e889b9a22f2
.xword 0x83cde37a8aa0ca79
.xword 0x5d7ba4f731264c80
.xword 0x7408466530862b44
.xword 0xfb547dea1c1fa4c2
.xword 0x75685dccc4d96904
.xword 0x915f840f3604ef1e
.xword 0x3c0248dac25557c0
.xword 0xa31fa70fe4f147a8
.xword 0x532fe71cbc58f04d
.xword 0x591ef8db12624460
.xword 0xdba3c2fb84f10794
.xword 0x8397210c195bf357
.xword 0xb6f494fbb1585510
.xword 0x1c4ca1b1ee4a3cd6
.xword 0x6623f3167d07d529
.xword 0x2a7fc1e3ac67e62a
.xword 0xa1b4d43b21eb0627
.xword 0xfce7635b7d9986a4
.xword 0x9a8c456b2940d46c
.xword 0x886d06d3a2d28b03
.xword 0x04099a46ff89d812
.xword 0xa9868065a7206e4d
.xword 0x0cd82ac5a10e5e8a
.xword 0x4806a6c456b8d79c
.xword 0xcf5737448310c66c
.xword 0xa9cdb205e0a54bfc
.xword 0xa97c9181f41cee89
.xword 0xf42e5f320f6b09dc
.xword 0x759cc232fc172285
.xword 0x99bc022a4dbffe3b
.xword 0xf66c8ba52ee1a437
.xword 0xd1a623088876a682
.xword 0xf40394e7968fef40
.xword 0xdda58d2530197b79
.xword 0xac835d5f6dd7c09b
.xword 0x401c49c60879602d
.xword 0x14f57096a7bb7919
.xword 0x412fc469e005bbfc
.xword 0x56d8c356baaf1b36
.xword 0x6df2708247b5562c
.xword 0xa82f43f814ab90d3
.xword 0x78b1bd9c9c8ba4ab
.xword 0xfd0fe5ddeb199520
.xword 0x50c65d331a2dfdcb
.xword 0xe956265bd37c2884
.xword 0x385bc6892db406d3
.xword 0xd15c42202972eddc
.xword 0x30914a5a60006d74
.xword 0x14aec3286a2ca444
.xword 0x7d9d92e065faa07d
.xword 0x0200a71fb7d04b75
.xword 0xa2ed3dabb5619f8d
.xword 0xdff8d8e6ef2be211
.xword 0x90ae6abe4612239e
.xword 0xdd44c0022bb9d86e
.xword 0xbfb076604181c9b4
.xword 0x42ea1f9da8eafa15
.xword 0x91db686cc7ee8454
.xword 0x6579ec3e37bdfc2c
.xword 0xb2a294188d5ced60
.xword 0xe794573116ea8f30
.xword 0x73dcb967d8dc2eaa
.xword 0x5f603bcb48e8fc34
.xword 0xecfffa65baf2af4c
.xword 0x6323cf8308786144
.xword 0xecfc103dc19e7f30
.xword 0x35a13ebd5c1ef67c
.xword 0x30527808c5a202d7
.xword 0x912fea7b26f5aeab
.xword 0x13b043a72a36b2e4
.xword 0x698c1fc1ae8a2cc2
.xword 0x43d185af8a32df0b
.xword 0xd8f1e2c053339e2f
.xword 0x4a4600b09fcbcf14
.xword 0xee01e2cb2509ec5b
.xword 0x77dbb8749dadbce3
.xword 0xc2af4bef474cb9d6
.xword 0x9d3d6634779de1f9
.xword 0x2202a8371239044e
.xword 0xfd1318b8254cd687
.xword 0xebd28d6862350d1c
.xword 0x44dea6a28f3a7811
.xword 0xfc0c4e1601f092f5
.xword 0xe44f783a66deb932
.xword 0x3dd3a76709f1bfd9
.xword 0x213812298474474f
.xword 0x55f65f2287092c91
.xword 0x92d70babdd4023b3
.xword 0xccd85e90e3a30b1a
.xword 0x7c3099c31b35113a
.xword 0x2e1bfb3bd3a46ecf
.xword 0x47eef436055d03bd
.xword 0x8169b943aa7f702e
.xword 0x0e413b7398dcc339
.xword 0x9668a1bccca197ff
.xword 0xffcb9e473d2f4b1c
.xword 0x14e870b4eab32cd1
.xword 0xae4fa5ee65055724
.xword 0x7a6ce34d428811f7
.xword 0x3fe631bb64e5692d
.xword 0xbe93b6b41226adec
.xword 0x33feecce2c16bbd6
.xword 0xa51ce4c71a378782
.xword 0x901b2c2e73214306
.xword 0x26947884cc41131b
.xword 0x5bcf52d011abfb91
.xword 0x1ff1b4c0dce1cb12
.xword 0x1597c5e191882eee
.xword 0x030ea84cff52b35a
.xword 0x0d69965dabc63e7b
.xword 0xd7df81c60421fcb1
.xword 0x2fe15c76d9ca3498
.xword 0xac07a79fc38620fb
.xword 0x39dc2608665e9773
.xword 0x66dd4b9513330fe8
.xword 0xb5262525f3784fbf
.xword 0xcc1f5619360ca8f7
.xword 0x0ca39eb5c48054d0
.xword 0xb43cae3b548ceddb
.xword 0xb2a7b27b332233b8
.xword 0xb4f7ece356b3a6e0
.xword 0x714a9fe6625e3cb2
.xword 0x1cfaa57269dc8e86
.xword 0x67ec1e49b4a4db79
.xword 0xfcb25dab6a27a9f7
.xword 0x1cd50cff4049e634
.xword 0x5df8a6b845bef2f5
.xword 0x8b135223360bc443
.xword 0x4f446fd23e610c9f
.xword 0x0766750678c0d363
.xword 0x7f19fc016c640490
.xword 0xfc29727fc7fd1f65
.xword 0x22a640fe19822d13
.xword 0x155a6fe87bc1afee
_t1_hash_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_auth_key:
.xword 0x428d309cdec11f44
.xword 0x2f7aad7c1ebfa1ea
.xword 0x23a6d9472f233e7b
.xword 0x6c1a7a4cfe6dd995
.xword 0x2fe3532b07cc6487
.xword 0xa73cb0147e32167b
.xword 0xd3f2aad25c21a1c6
.xword 0x04eadd9af2308dc8
.xword 0x41dd8602ed6b8961
.xword 0xcd5a58ec15190baa
.xword 0xfc7dc289abc57fed
.xword 0xdde3e431135f77d2
.xword 0x49ada3a785ca3d37
.xword 0x7d5a67d818ebcdf1
.xword 0x5e997e4ff0067d57
.xword 0x8ee4c75cfe27efbf
.xword 0x865d1426aa1c3582
.xword 0x764c84265c885fb8
.xword 0x131880ca0d284ce4
.xword 0x5f6c34a99b11f95b
.xword 0xf0f925b584a0bf9d
.xword 0x0af94b580908cfbd
.xword 0xe56ed2ed8fbbaf26
_t1_hash_auth_iv:
.xword 0x40a7280a0988069b
.xword 0x99401027bd597a36
.xword 0x60f8af9d9e7dd63e
.xword 0xb12f9d9672b9e37a
.xword 0x4dabaa26076eca00
.xword 0x10c6ccbe2fdc8160
.xword 0x1eb3790018ebd4fd
.xword 0x673ef900feaee786
.xword 0xed644d72e02096fa
.xword 0x5f0433f915ad9cc4
.xword 0x0b5609e859a5fdab
.xword 0x17d09a32259ec014
.xword 0x2f344519660ef75c
.xword 0xdc025411d16f83fb
.xword 0x27c9a68612fda8e1
.xword 0xabcbf7f093ebd930
.xword 0x1eb25aa6937dab87
.xword 0xf23546cced9035f6
.xword 0x2c35d9d9e823c871
.xword 0x0f2df123b1f32a1d
.xword 0xaec87a2b5d50cc67
.xword 0xb2254bffdee4d5e2
.xword 0x7503d3a5015bb30f
_t1_hash_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_key_array:
.xword 0x33374cea775004a0
.xword 0x315b923f4c49c705
.xword 0xa43c995f1c3c0de1
.xword 0x72c07950f0aaa5fb
.xword 0xe68ef803f0e7a502
.xword 0x1512326ab36d11ab
.xword 0x94f7fc00df27f2b9
.xword 0xaef1d778fe381a9d
.xword 0xa8180074bda84972
.xword 0x9fda91c537ed2536
.xword 0x08c879cc64489db0
.xword 0x32c847c6e4358094
.xword 0xf1482e6ebe597bac
.xword 0x105ac60801127f97
.xword 0x6522692f5373d534
.xword 0xd5aaf65027d61066
.xword 0x33cf5d901d59beee
.xword 0xfae6a2752794edad
.xword 0x9e9ddd1a49d4c3e3
.xword 0xd115658028af74b9
.xword 0xc75dff7266df3c7f
.xword 0x1ca6a8a46bfc6cfc
.xword 0xbbfad57d40f7df8e
.xword 0xe971b680a8430d98
.xword 0x1555f374f0b2ac65
.xword 0x89726c0b44556a4d
.xword 0x3cf25a9f1fe30903
.xword 0xcab51b3de6a1f9a7
.xword 0x65538746ec87519e
.xword 0xebe2bbc276839b62
.xword 0x9b84b1ae6659a747
.xword 0x63393e2906ca4624
.xword 0x4819872c2f47fcf4
.xword 0xef32a94d8d937ccc
.xword 0xc5eb92e41cb38ebd
.xword 0xaffa6d0aef5fef35
.xword 0x52f46c57ceb8ed3a
.xword 0xe2ad95937a36dd37
.xword 0x24230067f444286e
.xword 0x751489697c3479c0
.xword 0x163a3db14adc1c31
.xword 0xa464ddf70861dfff
.xword 0x9a6c12a3c535f790
.xword 0x90a1b0f35e1fb59c
.xword 0x86f307cd5d7998c9
.xword 0xfdb1533bd54b6336
.xword 0xbc83523bc27bbd60
.xword 0x1fbb86261fd3d5de
.xword 0xee7f2e80b75d1a7c
.xword 0x6cb8a6334f796a51
.xword 0x949f90b6256068af
_t1_hmac_iv_array:
.xword 0xb0288c6c2ba284a0
.xword 0x7ba41c3c45542e48
.xword 0xd8e7de6a543c2494
.xword 0xdd89bf0c0eb5e3ee
.xword 0x0a0b77a01ba0cbed
.xword 0x7e000e947c905253
.xword 0x6b91a7dca6b77219
.xword 0x90e738a9bb1da0c5
.xword 0x648a5923b358239e
.xword 0x47ace13e517d2b8a
.xword 0x33f6b13996303173
.xword 0xe012e0955fec4ac4
.xword 0x13d572ee67d00e45
.xword 0xbab65265900f776e
.xword 0xee0149e1ce3ae796
.xword 0xf29d5489390ec47f
.xword 0x5c1f48f9021cd13c
.xword 0xa032437a18689263
.xword 0x6eb81572a6b9085d
.xword 0x294faab24222a562
.xword 0xaf90a1740e5b429c
.xword 0x7d8cf6a68efbe5ed
.xword 0x3af5bed1bf281bcd
.xword 0xf6ddcc2c66bd86d3
.xword 0x4746c53276e0d865
.xword 0x2d9a89fcc3710117
.xword 0x7dd1226b19d8d09f
.xword 0xe573d5fb5225d6b8
.xword 0xd088b4903fe28ac6
.xword 0x173bf1912a525124
.xword 0x99a80697c14d2b95
.xword 0xb46cf4621c01d10e
.xword 0x77ffa1061d378f76
.xword 0xee3c1c408b7c0686
.xword 0xc444df826f929a96
.xword 0x9ece0b305604de30
.xword 0x1a6b2091e0c5b4f2
.xword 0x4969f6ecdcb14972
.xword 0xea534c4903e051bf
.xword 0x3974b932217d9c6b
.xword 0x523331e7f6a2cb17
.xword 0xc2a639758a767ea0
.xword 0xbb9498c630ecea3f
.xword 0x2ba802485ec5e269
.xword 0x319b26eb44595a85
_t1_hmac_alignment_array:
.xword 0
.xword 2
.xword 2
.xword 15
.xword 15
.xword 13
.xword 10
.xword 5
.xword 1
.xword 7
.xword 12
.xword 6
.xword 5
.xword 11
.xword 12
.xword 2
.xword 9
.xword 11
.xword 1
.xword 0
.xword 13
.xword 9
.xword 5
.xword 11
.xword 7
.xword 13
.xword 4
.xword 6
.xword 2
.xword 11
.xword 4
.xword 8
.xword 1
.xword 15
.xword 3
.xword 7
.xword 1
.xword 5
.xword 8
.xword 0
.xword 11
.xword 13
.xword 5
.xword 0
.xword 10
.xword 7
.xword 4
.xword 4
.xword 1
.xword 9
.xword 4
.xword 9
.xword 1
.xword 6
.xword 15
.xword 15
.xword 12
.xword 15
.xword 13
.xword 0
.xword 4
.xword 11
.xword 6
.xword 11
.xword 13
.xword 14
.xword 2
.xword 14
.xword 1
.xword 15
.xword 1
.xword 10
.xword 8
.xword 10
.xword 9
.xword 1
.xword 14
.xword 13
.xword 10
.xword 15
.xword 5
.xword 2
.xword 13
.xword 6
.xword 5
.xword 1
.xword 1
.xword 15
.xword 13
.xword 7
.xword 3
.xword 15
.xword 11
.xword 1
.xword 2
.xword 6
.xword 4
.xword 14
.xword 7
.xword 15
.xword 13
.xword 3
.xword 11
.xword 4
.xword 6
_t1_hmac_src:
.xword 0x305f6a9d6644f6a9
.xword 0x03e8fd48cf9aa29f
.xword 0x702331c84b2602c5
.xword 0x59176ed22f00c657
.xword 0x29af216502ed36f5
.xword 0xd498b87ddb550ce0
.xword 0x41232e7288de13b2
.xword 0x497d28b5f93854e1
.xword 0xc6c51ff5b35fedec
.xword 0xb50072a01f352334
.xword 0x0b197de58dc15dce
.xword 0x2d8bd885bdd441f3
.xword 0x99a0b623c9734071
.xword 0xa04bb3d121d108d9
.xword 0x9e429dd226d4d90b
.xword 0x2962ffce2f4b5407
.xword 0x4adbc54df08bc587
.xword 0x460b5f77f3ec91f5
.xword 0x939e2bb2ac0fe1e7
.xword 0x61c0047dc6eb69db
.xword 0xfd8e959632ca3c55
.xword 0x108fb1d7da5c3c4f
.xword 0x8d1ad27556ef40b2
.xword 0x22a90a9f8556b1f6
.xword 0xf5ead9ad6ead6141
.xword 0x0533babfdfabe92a
.xword 0xecd4e7d3f240164b
.xword 0x8d19baccf3546b93
.xword 0xcd28ddf5f9f5c2af
.xword 0x266b44ba9439a658
.xword 0xd180fd5483b7f352
.xword 0xc6c4863343d6b138
.xword 0x16bcbe47afe1f414
.xword 0x75ccb5348bf5a321
.xword 0x4c3065855129cf1f
.xword 0x3f19210155cfa876
.xword 0x8acb587fc502131a
.xword 0x49a871f9acd2d976
.xword 0x6fd5f453f2175906
.xword 0xb1842c6477071c28
.xword 0x12e6f15c2229cbf6
.xword 0x292cdfb4e83e4f34
.xword 0x9bbd9df94892b30a
.xword 0x59378aecf6ae96a3
.xword 0x64585ded38b37ef3
.xword 0x0b6cc208b854130a
.xword 0xa55b5fae44aeea1f
.xword 0xb1c5db9ca0fea553
.xword 0x2bfee1dafe3c8aab
.xword 0x08d9b29310c2f9b2
.xword 0x4c113b3214433da4
.xword 0xfdf7aaba8b5cad2b
.xword 0x608078061962b692
.xword 0x6180ec328b2d6c00
.xword 0x1bcc90be58c03b86
.xword 0x12367b4c46415ba3
.xword 0x2929141deef2c305
.xword 0x1d523eea69521a87
.xword 0x4322a7e3601bc4c2
.xword 0x26047915da26e502
.xword 0x166f0b3abbdd69f9
.xword 0xe26392bb2d2b9803
.xword 0xfc7d2d3e5cc19857
.xword 0x8f196700d51f2020
.xword 0x32b3db64676c6410
.xword 0x8b0809eb9de46942
.xword 0x563e3aac7b2d1a5b
.xword 0x782792e60161cb81
.xword 0x3b296e7e9702ecbf
.xword 0xf74031b085d7c9d8
.xword 0x4290b8a90a130784
.xword 0x533dd0a123e3cab6
.xword 0x723574763a14e954
.xword 0xe2d5e21c950c6392
.xword 0x5513d34380df44cc
.xword 0x07e027496ce34546
.xword 0x69778edcbbff5158
.xword 0xcb35f20e9f1adab2
.xword 0x2f67d41e2eb4e647
.xword 0xf865cf79978bb80f
.xword 0x7fa9e44549152a7d
.xword 0xee4f74b213d3889c
.xword 0xe16ecb66b6cc1f59
.xword 0x2b1fded018885a19
.xword 0x1a8864993674992f
.xword 0x9cf534c8e3f48ec5
.xword 0xd114cfdd5788dfd2
.xword 0x0ba006bfeb4ebf0f
.xword 0x7cf020d4ba5e8f5f
.xword 0x853e52361e51ca10
.xword 0xb934409370416dca
.xword 0x3972c97d82d3d1f4
.xword 0xc386814df5192bb6
.xword 0x2bc1c26bf704f921
.xword 0xeffd933f59f4eeab
.xword 0x534441fb8ea8d503
.xword 0x687bb13043f2317c
.xword 0x4f83fbdc04dbad26
.xword 0x2e51455c4b307f2d
.xword 0xd5f30ec6613d6953
.xword 0x13348de309e9568f
.xword 0xd6dd465a0ee98df4
.xword 0xf57cfe2870d7312c
.xword 0x744bd1e9de634542
.xword 0x615bf00a052258e8
.xword 0x151aebc6b024f5b4
.xword 0xdeb1c2bbf3ad8691
.xword 0x33f4661821cc68de
.xword 0x9869bfbdaffac824
.xword 0xb1ff3f710e93d1c2
.xword 0x374a115334fb270a
.xword 0x5687fd650abb507e
.xword 0x6965eaf2e1e69a39
.xword 0x0c81bb2856499b16
.xword 0x155fb8c019c76b13
.xword 0x37787b48253e37d1
.xword 0x1c0ae658c385b83a
.xword 0xb1b4aadc4c5068cb
.xword 0x31f11a6125933189
.xword 0xde216aae5d05ab5c
.xword 0xa5df792cb2bdd3ef
.xword 0xf7af82ff47b4a517
.xword 0x5e0d8bcc9fbe7cce
.xword 0x558bf6387bc33b09
.xword 0x0eba8256d5b856a6
.xword 0x9b93dd3a472209a9
.xword 0x0b84c7528fe5f05d
.xword 0x970e124d04743170
.xword 0x7e8e2d0460aaad2e
.xword 0x2e0feacfdd7a618d
.xword 0x0cba72956d9439aa
.xword 0x6aec4520f40f2ce0
.xword 0x5201ebfb13d62d4f
.xword 0x2a5d513fb40b4f8d
.xword 0x91ef38655f29c4b7
.xword 0x3f55ce968f1608a5
.xword 0xd87326b6279e87ec
.xword 0x67ba400db661867a
.xword 0x8cb4bbf507d027e1
.xword 0xa139ab3fa1896a71
.xword 0xc83fdd157ebbf78f
.xword 0x11fd4ffbf77badb5
.xword 0x8b8c487f3f57e571
.xword 0xb0ce5abd85aee7b1
.xword 0x3258e1f465bf0f4b
.xword 0x14d79be4072b563f
.xword 0xef23f2f15059a0e5
.xword 0x09bd371d6895bb27
.xword 0xe476eb805a2b4266
.xword 0x5a95879d70cc854f
.xword 0xed8db1129c46f9a8
.xword 0x48050b880d0917f6
.xword 0xc105ad019dff64c0
.xword 0xe35dd8cf74f20959
.xword 0x07d74a62b464ff49
.xword 0xd122b1b42dfbfdd0
.xword 0xdd96d50b18c8f3af
.xword 0xcfcb2b0bf9f48b26
.xword 0xc08e2be349938233
.xword 0x05f9b7c2fd4c133d
.xword 0xbd19532992ed371f
.xword 0xb25ebcc35489ba07
.xword 0x4ca5c520db360296
.xword 0x9ec55ebc42c0f99e
.xword 0x067676f45c66c026
.xword 0xdac984d0b583bc46
.xword 0x1ff82f47165663c3
.xword 0x79dffd64ebc084b9
.xword 0xc195500affe75f1a
.xword 0x4b2f003c9c1bb7da
.xword 0xf0246394ff6b384b
.xword 0x91c65c343ff861e8
.xword 0x54a0d5a12442978c
.xword 0x5ac7813daebc108a
.xword 0x4ccf6f71cb847e11
.xword 0x01b26ab59a97a404
.xword 0x9653fc0e2e6bd31f
.xword 0xa1bf5594360515cf
.xword 0x87ee68da8c841890
.xword 0xd4efb7ff781dcc91
.xword 0xa85dab0a17288cdf
.xword 0x21f9923ae0a53887
.xword 0x4a8e8a28375cd058
.xword 0x43f90150ed1185fe
.xword 0x2fbebb1de2126b6d
.xword 0xd9a2bddccfdcf05f
.xword 0x3ed75df92e02b48f
.xword 0xef301c492f13a063
.xword 0xba45d096b6cfe9a9
.xword 0x75e41f575b4daa85
.xword 0x4b14686d200b561d
.xword 0x0d2e5553a34a40b9
.xword 0xabfbc340a71568fe
.xword 0x79bcef4a44fbdff2
.xword 0xcda8c36bcd0690ef
.xword 0xd223f3905e62649d
.xword 0x8a1c7cd3d9cedc6b
.xword 0x90245d5b9865cbb0
.xword 0xb985a862736d4592
.xword 0xec106ca240ff4bb3
.xword 0xf3cfbf3f69107682
.xword 0xe8d08dba1fc5d59c
.xword 0xb7587eaba3f2daaa
.xword 0x31ec860509a17897
.xword 0xb6ca1551dc82bdd2
.xword 0x8f1811b9bc7f037e
.xword 0xce603d9c2bdf931f
.xword 0xbf7a8fda2e2a4c33
.xword 0x71e5857c0da76912
.xword 0x00e583037320af82
.xword 0xfc33e577414bc0a4
.xword 0xc556ec779cd18350
.xword 0x4836c3e8fbdf6533
.xword 0x7b43d021adfa4977
.xword 0xd0899efcef174566
.xword 0xabf181319d90244f
.xword 0x01f06400e72ce78c
.xword 0xe8c52466a2625460
.xword 0xd94f9b61c4c0e6fa
.xword 0x39b00b3cb3099589
.xword 0x0c8f855197cc33d4
.xword 0x2a7d01355dc701df
.xword 0x1576f4713d5669f6
.xword 0x44874a89e97e2259
.xword 0xb76a19ede6e0052f
.xword 0xf659b3ed6871fef6
.xword 0x86c2237b2bb09f2a
.xword 0x9b61735571bccb02
.xword 0xb8ecb97e2e01d3c2
.xword 0x6a75eadd2f294d1b
.xword 0x3b9b16727d610249
.xword 0x140766778dce118c
.xword 0xe8f44a556eed0417
.xword 0x1bab6cd1da56d412
.xword 0xf2ddad4d5a8d3a65
.xword 0xd528b64eb0cfdf75
.xword 0x84c62d35255f4a97
.xword 0xb6f016b2eca696b3
.xword 0x78308e48eb0d2f5f
.xword 0x393d338ec570e71c
.xword 0x090296c0308d90d5
.xword 0xda6c125310dff3bb
.xword 0x81eddec7bd68e926
.xword 0xc70be500e416371b
.xword 0x1aa263e695b9cb7e
.xword 0xf21510b16f759ed1
.xword 0x2b90ff7492ca436c
.xword 0x3adbb814cd3edb6b
.xword 0x4e6b31b89226eb34
.xword 0x58f8ae0f1f7929ad
.xword 0x842c74ec3ea40384
.xword 0x34fd4f53718885c2
.xword 0x77c768db0e0ab1d1
.xword 0xa9ef9c3349ef67b8
.xword 0x2cfbd55c032d1998
.xword 0x95c1e8502724d091
.xword 0x871fbb4007110f47
.xword 0x2991c1c271a13947
.xword 0x885d9b8bc9501ff3
.xword 0xfd376e40a3fc6c8c
.xword 0x29733150087eb318
.xword 0x3ba7afadb70eff6a
.xword 0x918a357fe3028af0
.xword 0x37e2facf62801f27
.xword 0x9f2893cc69578d0a
.xword 0x5f9f6a51d3503cf4
.xword 0x9985a71c57d11061
.xword 0xec897a4c9f894d5a
.xword 0xe63d4ffa487938f5
.xword 0x4549b33378ba81d0
.xword 0x2282c03412f686dc
.xword 0x61a34d5723de16ee
.xword 0x9b02a2fdbf5c789f
.xword 0xad46186c7a9b135c
.xword 0xe158121e560eb6d9
_t1_hmac_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_auth_key:
.xword 0x7a59f4b66092a548
.xword 0x9a7e5326e92236d6
.xword 0x46bd3ed4b5b23130
.xword 0x0273304a5333e8bb
.xword 0x6f3644dc2b185430
.xword 0xa29485ff6a0989d9
.xword 0x7fcd04bae75ac5cf
.xword 0xc3dbd2ea89be7360
.xword 0xd68cc0a5d29d22a1
.xword 0x2aab96c21bc202dc
.xword 0xf38d795ad82dc302
.xword 0x3553d3d7dfcd0ded
.xword 0x1308e259da6aea01
.xword 0x6ecc0522a3845b8e
.xword 0x7eb9a393bf55bbc6
.xword 0x360152d600f6f98e
.xword 0x05920092de882824
.xword 0xbf4a974c238a4457
.xword 0x772be367a730acfc
.xword 0xc2ad5b3036ed7c2e
.xword 0xae3ba52bcd109bb2
.xword 0xec730ddf5e32417f
.xword 0x5d7b537b75597d0c
_t1_hmac_auth_iv:
.xword 0x7012b6ca5516e6ea
.xword 0x343868e1a0fd096c
.xword 0xfecf6115c0b1a025
.xword 0xa264434a6cd6b8da
.xword 0x2d9a0202a5bb578c
.xword 0x3df8ab07af1622d4
.xword 0x2740a1523a66c841
.xword 0x74ea89e3a33a0637
.xword 0x855c87bfa9ab5156
.xword 0x074dcea20b480f26
.xword 0xccb34ef3d4ba107f
.xword 0x034934f26c3a8b9f
.xword 0xe7ef32b84d5eaa47
.xword 0xce124d2f64af2d20
.xword 0x826c278b946710c9
.xword 0xe93c630254c42fdb
.xword 0xad2a2a2233bb9ebd
.xword 0xf33006d314c6bbc1
.xword 0x52b48d36ca4ae4ba
.xword 0xcb6735e6c4f9284e
.xword 0xf89a604067ad4456
.xword 0x15784361597b658c
.xword 0xca7548e4127a46fe
_t1_hmac_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_key_array:
.xword 0x39d5b87278e0b30f
.xword 0xfcfbb6d1d6df9bc0
.xword 0x0056d2febb200a4a
.xword 0xd635ffd46250177e
.xword 0xcd2675156f944ed5
.xword 0x86517dc695de0e0d
.xword 0x5635776321516291
.xword 0x9df1efc6bb6bd89d
.xword 0x86f6e7ca0bedd2ef
.xword 0x3a70521f1e0b5920
.xword 0x05f6c3d04a163d47
.xword 0xb072b6323b7c47b5
.xword 0x5fa206b7bcdb8e93
.xword 0xfba3939dc7191a65
.xword 0x75df787257f64d16
.xword 0xd48a9fa7e08ae44a
.xword 0x39d8363ffaf9db6b
.xword 0x05a1b3ff5953082e
.xword 0xe767ee6f088f216c
.xword 0xb3d516be7f0cdba8
.xword 0x86a4990ebd0a7609
.xword 0xbfff6bdd6712c555
.xword 0x401fe2464772f2a6
.xword 0x98446b3f05030e70
.xword 0x7e4ff855728d1937
.xword 0xcb0386eb949dccdf
.xword 0x9dc969ebcfdba64d
.xword 0xddabbc720457e675
.xword 0x1ed6faba7d59ca40
.xword 0x6db1b12d47b647a4
.xword 0x94161acdbb52f9e7
.xword 0x18748891961a1031
.xword 0x9a92cc42cdbb35ed
.xword 0x45ccfef42e2ef309
.xword 0x7232d27bc8aabf24
.xword 0xf222e9ec37768a5e
.xword 0x221be5216fdb220d
.xword 0x940317136e248b66
.xword 0x3ebb6bbbd1878298
.xword 0xd9570b2fff2410f8
.xword 0x3acdc8c877ff044e
.xword 0x76548feaea39dca5
.xword 0x17560b99b4e34415
.xword 0x2a6a93321254bbfe
.xword 0x33d3b68a0b32630d
.xword 0xd655e4846eab1ffd
.xword 0xf16d2c6aa56a7471
.xword 0x4dcdbf148dbfb5eb
.xword 0xa04f13f103de0108
.xword 0x6135927c8e5aadc6
.xword 0x820bb563fc6be483
_t1_rc4_iv_array:
.xword 0xd2ec64176d53abd3
.xword 0x4b79f3978dbc1630
.xword 0xe37738904037e75e
.xword 0x7db8841034c26b99
.xword 0x562df87acf46fcdd
.xword 0x83d1ab3c31532c9a
.xword 0xc43d5d2c770f9f2f
.xword 0x3dbd34f56bdd5cd5
.xword 0x524f6d4c5e04aa2a
.xword 0x9e777db6f5b7360d
.xword 0x804f415793f5ad06
.xword 0x158bc186798edd7b
.xword 0x521cdcd423e9c6cd
.xword 0xc2ece8c42bdec3f5
.xword 0xcfad0e2a9c7b7445
.xword 0x257324d5e3056e97
.xword 0xfacc2aa96460d2bb
.xword 0x4878546a3397ac44
.xword 0xdd4e8a07a049af80
.xword 0xb818632bac021000
.xword 0xd2fb93229dfd59b5
.xword 0x1717156132e5cfb6
.xword 0x5e5f746d2612ce78
.xword 0x4ba62861e93eca0b
.xword 0x33767d79d3c60a84
.xword 0xc729575669566f3e
.xword 0x141d21bf91c57c5f
.xword 0x10d8f9089e7d2fd0
.xword 0x3b09183c65db360e
.xword 0x69fd4c605531415c
.xword 0x771bdba2b59eb80e
.xword 0xa0e11a3f26c82187
.xword 0x5a3a91c58d091f89
.xword 0xbccd41b3a9125681
.xword 0x30285b05eb25a8f1
.xword 0xa1551e1840a4b568
.xword 0x0e9f4d2e5d26102c
.xword 0xbb105f1787b7b84a
.xword 0xac82b96148b83176
.xword 0x2fc626ec77bd0b08
.xword 0x5715b054ddbf5c1d
.xword 0x4ac38c5cf1ae2573
.xword 0x9db958a959510550
.xword 0xb82e62d8d90a4931
.xword 0xe81977904fb9c9d0
_t1_rc4_alignment_array:
.xword 10
.xword 6
.xword 5
.xword 1
.xword 8
.xword 13
.xword 15
.xword 13
.xword 8
.xword 0
.xword 14
.xword 13
.xword 2
.xword 12
.xword 11
.xword 1
.xword 2
.xword 4
.xword 9
.xword 11
.xword 6
.xword 0
.xword 7
.xword 4
.xword 6
.xword 9
.xword 15
.xword 0
.xword 13
.xword 3
.xword 0
.xword 13
.xword 10
.xword 10
.xword 12
.xword 15
.xword 12
.xword 0
.xword 12
.xword 5
.xword 10
.xword 7
.xword 15
.xword 2
.xword 6
.xword 4
.xword 4
.xword 13
.xword 8
.xword 9
.xword 9
.xword 1
.xword 15
.xword 0
.xword 14
.xword 9
.xword 9
.xword 6
.xword 14
.xword 1
.xword 14
.xword 8
.xword 7
.xword 7
.xword 6
.xword 13
.xword 0
.xword 5
.xword 9
.xword 3
.xword 14
.xword 5
.xword 7
.xword 1
.xword 11
.xword 5
.xword 11
.xword 4
.xword 14
.xword 10
.xword 9
.xword 10
.xword 4
.xword 2
.xword 0
.xword 10
.xword 11
.xword 0
.xword 15
.xword 2
.xword 15
.xword 12
.xword 7
.xword 2
.xword 13
.xword 0
.xword 12
.xword 14
.xword 3
.xword 14
.xword 9
.xword 5
.xword 15
.xword 1
.xword 4
_t1_rc4_src:
.xword 0x27f25992074f9e97
.xword 0x485f6416bb4093f0
.xword 0x0b8fc6606432e7b0
.xword 0xeb66d4a0ecb5a15b
.xword 0xc81f707b97564753
.xword 0x57ca60220f8e0663
.xword 0x0fbca542900d43d7
.xword 0x3658ea5ead4c0b3f
.xword 0x9c8f51b4d167a889
.xword 0xc046c67b6d468eac
.xword 0x33b3a7de89c2efad
.xword 0xf736867eb35f8bca
.xword 0xcc15aa6eec995486
.xword 0x9e81a91fa237ee45
.xword 0xeb8ae263252359ab
.xword 0xf6b0185560418ccb
.xword 0xe4337e85a890c746
.xword 0x2ff2a9e7b6aed77e
.xword 0x193f94ab34fadb7c
.xword 0x681fa840a15e979b
.xword 0x5f9897fc1647b154
.xword 0xd7fc4c8473aa3642
.xword 0xa297624825649f73
.xword 0x1b9f97f409469ec9
.xword 0x058da3ae16ada6f2
.xword 0x95bb430157664d23
.xword 0xa355c4ccde605ea3
.xword 0x5e2ec1bb0a69e436
.xword 0x4028822c549e98ba
.xword 0x2ea18d10c30772e3
.xword 0xa4c6be24d8359916
.xword 0x2ad17d0a122b9e6d
.xword 0xfb401a7ad0eef335
.xword 0xeb7e6d1ff350afcb
.xword 0x72c6fc1257ffc0e1
.xword 0xaf562fc978c3a206
.xword 0xb9502d02dd4aedd8
.xword 0xf63aab934326491b
.xword 0x93d8abfca8f3f9ab
.xword 0x3105f9b17e115a2b
.xword 0xaf462fb0d0ca29cc
.xword 0x69eecab28eaac510
.xword 0xba2ca4c233e51804
.xword 0x72ded1689a990ea7
.xword 0x824ee2f302ef3b38
.xword 0x5c192731e4606795
.xword 0xca0ec2fb3b5a38c7
.xword 0xf672c93acb63788a
.xword 0x4e455c4e01c1740f
.xword 0x42272bb1f640ea37
.xword 0x6d687829d8c88562
.xword 0x8ea5803d1e888879
.xword 0x158e6e3849d1bd88
.xword 0xdcc39892fac09cc9
.xword 0x189fc30d960c1a9c
.xword 0x63347dc4850ad6e0
.xword 0xdf6edae68959bef7
.xword 0x6a2e241cac26e9ce
.xword 0xf871c56569b3259e
.xword 0x36bc963ff750be2c
.xword 0x727f6e9ab3fd9c26
.xword 0x37a3fcdf4004df61
.xword 0xa7676795e0132142
.xword 0x59dc92ed2da6eb6e
.xword 0x5b80fa0d3bc926fa
.xword 0x948c8ee91af5b018
.xword 0x6ed0b0e19d8bc74d
.xword 0x2eb02b9c0e3596fd
.xword 0xc975f150db58f694
.xword 0x13ccf57d1ead7d52
.xword 0xc20efb66bcad2053
.xword 0x0506ee4934a3fbcd
.xword 0xcb33652816f9ee99
.xword 0x086cf1a6b46d7f1f
.xword 0xdc1a354b9abf52a9
.xword 0xb69ae1fa99222c57
.xword 0x7c9917017f2bb4ad
.xword 0x40d043065ce48316
.xword 0xe33df9c1d73791f2
.xword 0x17ca780cd4a6f7a0
.xword 0x369bc51cfd0206e7
.xword 0x4f5070ccd7d69216
.xword 0x2d2c25a2ed36274d
.xword 0x28d78e7b5e1dddab
.xword 0xc833643f4fed3ca5
.xword 0xd11a99f828c891c0
.xword 0x452d5b477f4d5cc5
.xword 0x26aec9d743743d5d
.xword 0x587d058a710827c1
.xword 0x6a4c9080c69fbfbf
.xword 0x415a48edc08feb09
.xword 0x5648b5fc7148b747
.xword 0x78f05023828edc1d
.xword 0xc558b960281923fb
.xword 0x4695545a14a56b67
.xword 0x395784b7a27465c7
.xword 0xcf3e08b6fac5d523
.xword 0xd1e542b4f755c823
.xword 0x69ec3310350d800d
.xword 0xb194488931730ac1
.xword 0x8f3d9e47bf8d7540
.xword 0x618272197fa2fcba
.xword 0xb320cd0ff0d36362
.xword 0x36d7b84d90835400
.xword 0x8f9ad082c593c2a8
.xword 0x6541213075860680
.xword 0xdff956c8036eff7c
.xword 0xed6ea6e596b18534
.xword 0xe306a8d45d54c312
.xword 0x8365304732826f8f
.xword 0x08228bd7f43c8a07
.xword 0x40e27e40bc7e27ed
.xword 0xd9a63aab2bedf359
.xword 0x50258de6c3f76f86
.xword 0x87a8a3ca0dc9474e
.xword 0x405e9284a3234da3
.xword 0xc74e57f5fbfa5a3b
.xword 0xd5fb135c830fac25
.xword 0xa10e3222e725e91a
.xword 0x10f424a3b5a1c721
.xword 0x8028e9b5d36f305c
.xword 0x85491f7fb3b4b474
.xword 0xbe457b2224c21b71
.xword 0x4183c92eb897dcd4
.xword 0x6c1050703629f358
.xword 0xa3d57724966413ba
.xword 0x0643e1e8cc344ddc
.xword 0x962303df6f4a0505
.xword 0xcb2691ffc24bf7f3
.xword 0x24dc1168a1843735
.xword 0x796c7b64377ce2ff
.xword 0x3a4c176cee00a457
.xword 0xcf4989f509c562ab
.xword 0xf5765e7d81bb85c1
.xword 0x743a0fc30ae16262
.xword 0x3979f37e4eebf128
.xword 0x1b2a754f30ea1309
.xword 0x6ec2dc294acbc141
.xword 0x00a78152722be723
.xword 0xa5ddecfc1f2de4bd
.xword 0x13cd336923018a71
.xword 0x2de899de19edad43
.xword 0x3c7a325c6df38ec5
.xword 0xa6e202631fff36b5
.xword 0x9e3acadd0842d733
.xword 0x5ce6b5d02ce8820b
.xword 0x48d5ba0446cc3461
.xword 0x73b762c19683874d
.xword 0x73f2ad77489c481a
.xword 0xc976e01ee14b7e48
.xword 0x1aa4b91824bae4c9
.xword 0xf80c5d3eebb963af
.xword 0xfec063e4a80a476c
.xword 0x088fd47953d68fdc
.xword 0x5e8e160b9373c96a
.xword 0x3e5cadb04a05263f
.xword 0xb961dc4300b1c638
.xword 0x2d5a02bda7a4fcc9
.xword 0x67dee24a598c9624
.xword 0xa8f90c01bcaa56cc
.xword 0x639c6c55548565fb
.xword 0x056560c0e68afc9d
.xword 0x8cfba5346d3a7ab9
.xword 0x7881c409247ff187
.xword 0x4a95869df2421ecc
.xword 0xcb18ec84c32caafc
.xword 0x85dfbff129905b4b
.xword 0xd1ff0dd9d9dcffe6
.xword 0xa010e8ca6e1cacc7
.xword 0x49d7a64da6ee38de
.xword 0x70936fa146b58446
.xword 0xbb3f20ecbe49930d
.xword 0x33f1d2b815381056
.xword 0xcc51584074e63723
.xword 0xb7adcd0f24a72f72
.xword 0xf681bc1443231315
.xword 0xa06ad9dfa4892b98
.xword 0xd795d101da81330e
.xword 0x8cb97141c60e0c60
.xword 0x08a2a63a00e107ac
.xword 0x57410a938c12faed
.xword 0xeb6806a2a1438340
.xword 0xfe9689da63936c0e
.xword 0x587c3f3967904b9b
.xword 0xa410befb5698db68
.xword 0xbab4e66818dd013b
.xword 0x37732d0a32af9f5d
.xword 0xf2d12cf6031399f6
.xword 0xbc95329fcf78cad0
.xword 0x606eeed4ddc93647
.xword 0xda5fb9a6587a3800
.xword 0x88523b9a878c553a
.xword 0x54021b318cb21511
.xword 0xda55a81bebf3b490
.xword 0x8d9d57f01c4c4558
.xword 0x35cc0f27031d4559
.xword 0xeb1f40e6158879b2
.xword 0xf06df2aadfb175a3
.xword 0xe63c722919c7875f
.xword 0x2fe8b3d5edeae2ed
.xword 0x06f3b0dce5758da6
.xword 0x5d1638361bb954c5
.xword 0x115eaf2d6585ba08
.xword 0x4b65999b0e7f5700
.xword 0x20c373cd4ec9b422
.xword 0x99099c9d58fdb55e
.xword 0x833af12395f4fae9
.xword 0xccf44130c7fc51d8
.xword 0x7de5980c94210876
.xword 0xe5398a71ac4675e8
.xword 0xf6770f199a7210a4
.xword 0x4841f570f6fb889e
.xword 0xe2a8375a6f7d5c20
.xword 0x002b64703a149590
.xword 0xa19fc06d91743ef1
.xword 0x231537cc6b57b096
.xword 0xf9bb93fe896c6aae
.xword 0x3f5c66184b830e2d
.xword 0xd38592f6a95cac66
.xword 0x7eed983fcdf5d95c
.xword 0xd72c3f38c3fb3399
.xword 0x0be48de5b8bd0700
.xword 0xd8ada34873b0a92a
.xword 0xd1709d55ffed27dc
.xword 0x1131a509f68de64f
.xword 0xc21ccbfefc32e0c2
.xword 0x8ca86025bcdb09ce
.xword 0xa0128aeacb19d7e0
.xword 0x5e37648826b392ba
.xword 0x72b1bad19176dcc8
.xword 0xaf3e50a54c2bffaf
.xword 0xf5afb6d0d7066d6b
.xword 0x154cb3e21cb799c4
.xword 0x6d660bcdb600a605
.xword 0x6465144a50df1cf2
.xword 0x609fbb977a9db05f
.xword 0x31daaa6bdd774045
.xword 0x94d1499a73fb1d60
.xword 0xae56f92fd88c199e
.xword 0x4836eb6f68f60d02
.xword 0x8653f486986fa4f6
.xword 0xcbf1848482fe7631
.xword 0xda051de89ee45233
.xword 0x3e5a159cc218cc2b
.xword 0x2eec247793ce31d3
.xword 0xaf9b62eab8278a95
.xword 0x9c8ecb8a78966986
.xword 0x66304e04209b4fa1
.xword 0x2f3db8e11a00f74a
.xword 0x06e0a13672c3825b
.xword 0x09ef66577656d6b6
.xword 0x857596c0859fd96b
.xword 0x8d14fcfe23551bca
.xword 0xfe8a140a4a0ebc75
.xword 0x453713e667ffa1d7
.xword 0x2e86bd9ceea4ea47
.xword 0x8bbf7cf9db4f3f95
.xword 0x90a578638323c2dc
.xword 0x834c8cd8d938529d
.xword 0xaa0dbe98c510636c
.xword 0xacf09a458486f5df
.xword 0xb08146505425622c
.xword 0x96fecc06ed885808
.xword 0x95c17a5a2d5c1f91
.xword 0x08de3d298987e35a
.xword 0x86035016ab06705c
.xword 0x5f55dab260a1b085
.xword 0x51305d69ff60e93a
.xword 0xaddf042fb660e201
.xword 0x8f5c47428c558487
.xword 0xb911646507565f1a
.xword 0xb2b0edb867ec83be
.xword 0xd266dc4d72c32038
.xword 0xe55d7c43ea107623
.xword 0xb074a1adfd30a26c
_t1_rc4_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_auth_key:
.xword 0x81dc6f58b44ebf47
.xword 0xf8362f1eeb78b27c
.xword 0x2f462d8f19e2675a
.xword 0x6e4ab60e892389b8
.xword 0x94bf93823f47c60c
.xword 0xd241da1a351f82be
.xword 0x7d4dc179ea3f0784
.xword 0xc172544b74d39664
.xword 0x89ba6286cd02db74
.xword 0x4a47939c6bf24134
.xword 0xd64508bf599627f3
.xword 0x078b1d4caa5eb8c9
.xword 0x1c38d32af90d7c70
.xword 0x9280e305b804fb0f
.xword 0x48a057452a12deeb
.xword 0x5ff2d619e1d36be9
.xword 0xaffdb8e356e6c9e9
.xword 0x5fd59d2f1dce7323
.xword 0x882edaf690f10e7e
.xword 0x0938b53dfe706a61
.xword 0x3eea461292393b1f
.xword 0x788a950dd5df9f44
.xword 0xe0cb075859a3426d
_t1_rc4_auth_iv:
.xword 0x5a92d33ea4cc4e7e
.xword 0xc0e1cd9c8b222326
.xword 0xfe0db7b022fe9a63
.xword 0xa48eea1a882fa676
.xword 0x1d4d5245c0c324e0
.xword 0xf57daa548d74ea5f
.xword 0x1b00d9d67a07697f
.xword 0x19997988eaf470f9
.xword 0x83598e1d19de8204
.xword 0xb28d274eb901db59
.xword 0x7f9cf1d696dd55ad
.xword 0xfb2d9067401c9d74
.xword 0xc161c2b6e0aadbda
.xword 0xaa4c347bba40b449
.xword 0x26a8231d470a4195
.xword 0x0f0582a68b2d5b38
.xword 0xe7e02056477e0504
.xword 0x462527b3212c717d
.xword 0xbda4f667bd381efc
.xword 0xdee9ec2a9e4ed79d
.xword 0x0cd26d3a0d1a44d0
.xword 0xac463a0c26276957
.xword 0xc2ed6483eaa92f3f
_t1_rc4_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_key_array:
.xword 0x8c8581894b8ba06e
.xword 0x87f02c08065c8e75
.xword 0x385556ae7a620c82
.xword 0x8fdb245771d890d5
.xword 0x6f977afdcb60a0ca
.xword 0x889815ac1dd88451
.xword 0x8b6d1fb34fefdfb0
.xword 0xb39c693230cf8548
.xword 0x784d2da012282081
.xword 0xef0eba8b1ddfe549
.xword 0x3573398ac9b61cf3
.xword 0x8315e26acc56ce38
.xword 0x9549f4970b6d4141
.xword 0x818bbad00a311bbb
.xword 0xbd5a67d5bee9b827
.xword 0x0d0b4c4c1c7463e3
.xword 0xd67fcd59050f20a6
.xword 0xda0c6a799bb20995
.xword 0x1d8cdcc7ff771496
.xword 0x9b0163168976b8cd
.xword 0x521ad50ced73ef45
.xword 0xf6bd11ef4ca50741
.xword 0x84d1221f8b92e4cb
.xword 0x655460dcf6cbae72
.xword 0x2f7bcc3c3465fb3b
.xword 0xaa461483c3e44c39
.xword 0x5b0f20bd760082dc
.xword 0xf747cc41526860b9
.xword 0xd9c8e3495c2f8919
.xword 0x12daca438ef78499
.xword 0x75ee9243c0261d8b
.xword 0xcfb1b91fe5ab1f60
.xword 0xc637ad3e2b28cce5
.xword 0xcf31fe55f38d65ca
.xword 0xa38f290823ea19e0
.xword 0x8456aeb2ed84ca3a
.xword 0x61d157bb9debb820
.xword 0x79ca6f5846c3ac1f
.xword 0x41dc24a3399b0033
.xword 0x31b3edda067027a9
.xword 0xfb58ffdd9d26c507
.xword 0x60bfc463cd06fd27
.xword 0xfce51f9c007653b6
.xword 0xee61089cdb2a515e
.xword 0x0cf0f5c394e069e2
.xword 0xb8f3d90b09d82497
.xword 0x6b5083f7f6a83f65
.xword 0xc9771fa945b9f9e5
.xword 0x6eceebe7aa8382b0
.xword 0xea18024046c88fcc
.xword 0xa51f3bd34f9c1172
_t1_sslkey_iv_array:
.xword 0xc5ec4a2f008f4422
.xword 0x384a3acce8b30452
.xword 0xfbd2cda70c5fadd4
.xword 0xd3d21592917d3b17
.xword 0x30d09548f5f33a5a
.xword 0x64c9ecbd5ae1c5cb
.xword 0x9bd1a01142a5b9b7
.xword 0x181f148afb6adcba
.xword 0xd7970c975ebcab64
.xword 0x4b49491b0d932e1d
.xword 0xb3ceda24737a7d4c
.xword 0x2335297ad2118f1e
.xword 0x6d7a13d0a1803fc0
.xword 0x60b2ab416f72b447
.xword 0x8bd0527c10dd6dce
.xword 0x98055a12593551bd
.xword 0x570ea469fbef52fd
.xword 0x642af1713ebe15ba
.xword 0x49c0511792880eb8
.xword 0xb336944df5ba2da5
.xword 0x583f715a58e8de1e
.xword 0x1abee16ee65d4e8c
.xword 0x170f23673317ed28
.xword 0xbad71b3b8f8bbf65
.xword 0xe16610cb883216c3
.xword 0xd7726a8aedb252b0
.xword 0xb6a6b0c4de3b33fa
.xword 0x3f9033d2b8150559
.xword 0x068b6e16eb0132c8
.xword 0xa03a642a179956a0
.xword 0x1db695af85b03be7
.xword 0x1093da697f28a14c
.xword 0xf925bd5a0ea10d6b
.xword 0xda26572cdb8d8441
.xword 0xdd4289302f7475d0
.xword 0xcc9434b01f641d5f
.xword 0xc23fde56116dd6fd
.xword 0x6f660cdff3fdb04c
.xword 0xe05c5d9d8c3b7d20
.xword 0x55b4f836a075c516
.xword 0x530cc7f5a7b07e13
.xword 0x18418e0573252dd4
.xword 0x5fd8e70c1c016fb5
.xword 0x91c016e84845c335
.xword 0x1db6838bc0ed0944
_t1_sslkey_alignment_array:
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
_t1_sslkey_src:
.xword 0xa4e6ce1c15c63916
.xword 0x6087ce1c57ce3e8c
.xword 0xb4d8acc026e6a037
.xword 0xc19788d3c5411ff8
.xword 0x0958dcbe3021eea2
.xword 0xb0faa1e1c7045d6e
.xword 0xa9ce858736e66fa1
.xword 0xcce17e21686e3971
.xword 0xdddae30b30a166f9
.xword 0x4d6ddb27a81ac648
.xword 0x1269210149b76404
.xword 0x7c8fc15a44f94d0b
.xword 0x9a2d1df367874dd0
.xword 0x869b86a59c3d4eb5
.xword 0xb4d84cb64f0fb8c2
.xword 0xfdc95adf5d7a22d2
.xword 0x09318371c2797f9d
.xword 0x5b1a04157ee37c4c
.xword 0xe06e8d5dfe229d83
.xword 0x0a5979e12dffb1cb
.xword 0xc90aa906cee03320
.xword 0xdc17d338f6eb4057
.xword 0x6e174cfb2185f31e
.xword 0x726c7972fe86b893
.xword 0x00749d0c33fdfa91
.xword 0x2b14b90669347edd
.xword 0xd8f0df8f0500fa5a
.xword 0xf901fc0e154390ae
.xword 0x368340ff23b64d32
.xword 0xeb362b644912504a
.xword 0x8d32478626c18ab5
.xword 0xfeae76d50866e883
.xword 0xf92d0af2a79ace90
.xword 0x7945ead73bcffefd
.xword 0xc0fd8d28b44b6859
.xword 0xb10bbcbd0f6e7ca1
.xword 0x26f0f8c89980c4fd
.xword 0x5316cecad1c546e2
.xword 0x4dde1314260482e6
.xword 0x0e63627f5c419241
.xword 0xb830d4c03d52c22d
.xword 0x90249d83a7178736
.xword 0x37f29e2922a28ce5
.xword 0x8477daf22ea2b1cd
.xword 0x1caac24a06214c78
.xword 0x7880a3cdbb5b985e
.xword 0xf8b9bde0c4efcb5b
.xword 0x2883b305efa5ada4
.xword 0xe592d77bfb05a8da
.xword 0x3e68032963a28b9a
.xword 0xc62a005567bacc5f
.xword 0x6af9502cc6f20e69
.xword 0x0fd1737478328048
.xword 0x348385f843cd8368
.xword 0x9054837103ea6300
.xword 0xc28a5d79046fe19b
.xword 0x8319b45db385860f
.xword 0x8aff2e94b450c402
.xword 0x9fed5f56a7f9a7b7
.xword 0x862f0a0f7296d934
.xword 0xbaefcab46de97e63
.xword 0x9de803565a8509ef
.xword 0xae723c3192d6d4d6
.xword 0x47e57437fb45b3d9
.xword 0x97616da050a17238
.xword 0x0e51ae4009db3cac
.xword 0xe071abf9251eef42
.xword 0x5f147cfbc5491df4
.xword 0xaf430a3b6243ff56
.xword 0x793ce999b91c06e2
.xword 0x00495cb7c434b11e
.xword 0x78260703b478f0f3
.xword 0xefe0f4a050fb06da
.xword 0xd9c6cf6c164334c7
.xword 0xc9e44f0eccdb2180
.xword 0x7121ad9acce33e9a
.xword 0xbe67bbfc0cb79e2e
.xword 0x2693ab3d817ae5ee
.xword 0xf7bbccf0dd956a8b
.xword 0xaf3a2e61c2eb367a
.xword 0x82564481ec78d572
.xword 0x3cc75a5920e351f8
.xword 0xd5232d7867cee52b
.xword 0xdf8aefe9bcad4736
.xword 0x2993e514180d54d8
.xword 0xd6807b19fdc4c356
.xword 0x8d1a4bee518617fa
.xword 0x0e7f733a044467e2
.xword 0x674013ea42229d56
.xword 0xec521048d5aa9237
.xword 0x4ff014c35ed8471b
.xword 0xc2987944cfce9c31
.xword 0xb24449a503bee39a
.xword 0x5636c8116682c061
.xword 0xd8eab030ab09d3c3
.xword 0xcc8e9aa104a2531d
.xword 0xf781095a0d1e9bdc
.xword 0xe5f03a68752baa79
.xword 0x3eb6bf5707345cab
.xword 0xc123debe255c2616
.xword 0xf98df2749c432876
.xword 0x417aac7fd53e4212
.xword 0x9026863fb8b93f03
.xword 0x569c48fbfbbb80a2
.xword 0x7cc96f9d49daff50
.xword 0x5ad69f5f80821976
.xword 0x749f3a7049c295e5
.xword 0x90196f8cbd6a9ca3
.xword 0x9ed36c0c4f18ce6f
.xword 0x27386ac29e2ede73
.xword 0xdb222325feec25f7
.xword 0xcc1783f5636c05a2
.xword 0xdb4bf9407e31f9e1
.xword 0x402e88a0deb55d40
.xword 0xb06e7fb8d5325a1a
.xword 0xa1efe3bb41b258c9
.xword 0x3611583d9fc0179f
.xword 0x198280c050dbfea6
.xword 0x70209be9016f637e
.xword 0x73accbbaf6780ff5
.xword 0xfafa94c26494a445
.xword 0x45de8e2987972508
.xword 0x7fc3d75f201b66dc
.xword 0xdab2627e91590cb0
.xword 0x0adf51e1305a2e8b
.xword 0x45e56350d27f5d4e
.xword 0x21548623d549ad83
.xword 0x9ca5170329aeb279
.xword 0x0f1c54318a220b0a
.xword 0xae82d3c701bef0be
.xword 0xf31c6f116f364712
.xword 0x7ed543340b39cafd
.xword 0x809044863ba4435e
.xword 0x5a0a6da0415c8660
.xword 0x0b461306587f656a
.xword 0x656b652b9101d50f
.xword 0x443452ccf32a02db
.xword 0xd3c2f097ae9a1f4d
.xword 0xbb6e115ff847b3e4
.xword 0xdc39a8d70b9712a0
.xword 0xc6cf496396a4c1d0
.xword 0xcf9e42e61a411d9a
.xword 0x79ff8e3b82d67718
.xword 0xb85c913403fcb1ce
.xword 0x5c216f50a6306b87
.xword 0x37d8e187da121fb0
.xword 0x18921f73627b2947
.xword 0x4c4117d9505a68b3
.xword 0x20e081f1db32e457
.xword 0x5de1b138815ec68d
.xword 0x1d89a7be3f4f5e20
.xword 0x53c6270919b78297
.xword 0x16d5f8115e30da3f
.xword 0x879d4fadc509181d
.xword 0xd3c1dd42f26ebb8b
.xword 0x3d4c8a10ae6fa360
.xword 0x86f10874bebf9fbf
.xword 0x1ab88117828290b2
.xword 0x07fbed81d4602880
.xword 0x6eb4527c88f337e3
.xword 0xbc7d7885dfca10a1
.xword 0x42c7be293672cae4
.xword 0x63651f48f71650fb
.xword 0xa9141bbfa59c2a22
.xword 0x4a2bc8a200305a4a
.xword 0x954745ad6751ecd9
.xword 0xaf64a4d0ceff3dc5
.xword 0xb9699ec2b540d887
.xword 0xc0aa462673b387b7
.xword 0x9620b80f48ce24dd
.xword 0x6c935e5cecc6b7d7
.xword 0xc421f8d1dbcea02b
.xword 0x2f4979b582fe3316
.xword 0x3072ef66cb005d37
.xword 0x9662c1b325385f5b
.xword 0x3f3b9616c4935aa1
.xword 0x41340c26c5af18be
.xword 0xae66b4789f9a6551
.xword 0x83983b7a713d4315
.xword 0x889f2be821db4937
.xword 0x9412e06828687aa1
.xword 0xbb17d357bbd9553c
.xword 0xaaffb58ff851d1b5
.xword 0x1025dae2983a7a42
.xword 0x12720678693431b7
.xword 0x25345903878c4200
.xword 0x5275141b8f232210
.xword 0xb49c3bf95104960b
.xword 0x2e4fac10b1b01822
.xword 0xfb25cb1e9c9bfac2
.xword 0xd4a04f99c0b9452c
.xword 0x4eaf0a43072f5209
.xword 0xa855e25932a0ff6e
.xword 0xfef60de102208b2c
.xword 0xa3a8350c9cdd4270
.xword 0x56dd65344f133261
.xword 0x2c9592dda1e7d234
.xword 0xe1b9f78c64ff3a77
.xword 0x934db383e80b20d2
.xword 0x4eaefb9efb25cc63
.xword 0x4beafafe8b4812f6
.xword 0xbc2635067cf20c33
.xword 0x9686fe7c83533799
.xword 0xd10bfa6feb13e87e
.xword 0x21320949846309d2
.xword 0x781e8285ddaa0dad
.xword 0x14ba2d2afa2465e6
.xword 0x6087e42442e42460
.xword 0x5f03a8cdefc3ae33
.xword 0x23f323fd1e8f097b
.xword 0x1c40946b0d2fbc7a
.xword 0xc704aa935f0429d0
.xword 0x5ae5243408179286
.xword 0xbcf4f10810311d43
.xword 0x5337797dc2d0bc1e
.xword 0x75ff9146ee42a8bb
.xword 0x335d9ccf84ae28f7
.xword 0x2220a16c4b9660e1
.xword 0x481a13965cba7321
.xword 0xde18d0a49050a538
.xword 0xfce02e229ef02ff6
.xword 0xbb62dfb17b56f3f6
.xword 0x6b4e9ecafbc9156d
.xword 0xd35633b6a6524e7b
.xword 0x27dbc965dbeac4e0
.xword 0x0e8a2982e0072360
.xword 0xb731d8b3efde9969
.xword 0xfd409eb9fa5a0fe1
.xword 0xba74c76264c82bdc
.xword 0x88c7ecd868780563
.xword 0x15c3021f64073c8f
.xword 0x30c08bd2e688ceda
.xword 0x9fafa00547a14a59
.xword 0xc7ab42f9d3b31cd4
.xword 0x5401e4dc02e8275f
.xword 0x298fa8c31bb6e952
.xword 0xa4e47945d051584a
.xword 0x4722f637220e91de
.xword 0xd447f8ed85483c57
.xword 0x80fea7fe5ff0a9bc
.xword 0x65006d3d0a592230
.xword 0x08d0dbf72aa4ca14
.xword 0xff1ae3d992a7a815
.xword 0x57298320405a29cc
.xword 0xb066c34ce6bb1195
.xword 0xc7c76fdf44a58c6c
.xword 0xd1b784f8e5887d2b
.xword 0x4fda33c6f6e4e020
.xword 0x0a6ede87805474a7
.xword 0xb2d25db3474a0042
.xword 0xc34fc0e912a8d13f
.xword 0x16790a691042d6a4
.xword 0xeb99c9cb5ec82a19
.xword 0x0bafbb18bbef3886
.xword 0x95f09f1642bd8292
.xword 0x62af76c529a5b630
.xword 0xef0171ff076238f4
.xword 0xa0e446ca34c103ec
.xword 0x2b10c3fde08e5cd7
.xword 0x9aec305d18a61aa0
.xword 0x2411a9950be00df3
.xword 0xbeb1140b4c4dc57d
.xword 0xf93a72eba58c9554
.xword 0x88bb7024063c4a9c
.xword 0xbf29f7733857bf23
.xword 0x69c4f69e76419c5a
.xword 0x77e04ad7a1526b42
.xword 0xddf0423689ece36a
.xword 0xed581e5c6b765b9c
.xword 0x7ab69e676be26f5c
.xword 0x905e01a1a95aca9f
.xword 0x4393b7c59d00849d
.xword 0x17a348ad318efdfc
.xword 0xdc7b6af24e2e0662
.xword 0x9fbaba35563c5ddd
_t1_sslkey_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_auth_key:
.xword 0x2e6593a96d6ed87e
.xword 0xcaa080ef7e2a9f20
.xword 0x42c0cbe4f4590aa2
.xword 0x58a2b0e5fd62af34
.xword 0x8b34c7c1b27098a9
.xword 0xa6a7b1110b4374db
.xword 0x225edf3b14d58874
.xword 0xd8bcc99bde5e9f3c
.xword 0xf9f831bf0dcde99a
.xword 0x8b6a1958e35845df
.xword 0x68b61a2d1a04e25f
.xword 0x0a8e6ae503261838
.xword 0xb4c1a041d7183c98
.xword 0x7a10e03153e33a22
.xword 0x057511bbcebf7108
.xword 0x9c2e3484570ae400
.xword 0x691b1823022e4ae9
.xword 0xc2dc84c655864431
.xword 0x8380d07ed750ac1e
.xword 0x869d00a536dc917f
.xword 0xb99955baf6441e5a
.xword 0x85361a683b68ca29
.xword 0x0aa11c2c438ff970
_t1_sslkey_auth_iv:
.xword 0x7d03c08062e77c5c
.xword 0x99f0b3bb19c1e6b3
.xword 0x930f0f6aa6198267
.xword 0x024bf21a54c64446
.xword 0x43702e82558009b9
.xword 0x1411e56080f4d62b
.xword 0x4b1ebded4f6c824a
.xword 0xc0864d4c7657ad18
.xword 0x61519fa041a2ec87
.xword 0xb8ab6bfb3a5f18bd
.xword 0xb24ba910648eb668
.xword 0xb13e9fd0f684d8c9
.xword 0x033a13b47bac4553
.xword 0xdc5fc3c7da115b83
.xword 0x5d4f441ebe24dc9e
.xword 0xbd172a7a09d8de20
.xword 0xfdbbf1a8ade7a26d
.xword 0x5e567920496f6673
.xword 0xf158ef2dccfb4941
.xword 0x4435d14c9dd0ef1a
.xword 0xb0dabcac959e5915
.xword 0xf26da2f4f0482c19
.xword 0xb01d1b037146da4f
_t1_sslkey_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_toc:
.xword _t1_aes_cwd_array
.xword _t1_aes_src
.xword _t1_aes_auth_key
.xword _t1_aes_auth_iv
.xword _t1_aes_fas_result
.xword _t1_aes_key_array
.xword _t1_aes_iv_array
.xword _t1_aes_dest
.xword _t1_aes_alignment_array
_t1_des_toc:
.xword _t1_des_cwd_array
.xword _t1_des_src
.xword _t1_des_auth_key
.xword _t1_des_auth_iv
.xword _t1_des_fas_result
.xword _t1_des_key_array
.xword _t1_des_iv_array
.xword _t1_des_dest
.xword _t1_des_alignment_array
_t1_copy_toc:
.xword _t1_copy_cwd_array
.xword _t1_copy_src
.xword _t1_copy_auth_key
.xword _t1_copy_auth_iv
.xword _t1_copy_fas_result
.xword _t1_copy_key_array
.xword _t1_copy_iv_array
.xword _t1_copy_dest
.xword _t1_copy_alignment_array
_t1_crc_toc:
.xword _t1_crc_cwd_array
.xword _t1_crc_src
.xword _t1_crc_auth_key
.xword _t1_crc_auth_iv
.xword _t1_crc_fas_result
.xword _t1_crc_key_array
.xword _t1_crc_iv_array
.xword _t1_crc_dest
.xword _t1_crc_alignment_array
_t1_hash_toc:
.xword _t1_hash_cwd_array
.xword _t1_hash_src
.xword _t1_hash_auth_key
.xword _t1_hash_auth_iv
.xword _t1_hash_fas_result
.xword _t1_hash_key_array
.xword _t1_hash_iv_array
.xword _t1_hash_dest
.xword _t1_hash_alignment_array
_t1_hmac_toc:
.xword _t1_hmac_cwd_array
.xword _t1_hmac_src
.xword _t1_hmac_auth_key
.xword _t1_hmac_auth_iv
.xword _t1_hmac_fas_result
.xword _t1_hmac_key_array
.xword _t1_hmac_iv_array
.xword _t1_hmac_dest
.xword _t1_hmac_alignment_array
_t1_rc4_toc:
.xword _t1_rc4_cwd_array
.xword _t1_rc4_src
.xword _t1_rc4_auth_key
.xword _t1_rc4_auth_iv
.xword _t1_rc4_fas_result
.xword _t1_rc4_key_array
.xword _t1_rc4_iv_array
.xword _t1_rc4_dest
.xword _t1_rc4_alignment_array
_t1_sslkey_toc:
.xword _t1_sslkey_cwd_array
.xword _t1_sslkey_src
.xword _t1_sslkey_auth_key
.xword _t1_sslkey_auth_iv
.xword _t1_sslkey_fas_result
.xword _t1_sslkey_key_array
.xword _t1_sslkey_iv_array
.xword _t1_sslkey_dest
.xword _t1_sslkey_alignment_array
_t1_table_of_context4:
.xword _t1_aes_toc
.xword _t1_des_toc
.xword _t1_copy_toc
.xword _t1_crc_toc
.xword _t1_hash_toc
.xword _t1_hmac_toc
.xword _t1_rc4_toc
.xword _t1_sslkey_toc
!# CWQ data area, set aside 512 CW's worth
!# 512*8*8 = 32KB
.align 32*1024
_t1_cwq_base4:
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.align 32*1024
_t1_cwq_last4:
SECTION ._t1_T_CWQ_DATA5 DATA_VA=290455552
attr_data {
Name = ._t1_T_CWQ_DATA5
hypervisor
}
.data
_t1_user_data_start5:
_t1_scratch_area5:
.align 16
_t1_spu_op_array5:
.xword 5
.xword 5
.xword 2
.xword 6
.xword 1
.xword 1
.xword 2
.xword 3
.xword 0
.xword 5
.xword 7
.xword 5
.xword 5
.xword 5
.xword 1
_t1_aes_cwd_array5:
.xword 0x406000e01000001f
.xword 0x406100601300003f
.xword 0x406100801900003f
.xword 0x40e100401700001f
.xword 0x40e100a01300000f
.xword 0xc0e100201900003f
.xword 0xc0e100801b00003f
.xword 0x40e100001400003f
.xword 0x40e000001700002f
.xword 0x406100c01100001f
.xword 0xc0e000001000002f
.xword 0xc06000801100002f
.xword 0x406000c01300003f
.xword 0xc0e000001b00003f
.xword 0x406100401300001f
_t1_des_cwd_array5:
.xword 0xc06100600900000f
.xword 0xc0e100400800000f
.xword 0x406000a00900000f
.xword 0xc06000400e000017
.xword 0xc06100c00a00001f
.xword 0xc0e100600c000007
.xword 0x40e000600c00000f
.xword 0x406100a00c000007
.xword 0x4061008008000017
.xword 0x40e000200c000007
.xword 0x40e100000800001f
.xword 0x406000a00a000017
.xword 0x40e100400a000017
.xword 0xc06100000800000f
.xword 0xc0e000400d00000f
_t1_copy_cwd_array5:
.xword 0xa06100400000000c
.xword 0xa06100e000000006
.xword 0xa06100e00000000f
.xword 0x206000c000000008
.xword 0x2061000000000001
.xword 0xa060000000000008
.xword 0x206100a00000000e
.xword 0x206000a00000000c
.xword 0xa060004000000009
.xword 0xa06100a00000000c
.xword 0x206000c00000000b
.xword 0x2060004000000001
.xword 0x2061000000000004
.xword 0x2061008000000002
.xword 0xa06000e00000000d
_t1_crc_cwd_array5:
.xword 0x416103040000000e
.xword 0x416301880000000d
.xword 0x416303c400000004
.xword 0xc16201a800000007
.xword 0x4161036400000006
.xword 0xc160010800000003
.xword 0xc16103640000000e
.xword 0x416001e80000000f
.xword 0xc16103c400000002
.xword 0xc163010800000006
.xword 0x416103440000000c
.xword 0xc16201e800000003
.xword 0x416203240000000d
.xword 0x416301a80000000e
_t1_hash_cwd_array5:
.xword 0xc161010100000014
.xword 0x4161078100000028
.xword 0xc1610a4200000018
.xword 0xc16212a300000026
.xword 0xc1630b4100000038
.xword 0xc163034100000022
.xword 0xc160062100000007
.xword 0x4163086100000014
.xword 0x416007c10000002c
.xword 0x4163056100000013
.xword 0xc1630fa100000002
.xword 0x416102810000000e
.xword 0x416207c200000003
.xword 0x41630ae100000033
.xword 0xc1631bc300000028
_t1_hmac_cwd_array5:
.xword 0x41620149000f0018
.xword 0x41600e09000f0034
.xword 0x416300e9000f0005
.xword 0xc16301ea00130008
.xword 0xc1630609000f0039
.xword 0x41620105000f0004
.xword 0x41600105000f001a
.xword 0x41620a05000f002c
.xword 0xc160088600130019
.xword 0xc1600ec5000f000a
.xword 0xc163066b001f002a
.xword 0x416200c9000f0007
.xword 0x41610925000f0011
.xword 0x41600e25000f0022
.xword 0x416004e5000f0040
_t1_rc4_cwd_array5:
.xword 0x40e000a000000009
.xword 0xc0e0004004000000
.xword 0x40e000e000000009
.xword 0x40e1008000000000
.xword 0x40e100a00400000e
.xword 0x40e1002000000005
.xword 0x40e100e00000000c
.xword 0x40e1002004000009
.xword 0xc0e0004000000007
.xword 0xc0e000a000000006
.xword 0x40e100c004000003
.xword 0x40e000600400000a
.xword 0x40e0000004000005
.xword 0xc0e0008000000006
.xword 0xc0e100a00000000e
_t1_sslkey_cwd_array5:
.xword 0x9060078000000000, 0
.xword 0x106000e000000000, 0
.xword 0x906036e000000000, 0
.xword 0x906005c000000000, 0
.xword 0x1060386000000000, 0
.xword 0x1060046000000000, 0
.xword 0x90601f0000000000, 0
.xword 0x9060012000000000, 0
.xword 0x10600ba000000000, 0
.xword 0x9060348000000000, 0
.xword 0x906006c000000000, 0
.xword 0x106013e000000000, 0
.xword 0x90601dc000000000, 0
.xword 0x90601f2000000000, 0
.xword 0x906002a000000000, 0
_t1_aes_key_array:
.xword 0x965bc1acfbabfbd5
.xword 0x41b364e8a86fd60f
.xword 0xeffbf6e49d9711d7
.xword 0x36c5f83b9ccec255
.xword 0x0fa3943bb88372f0
.xword 0x604246b20497283f
.xword 0x3d36e0d5cb841cf2
.xword 0xc72f655612c4f41a
.xword 0xa7b280f1ccfc47cc
.xword 0xde8dba9fee5105ce
.xword 0x61cffc7f81f401d2
.xword 0xb3292773aa1e515d
.xword 0x37a0e7ad7c0c99ad
.xword 0x3e5c28f02d1e7dda
.xword 0xa2469343f867adcc
.xword 0xe0be0681729e4ea0
.xword 0xe4f25b531fe1d105
.xword 0x127b90433648abf0
.xword 0xc5834a3ea217feb1
.xword 0xb88a82dc81a6bcd7
.xword 0xc3b768485e87cd92
.xword 0xe2ba88f6510cfe9c
.xword 0x51178ee094e68804
.xword 0x6978047b82c33e7a
.xword 0x02fbd4b38d626856
.xword 0x4f2a4932c9bf03a1
.xword 0x27dad88be93ca20d
.xword 0x3d2ecebbb2de60b1
.xword 0xb947ec132a23a2ed
.xword 0x413c48e1fade3901
.xword 0x48222b0921b05834
.xword 0x598c1def2555680f
.xword 0x0e21a79d161b6510
.xword 0x5974e4b16cf3af6e
.xword 0x477785a12b75315f
.xword 0x40679b209af4d040
.xword 0x2814dac852aa56d5
.xword 0x3a6a5dbd7856edb5
.xword 0x7ff27aac6506fa42
.xword 0xf5af0a843f07d8f5
.xword 0xf457f6d8b5581138
.xword 0xfa03d88eb31254ca
.xword 0x918a6c710b176ddf
.xword 0x3f289705960fd3cf
.xword 0xd1cb8fe46424ae49
.xword 0x8586ae4f38375f5b
.xword 0x887304e6e96ab4e3
.xword 0x9ba5bab9788d0f1e
.xword 0xbda4b62f2e5c4a4f
.xword 0xf3b342ac05e34b0b
.xword 0xde9f67c6d8560361
_t1_aes_iv_array:
.xword 0xb2e74a06d95cb15a
.xword 0xac04cc5d708981b4
.xword 0x8a4a5fc2e514f371
.xword 0x8bfd39f5db3a7d02
.xword 0xba8d475c1b1e2496
.xword 0x5b380827835aabde
.xword 0xc25880d380ca3b2e
.xword 0x45df95dc96613210
.xword 0xccbda99d1593d196
.xword 0x4408687fcf061f1f
.xword 0x1528563fce7ae775
.xword 0x317194db3fdc127f
.xword 0x80fbf0540a48c059
.xword 0xe4a978cb862b839a
.xword 0xe0a8028df5bf8e8e
.xword 0x0d7e7bfd712216c9
.xword 0x0f2305cf73f656ff
.xword 0x5b5ffd41f6b8f2ed
.xword 0x91bfe484319d1fce
.xword 0xc6beb343e69423a7
.xword 0x7731aaf2c4d6e8b0
.xword 0x20bc77a9034b426b
.xword 0xcc0da8a7bf96f0ec
.xword 0x676f53a7997f33ef
.xword 0x8359af5021f7fe86
.xword 0xaf78bdec399c8836
.xword 0x7bbff9d8f8796e0d
.xword 0x80a6533928add785
.xword 0xf5c6d21127744cc1
.xword 0x17a6715d486cdc1c
.xword 0x4be9167af9db9ef8
.xword 0xac90837289f88ee3
.xword 0x3b34b86d15a35432
.xword 0xe2777aa886dbdb55
.xword 0xdef040d4b7224ff0
.xword 0x290b58c802193736
.xword 0xdaf1be0dd04e75d1
.xword 0x220ebd1f3093a0b8
.xword 0x3ad3ef34814fd372
.xword 0xa687a914feb30800
.xword 0xea50fd32f938a99d
.xword 0xa01bf029143c498b
.xword 0x389455c126a4ecf4
.xword 0x8ea1554406dabfd0
.xword 0x34bd4ace9878ba7f
_t1_aes_alignment_array:
.xword 11
.xword 8
.xword 3
.xword 11
.xword 2
.xword 2
.xword 6
.xword 0
.xword 1
.xword 3
.xword 7
.xword 0
.xword 11
.xword 11
.xword 10
.xword 3
.xword 11
.xword 3
.xword 7
.xword 15
.xword 11
.xword 9
.xword 12
.xword 9
.xword 3
.xword 12
.xword 9
.xword 11
.xword 12
.xword 8
.xword 2
.xword 7
.xword 12
.xword 14
.xword 14
.xword 9
.xword 10
.xword 10
.xword 13
.xword 8
.xword 9
.xword 5
.xword 6
.xword 9
.xword 12
.xword 7
.xword 2
.xword 0
.xword 4
.xword 1
.xword 3
.xword 2
.xword 6
.xword 2
.xword 7
.xword 0
.xword 12
.xword 11
.xword 8
.xword 14
.xword 4
.xword 12
.xword 3
.xword 7
.xword 0
.xword 3
.xword 0
.xword 7
.xword 14
.xword 3
.xword 7
.xword 3
.xword 15
.xword 14
.xword 8
.xword 12
.xword 14
.xword 11
.xword 12
.xword 14
.xword 2
.xword 0
.xword 11
.xword 13
.xword 7
.xword 8
.xword 10
.xword 9
.xword 10
.xword 1
.xword 7
.xword 10
.xword 5
.xword 4
.xword 14
.xword 13
.xword 4
.xword 7
.xword 5
.xword 2
.xword 14
.xword 6
.xword 14
.xword 3
.xword 4
_t1_aes_src:
.xword 0xf8204364f82e79fa
.xword 0x66364d5d2093ae82
.xword 0x313f99f6505d3b7d
.xword 0x2c0912f6b7cbc97f
.xword 0x61d137d66e055417
.xword 0x483515608d780f9f
.xword 0xc26bcb8ed6fd0e21
.xword 0x51a0c167e3d0bac0
.xword 0x5f726bfc5fedd337
.xword 0x44b8c07a46ee4e09
.xword 0x5c9ec7729f81550f
.xword 0x6214e9a6caf39df8
.xword 0x450f926729c232ed
.xword 0xc18300d5f4128900
.xword 0x5a980afc3c097e0a
.xword 0xc32a5c3f47edd502
.xword 0xb034ac6fda31540b
.xword 0x04e67dfdf6aa0030
.xword 0x5f33b9a118c0c241
.xword 0x1e95a586bd010239
.xword 0xa7bec0932b8c2690
.xword 0x1e37a0d530e82160
.xword 0x9ff46d701de720fd
.xword 0x69978c6c3adec447
.xword 0x791150e42cf5a785
.xword 0x5d10175e53b23b5a
.xword 0x1543f447395d8e32
.xword 0xabe2703a8c6b07c1
.xword 0xda14695e5f85e9b6
.xword 0x78ff04c5cf4f9390
.xword 0xd41701ab5925cdf4
.xword 0x635685276538a604
.xword 0x96328e65c8d848d5
.xword 0x38a9a76d07b9c3fb
.xword 0x2522359ebf402e4d
.xword 0xabaf26e6f68ee9dd
.xword 0x9cd547e6c58c39cf
.xword 0xb4941e2b4bd5b27d
.xword 0x214ac1b41f33a55f
.xword 0x60ee214e0c009151
.xword 0xd49ad0bf4d8b4272
.xword 0x039f713fdae5b3ba
.xword 0x63d010c65ae0844a
.xword 0x2ef334bf57e05b51
.xword 0x6d0fa45b860f920b
.xword 0xf7bcd3f0ebf8a065
.xword 0x0cd4a6277204c704
.xword 0x4a87ab3ff09ccf86
.xword 0x8f41189fc4db4ced
.xword 0x081463e7c45cc4e2
.xword 0xb92d772f87f457e7
.xword 0xc4fe50ccb5cc7a06
.xword 0xeb5cd7d5d3f0b83e
.xword 0x09cfcaf3d434b4e3
.xword 0x6a8a64b847a18872
.xword 0xa9827f29989d440e
.xword 0xb9953da4da4d72f7
.xword 0x0dab936e62564849
.xword 0x65404b89cbef3909
.xword 0x630338a40fcd0f5f
.xword 0x3835447aa1d92f4a
.xword 0xaa03cf975a6a7268
.xword 0xfbe09398a6e18ecc
.xword 0xb9d73eac0ff8e216
.xword 0x45ddeace8e5669b1
.xword 0x27ee6dc6bbfa8bef
.xword 0xc9ba905b157c072e
.xword 0x602e0ef76699288c
.xword 0xa54bb69667f2dd8d
.xword 0x11137783035026cf
.xword 0xe4f44b5a8df908d4
.xword 0x8c6282a871876284
.xword 0x1a4bdce75398142b
.xword 0xf1bd9fcf6837d9ff
.xword 0x0666713b51d630f4
.xword 0x8b3fc53a78bbdcb2
.xword 0x3a0adddc6e81e4c4
.xword 0x638522d2d85af8d8
.xword 0x8ed5f7d5a31d02fd
.xword 0x1c638105ed9c8bbe
.xword 0x7b0caf2f38a39ac8
.xword 0xdc97818cc40aa7b8
.xword 0xc1ef0ea10edb0d84
.xword 0x8c4c92eec39dd0ae
.xword 0x70487d02e55f5469
.xword 0x0f73af9ea029ff41
.xword 0xb8e7d77bc8cd19b9
.xword 0x9eff04a50ca04235
.xword 0x1b2b773aefba317c
.xword 0x64da12ac04ddcdeb
.xword 0xa03b6708195ec606
.xword 0xaccca629e852e22b
.xword 0x88209d05be479e93
.xword 0xd8d828dbff8d021c
.xword 0xa91b70cf22d79fa1
.xword 0xffb7f48edcdd09e8
.xword 0xf880718c83ca96ff
.xword 0x094deed09a62b56a
.xword 0x4ea181ca43e249db
.xword 0x338528865dbf30a4
.xword 0x5df9d16a08559fe3
.xword 0x35d046c5022d3e52
.xword 0xd3ec88b0a0947e27
.xword 0x0a08afb1bf6bfd81
.xword 0x4031c9d8d94db1a5
.xword 0x5de55a488bf76824
.xword 0x43bfa509ab3ab041
.xword 0x2cf4885baf83d576
.xword 0x02b14b2ba24920b4
.xword 0x3ec68d26e72e14e8
.xword 0x634a02afd88d1f2f
.xword 0xf3d1c082f32dee7b
.xword 0xe07c83c43e20e6b7
.xword 0x43d279caa4da087f
.xword 0xda9885e547ccf95e
.xword 0x7df4492490e7c519
.xword 0x21b5ffe79a5f436a
.xword 0x9602a73466db731e
.xword 0x632d62f717b5f6a9
.xword 0x132a6c27c5d66a83
.xword 0xd9b96fc0fc1840fd
.xword 0x7eca8e891053a764
.xword 0x57ca335ceb4231b8
.xword 0xc2af8816f9ec8d0d
.xword 0xa61764c9389eb5b4
.xword 0x2f5eaace4d6c5051
.xword 0xf25c063dc4acf71f
.xword 0x90fde1b945e4bec1
.xword 0x89678c5d9c5186b1
.xword 0xdb2f1c6e42a8b6b1
.xword 0x1f8b232b516169b6
.xword 0x1bab3025ffb1c934
.xword 0x68c9ae773cad9464
.xword 0x5159410efc4b709b
.xword 0xf90d7d3fd47622bb
.xword 0x27cdee9b4c9d745d
.xword 0x5b43125cb0c54371
.xword 0x2a1e4d9a9dc34309
.xword 0xa49329ac5c755887
.xword 0x8fb3798934a7a785
.xword 0xaeb5c5650ece88d0
.xword 0xf0434c09c97cd16b
.xword 0x982ba5cb614ebf16
.xword 0x3535ec2e195b8fd4
.xword 0x1edbe646de6ce0dc
.xword 0x476620a321af4ee8
.xword 0xe71fd3f951d44bd0
.xword 0x3e18a9ab54b4686e
.xword 0x47683c9531a92559
.xword 0xc0b18b8b09a8c927
.xword 0xfdbf142a9046b042
.xword 0x55b8312a6c838068
.xword 0x9239e91eabb13dbf
.xword 0xf73f51202c6ceddf
.xword 0x996d80a8608098a6
.xword 0xda81012ed82f1783
.xword 0x779b3bc141053419
.xword 0x58c01300921996ac
.xword 0x7de7e08c90da6ddc
.xword 0xcb66819e03063a55
.xword 0x12529587c0ad798e
.xword 0xb0961edf675df4f3
.xword 0xcec0252621d1cfee
.xword 0x8f07404d409aedbf
.xword 0x7c7d45ec2e53c079
.xword 0xc2030f12cee4f1e3
.xword 0xf2596d1773260e34
.xword 0x502debab74e6b508
.xword 0x789fb00c2fdde565
.xword 0xf3b80653887c39d1
.xword 0x79375bfe88344000
.xword 0xcc534b780002873b
.xword 0xea5b2fa26f476666
.xword 0x8dc9cf38902a3fdb
.xword 0xd2612043e5f2b8a4
.xword 0xf0e0671443c05d55
.xword 0xccadd1034c978cdb
.xword 0xa94a9d54487badd9
.xword 0x36c7b79f9d8f0ef9
.xword 0xefed336e70e40135
.xword 0x8c1b24094ea2f8d6
.xword 0xbb2959f8c408939f
.xword 0x807778acebe3314b
.xword 0x0c636b448df6d1a4
.xword 0xe18b733026f83939
.xword 0xbb118ff4b301c5ed
.xword 0x732e40d7f883010b
.xword 0x7264dd6cc9127a3d
.xword 0x07ed11c950836809
.xword 0xe11f8d4a510d71ad
.xword 0xc71f41fd5e8acefb
.xword 0x81b24f1ae47330be
.xword 0x69e804f262627edd
.xword 0x9033c634f525d688
.xword 0xa3304abd6d3feb47
.xword 0xfeeb3ff63f69d44b
.xword 0x2663b06e6da79f9e
.xword 0xbfcf0a09031de8f0
.xword 0x0482501b702400c1
.xword 0x9d9af08f8ea04449
.xword 0x5d762a9d6f0a8184
.xword 0xdea96887f80c29b7
.xword 0xb4b58322643b98bd
.xword 0x4e147095071a70c2
.xword 0xf89978f941df80b7
.xword 0x42ef2d39be9f7124
.xword 0xe763fa895e54fcde
.xword 0x949424db0ff99ee1
.xword 0x3d582cfd3b7d59bd
.xword 0x88444202129fc1bd
.xword 0x4d1acbd5c10fd0cb
.xword 0xf67e7caab6b9a3c2
.xword 0x25a0a4639dedf730
.xword 0x5c5d754ef93a53df
.xword 0xa6eb4b26d56d3611
.xword 0xeffd080051e64419
.xword 0xff3167c991a6886c
.xword 0xe4724c389ed45c9b
.xword 0x2fff0c0df4bb0ceb
.xword 0x49811d40ef024709
.xword 0x8222eec1d9c0f59f
.xword 0xd10f29c27283c80f
.xword 0x32c0ab911952759c
.xword 0xd7c163c6d1cf0c56
.xword 0x10c09d802e5a261f
.xword 0x88039300fd18730f
.xword 0x540adf29c0153fb5
.xword 0x9215553106c6554c
.xword 0xbc2112a286f8f154
.xword 0xc05e94fe1b8cc325
.xword 0x83c252b402a60ffe
.xword 0x2551a4edae9494e8
.xword 0x24f60270e9b4aa5f
.xword 0xc237f8fc80619bae
.xword 0x554da5cbf9385e8d
.xword 0xbe4046e094dcb791
.xword 0x3f008c8e43a23088
.xword 0x570a180708d5881e
.xword 0x14c3b77d12a7eb32
.xword 0x2cfb2ccb6d5b0d03
.xword 0x594df80f7080d318
.xword 0x638acbbb7fea2193
.xword 0xf134be779ec16e4a
.xword 0x1354db5b0960c1d8
.xword 0x9c20e4cc9f30f9dc
.xword 0x2186e3eb8e58efa6
.xword 0x996991dedb88321d
.xword 0xf4a91230814d32ef
.xword 0xbf1ebef87cdc84ae
.xword 0x88209b988c66796d
.xword 0x06d6f5943b025269
.xword 0x3a0a6c333eadf149
.xword 0xc99fc114803fc9aa
.xword 0xfbc9c8b834006d5c
.xword 0x7809ce53ef92fcbf
.xword 0x23ba24723a4bea8c
.xword 0x5e3116df24f608de
.xword 0x2dac5b05049e343a
.xword 0x1adae7c16b5a8dd2
.xword 0xba158aa68ba8c7d2
.xword 0xf8c5ad1ef8a3ded0
.xword 0x9dd52266cd01318c
.xword 0x2b059da7f1e09caf
.xword 0x27c30d94c2e46c43
.xword 0x4a2b00d87e6881f7
.xword 0xf88346a001dfa8a5
.xword 0xef14ceaca7d06cf4
.xword 0xdc3944b38360eec7
.xword 0xbb1c53557d5d86e0
.xword 0x4af4f785955bf3ab
.xword 0x74f5b6c643de85d7
.xword 0x8995f100c1af45e6
.xword 0xa81782e02417f190
.xword 0xe65ce768eb5ca652
.xword 0x218629d1dae724c8
_t1_aes_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_auth_key:
.xword 0x12a4b35dc9686e57
.xword 0xd057f02b6a6d91db
.xword 0xa6f009c5f37f9a49
.xword 0xb42284a7cfcdd855
.xword 0x01fd020099f76d8a
.xword 0x73329d2ace818dfa
.xword 0x9ddf31c432be9811
.xword 0xc5881d60c19875f0
.xword 0x8cb6af35790904db
.xword 0x4791357dbda7c401
.xword 0x1eee410f0c2b12fa
.xword 0xe158940a3966cc3e
.xword 0x927be6de26697174
.xword 0x23bbff9c70a6fce0
.xword 0x3474d2187939538a
.xword 0x45c699a2f5974aaf
.xword 0x589f536a8c540e93
.xword 0x48de452dce092afc
.xword 0xc937074fdba38f82
.xword 0x05301085040c4287
.xword 0xa0c8c47cf0f993ad
.xword 0xbbe3ccde70584294
.xword 0xd77de757487da491
_t1_aes_auth_iv:
.xword 0xd85111b4f617edb8
.xword 0x5d96bbd685df0293
.xword 0xf4065dc0fd914831
.xword 0x8d2e79748b73b56a
.xword 0x1a4452e2de2801b4
.xword 0x2fcfd5a1ef1c4b21
.xword 0xdea49d05d80cd601
.xword 0x3c61ffa7220a0167
.xword 0xf802a04f6b85044b
.xword 0xb6ab51c2b8f4c01e
.xword 0x7d5ee95796d114a7
.xword 0x2cceeee087ff0bb4
.xword 0x7a2255ca3d3bcb76
.xword 0xc4857e6a4b1d7e05
.xword 0x04599f0de348205c
.xword 0x52902fa38ec43745
.xword 0x6d666d0c2d60e6b4
.xword 0x3355c04be6e2e0a8
.xword 0x74e75f926f91c0fe
.xword 0xa0a76811d6ddd98d
.xword 0xa31361f1812fb659
.xword 0x0b65d148be366fe5
.xword 0xb847e95eb9f5349a
_t1_aes_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_key_array:
.xword 0x6ba5b63dab857bbb
.xword 0xe57540b467b5b578
.xword 0x9c19586305328dea
.xword 0xbdd18e1aa8d360e4
.xword 0x193e142686d798a4
.xword 0x43e1e4f325c5c279
.xword 0x9c365cddc0c97aa4
.xword 0xe4da66e7975db199
.xword 0x761225291870d154
.xword 0xe4b1a3c3ce0cb1a8
.xword 0x4d47263d94a3089e
.xword 0x7ce0d29b7b53c01a
.xword 0xfeefbeea11808cec
.xword 0x5a31ef9228472c91
.xword 0xbedb241bb960a561
.xword 0xe21d94a4cf6cfd75
.xword 0x1fb6a7a548d5d37d
.xword 0x13acb6021e15c1b2
.xword 0x06af47745bead03a
.xword 0x8d45015ba63995b4
.xword 0x4d485c0413504d96
.xword 0x6c123c5793d1316c
.xword 0x177a24fcf1f40659
.xword 0x812d5e5caa44c09f
.xword 0x9a3e7e0e52f77ad5
.xword 0x241d8a2344ccb77e
.xword 0x8467a8c253cc17b2
.xword 0xa51d39eab46c8df0
.xword 0xda4043c6bb81beff
.xword 0x59f926edfd7bec7b
.xword 0xdc881a275b75f058
.xword 0xe832ab2ff01d5462
.xword 0xa0582d0124ba8372
.xword 0xd71cb8f3ae502692
.xword 0x01e705ef829688b5
.xword 0xb90b684184b7834e
.xword 0x8841a248c2a6c058
.xword 0xd04f0f6cda78b3b6
.xword 0x5f7545a9e0afb7cc
.xword 0x3ebccee71d779a26
.xword 0x4d1c40d5db85da32
.xword 0x63e86e1729a840d2
.xword 0x0a595ff51a218512
.xword 0xd620536dc14d97c3
.xword 0xbb55b284bebed95a
.xword 0xfb87c0171cee937c
.xword 0x51600194509c6e27
.xword 0xb4fb0c9b2958f896
.xword 0x443617f529961ee0
.xword 0xa7a0ed219440f03f
.xword 0x00b5407b53a7baac
_t1_des_iv_array:
.xword 0x99e2c44caf41179b
.xword 0x1bec10916a2445c8
.xword 0x5121d04b135c70ec
.xword 0xd98db89616b5f8bd
.xword 0x0ca672fc083a516c
.xword 0xdca109b0f45661c7
.xword 0xfcaccc1a0cab34c1
.xword 0x347e87ed458fe858
.xword 0x9150374deb55da83
.xword 0x2f2e8ecfdc205ad5
.xword 0xf6e017fe829e9034
.xword 0x6a2f9b3a27e766f8
.xword 0x0b4581bdf693678f
.xword 0x0601a5e8c2e4f4fd
.xword 0xca0206f5bbed3bdb
.xword 0x4464325d2d3ce831
.xword 0xce71d664a52168db
.xword 0x31c54c8b7372eba5
.xword 0x3d772ba8c0b04393
.xword 0xca1a53f352243bb4
.xword 0xca13255423711950
.xword 0xf57e4cb35a7b4822
.xword 0x95bc4428e0fb2d4c
.xword 0x4639499aebfc384e
.xword 0xcd3ebffc6ba5c721
.xword 0x59fd591b1b7fd74a
.xword 0x765ea9eed74b6db0
.xword 0x6e6eae25ca5db10b
.xword 0x9d636feaa20adc7e
.xword 0x22c8c14ae90ec50d
.xword 0x8be211f4c52e9ebb
.xword 0x2863e9064de0a184
.xword 0x384345e522f1a197
.xword 0x43991e7554a883d9
.xword 0x2924b60656ef286f
.xword 0xb5cb6b748c8c969d
.xword 0x3ba259cc91c2d560
.xword 0xe22c039458502993
.xword 0xec9e91bee113ee97
.xword 0x4274e2261ad1494d
.xword 0x221b3e484effe7ed
.xword 0x69ffa1ffe94019af
.xword 0x2ec21dab95e60fca
.xword 0x54feadf9f57920d9
.xword 0x2e4891d0cbbd47bf
_t1_des_alignment_array:
.xword 11
.xword 7
.xword 5
.xword 8
.xword 14
.xword 6
.xword 3
.xword 15
.xword 15
.xword 10
.xword 11
.xword 5
.xword 11
.xword 8
.xword 1
.xword 5
.xword 4
.xword 15
.xword 12
.xword 8
.xword 0
.xword 0
.xword 4
.xword 15
.xword 4
.xword 4
.xword 12
.xword 11
.xword 14
.xword 2
.xword 5
.xword 7
.xword 1
.xword 4
.xword 3
.xword 4
.xword 5
.xword 6
.xword 6
.xword 5
.xword 4
.xword 3
.xword 8
.xword 9
.xword 12
.xword 2
.xword 13
.xword 15
.xword 0
.xword 3
.xword 2
.xword 6
.xword 9
.xword 13
.xword 10
.xword 14
.xword 4
.xword 6
.xword 12
.xword 12
.xword 5
.xword 8
.xword 15
.xword 2
.xword 10
.xword 7
.xword 13
.xword 7
.xword 1
.xword 1
.xword 4
.xword 12
.xword 7
.xword 4
.xword 15
.xword 1
.xword 11
.xword 11
.xword 6
.xword 2
.xword 0
.xword 0
.xword 13
.xword 15
.xword 1
.xword 15
.xword 8
.xword 11
.xword 10
.xword 11
.xword 6
.xword 4
.xword 1
.xword 11
.xword 11
.xword 7
.xword 11
.xword 14
.xword 8
.xword 5
.xword 3
.xword 6
.xword 4
.xword 3
.xword 6
_t1_des_src:
.xword 0xaee3c4f7d61bf3e8
.xword 0xb44c1bd9d3c2881e
.xword 0xfe0a17d641afcde9
.xword 0xc11c6d2234711df6
.xword 0xf235d557b2b09981
.xword 0x908c79c4a5646253
.xword 0xa1326b8288289e2f
.xword 0x7efbb74e9cad3240
.xword 0x20883ad276e740cd
.xword 0x4ff1f29687d6db17
.xword 0x8d5ff4532fb869c2
.xword 0xc773f0643aa8c5a6
.xword 0xa62de560cb4c8b48
.xword 0x253754b396875f49
.xword 0x3b2ba2be7a6a07f5
.xword 0x68cc0b92241d70ec
.xword 0x203300b5474a392e
.xword 0x1b5d3d448d962c32
.xword 0xa0d9ada68001fd07
.xword 0x2f77ced842a7067f
.xword 0x703d62f8e8edb2ee
.xword 0x65d9fd43bc539b8f
.xword 0x1a24e922fe6c9dae
.xword 0xb71d39ddd0bd45fc
.xword 0x7ceb6add4dc075d1
.xword 0xc0a1ec111fa90df0
.xword 0xadf653547ec2ecdb
.xword 0xc58e7819e23b5a91
.xword 0x82faa5d6e2685a18
.xword 0xa105f1b0aaa3d547
.xword 0x240881ae87a75d97
.xword 0x52a47b18ead5df4a
.xword 0x5ed673059a2a2e43
.xword 0x6c3ad242594073f7
.xword 0x04299ec3f8b39fed
.xword 0x6addad7562dc8f84
.xword 0x9746cf675c28054e
.xword 0x5e5586fc88f2d9b4
.xword 0x6fd0a345ef7806dc
.xword 0x61445993dcf1f7a8
.xword 0xd84b3bbfe08a7bd8
.xword 0x9625c256c068f8b5
.xword 0x63a962906dc958f7
.xword 0xc5cf581ad6f03c34
.xword 0x6d85112bd971171e
.xword 0x1ad9066aa075bd6b
.xword 0x70d66ab22364f4b9
.xword 0xe544acb6a1c2b87d
.xword 0x2f51f241f96e7554
.xword 0xd9717f084f8fdd20
.xword 0xa8cd7b89311f3060
.xword 0x342c3af59645f02a
.xword 0x06e0200dff7361c9
.xword 0x9888ebb8526385c0
.xword 0x8af0f32d89e9d2e3
.xword 0x4e635a94dfe5df19
.xword 0xe7d724793230df06
.xword 0xf9190bedd11c025e
.xword 0x2e8b2369b2dfcc3b
.xword 0xf2452f0996f9a354
.xword 0x13414d7a85eddca0
.xword 0xdcdb555bf0646e6c
.xword 0x55399bd4b3396270
.xword 0x25dcb27c2fd70471
.xword 0x0d10f6474b870b35
.xword 0x19bf153c221942a6
.xword 0x4afaf5bbec5cd2fe
.xword 0x27e016314fa28638
.xword 0xb4ce2aac7fa1d298
.xword 0xd73085b068bc697b
.xword 0x9a32faacaa928586
.xword 0x1926689056f4bfac
.xword 0x8aacf35f4e3368ce
.xword 0xdc10a70c5f0599d0
.xword 0x7e35abddce12006c
.xword 0xfafa73f2ed9ef22d
.xword 0x567156d387fd4628
.xword 0x7e545f568754f1b9
.xword 0x16edde7a3086d065
.xword 0xa53356757cd27f62
.xword 0x83e8d1f6d25d09da
.xword 0x60263e8250b1ac48
.xword 0xfff0265d679c59a9
.xword 0xd7317525896ce315
.xword 0x1fb754775acbc0fd
.xword 0xda41229f15f0eceb
.xword 0x7259c226389a9a89
.xword 0xcd0603169497486a
.xword 0x56b9a79bab66140c
.xword 0x4a5c0d396697e81e
.xword 0x23f9a2d106ea04e7
.xword 0xb20ca9fd546b694b
.xword 0x0b28b1a8ae618a19
.xword 0x3b53646ed4304910
.xword 0xa0f1eea966fbd870
.xword 0xf1da79c0ff661e5b
.xword 0x49089d43ad527dcb
.xword 0x5ca9d79019dc61d1
.xword 0x92b868571afd113f
.xword 0x744c78d22b064250
.xword 0x119916407a0b5f79
.xword 0xf540987e19d46ebf
.xword 0x0a9593a823356823
.xword 0x34c51ece07c1a5fe
.xword 0x0689fedca8f32ec0
.xword 0x0881c54919e9cfac
.xword 0xdfbbcb429b6f8241
.xword 0xe0e1fa8c51df6c66
.xword 0x72279932556aeeb6
.xword 0xb925ce8919819adb
.xword 0x1ad0e076bedeef43
.xword 0x97ae3799b4599e06
.xword 0x231426398269ef37
.xword 0xae3db43ff3a46003
.xword 0x49f3f0a38d4b87cc
.xword 0xeafab1503b4a30bd
.xword 0x6b583e1802eeba42
.xword 0x556bea150b527670
.xword 0x3b3344159d1f9552
.xword 0x922b5d06c6f1d01a
.xword 0x54c383bac3b860ef
.xword 0xfebfcce3a4cff6cf
.xword 0xb4e2aabb8c583c96
.xword 0x6b0962862567addd
.xword 0xfdca3d1df13a5855
.xword 0xccedf6fa4ff45b96
.xword 0x1e5e38e70e6add75
.xword 0xfb250d10df80732e
.xword 0x041e24be4f6422bf
.xword 0x597bd8e624ad5624
.xword 0x8803c4448cf40197
.xword 0x121683a4ff62b4dc
.xword 0x88fd8084c4f3c0c2
.xword 0x494eebeaf5ca5587
.xword 0xc76a12635a52641f
.xword 0x4dd805c1a13c7868
.xword 0x49a5df500c4353fb
.xword 0x46d4f5270c793276
.xword 0xedb2295b1a8c3c14
.xword 0x2a3907832177d2de
.xword 0x240c950268d53ef7
.xword 0x30c5e07ae717e2a8
.xword 0x383df03f89b86df1
.xword 0xeb49833de4facc80
.xword 0x0b4d2baa1fd13421
.xword 0xf2a148004f3874a9
.xword 0x21bfd352b52d2473
.xword 0x96f1f573a562e1f6
.xword 0x38b29ca89014e335
.xword 0xd808ef04866d9677
.xword 0x3c3c3f9704944005
.xword 0xa5db386d0faee769
.xword 0x9d25de7ad1f46179
.xword 0x87e9895556bd37bc
.xword 0x10f96ccb3d29045f
.xword 0x39e9cae223a1e83d
.xword 0x3c4aa06879fe2d5f
.xword 0x2f5248de8b11a152
.xword 0x379d66517c0b2c05
.xword 0x2c89ae12b3777dfd
.xword 0x4f56ac86c1df1b63
.xword 0xe3e086d60c7fd991
.xword 0x8bcd88ff5eec22d9
.xword 0x501b9b5f7877122b
.xword 0xf1a4eb7d9651ae81
.xword 0x25dca6cfb4ee6bec
.xword 0x3eb7f559d6f0ab90
.xword 0x613d9fa245e6cb57
.xword 0xb475b77cf0c1876e
.xword 0xbb6acbb08ed0c199
.xword 0xe0e6e06e7ed35663
.xword 0xa4b9c7afec3796d4
.xword 0xbb9096d37051374c
.xword 0x2f2096d9894b3650
.xword 0x33b35f21b1a66a1e
.xword 0x5a7d426cfbb8b422
.xword 0x15736810d811f18b
.xword 0x6701825c8b64d41e
.xword 0x52f248c71c491b53
.xword 0xcca5d59101c6c671
.xword 0x7b706958a3d236a8
.xword 0xfd8d72a108af097b
.xword 0xc3f95a3c2120fed5
.xword 0xb0911b8eae9abda4
.xword 0x81dd4b45bf5e6e76
.xword 0x916f560e2e2428e1
.xword 0x607f59a8dd3718af
.xword 0xea09f1a4f93dbf38
.xword 0x9169946998135b2d
.xword 0x3586e4734e1c589c
.xword 0x5ea1b91c5b705397
.xword 0xd224a1f7cbd77132
.xword 0x9b2368643106d960
.xword 0x6b7febf9c02f194d
.xword 0x618c4f114b0f13e4
.xword 0xd22bdc6cf16c7a4f
.xword 0x8f73f2b187a20441
.xword 0xb9341d8e4aabde42
.xword 0x05bc2b6af7f1b047
.xword 0xbadc5bee6074405b
.xword 0x725f634435659db8
.xword 0xeb3925ef015a3c5f
.xword 0xfc80e74079e5f8be
.xword 0xf070efba8959fb74
.xword 0x0eb08812f4649d91
.xword 0x6909a00e6134aa52
.xword 0x07e14afe0dab6661
.xword 0x3b55b624ad401cc9
.xword 0x1a82e1a0371716c5
.xword 0x2667d3d24c83d60a
.xword 0x5a47ea5bcf02b27a
.xword 0xb674cd4f445d707f
.xword 0x46b5a7d953e25af1
.xword 0x3b915a89e45fdfec
.xword 0x55ab588ffc918bea
.xword 0x3fe6f246cddae26d
.xword 0x7d31c8342e05bea4
.xword 0x0ea1487d843b2adb
.xword 0x87755654ee0bb925
.xword 0x350a09336b381088
.xword 0x91390f968c9a4641
.xword 0x01d1e267a3a48eb4
.xword 0xc85c9b115afd5ee3
.xword 0x2a89f37076c3fce6
.xword 0xcf9108e30ef40598
.xword 0x6a71df1bd0b865a7
.xword 0x73ffd29c905027ec
.xword 0x899fad47059fc4bc
.xword 0x6d859f4ff80ba0e1
.xword 0xbfcf06246a6e67c4
.xword 0x5d226007937d5160
.xword 0x2c8b07dea8851300
.xword 0x7bb9c39748d59414
.xword 0xbe1cf8d5d5590529
.xword 0x228a3ad03864f5a4
.xword 0xe53029eaae6ec8fc
.xword 0x6a8320756e936e7d
.xword 0xc3629928f6abf392
.xword 0xb4776e894fa5c3ee
.xword 0x07d777b5c679fe09
.xword 0x3b7944a6986d328b
.xword 0x58a0fea543a44b69
.xword 0x1e29190617059649
.xword 0x45f4ec0a18394ff1
.xword 0x12dca7cbb42e8f90
.xword 0x8eb4090dfeeebd83
.xword 0x342d1addb4de5263
.xword 0x504a7c8199cc363d
.xword 0x156fa6376a06c996
.xword 0x3d2c76de912080a6
.xword 0xcfec4047b5c2b78c
.xword 0x8a6d19da30a2749b
.xword 0x3a76dc7a49424f32
.xword 0x1ea4b31c5ab727b4
.xword 0x49c16801db1b7ae7
.xword 0x469ebee1602f7e1e
.xword 0x02318fdeb219f3e6
.xword 0x1b2d2f734440e8ee
.xword 0xf7747c93c01f08a0
.xword 0x2d7bee08111e6391
.xword 0x7bff005da4d2291f
.xword 0xc42e5b86dedad89c
.xword 0x3c4809b5ae7d0a05
.xword 0xbe52b7da3649bb7e
.xword 0x9cdc8612366f52cd
.xword 0x5219b59818f72697
.xword 0x9896b22832f3e78f
.xword 0xcdfb8b4c742f926e
.xword 0xc4a64e25ce63e93c
.xword 0x371830fa51bd7805
.xword 0xd4f4bec58a821fd2
.xword 0x7cab6ab5b03ddde1
.xword 0x1ededbf48511f3b8
.xword 0x7022a8b9c57b2ba0
.xword 0xb4c58c3e6037a7f2
_t1_des_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_auth_key:
.xword 0x01a6b38ef80c5767
.xword 0x8914dd555a265da9
.xword 0xc21160275690e028
.xword 0x39d922e945e10862
.xword 0x868a5c81a83304db
.xword 0x5a388e6ea0b3359a
.xword 0x4c80427757cee3da
.xword 0xa18df9d54aa3ece0
.xword 0x9eeaf14f8e6df1d3
.xword 0x7b0336a2404795d4
.xword 0xb9874f34d23c9d25
.xword 0xb3650708a6043586
.xword 0xc276a11787620a17
.xword 0x354079f69d2e49ce
.xword 0xf7bbcb6e6b72a180
.xword 0xd50eb02af241ed69
.xword 0xdd8a021700dc9cab
.xword 0x4a817d623ca5e3c4
.xword 0x719426bb54954323
.xword 0x6587d851a57a060e
.xword 0x90b8e90f404a0a23
.xword 0xd986592c505482c9
.xword 0xfe332dcc9110d8da
_t1_des_auth_iv:
.xword 0x601d27b54542571d
.xword 0xe1ef860c9616dc29
.xword 0x0f5b403ae7b79cb0
.xword 0x1c28b8c42ed983fd
.xword 0x5ce485a69dc364fc
.xword 0x17dcb06df3365698
.xword 0x85c8445789d41b0f
.xword 0x1be921f687e7cd55
.xword 0xbb3bb0b103b2d6d8
.xword 0x4127dc29d9604487
.xword 0x1a247c9546615e76
.xword 0x764efc407cdc9448
.xword 0x95088241ec427f76
.xword 0xca70d7350ce43961
.xword 0xf357f672adfbd0e1
.xword 0xf987b47b6b646435
.xword 0xcec6157b475055ec
.xword 0xde87b53796b8197f
.xword 0x7ac4db9e92a04875
.xword 0x23bd44bb776549cd
.xword 0xd7effb093ec55e70
.xword 0x82a62197bbb0bfc9
.xword 0x05010d4d7ba5d738
_t1_des_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_key_array:
.xword 0x8e56b758320fd686
.xword 0x3ba68672e7764e6c
.xword 0x6c25f8f9e296b1c0
.xword 0x6eff899482bc71be
.xword 0xc82085b4fa776b2f
.xword 0x0e67b066fd9a7340
.xword 0x699bfb86923e0513
.xword 0x420190ebf71e598b
.xword 0x0bc0745ee120198e
.xword 0xc69ac70e880a00c9
.xword 0xff0daa9431bfe946
.xword 0x2ddf179322ba1d36
.xword 0x166735db581b999f
.xword 0xddf1796c43dab549
.xword 0x4d20b23035d51367
.xword 0x0cb6977c546d6c79
.xword 0xb9c70f1cc1fc9a0c
.xword 0xe27720d22a6f6f8d
.xword 0xbc539d7a85eb1a55
.xword 0x27d3d3ddbf1eca73
.xword 0x35ad04fb8fd4bc00
.xword 0xfab53952931a1ea9
.xword 0x4d6748a6a4d2207e
.xword 0xeb2585917a0f5bad
.xword 0xda164f12c479ecfd
.xword 0x2134f0ed45f81bd4
.xword 0x725400fa3e1d709c
.xword 0x740b6d1fb68ca333
.xword 0x4f5b9dde494638c4
.xword 0xba0b263b524e73ff
.xword 0x73b462d6e6563c38
.xword 0xcb74601ea86ab34c
.xword 0x3fda6540b50e64da
.xword 0x86e625768eaae5dc
.xword 0x16deb3febc186ce1
.xword 0x5985244f9c741563
.xword 0xb2e17867250d00d0
.xword 0x7ff989262859bc06
.xword 0xfce56af929e003d9
.xword 0xf53b064060c0c4eb
.xword 0xcea9fa807c1b4df2
.xword 0x5987eaacec50b5a9
.xword 0xd0a7facc3fe8260f
.xword 0xe4c6d36d4370ef2b
.xword 0xa238e2d0ba17f447
.xword 0x5a0aea71a70de016
.xword 0xc66898df863ca62a
.xword 0x20cd3454fe0c3a7f
.xword 0xfdfe70f71df69ec7
.xword 0x07dbb9de7b240494
.xword 0xe8b6ab87fbf900ef
_t1_copy_iv_array:
.xword 0xd6dcd6e892299e37
.xword 0xec05247d3942d434
.xword 0xfe113fdfa86c36a3
.xword 0xb116b17e56d42dac
.xword 0x6bb0379e03b6f16e
.xword 0x6698c9bb3b11d348
.xword 0x195db4f01f587c23
.xword 0x08343fbdba1ccc39
.xword 0x87fad9282d18c286
.xword 0x691da9237c6774b7
.xword 0x3833138ab9c4b71f
.xword 0xbaa728105d204806
.xword 0x0b38ef279590017c
.xword 0x14a031bdf67d68e8
.xword 0x85e30e37bf2e90aa
.xword 0xb320a0d975ac863d
.xword 0xe495d894c91076ff
.xword 0x39227445044c9ee2
.xword 0x5ad52c832e017417
.xword 0x41156715b58acad1
.xword 0x4220c18c48202e7a
.xword 0xc2772d3d884f6da7
.xword 0x26a4b719482006b9
.xword 0x96ccdca49d55ac79
.xword 0x4b260dcc9f62b6fd
.xword 0xa04fb35b3644d91f
.xword 0xa38e0d375fbca9b5
.xword 0xc01b9c092bdfd455
.xword 0x510892caf90101e6
.xword 0x463c54576d062b44
.xword 0xc35b40ea0b17d1db
.xword 0x550ac79dad054044
.xword 0x0b673c011b37d90c
.xword 0x4cffec8d78b89382
.xword 0x97b48d3bd960876e
.xword 0xcbd6ea7fc988818c
.xword 0xcf972304c88353be
.xword 0xbebb4b3a9a858a15
.xword 0x94eeb76e2e4fa443
.xword 0x160bbf817f3efd67
.xword 0x624f11b5be227813
.xword 0x838423149d43f139
.xword 0xaded4961207f382c
.xword 0xc0f881d4ac08a406
.xword 0x3880f35d9f91ca48
_t1_copy_alignment_array:
.xword 5
.xword 15
.xword 3
.xword 2
.xword 11
.xword 7
.xword 4
.xword 9
.xword 11
.xword 10
.xword 2
.xword 11
.xword 10
.xword 9
.xword 8
.xword 14
.xword 3
.xword 10
.xword 8
.xword 1
.xword 12
.xword 3
.xword 12
.xword 8
.xword 1
.xword 15
.xword 2
.xword 6
.xword 2
.xword 3
.xword 8
.xword 0
.xword 14
.xword 10
.xword 1
.xword 0
.xword 4
.xword 8
.xword 8
.xword 7
.xword 1
.xword 2
.xword 10
.xword 9
.xword 12
.xword 2
.xword 13
.xword 11
.xword 12
.xword 13
.xword 4
.xword 12
.xword 2
.xword 14
.xword 13
.xword 5
.xword 13
.xword 11
.xword 13
.xword 1
.xword 3
.xword 10
.xword 11
.xword 12
.xword 11
.xword 8
.xword 13
.xword 13
.xword 12
.xword 5
.xword 4
.xword 1
.xword 8
.xword 3
.xword 8
.xword 8
.xword 12
.xword 3
.xword 9
.xword 14
.xword 14
.xword 8
.xword 13
.xword 3
.xword 3
.xword 7
.xword 9
.xword 7
.xword 14
.xword 11
.xword 12
.xword 13
.xword 9
.xword 5
.xword 10
.xword 3
.xword 0
.xword 15
.xword 5
.xword 12
.xword 5
.xword 5
.xword 12
.xword 7
.xword 6
_t1_copy_src:
.xword 0x9a6d137bd96ba5ef
.xword 0xe85d7fce5a9c7d7d
.xword 0xe3a029b7fbb59b07
.xword 0x902c0a5b67407ebe
.xword 0x1769151897bbf835
.xword 0x9931f35ccd0bff0e
.xword 0x572d29927d7592a3
.xword 0x7418a7988e911587
.xword 0xd8eb6413b99099c9
.xword 0x09257ebb3ac02ed6
.xword 0x032931fbc82d7d56
.xword 0x26a9d1fffbda4814
.xword 0x55b1332e0e07cc87
.xword 0x6abc5168dffd9312
.xword 0xea7a05d3d5077685
.xword 0xe27f4c0ebbe7bb7f
.xword 0xcd9927c1e2ba91d5
.xword 0x0fd07355878443b3
.xword 0xb762cd243325cbfd
.xword 0x01686900df81a76a
.xword 0xee8250aeea8de224
.xword 0xf4c7516ad38038c4
.xword 0x95bcd725e121dc5e
.xword 0xfd7b5f0eff566470
.xword 0xf12c12f685bdcf68
.xword 0x6e15507c3a004890
.xword 0x570f51adc13af1e1
.xword 0xedc5044aa6800e4f
.xword 0x682dc4fdb5939293
.xword 0xac6dc3016a00d5c9
.xword 0xa42bcf4a88d424f9
.xword 0xbf0224d584b0a5eb
.xword 0x699dc320746cc246
.xword 0xe05b5a2dd2408225
.xword 0x38f169e15dc72132
.xword 0x4257a5df2c132685
.xword 0x598ca2a3c2d3e192
.xword 0x780c9b3ef0459e03
.xword 0x2d0b011ccc41d32d
.xword 0x9991850d688e11f9
.xword 0xe8a4916eadc3a814
.xword 0x0d2facab318ee805
.xword 0xa417bcc489763005
.xword 0x14e74764b2d3f44b
.xword 0xc41aa2cc7059784a
.xword 0xdc6bea129cf9d9d6
.xword 0x83b3931777b485d1
.xword 0xf7e38be42747a187
.xword 0x45f7a94431280661
.xword 0xdff7061d2ada780e
.xword 0x94942604da814903
.xword 0x6c7d2da93c57299f
.xword 0x34fd378dc0cd93c3
.xword 0xa316ec6cc51544c5
.xword 0x08d92696852fa014
.xword 0x5aabc076bb67702f
.xword 0x8828d877a0bdaaf3
.xword 0x49248137915cdbee
.xword 0x3ee4fda2c3a73644
.xword 0x46807ed1fdc7b2d6
.xword 0x18399c4b11d52495
.xword 0x7e5ede77f9cd9d0d
.xword 0x3f70be4a98636b49
.xword 0xe8df30ca76c83367
.xword 0xfb626201b268946b
.xword 0x9ede4a262f83c894
.xword 0x141e007b8f65d7a7
.xword 0x264355c051424e0c
.xword 0x5d037b296ab2ff3a
.xword 0xd4ca115f60164b4f
.xword 0xd0e8c16675f67e7a
.xword 0xdb8e1de3991ff21f
.xword 0x978a2872250021a1
.xword 0x56c9393cfeb14647
.xword 0x178fbb8d01b35bcb
.xword 0x4399d091163e750b
.xword 0x12f46ea15c9b379e
.xword 0xd7309254d7eeb366
.xword 0x89252572fb406f36
.xword 0xfcb065b0056ee4f7
.xword 0x9b766287b1227b3b
.xword 0x47d41be08671e221
.xword 0x28fc7d1b02bf1975
.xword 0x81a4b6cd006c9c19
.xword 0xd48ea1a8631f84c8
.xword 0x04be9563dd40633b
.xword 0x1fc04908486e9aff
.xword 0x653220331df3323f
.xword 0x5671611f857965ae
.xword 0x79e9ec5218be248c
.xword 0x21b881fc86415916
.xword 0x314b125d9cd27c7e
.xword 0xeed676ff82361767
.xword 0x0f93dd06548cd202
.xword 0xb550c8228b537586
.xword 0x1930b7d8af4b85bd
.xword 0x24181b12a97dd910
.xword 0x7a6d0005d8d59782
.xword 0x6a7fda7efb435aff
.xword 0x11f50bfd487a31b1
.xword 0xc8633708349373d8
.xword 0xa366d8f854452c2d
.xword 0x5d2b2e79b7dba803
.xword 0x86c8dd10ecf0f78d
.xword 0xfacbe20d531adeeb
.xword 0x440cce40f5e4e78e
.xword 0x3bfdb7ccb5d05aa5
.xword 0x6ba500f18bb834dc
.xword 0x1bbec099bb4d8c89
.xword 0x93ee278b4b0ff579
.xword 0x006f48e310a391dc
.xword 0x9a66732bf9055c6b
.xword 0xa03b28de500a7b52
.xword 0x283abd5cec94ccfd
.xword 0xb8dec44ba24f5e1f
.xword 0x5f54509f5ec696a0
.xword 0x05f5f8b421f243de
.xword 0xbdb18a39289f5141
.xword 0xbf517792ad29b892
.xword 0xce00a2c5f18e96d7
.xword 0xe2b67eca7454646d
.xword 0x8f3800c790c4c8d8
.xword 0xb6bad171ffadf2b8
.xword 0x955087908f77bcc6
.xword 0x4e8cb33f1c5b86eb
.xword 0x7d6098f4e10ea976
.xword 0xae046c4cab459b29
.xword 0xa422618337e36818
.xword 0x2f49ad75e35a3062
.xword 0xd40734b350c6ca9d
.xword 0xeeb04de6898ff13d
.xword 0xb38c9f100453702d
.xword 0xceb689704fbb5047
.xword 0x2ed77bcafd9a1a5b
.xword 0xfd3c5958e50327a8
.xword 0x141b0137d03b0600
.xword 0x28533e149e99ce10
.xword 0x4682bbc07be03289
.xword 0x79e2461e93fa3423
.xword 0x9894a39e3169d7e7
.xword 0x77a4b314d1faaea4
.xword 0xb9f83a71d42e17d3
.xword 0x3648de1c7106e694
.xword 0x3b6c99e5c05346a5
.xword 0xcb4ef9d8036b210c
.xword 0xca3e727d08bf51e5
.xword 0x351e41020ecc09a7
.xword 0xe90ed102f25930af
.xword 0x3cc1f021a952360c
.xword 0xb2a64ad18581c9fc
.xword 0xf78f605cd10a4800
.xword 0xebbfc6fda68236cb
.xword 0xe79b388c51bc7316
.xword 0x5a85dbfbdd75cfaf
.xword 0x2967c55bc546f95e
.xword 0x38d4f901a38cbfc3
.xword 0xf4d19c14b29a8a52
.xword 0x41f43fcbb0fb92f2
.xword 0xb7c198257ca19f8f
.xword 0xeaed727b0f25b1d2
.xword 0x7a81e84683c5c59a
.xword 0x950f5efe6e98757f
.xword 0x642451bf9d203422
.xword 0x34f1cd4140e47ee6
.xword 0x344249e658e88f5c
.xword 0xcdebea83b4b8be9f
.xword 0x8b672cfed81ff67f
.xword 0x1152d30351c9a13a
.xword 0x7cd347d320970df3
.xword 0x138510b4e444de65
.xword 0x46b0f227b88e2b65
.xword 0x24fb749abc42bdde
.xword 0x0cb66fb49accb2f7
.xword 0x6e72ebf868ab59ff
.xword 0x7f02266e39678174
.xword 0x59ecaa6979700a35
.xword 0xe1fffe8353f751db
.xword 0x6fbea1800b91cf37
.xword 0xdd2eda39cd11a579
.xword 0x2cac6757aa7117fd
.xword 0x6c67019779e6691b
.xword 0xc27f0a50ac119e2b
.xword 0x8abff57ab2e73e74
.xword 0x12d62f5dacd62ecd
.xword 0x0a8db797ab05725e
.xword 0xa388596b44839cbc
.xword 0xc52e0e5c75e4d447
.xword 0x34e4ce1668236029
.xword 0x112f4ebf1fb47c3c
.xword 0xfc0df07b7e783c9c
.xword 0x16b87f0ccc5ea952
.xword 0xb342fe8c4db1cd69
.xword 0x7799723179586caa
.xword 0x500b2212c61f2251
.xword 0x67b155bb067543ff
.xword 0xc2b3d21cc976d5e9
.xword 0xb1fa27352e5f7402
.xword 0xfcb64f1e5e576af2
.xword 0x774591888114c894
.xword 0x0f756b78991e9d46
.xword 0x5cb26562152ad37b
.xword 0x5f8f7cb63004888c
.xword 0x2529cabf8c9ac7ad
.xword 0x4bf6b56d4f14eda0
.xword 0x45b839e1fc019a4e
.xword 0x7a14af46fd3e0805
.xword 0x717c124bf5568c10
.xword 0xa691fbeb129dcfe1
.xword 0xf554710bd49eecf1
.xword 0x75b2793cab5c85dd
.xword 0x101665d10d5a216a
.xword 0xbe5da2e7ae959796
.xword 0x8105a693131056cc
.xword 0x3941004e2d702525
.xword 0x3a8870490d3b48ee
.xword 0x80e69d1cc200c224
.xword 0xf03bb4424ebe447a
.xword 0x2598f7449ea2a48c
.xword 0x2c17233e6026f56c
.xword 0x6b566f9541fc126f
.xword 0xce04611d8b9d4fe4
.xword 0x1ddf4ac208a4698b
.xword 0xb3792361e0a267d0
.xword 0xcc7b9464c470f489
.xword 0xbef11b99302a74aa
.xword 0x551fd872a3b758a7
.xword 0x3dadddb3f68b9ac6
.xword 0xe4851bc695367271
.xword 0xde36683ec0793cc1
.xword 0xcd2111e156fb390b
.xword 0xeba3056c80ad96bb
.xword 0x2c9cf8956f1edbba
.xword 0xee274bbe381b52b8
.xword 0xbbe7b73d8b9eaed9
.xword 0x79edb7cbf713b527
.xword 0xaf04d3ed589daf78
.xword 0xd45c870e01e83b3a
.xword 0x67b260d110a336fa
.xword 0x9573045063f0d813
.xword 0x1ef53ac14c6155f2
.xword 0xf3666a18bdce5c1a
.xword 0xe90a2aa0783c1f9a
.xword 0xe12c53d2ce1767ab
.xword 0xc0fd86be06416ae2
.xword 0x5f90666842a35792
.xword 0x399de9d3618ed19c
.xword 0x1383dcf8de7f2b72
.xword 0x31f3efdfe1cbafd3
.xword 0x4813cbbb8a7269da
.xword 0xe19d10010d261e35
.xword 0x1fc740b9590069eb
.xword 0xbececa65dff43a8d
.xword 0x905913576f8a19ce
.xword 0x6d70c4b2f3f7ea19
.xword 0x4b7310b6eff0c78e
.xword 0x6b3de6055ee105be
.xword 0x212852fd1d2280f5
.xword 0xa4d089470d4f9d65
.xword 0x08423285a33bd4f7
.xword 0xba0598bc297bd6db
.xword 0xe877cf7a749f4f8e
.xword 0x33b68ef8277f4ccb
.xword 0xa111815cf4464ab3
.xword 0xd5e008ebb17a7d75
.xword 0x6d6041aebd55e3bd
.xword 0x8bc8326c4bd9c5e9
.xword 0xff242f9aa91e436b
.xword 0xd5916472727ae977
.xword 0x7282c62ce8fa1805
.xword 0xb20366205caafe9a
.xword 0x9b5d63146fc9e34b
.xword 0x3735e853e0187902
.xword 0xf265033448a9c4da
.xword 0xb12bed9788a8f8b8
.xword 0xbe4cf5b4fa07eba2
_t1_copy_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_auth_key:
.xword 0xb928ad650462d30d
.xword 0xb021383b599b7fe1
.xword 0xfed7c21d2d688425
.xword 0x9fa89322e1c95df1
.xword 0x192f0602ad177d89
.xword 0x473c6df84e6c19d8
.xword 0xd88b30145bdd4be5
.xword 0x2eeb8ba5568ce51f
.xword 0x2ab40de08f121b3a
.xword 0xdbf8863d9a3ea807
.xword 0x3eb933e38573a9e0
.xword 0x0893716bf97e4f3f
.xword 0x50abb3cf590987e8
.xword 0x8fdaf3ce3c92914f
.xword 0xe1c26fde84905930
.xword 0x7792ae29594bf175
.xword 0x5254a8b048f79257
.xword 0x3ec2b7a20bd18a50
.xword 0x3d429e814cd0a6f4
.xword 0xb61568dbe00492ae
.xword 0x7dddf70693ef722d
.xword 0x1705f6ba78b9dd61
.xword 0xdd1b457567d2917c
_t1_copy_auth_iv:
.xword 0x067e16ed3bebcee5
.xword 0x20cbb08287d4367d
.xword 0x7f56d149aacb90f8
.xword 0xc64e9f401ecc1292
.xword 0xa561a45c5fe51c94
.xword 0x28ff66bd456c3d7f
.xword 0x30a68891baf5a383
.xword 0x294b6eb5dc1f3ada
.xword 0xf6cd10f4fe139d6f
.xword 0xd85d7b3454e8d761
.xword 0xa0f5f6fdedabd27d
.xword 0x1abb5b0e019d8b9a
.xword 0x3ceccd2b39571a4a
.xword 0xbbf3fdbb83c553d4
.xword 0xbcc658271831df33
.xword 0xf00e7e6a06bd12f3
.xword 0x33bb76e01f9e9b34
.xword 0x0df9420d3bfd5134
.xword 0x8e934029b4eb768a
.xword 0x4de058f9253b08d7
.xword 0xc24c060eda260d6c
.xword 0x649bcbc17a5f8bfb
.xword 0xe1a7c9b2cb232a6e
_t1_copy_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_key_array:
.xword 0x095f110d3ef7ca80
.xword 0x93f9a255214ff5ce
.xword 0xf9148d2c8291991a
.xword 0xd7f6e6a3522ed808
.xword 0x992d1a4ddaa17eb8
.xword 0xca17258b1e8a8784
.xword 0xfda44a43cfd719d7
.xword 0x72be7e3ff515ac59
.xword 0x2c8fb3f3b314e64f
.xword 0xe840540b90b038c5
.xword 0x5233788e2745d3cb
.xword 0xc21dffcda0808fa0
.xword 0xa2e2461dff36d25b
.xword 0x10855ce4f7e1299e
.xword 0xc02a267fda42ed1a
.xword 0x3c12a1bea8a80598
.xword 0x2408df097ce2f9fd
.xword 0x8a74e9103f4b6893
.xword 0x18d783c2f79a31e3
.xword 0xff381ab2991e51b5
.xword 0x6016658dc3703c13
.xword 0xc325ee91d0eb3e43
.xword 0x17a6688730958523
.xword 0x8cf1985c96932a24
.xword 0x8edb353749358c32
.xword 0x570e251d51e77447
.xword 0x48b3f3b602f67e01
.xword 0x501d6e53e0c28706
.xword 0x8c9409929b5a7a98
.xword 0x3c8c676312be4d2e
.xword 0xc068805e0410dc4f
.xword 0xb8d3a2d88bd2834b
.xword 0x3b488d8188f32d44
.xword 0xb2a0492108bbba6a
.xword 0x3cba26f79552f99a
.xword 0x464b01fd793ff200
.xword 0xd71ab99aef5d8d26
.xword 0xd1443f05e13359cb
.xword 0x348942a993b26f53
.xword 0xfa7b2aa3716bdfd8
.xword 0x43108dc84ebf76a3
.xword 0x24a9e8c402607282
.xword 0x6be788c3f6ae9476
.xword 0xcdd5162df29eba2b
.xword 0xe2e17b831a8d28ea
.xword 0xb15a02e2e839b0bc
.xword 0x7d86b78fd6f1aba2
.xword 0x2c5b09c7441fc82d
.xword 0x813d63ea66add9a5
.xword 0x83d4db6f7a964623
.xword 0xa0e25c415902558d
_t1_crc_iv_array:
.xword 0xefa6ed95cca4ff5d
.xword 0x498a9f729f460edb
.xword 0xcd580f753d9e06bd
.xword 0xa08f6046a4faca1b
.xword 0xffe2a790e21da226
.xword 0xb744c303140583f2
.xword 0xa86372567895c4f1
.xword 0x149451e661f8bbb2
.xword 0x942d265e6531a3d4
.xword 0x80ffd948aafe66c5
.xword 0xab84f3951959600d
.xword 0xb540dd7f538e1475
.xword 0x5d16c008215c25db
.xword 0x9194c8f882915ef1
.xword 0xe67d1e973836bdbd
.xword 0x597856c283c57c83
.xword 0xfb8551a64336f564
.xword 0xc35b45943ad348ca
.xword 0x72dbcd0a4597c7cc
.xword 0x0122217f8ecdfa04
.xword 0x1e8920d47c6ca8b2
.xword 0xf1e59e2e13b66b46
.xword 0x01ab1290682fe04b
.xword 0x97cc25cf7041253a
.xword 0xde5893d1eec14661
.xword 0x051440304f707792
.xword 0xa54c7de566780e3a
.xword 0xb3625b24e474970a
.xword 0xffa7f71427768a6b
.xword 0xbccc4e0141ec3284
.xword 0x687ff6c1284918ad
.xword 0x52e92042cad495b4
.xword 0x98854db7eedbb71a
.xword 0x026bd82a5446fd40
.xword 0x6cb3b2a443df572c
.xword 0xc778d60494154766
.xword 0x002635980ac18a18
.xword 0xb735835dd7cb53bb
.xword 0xfa74587e12468c4e
.xword 0x29e397808305d2bb
.xword 0xf3c990676d54f304
.xword 0x62b5b54d893cc433
.xword 0x7251523766487ade
.xword 0x7e3872e13537655c
.xword 0xd99658fc87a3f9c4
_t1_crc_alignment_array:
.xword 1
.xword 9
.xword 7
.xword 15
.xword 7
.xword 7
.xword 12
.xword 6
.xword 5
.xword 1
.xword 11
.xword 4
.xword 11
.xword 10
.xword 3
.xword 10
.xword 13
.xword 7
.xword 4
.xword 4
.xword 10
.xword 0
.xword 6
.xword 5
.xword 9
.xword 2
.xword 3
.xword 12
.xword 5
.xword 11
.xword 1
.xword 11
.xword 7
.xword 15
.xword 9
.xword 13
.xword 10
.xword 11
.xword 3
.xword 0
.xword 7
.xword 7
.xword 5
.xword 15
.xword 8
.xword 10
.xword 3
.xword 6
.xword 1
.xword 2
.xword 10
.xword 0
.xword 12
.xword 1
.xword 13
.xword 1
.xword 1
.xword 2
.xword 15
.xword 15
.xword 12
.xword 6
.xword 5
.xword 6
.xword 14
.xword 8
.xword 15
.xword 12
.xword 7
.xword 7
.xword 1
.xword 9
.xword 12
.xword 3
.xword 14
.xword 11
.xword 3
.xword 14
.xword 13
.xword 2
.xword 7
.xword 3
.xword 11
.xword 3
.xword 9
.xword 0
.xword 7
.xword 2
.xword 12
.xword 0
.xword 9
.xword 2
.xword 6
.xword 0
.xword 15
.xword 1
.xword 15
.xword 14
.xword 11
.xword 7
.xword 15
.xword 4
.xword 2
.xword 8
.xword 4
_t1_crc_src:
.xword 0xe9bc21a5dd51afdd
.xword 0xf18a56e7aa6a7ced
.xword 0x5c015ae2f9fbe1e2
.xword 0xcd02c6554471ea1c
.xword 0x8dd3edcf025fcf88
.xword 0xf975f4d05196dc72
.xword 0x6734ddcaba54e548
.xword 0x047a5b305eefb66d
.xword 0xd710105e6567b2bb
.xword 0xa2e3849f1d40bfd7
.xword 0x08b0d32550d6e658
.xword 0x046b00a2e7b23930
.xword 0xbc2ac43de5afb77a
.xword 0xa893aec1755fbd55
.xword 0x88a426dc0f075856
.xword 0x4be3244d6fe33b74
.xword 0x849b379b6161369a
.xword 0x9f0b7aaab2445324
.xword 0x2fe4a2e880f8cbc3
.xword 0xcb344bb2d26778fd
.xword 0x91fe52931efdb33a
.xword 0xcdf1d97d2b5a2692
.xword 0xb1fb9305570a2a1e
.xword 0x904e7df93904eee7
.xword 0xccd78d5ec4c8bd4c
.xword 0xc43f0b285c283b28
.xword 0x87bbc34df42709bc
.xword 0x0cc1c5b3d3251706
.xword 0xf81cb09acc4b1286
.xword 0x175ca44f3aee22ff
.xword 0x35ab1da9cc555f4a
.xword 0x92890a8bcf2cf3f2
.xword 0xb360843acf5f1549
.xword 0x419f991838b93258
.xword 0x2245a706addcb86f
.xword 0xe5b08439708733ff
.xword 0x2aaf0252be363c08
.xword 0x828c2cd6b443c7ab
.xword 0xff94dcbeeebe9681
.xword 0xa44160fe1edc6e05
.xword 0x1d26b821ff86b26b
.xword 0x61e0bce6910a6210
.xword 0xee59d940f35ac41f
.xword 0x522dff2b0008b390
.xword 0x25f4436c058a0da2
.xword 0xd46905e54829f0b0
.xword 0xd5afe7016169c68d
.xword 0x4621633a17760ec2
.xword 0x78a66f9a2f38456a
.xword 0x2a1b2ec9d1904337
.xword 0x4039aecace86ce9a
.xword 0x05738214b409b343
.xword 0x1640b523c8701621
.xword 0xe9087ed9df6ea77f
.xword 0xbf3bafa8c336101a
.xword 0x6ff489070d855415
.xword 0xc9077f3e3e8f3447
.xword 0x504358a9169b6f4b
.xword 0xdd3200e8e2c5d6f2
.xword 0x7faa311caaa66d58
.xword 0x4903328967070fc7
.xword 0x5cd3ca472da7807b
.xword 0x332ef72296f3fbcb
.xword 0x5cb68093476c6d23
.xword 0xb591b5f25270eb8f
.xword 0xa7a0c7c9d357d60d
.xword 0x8d32a6ac2359d0bd
.xword 0xafc263c4335f3a09
.xword 0x6b1ba6074e8ef2bf
.xword 0xa62c09eb53cf19e1
.xword 0x123fb6b234df3365
.xword 0xdbad8cc4d0d2049c
.xword 0x5fa0eae445f2c454
.xword 0x37d44b716d546cac
.xword 0x585149e93368d3d3
.xword 0x4346fa2f47e20409
.xword 0x16bea36d60b1cc63
.xword 0xd5bcecc6cdd852a7
.xword 0x12c360a286946a19
.xword 0x7b6654865d51be1a
.xword 0x170d791403ad1d44
.xword 0x5147a907aa3b65eb
.xword 0x75f89f309dda7c85
.xword 0x23c634b6b65a7186
.xword 0xbfc8099ee51806dd
.xword 0x8180dd2205a0c093
.xword 0x3e333e9cee74d3b0
.xword 0x316ee50a44160f81
.xword 0xf768554278fccb96
.xword 0x600bab4b5f3883e8
.xword 0x162118e581190897
.xword 0x8a9fda27de5db6c5
.xword 0xca4b6709dc57cdca
.xword 0xa23be05052178fa4
.xword 0x39dd266a1c86bc88
.xword 0xae3140aab91de83a
.xword 0x8d0105f5d50e6b09
.xword 0xc2810b43bca67ade
.xword 0x5f7e4436f0ef120f
.xword 0x7bb2ae00da36a994
.xword 0x7a3b75309257e092
.xword 0x37c5d32c0a0eb844
.xword 0x2a9656c6ef792d68
.xword 0x376ee903b3c4a055
.xword 0x07cd327c0845cbcb
.xword 0x7b980d05ff41f6f2
.xword 0x1d27dadf3f340175
.xword 0x7746c38da16da185
.xword 0x99ecc8b335967e64
.xword 0x4046f7db113e319d
.xword 0x56bd9edced5a8917
.xword 0x5a72b3ec001b0b93
.xword 0x154ad130ffd5488b
.xword 0x3876f6d787c7e1f9
.xword 0xb2d6709842511a1b
.xword 0x496dc6bfa2b7876e
.xword 0x35f301b76b74eba5
.xword 0xc8c487e5d54045be
.xword 0x81a93067e38eb35c
.xword 0x704f7b66eedeef9f
.xword 0x94cb9efd1970a056
.xword 0x427d55414f938bbb
.xword 0x422327863e093cf1
.xword 0xa861eb88858452e9
.xword 0x405a2feeeb9ca910
.xword 0xf4bfabfb20569e4b
.xword 0x3526fdb10da4bd5c
.xword 0xb139c2a9b8560ad6
.xword 0x488a986c0067a76e
.xword 0xed0fffe263c2ff4c
.xword 0x640f49b96e07d730
.xword 0x33514372678df7e5
.xword 0x6714327882a7f665
.xword 0x85d594212f554c6b
.xword 0x128032891beb7b1f
.xword 0x3d4b6845442c43c4
.xword 0x0989ed289489e880
.xword 0xb70e9c6c44c90642
.xword 0x1b135f5ec0f6e99a
.xword 0x41c99c0835b27a80
.xword 0x97727840fb5da1f3
.xword 0x47187974e12898b8
.xword 0x3a41366abc578fd6
.xword 0x78e39a5f353691c9
.xword 0x8585fae952b6e390
.xword 0xfde4599d89887486
.xword 0x168cd8e5c7feb8d9
.xword 0xe3e3a94b47263304
.xword 0x6b5b09234cb3b7a7
.xword 0x75e2c0dd94bd57ce
.xword 0x2d5aec365352af23
.xword 0x4388d106617de817
.xword 0x26ef375c507d01e9
.xword 0x95aeb25403b613a7
.xword 0x57913c8a7db84708
.xword 0xcb833c2c3e81822a
.xword 0xf1cb0d86328c9ce3
.xword 0xb237ba1ec9b3675e
.xword 0xf20c36a6a0da8719
.xword 0xee005450706d6097
.xword 0x68711f98d5f848d8
.xword 0x57b24136b3b3d27e
.xword 0xc9f8f8371f52492c
.xword 0xa7c36bcca71e0a2a
.xword 0x0519edf23a77d28d
.xword 0xb35d3b950cb6f505
.xword 0x03fd7981ca60efc9
.xword 0x4dab7785105d9604
.xword 0x124c6b6d712fb83a
.xword 0x04bcf4303cf427a9
.xword 0xf22c32cde1902e4c
.xword 0x39085877fdf64ccf
.xword 0xf8f35029f94c9a0f
.xword 0x900cbdf0eb2f4521
.xword 0x525ef8f494d81d80
.xword 0x1e892facd04f3328
.xword 0x31d8faca991209ad
.xword 0x32d1752deef5c829
.xword 0xdb957d46afadcdac
.xword 0xb912998ee9c2d853
.xword 0x401540426343896d
.xword 0xa89f6d92794ac7bf
.xword 0xd59431998d42df84
.xword 0xabb765226cdfbe96
.xword 0xc361a91edf667aa2
.xword 0x1e0d2d1a67d4a3e4
.xword 0x797f468a58889b4d
.xword 0x2068e7d941e840e3
.xword 0x1a5ad04c94ce182b
.xword 0x54c37a4c663a82ce
.xword 0xd03ac005fb8d6add
.xword 0x4d1a883e6ea83ea3
.xword 0x6f2ccad8008c787e
.xword 0xe32695a4e23940f0
.xword 0xc66fe34307db0e5e
.xword 0x307258949eb0e974
.xword 0x0215a2680b648eb3
.xword 0xb939927afb2e1e29
.xword 0x596fccb6e25cfa83
.xword 0xfb47b8aa0ca7c875
.xword 0x30ce31c214517c34
.xword 0xcab1be5cba0303e3
.xword 0xdfc8972089042d56
.xword 0xd29af260ab28d50d
.xword 0x380698f8faf964f9
.xword 0xa507dab0fb257d8d
.xword 0x534fbee1e689c975
.xword 0x7883d32fb5ff2787
.xword 0x44d1a23060973595
.xword 0x72ad4dee8742acdf
.xword 0x6f0e39a1def8ee2d
.xword 0x0d2eec04cfe569d2
.xword 0x4ede8913173cc841
.xword 0x3ee55902e36e1c4d
.xword 0xe2c3d1b97cac26a9
.xword 0xbb18fc418f81b686
.xword 0xd1a3d374c1782729
.xword 0x64c3e441ca797949
.xword 0x949dc5928bbb14e8
.xword 0x63cd64500fedb153
.xword 0x24df1d427e8fc0b6
.xword 0x925077b874d87bea
.xword 0xda1582e19fbb3cc3
.xword 0xfd66acd2afb1eb99
.xword 0x03eed6d83dd0f1b1
.xword 0x37a80d911670a9c3
.xword 0xa531ba053707ea7b
.xword 0x42d55fcf2d52a3cf
.xword 0x2c951098bc00e4c7
.xword 0x0198140ed7721857
.xword 0x208a3aaa0d46df4b
.xword 0x53dd15e7033b4bba
.xword 0x0e8fc85c4b23e87f
.xword 0xd072aaf61a439a23
.xword 0x016c386e9aa4ef24
.xword 0x2d9eb7cc291637ab
.xword 0xdf68bfb63ec214ec
.xword 0xf847c7a16c0a065f
.xword 0xc4a029765a2cc4d5
.xword 0xac3391f47fa9aaba
.xword 0x55257f96961a2708
.xword 0xab328a16022c7e79
.xword 0x503d119b174d73cc
.xword 0x9fe9578686587c69
.xword 0xdd95db961fa259f2
.xword 0xfa0bef8bffc834c8
.xword 0x45b3cd1abd058b39
.xword 0xf669c33838069e40
.xword 0x375d8fcebbcd9b23
.xword 0xfb7e769c20a5499e
.xword 0xb9e77aebad1d273b
.xword 0x1088eb1917e143ac
.xword 0x806539907197031a
.xword 0x3f32f4cc5752b12d
.xword 0x80463cfda6c26dec
.xword 0xaf397cebbbab6428
.xword 0xb1fcb3904ec4e9ab
.xword 0x5f59541ba769661b
.xword 0x51e7faf03c6ce6d6
.xword 0xbbe1743926de972c
.xword 0xe6434bb5f181dfe2
.xword 0xcff2e8bff73c3ecd
.xword 0xaef6a37956d4c60d
.xword 0x383fa82cc2759bf5
.xword 0x393ad7a42221cc9f
.xword 0x575bf7e9c9501871
.xword 0x34124b926e5f5bfe
.xword 0xd2476e20ef7bda96
.xword 0x30cefeed9ddcc417
.xword 0xe89b1618b1bc2df7
.xword 0xfdd2376e1dbca996
.xword 0x16bf1c42c5b9f2bc
.xword 0xf1ad7e01ca8aa014
.xword 0xc009d58c61f5c713
.xword 0x870de26b1c9fb246
_t1_crc_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_auth_key:
.xword 0x53fd714cfd3b50de
.xword 0xcfa37f462d90d6ee
.xword 0x2322e7a20a81373f
.xword 0xc5adb5d57d4fa3c8
.xword 0x4af1dd2f65bfcae2
.xword 0x0327ad531a4e90f2
.xword 0xc12cc1fab4a669f0
.xword 0x6580333a644f222c
.xword 0xc63db886a2acfc40
.xword 0xf5391e501da0af0e
.xword 0x6f05272f3126800e
.xword 0xfc9dae6ae44ca91a
.xword 0x152eda4718a19118
.xword 0x5f1ec8176a526b97
.xword 0x8ff8d99a018ec873
.xword 0x8bbf6a54609e5d5e
.xword 0x5332aba235681086
.xword 0xb305b5f265469dd7
.xword 0xe1f54f972838f0b6
.xword 0x4d3dad56cbe9f779
.xword 0xbb5db23d6cfabdc9
.xword 0x0a762a55414b6d3f
.xword 0x992d030475aa1990
_t1_crc_auth_iv:
.xword 0x3486436d2997d3c6
.xword 0xc364b1ccff83c4bb
.xword 0x022416e3171fe36d
.xword 0x5fc11e7fb3a0ad02
.xword 0x3e5128f32dd1a660
.xword 0x956686f54ec4e709
.xword 0x35ca2c59735d158a
.xword 0x26d4530573179bd9
.xword 0xabbe6ceff5bc2655
.xword 0xcf96535d6cf20410
.xword 0x03e9398e388b529c
.xword 0x7510181d11260ef6
.xword 0x296d650da61427d7
.xword 0x4c7894eb3ef84c4b
.xword 0xbb3970b62e2d4d38
.xword 0xb0d09d78b3396673
.xword 0x5080ed222a783e57
.xword 0x78dfcf4116eb8498
.xword 0x89ceac7414ad709d
.xword 0xc7d8f424c7ec50c7
.xword 0xb158332992078695
.xword 0x4d6d5b6755ecaaf7
.xword 0x3c8a624ebd88f5c7
_t1_crc_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_key_array:
.xword 0x06043ff6c1896bb8
.xword 0x9c4e07ec1955d846
.xword 0x6308daeba9c2424a
.xword 0x96dc6192fd5a0f4b
.xword 0x9b6466997cdb0583
.xword 0xd182e54a16efc596
.xword 0x58a9e723f652ced3
.xword 0x5ed88e0567e0738f
.xword 0xb86c730d3131d4a6
.xword 0xa30d5caf307a05a6
.xword 0x69dedc38730981bc
.xword 0x464fffb2368e9adf
.xword 0x9130e06b7da129df
.xword 0x308997b5c4d3358c
.xword 0x81826913c3904af4
.xword 0x40475de88674e5ed
.xword 0xfb50230885cfec6a
.xword 0x5bd6fa1cb86cd3e1
.xword 0xd55fbdf5f7fd9976
.xword 0xd764fee1e9eab656
.xword 0x2a9feedd9688c6ba
.xword 0x5019b3dd0cbe580e
.xword 0x0114d9aa1e8e8ea1
.xword 0x36d260851dfd0c8b
.xword 0x914b273b6695708d
.xword 0x491499afbf705336
.xword 0x845e757a4c8bca91
.xword 0x050f1567136dda0c
.xword 0xfda259b6db9a7e2d
.xword 0x7debbff3eac66fed
.xword 0x35330d9e14fd3676
.xword 0xc0f92e2301cecb37
.xword 0xfef3cd18f0e9ab87
.xword 0xae5b9a1e861137cb
.xword 0xd685e60afae4639d
.xword 0x21d74c9110141d41
.xword 0xb9c0cb9915a26749
.xword 0xae21108cbbc56f5c
.xword 0x2cbde2a9979caa9b
.xword 0x58eb49279ffceb6a
.xword 0x5a3dc3ed3d902f62
.xword 0xff5c4f242ede2f4e
.xword 0x73bb2d9b23fbece8
.xword 0xbe3c06ac038603bb
.xword 0x53833ae98e896878
.xword 0x7697b3c2008e49d3
.xword 0xaae27d6ba04ec2a3
.xword 0x8a4e240fce567565
.xword 0xfc271e78d1613f8f
.xword 0xe671322ad5a0333d
.xword 0xcfd4ddb459a28d59
_t1_hash_iv_array:
.xword 0xd8cea15680aa5aa2
.xword 0x6f496c3c99e68428
.xword 0x9b1630c77db02734
.xword 0xa4d93fa440f0ba53
.xword 0x92abf931cf818daa
.xword 0x997243b51b968624
.xword 0xd819980691226400
.xword 0x8bdb8317e0c961ed
.xword 0xe141a36a554d46c9
.xword 0xe16a783342510933
.xword 0xc830cda47f198cac
.xword 0x3201fcf11ecdca40
.xword 0xb837e84ea7257b78
.xword 0x1b5990a3d55ea8b4
.xword 0x98343ff5cdb1310e
.xword 0x84f13b18ed70dab3
.xword 0x069e17c37208fca8
.xword 0xf10f43485b9d92a8
.xword 0x07c9ab18246cf1a1
.xword 0xb39be21dab8f5ec3
.xword 0x6c47e19340f8f007
.xword 0x92afe996bb621d0f
.xword 0x9638b8ed58387635
.xword 0xd8bb70e7a96aeec7
.xword 0x8c61a331408211f5
.xword 0x25a19a5bc0ee0e44
.xword 0xf0c645bd0434218e
.xword 0x0f11cc7398ac3eb4
.xword 0x2e1332f70d86b6a7
.xword 0xe3075682fc3debf2
.xword 0x0f27f979d3f08859
.xword 0xb7048dea54d00fc9
.xword 0x3d303b8e882db60c
.xword 0xe3c11da20195a7bf
.xword 0xbef69746d1612c81
.xword 0xb472d585d2a41a2d
.xword 0x11bc678371cc7095
.xword 0x8372f81af557388b
.xword 0xe2065e726833f5c3
.xword 0x89c8a308b454f3fd
.xword 0x0cdc8eeda624e647
.xword 0x814c1de82bd9662c
.xword 0xcd7d230ecdb18e2c
.xword 0x9c23efb4e51d716f
.xword 0xe1174eb152c376ea
_t1_hash_alignment_array:
.xword 5
.xword 13
.xword 15
.xword 2
.xword 4
.xword 15
.xword 4
.xword 9
.xword 2
.xword 11
.xword 4
.xword 0
.xword 7
.xword 14
.xword 1
.xword 14
.xword 10
.xword 9
.xword 0
.xword 13
.xword 15
.xword 1
.xword 4
.xword 6
.xword 15
.xword 9
.xword 1
.xword 10
.xword 11
.xword 13
.xword 5
.xword 7
.xword 15
.xword 14
.xword 11
.xword 7
.xword 4
.xword 12
.xword 13
.xword 13
.xword 4
.xword 4
.xword 5
.xword 15
.xword 13
.xword 10
.xword 11
.xword 11
.xword 14
.xword 14
.xword 0
.xword 11
.xword 15
.xword 12
.xword 12
.xword 1
.xword 5
.xword 8
.xword 3
.xword 4
.xword 3
.xword 6
.xword 5
.xword 7
.xword 14
.xword 11
.xword 11
.xword 13
.xword 4
.xword 8
.xword 10
.xword 6
.xword 12
.xword 9
.xword 0
.xword 1
.xword 10
.xword 3
.xword 12
.xword 2
.xword 4
.xword 5
.xword 7
.xword 12
.xword 14
.xword 10
.xword 6
.xword 9
.xword 15
.xword 15
.xword 14
.xword 6
.xword 0
.xword 14
.xword 15
.xword 9
.xword 9
.xword 1
.xword 6
.xword 12
.xword 10
.xword 8
.xword 1
.xword 10
.xword 8
_t1_hash_src:
.xword 0x5b4d07c5819ae0c1
.xword 0xd234fd5157f4d388
.xword 0x4f849d95c94f9860
.xword 0xc9edc68d738849f7
.xword 0x6bb7e79ffb7f8e48
.xword 0xf37ec86339c9678e
.xword 0xd807eb1c570e4f93
.xword 0x65b7b7fd9478d62a
.xword 0x7431bdc2001210a6
.xword 0xc1e436ef7ce7c5f5
.xword 0x6bd2faf1752d1ef6
.xword 0xfb474adf96f130c1
.xword 0xd81cafa05d1034df
.xword 0x331b7f29a466eae3
.xword 0x8d49fe4eb6a9c7ea
.xword 0x3b263164bca315c7
.xword 0xaaef8e8a0a9a003b
.xword 0x27b47b3b2cb4344b
.xword 0x855644b2b10f03c1
.xword 0x3f7a46f725d0a12e
.xword 0x7d600c0fc97f096f
.xword 0xc1c2e714f4d18ac6
.xword 0x3b93b6135b6c0806
.xword 0x323e61fd48699f2d
.xword 0x98c76f0ef2fc1367
.xword 0x2a406fe8d05a8002
.xword 0xf0bf1eff71d85174
.xword 0xa4d773e88256c84a
.xword 0xc72a145fb5870bdf
.xword 0x181417e8b14bb6eb
.xword 0x46017ee05b2b8088
.xword 0x819fdd2b8715ba10
.xword 0x854643f2622a01fc
.xword 0x4d72c98dbcd194a1
.xword 0x19523316738dba3b
.xword 0xe2124eea26a220f6
.xword 0x70bba0903e6a56ae
.xword 0x3b3e5439248be45d
.xword 0x7cde87612b62f468
.xword 0xfe13051967e774dc
.xword 0x61e7e2d95e388b13
.xword 0x51faa33a16bb36dc
.xword 0xdd4d356eb3a07e54
.xword 0xc4ad21aa051cbece
.xword 0xbfdfa80b3280f7d5
.xword 0x395ad8c8a1db4da2
.xword 0xf3ee6c61154384e8
.xword 0x4eb6baab0d46d043
.xword 0x76032a0012991285
.xword 0x4a7b2fec612f7686
.xword 0xca01e31595e80738
.xword 0xb53817b603cd4865
.xword 0xdf81eb2c1d4617aa
.xword 0x6566b54e618cfad9
.xword 0xe25253363a51231f
.xword 0x680ead322377009b
.xword 0x8a119117746f1c8c
.xword 0x343dada09e530f53
.xword 0xeaddfd4bd4999c8d
.xword 0xc4268db9e84c7bca
.xword 0x89999a6bc3637186
.xword 0x31e02da11f99382b
.xword 0x3bbe9d602225ce23
.xword 0x1b497e83a5bd8cea
.xword 0x7ee3fde37b8ac47c
.xword 0x1d5bfffaea7b03c1
.xword 0x4c95148696e2c85d
.xword 0xd276eb77141681f7
.xword 0x4d643505b6629d78
.xword 0xc386e2f696bece35
.xword 0xc1da795b351202db
.xword 0x68c30a359bc251c3
.xword 0x23660efb1bad89f7
.xword 0x0e340cd3bdb81990
.xword 0x95584875065df3f4
.xword 0xb77d5e890e5ef1f0
.xword 0xad6b52b31ac593c7
.xword 0x1d56184d0fcb613d
.xword 0xbb149a768df837a6
.xword 0xefbf5667fac56799
.xword 0xde4fba4a1cb47d78
.xword 0x4203f96643c125d6
.xword 0xd8d8a530f71e964b
.xword 0x49b0e93ba99383f4
.xword 0xcc32fe1cbc192b04
.xword 0x283d043642ac90d9
.xword 0x3139b266516ca952
.xword 0xb98d7d68e491795a
.xword 0x2af23f999c6c7008
.xword 0x80d37555ac2f7172
.xword 0x91746041fed6457a
.xword 0xbae2f816419586d9
.xword 0xfe0b966e6b01f3bc
.xword 0xf56a55b9272fd962
.xword 0xe2e8c00ce7db3632
.xword 0xaeb984b991286201
.xword 0x2d217f6e1a77a01f
.xword 0x46a993d4e50c9681
.xword 0xebb161e179498a00
.xword 0x9f537babf912a701
.xword 0xa8aa2841a6792a96
.xword 0xa29db56e8ca0d9b7
.xword 0x849dbf99d041216c
.xword 0xfb5aa359d0241b01
.xword 0x6666c58c7d12223a
.xword 0x28e3716d903b4b2f
.xword 0xf84a02b7dcff3bd6
.xword 0xb97b5c6fd6dcb4f0
.xword 0x487e3fcb48595369
.xword 0x5b3ffe8decbee520
.xword 0x014bc9242185f5b3
.xword 0xfbcb703e20f359df
.xword 0x66fcc8600c0149f2
.xword 0x4a4d33f55331b2e8
.xword 0xbe26ede61550a775
.xword 0x3d99adb45c9d2e4a
.xword 0xc5d9cee1ae628685
.xword 0xc43213fd3cc2b8ee
.xword 0xd8fcbff6566e5bff
.xword 0xa28bb5e9684c18dd
.xword 0x5987b8396d2ee22c
.xword 0x4af1a6059b0b7189
.xword 0xbfaaf6aadf0ef1fe
.xword 0x3d78505db95fd2d3
.xword 0xac1e225ca80b9e30
.xword 0xe2f886581ac32039
.xword 0x4bbad38f1232dab3
.xword 0xc8aa5b646c8a36c8
.xword 0x91b129d3ac9a25c1
.xword 0xfec3b8627ef5a532
.xword 0xfe18cf68fac8a6f7
.xword 0x2febba02ed634e25
.xword 0xab5a31adbfc04abd
.xword 0xce104b634b3c0003
.xword 0x059898e9fb8f3912
.xword 0x2657b16376c4f30f
.xword 0x9fa9abf9a88b37d0
.xword 0x1fdafd2898279ce8
.xword 0xf6c16a2fcb5c3f9c
.xword 0x948d3a9fc26d7df5
.xword 0xaf2c544d91dd253b
.xword 0xea1e15e9b5d6c92f
.xword 0xba725ac8a466d2c8
.xword 0x6f68635620afcde9
.xword 0x5616ddf03257fa28
.xword 0xcf96fed1d70fc2ab
.xword 0x80f5aa36e5c4e4df
.xword 0x1595c04693a5977a
.xword 0x70f5cf7dadd7ccf6
.xword 0xfa7b9102bbae4920
.xword 0x8f9218131788a762
.xword 0x75e95d5a1b56b0ed
.xword 0x0021c0d4b417694f
.xword 0x00fc9546f6314077
.xword 0xd28230f99ca6ace5
.xword 0x9b63a336f4b36cde
.xword 0x533190b34f158d02
.xword 0x71cade6ae6fa865c
.xword 0x57e5e967f5efd280
.xword 0x0ddcbad954d08928
.xword 0x47e92b7227bdedd9
.xword 0x0eadf1fded3dacd1
.xword 0x35f228593c77d9ad
.xword 0x51f06240915830ad
.xword 0x0a7e8f36ee8e2970
.xword 0x7879b3089fadd0c3
.xword 0x908b2d83fee87e0b
.xword 0x382a1a8bdd3975e1
.xword 0xd558ca4df938270d
.xword 0x9c3aa0036ce0d653
.xword 0x9cbe73022b45a4d2
.xword 0x0c2b3c1cd3f5518f
.xword 0x8a55f4f3b8c4f7c0
.xword 0xa518ef176412696b
.xword 0xdaf5feda71d94bf7
.xword 0x9d4a11dbe886cf53
.xword 0xcd66c7868b3a1e95
.xword 0xc703af702bbb9cf1
.xword 0x657f0874b44bc34e
.xword 0xe2dd5c2f46c14ee2
.xword 0xb1e28be7c31393f8
.xword 0x3158dce0485ac77b
.xword 0x9ae36c7f8d598112
.xword 0xa1bb392cadcc9ba4
.xword 0x40af0aad52e4c147
.xword 0x3b316e9bbdbfb734
.xword 0xc40a28643857075d
.xword 0x69f314f838044717
.xword 0x75013dbce6b6fa81
.xword 0x9e4936f6c865c010
.xword 0x6e008e1a212a2209
.xword 0x28a1aa7afa6c4e59
.xword 0x646752d1f9825877
.xword 0x1c5096a152c49483
.xword 0x4056895ca0203560
.xword 0x2de1525c7e94a62b
.xword 0x222f202e5a7dbc5f
.xword 0xe31f21b1c58ee279
.xword 0xe541ef0c50795cbf
.xword 0x9e18110783802132
.xword 0x209c7cfe70de47cd
.xword 0x32aff8cbcb0ebca5
.xword 0xa521f91ea5cebe35
.xword 0xa8f60170a2d5b70a
.xword 0xf9e8ebcc359290a1
.xword 0x11c278324ce86119
.xword 0x56126c5c37fcbf35
.xword 0x4f716bc4d3a66482
.xword 0x9d138ad091003e20
.xword 0xe9eb76cc03eabdb6
.xword 0xbf4104b148620be4
.xword 0x039274d9dbe1ad53
.xword 0xe06e2d2bc0951928
.xword 0x8873844a9a24b76b
.xword 0x00d2e11eeec73b0e
.xword 0x9ef38b01ce689683
.xword 0xffbbcb3a4b805b21
.xword 0x2eb1e6d917680bef
.xword 0x2cdd3c780e179362
.xword 0x94c2170c6d784e90
.xword 0x27b3aa5ce762a0f8
.xword 0x39f8e46b4fc43517
.xword 0xd735d80a92042214
.xword 0x2380ea8df2de663c
.xword 0x793ffdc258dfa034
.xword 0x3320f8797d138ab2
.xword 0x71fd49be7064894a
.xword 0x1fd8f163add9e5e5
.xword 0x2c269edb732d787c
.xword 0x6a05c00398e91bc8
.xword 0x3e3f9e6d1a5dff93
.xword 0x1d6f28bb4d76747a
.xword 0xa9c202122e627095
.xword 0xaee51e18bc6e3d1b
.xword 0x6a59936c79fbaddf
.xword 0x8624dc3a5a651f5a
.xword 0x1f1488650f92fa13
.xword 0x711e4ac5c311f0ab
.xword 0xd9023b51151242ae
.xword 0x54424c085f3fe588
.xword 0x8ccbb59369162f1a
.xword 0x2cc26492ec0547d6
.xword 0x6dad68ff01d23257
.xword 0x9230f245b3146d4f
.xword 0x0792793d72889f44
.xword 0x8c426504b572d3d1
.xword 0x5be53eeb272910f6
.xword 0x341262edc7ac8e0a
.xword 0xff4078664f0fcacf
.xword 0x0f8bb6b4a3ba4c74
.xword 0xd5b3b3fa05ca2463
.xword 0xad9514dad418c299
.xword 0xa55515f411b5f274
.xword 0xcff362093c65da4d
.xword 0x1b7e445f7646e168
.xword 0x1b09a1d0afa89393
.xword 0x3690d800e89c88c1
.xword 0x41e9b678a236edc7
.xword 0x2b727c70e4fbb7d1
.xword 0x42daf4e3774ff498
.xword 0x2c341f07b6c5022d
.xword 0x39aa1ea539014f41
.xword 0x0d15dc08b8eb57da
.xword 0xf17ce0eab8636e14
.xword 0x03f3d392c3fa4792
.xword 0x06a77603556fcb84
.xword 0x89bb41711c738c25
.xword 0x0ce1d21fa44c5fee
.xword 0xc979742f3f77e364
.xword 0x17bc9e323ac883b2
.xword 0x09e5018db5c364b8
.xword 0x17432fdc943a070b
.xword 0xa177ca52e1fd1454
.xword 0xfcfbd0028cbe23ba
.xword 0x689b7d35966e96e8
_t1_hash_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_auth_key:
.xword 0x2ac8a0d0da0a64ea
.xword 0x2b7f315571ccd522
.xword 0xa99fb54cf4c98dbe
.xword 0xf3373ccd4874d7ea
.xword 0x45f0d99ac0bf519c
.xword 0x63fcd2fd669c7848
.xword 0xb9deafe2d2d40f09
.xword 0xf3ba4fd52bc3ff4e
.xword 0x136014400531da88
.xword 0x9d907f7674453d02
.xword 0x6961d2fafc66a224
.xword 0xc5aa16fa2bfd5947
.xword 0x03917fdbc05c6d2d
.xword 0x1ac827d58e7386ff
.xword 0xf91aa9fea8db1daa
.xword 0x2ed33fcdd7c3cdca
.xword 0x65370c9c553a9bcd
.xword 0x97cceeb387ff0e9d
.xword 0xb95a7cda5654fdda
.xword 0x210c7329df9a7fd9
.xword 0x866dc9eafa05d7b8
.xword 0x4a10c4ed26e87a0a
.xword 0xc05d878daefa0add
_t1_hash_auth_iv:
.xword 0x7a9c3495b0987979
.xword 0x7a967739d5383650
.xword 0xe3fff20ba82f0e4d
.xword 0x68146fe71bbd8702
.xword 0xd6ad835369b5f7c8
.xword 0xc492391b5854a707
.xword 0xf7ceaa85932d9ee5
.xword 0x58350c9e8d1b0dc9
.xword 0x4602e364cea60eb1
.xword 0x1e445d54d003b3f7
.xword 0x70c973f2e95379b6
.xword 0xc8fe8df9758d574e
.xword 0xd4418446064eec06
.xword 0x25ea8274a2821721
.xword 0x6d4b74d3572eb287
.xword 0xb686321e6b964c26
.xword 0x36cfd46d76293366
.xword 0x0b28c1eebad82815
.xword 0xa27fc0bf5b6a122c
.xword 0x0fb131fcddcad3b1
.xword 0xf15e841d6b89eee0
.xword 0xa10a4cb0cf1bdde4
.xword 0x7de60566471a3475
_t1_hash_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_key_array:
.xword 0x52c3302ffc01ea41
.xword 0x33b96c8207c251ce
.xword 0x4af148abd4082d67
.xword 0xdc16088e9197976c
.xword 0x5c065df483d36c6b
.xword 0x626e03b839d2792d
.xword 0x2e47cce90a5d2969
.xword 0x15c1f61af990ff3f
.xword 0x76b7c6d5206ea7c0
.xword 0xf1f35b923665f178
.xword 0x9113963656eeb083
.xword 0xb47d4960c465647e
.xword 0xd868b51d31c91cbc
.xword 0xff0df3f35dace77d
.xword 0x35f08a426ebc24d0
.xword 0xc3fcd499b03e90a3
.xword 0x49388fc88089fcc4
.xword 0x622bc941696ac633
.xword 0x295f8da5b70bb6d5
.xword 0x791b2accb59eebf4
.xword 0x925f1767d153c5e3
.xword 0xda186207d9eee495
.xword 0xb8fed754d5b55b6b
.xword 0x16c41b71325ed951
.xword 0x1cc69a4866712b76
.xword 0x55a8ff8e2b336279
.xword 0x5c57ca275bad151a
.xword 0xed8513a53eabf161
.xword 0x6e36a8fc62651ef2
.xword 0x74c387ebffda1ab7
.xword 0x5f0a02826da8bf63
.xword 0xc8edd83d84b99ee5
.xword 0x2dfe184741827168
.xword 0xf1422bb9ea0b3bb5
.xword 0x3021f0b24df637c6
.xword 0xf3303b6b9e76bef9
.xword 0x29f352e551bd4acf
.xword 0x00805197dd3d0a8c
.xword 0x6ca942bb9c333efb
.xword 0x32969e13f46acda4
.xword 0x5f97bdacc621df6e
.xword 0x1c3d6051ceec2caf
.xword 0xaa7676973cadd667
.xword 0xc9259cc0ee4cd33c
.xword 0x82ebe51e3a64531f
.xword 0xdaea65bd98c1ec0a
.xword 0x6b7ddf528599972f
.xword 0x0b5cd22ebeac136e
.xword 0xac08b84be4b2a37d
.xword 0xd625baeeb09d7654
.xword 0xc5521b99c8c4929e
_t1_hmac_iv_array:
.xword 0x4a4b7c8bd04fe79a
.xword 0xdf14a532c6ec07e0
.xword 0x874fcd5dc32bbe54
.xword 0x7ed5b43ca8597309
.xword 0xf9e9665d86c5d94e
.xword 0x7d38559fb711eb78
.xword 0x9afae9937ff30d0f
.xword 0xee6db1f9a425accb
.xword 0xb551a7c24475d73e
.xword 0x1044fe200cbbcf06
.xword 0xb8ed2dea801dba80
.xword 0xd3bc9521c7e1573b
.xword 0x6a1873892f2ba9f3
.xword 0x9d3256e72ce3cc65
.xword 0x9cd4288ec7bb1efd
.xword 0xe8b4fe8570277882
.xword 0xdf020c12173ca791
.xword 0xe01a7d3348d9fab9
.xword 0x1c3b3c892adf6fa5
.xword 0xd5ecab3a8907aa2e
.xword 0xc855219c321a4570
.xword 0x4ceb0f14516a42ac
.xword 0xca28122c9f83e9e1
.xword 0xdfd96d96fd0c80a7
.xword 0x86f82c9b69b466d5
.xword 0xd21b388353827291
.xword 0x908551fee5bbe35b
.xword 0x38561e9164d90c3b
.xword 0x890c52c8d6c6c80e
.xword 0x854af41df180a4c6
.xword 0x3b57fa71a47964f8
.xword 0x4e4c052aa37d9cdc
.xword 0xac2bda7818ffba17
.xword 0xf455bfc33b72ee9e
.xword 0x0b6b522b0f05882f
.xword 0x13cd00ebead3f163
.xword 0xbf7bfe8d86ef8dde
.xword 0xe232a3b8bd488bed
.xword 0x0c353c714b413f6e
.xword 0x98919b4413562657
.xword 0xafe35dbf11992727
.xword 0xacbde618d4d61b19
.xword 0xb6c4983dde348588
.xword 0x7887408ad769ed73
.xword 0x0e8b0548874f7247
_t1_hmac_alignment_array:
.xword 4
.xword 6
.xword 3
.xword 7
.xword 0
.xword 5
.xword 9
.xword 1
.xword 14
.xword 8
.xword 11
.xword 8
.xword 1
.xword 9
.xword 1
.xword 4
.xword 6
.xword 1
.xword 7
.xword 1
.xword 14
.xword 11
.xword 14
.xword 7
.xword 1
.xword 6
.xword 14
.xword 9
.xword 3
.xword 3
.xword 10
.xword 3
.xword 3
.xword 7
.xword 13
.xword 15
.xword 4
.xword 4
.xword 0
.xword 2
.xword 4
.xword 5
.xword 14
.xword 13
.xword 7
.xword 12
.xword 13
.xword 9
.xword 8
.xword 4
.xword 7
.xword 1
.xword 14
.xword 0
.xword 5
.xword 5
.xword 4
.xword 15
.xword 9
.xword 0
.xword 13
.xword 8
.xword 3
.xword 8
.xword 8
.xword 5
.xword 9
.xword 8
.xword 12
.xword 4
.xword 12
.xword 3
.xword 0
.xword 9
.xword 10
.xword 6
.xword 10
.xword 4
.xword 10
.xword 14
.xword 0
.xword 13
.xword 14
.xword 2
.xword 1
.xword 7
.xword 15
.xword 10
.xword 12
.xword 4
.xword 14
.xword 14
.xword 4
.xword 13
.xword 10
.xword 5
.xword 5
.xword 11
.xword 15
.xword 8
.xword 10
.xword 0
.xword 2
.xword 4
.xword 2
_t1_hmac_src:
.xword 0xa00dd612646f9434
.xword 0x333bb474580411a5
.xword 0xf2ff3a9bf14de30f
.xword 0x4b6645e2ae2d98b1
.xword 0x6cd6a5d9b38a8144
.xword 0x6e1514296623fd8a
.xword 0xba64c2d653510a11
.xword 0x86b079607634c5fb
.xword 0x414ab86e3135852c
.xword 0xe17b95e003f4f3c4
.xword 0x2b0647eea58d52c8
.xword 0xa7c52d0613eda937
.xword 0x0abc154b7b5fcc34
.xword 0xa53af3e489174dde
.xword 0xd0698fbfcdfcbde5
.xword 0x2bd5d3b65d694949
.xword 0x3cd061458e04e250
.xword 0x877562a2ba52d6d2
.xword 0x8ec3fc083f8c1e19
.xword 0x1a33cd272ee1ea2a
.xword 0xd147ff16813faddb
.xword 0xe2e2a466844866c8
.xword 0xe5ab9fca06abf691
.xword 0x985dc06d5c9e878f
.xword 0x10eb0399cabd3ca1
.xword 0x9bceb8d556362b6d
.xword 0xb8ad6260805b21be
.xword 0x19665751479a593a
.xword 0x0fc4d4459c2d8cf5
.xword 0x6c88fe6a2cc86d14
.xword 0x71ceb2e4d80a3d27
.xword 0x9846dcf25593b421
.xword 0x6cb072e8086e2d5d
.xword 0x0e355e74a43a2cb1
.xword 0xa8b1ede0f3eebfe4
.xword 0xd8fde8a4f81f5444
.xword 0x02a64adfa6237b74
.xword 0x281c81bc38bd5e68
.xword 0xb418085c9a23e54b
.xword 0x7afa5af53c0e319a
.xword 0xe239dfd3aae6dd8f
.xword 0x17747e65b5588392
.xword 0xda369d4bedc11879
.xword 0x64405ac4fa1a1559
.xword 0x0d314ccf1aca74fb
.xword 0x21b4c13062841929
.xword 0xbedd89cbb95e4f90
.xword 0xe0ad7b3bfc093c66
.xword 0xeee99812dd0251d6
.xword 0x7226465c7159e7aa
.xword 0x1ec0f7a30a924dab
.xword 0xcc5939a4887d8570
.xword 0x74948a0d0382c6a0
.xword 0xfe0ce3b5c5a0bb2b
.xword 0x6bf0cc13fa04f690
.xword 0x6bf67fdcc0241cd5
.xword 0x1d520133f0fefebe
.xword 0xf0e9150a8615c3b2
.xword 0xf97698d9ced35689
.xword 0x8ae2e0562329d23c
.xword 0xc2238380f14fa0cc
.xword 0x9df02092de885256
.xword 0x69d2e93667e3cb29
.xword 0x3ecdee2d9623d05f
.xword 0x1f1e1cfd3535345f
.xword 0x43acb894b03b9ba6
.xword 0x000273cc098a74e5
.xword 0x35d7d2f06a2725b1
.xword 0x32074cb98ede76ef
.xword 0xc5c425cf082ec265
.xword 0x9a48c4224062a479
.xword 0x13f09b688e849e29
.xword 0x598fbfdf1a55f496
.xword 0xe1e7b217348704bb
.xword 0x33b05623d57c5681
.xword 0xf08ff0200cd3e3e9
.xword 0x4a32f883520e4cef
.xword 0x26bb41a3de8c8698
.xword 0xcbee1d71f149d329
.xword 0x4f329584377a7a8d
.xword 0xef6efaf0d483d0c4
.xword 0x753d5ac23c662f9a
.xword 0x6a3c4ba64fd45449
.xword 0xaaf2cffcbc747460
.xword 0x8c066b44add2ae35
.xword 0x7605ed95e6b61aa2
.xword 0xeeada630bc2e26a7
.xword 0x38a16831e3eb825e
.xword 0x742c1c9b6589bc43
.xword 0x1882d05c9d50364f
.xword 0x3c5ffc0622855757
.xword 0x8b601eb4b899f24d
.xword 0x4980a4e718926cb7
.xword 0xf15d13e28e9064dd
.xword 0xfb0cac0421f4bd63
.xword 0xd6937c7d8cb4a45b
.xword 0xde2cdd968a216a70
.xword 0x28a32da0b069f12b
.xword 0x749dbaebc7139799
.xword 0xd480c642afc13a5f
.xword 0xae5b3efb64cf6b2f
.xword 0xe47ea60abc4a42dc
.xword 0xe2e2554302bdd2f9
.xword 0x9160b13c0500ba9a
.xword 0xb93dbf5d7d9b0d8f
.xword 0x43aacd392f1c9ec7
.xword 0x157f6c9a8d266296
.xword 0x12e002f0c76845fd
.xword 0x00e77513a3155858
.xword 0x796b6b615dd44406
.xword 0xdea98ca710868784
.xword 0x6897bbb2683fc27c
.xword 0x11b3432254522a20
.xword 0x9641f287bffc0c4a
.xword 0xfa40e63bc2ab71a4
.xword 0xf76f0730ac0cd173
.xword 0xcd1e91ae3b95d68a
.xword 0x51037477097b6cd5
.xword 0x38b067af80d73e14
.xword 0xe3e87bcaa75ae444
.xword 0x30b703f3b8fe908f
.xword 0x374a965097cc3353
.xword 0xf540b50ab1c16cc2
.xword 0x85621c1116f61a90
.xword 0xe8e086c5bc01fda4
.xword 0xcebeb13bdcd15fe6
.xword 0x4a92cc52682de10e
.xword 0x98c90d83e6cb20a3
.xword 0x61aaa2e73448efac
.xword 0xf48a988cbdba70ff
.xword 0xcf4e190df9ac671c
.xword 0xccd003ee70f0989b
.xword 0xa0cb0b0c4f369558
.xword 0xa207d1a1a836e768
.xword 0x4159359b2c652646
.xword 0x72f4a1633f9b2fbe
.xword 0x501d4349d488d0ed
.xword 0x36f8531716c08ffb
.xword 0x0d31c85773b5c994
.xword 0x5f3494f2f4d96dac
.xword 0xd78324e7c3f7149e
.xword 0x09e7233ff7b97667
.xword 0xc781b42e515ef950
.xword 0x5ec49a0cf8054695
.xword 0x812531be455fa4dc
.xword 0xb211196d79e298a6
.xword 0x424687b0a60150d5
.xword 0x85f46102c80dc844
.xword 0xe8b79155a64f61fb
.xword 0xc4df2e566541afeb
.xword 0x0f17a75b268a1cf4
.xword 0x044ec73c28190e18
.xword 0xedf2714c8d0e8544
.xword 0x995e4d5d81299aec
.xword 0xd23b1baf00603d37
.xword 0x7407819464f8aec4
.xword 0xc437e7f62f9c78e2
.xword 0x95fd602f1beb026a
.xword 0xda147b03658dd7e6
.xword 0xb4b28c90401d28d6
.xword 0xba0f7dd6d5cbd33c
.xword 0x056097bf0a5023c8
.xword 0x80f795e6d0c6a80f
.xword 0x295d00d83d0a06b0
.xword 0xccd2ac2e1362f623
.xword 0x76268644a84527a1
.xword 0x55cd649310389913
.xword 0xbcc2c29a2d4f4706
.xword 0x88d97c0c81d12952
.xword 0x7f7846b120bb4ae0
.xword 0xff4827c758dc55d3
.xword 0x31126f26c38b0789
.xword 0x3e2b8bb8284a1d05
.xword 0xc93a9561e908d9b7
.xword 0xd3bfa13f8ad10005
.xword 0xe8796b1590753e16
.xword 0xcaf34ed9231b6791
.xword 0x008fe7ad9d029dec
.xword 0x09733673dd624e5f
.xword 0x8abe0599806a8736
.xword 0xcc4313f58afaabc2
.xword 0x2a9d1313b43e4f6b
.xword 0x94d7bc45611277cc
.xword 0xa3a459309fe37e34
.xword 0x71f731984ae36d00
.xword 0xedea56a3a8511924
.xword 0xb2079100cfa21b65
.xword 0x34e10c5c08e7e4c5
.xword 0x709ac5b97dcaabc5
.xword 0x5a2d99b48f9ec909
.xword 0x92543674a52c9d28
.xword 0xce6a25bde1a7e2a4
.xword 0x24ec3dbf71ca516a
.xword 0x00ebc4a1209d0834
.xword 0x1ffbf315083c858e
.xword 0x83f6ceb4259119b6
.xword 0x1ab169f93169c7e2
.xword 0x5ce0bbcc82307d6a
.xword 0x12c175a1976f142a
.xword 0xdfb6d366631fd887
.xword 0x5a6795bbdf4ff70b
.xword 0x626a574ee3789ce0
.xword 0x54eaac9544a58edd
.xword 0x2df43683b23984ca
.xword 0x34d61568ea3372ff
.xword 0xdaf043279824b4e7
.xword 0xf0cf24e3cf72f726
.xword 0xc48c8760981af2da
.xword 0xc6edbdec458f647b
.xword 0x099a709872acf22b
.xword 0x3ff4980c226c5f02
.xword 0x84e1947d161cf02b
.xword 0xb49ef7bb4f1e3b7c
.xword 0xb9215682c8fced75
.xword 0x913e6f145f95a050
.xword 0x2b2bfea2307e582d
.xword 0xf157a4c6cc32c283
.xword 0x1c67594c0085a34d
.xword 0x967de8c49613d1c5
.xword 0xe8773026faa7c455
.xword 0xd9b7a71e66ea6b29
.xword 0xec089b983601f39b
.xword 0xfb36f11c52d7255d
.xword 0xdf60931f5001b9be
.xword 0x4a596e56ed852155
.xword 0xbb93f5fc163fa9b3
.xword 0x7fa7ab8bdb8b0fec
.xword 0x8525b11e11a8015a
.xword 0x790e1b8e4e285d89
.xword 0x7e61f2532fe4e570
.xword 0x404e6f284addf5df
.xword 0x62b01a4b6c8f1cfd
.xword 0x731e3c0c6ac5620f
.xword 0xe0421bf0938a627f
.xword 0x8418075783828e23
.xword 0xef7f12602065ecb6
.xword 0x688a5413a8287798
.xword 0xeefaf5389626b912
.xword 0xd950c6b8848ff8eb
.xword 0xcde54e208dca37e4
.xword 0xe59e8dbf1eb6a272
.xword 0x4dbd51c0e2f60bac
.xword 0x9d329e1e7da04bd9
.xword 0x8782e8543f76cbda
.xword 0xe3f270ed97602785
.xword 0x5f3b19aa41e2c7b5
.xword 0x7915ec800d4d98e5
.xword 0x7cbde6016fa67f14
.xword 0xec53cf51d9b42c60
.xword 0x0e28535437f52e78
.xword 0xc529e23fa93dd08b
.xword 0xe300b7adc48fec37
.xword 0x6bfe7ccc7028ff15
.xword 0xe2e7379868226c84
.xword 0x0ca3ce4573794eee
.xword 0x16677a0740e3f3fa
.xword 0x90016efe75f40044
.xword 0xc2020753d2e04d0a
.xword 0x50903debcfbdd77f
.xword 0xabacd950db9e78da
.xword 0x9392287cf476b389
.xword 0x87fb672f96ec4cde
.xword 0x208660e43d88f792
.xword 0x3bcacb60b509551c
.xword 0x2cba0bd00d048683
.xword 0x2f6ee8a703bb6c1f
.xword 0xabc0414d8b588c5b
.xword 0xbd74256ba82835da
.xword 0x8ea2a53f374326ce
.xword 0x57638f788a503803
.xword 0xd9c69991beb12f44
.xword 0x4e5dac6e97e47e7a
.xword 0xf922f8b0e83ac1d0
.xword 0x1b223db308ffbed4
.xword 0x5f5b1ca4ca2bf949
_t1_hmac_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_auth_key:
.xword 0x0715c7507974ec29
.xword 0x8c234835cf422f6f
.xword 0xbf96f26cc9c5965d
.xword 0x7424e08dfa65a5e1
.xword 0xce61a5180dc996be
.xword 0x2c719aae844a8a38
.xword 0x71916ec78ddba6ba
.xword 0x11a984ff96b6c1e6
.xword 0x7379f86547d5ad88
.xword 0x49848706787ca295
.xword 0x4f011c54ff5c8301
.xword 0x023109217acaed2d
.xword 0xef6d811ef8093d6a
.xword 0x394978522d03df90
.xword 0xa5b833ec16b347f2
.xword 0xc852cb7f461c6fcb
.xword 0x7bdccf720f95b5dc
.xword 0xa26bebf5bcf4f408
.xword 0x65901f06fd6ee26e
.xword 0xb9bfb7f8f371cab4
.xword 0xfa5ee57027d3823c
.xword 0x46810caa6c6f8e13
.xword 0x4df5812b8d435f99
_t1_hmac_auth_iv:
.xword 0xedf2d8ef4c19be1b
.xword 0xd6274ec2b3e1e47d
.xword 0xd0adcadf267924d7
.xword 0x96ec033221dd8cf2
.xword 0x0d8bf02a13deb46d
.xword 0x7a9705ae2233c133
.xword 0xc316e6ba70042cbe
.xword 0x6869a3021038eb66
.xword 0x9076f052453fa844
.xword 0xe0992ba64ee5cd5f
.xword 0xeca82ad33052a297
.xword 0xc5dbe5c56725eef6
.xword 0xdda16500e8e46ec9
.xword 0x56b3d86e47841dcc
.xword 0x0d4dcff5b86ccce4
.xword 0xa480bf3be6acfcaf
.xword 0xa8e986ddeefdb460
.xword 0x60371f48b28c4938
.xword 0x4701091129ce19dd
.xword 0xa7504fbc1c8728ff
.xword 0x466a4db9d3ef7036
.xword 0x9387301f90f02e86
.xword 0x42c6407662fc94d6
_t1_hmac_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_key_array:
.xword 0x8fa67df70c811831
.xword 0x9bc15c4106c6ac73
.xword 0xd701e54ca6ecc489
.xword 0x9d15e8bfa2a4f17d
.xword 0x69b28e6cc77f7ed8
.xword 0x035388096c2edc02
.xword 0xd8733c08bf723696
.xword 0x9a56639b8ab82100
.xword 0x24f45ce137ef2d35
.xword 0x3bf5d01493572944
.xword 0xc09c607a267b51c8
.xword 0x51621c7a5a44257a
.xword 0x5171384e9f8e8823
.xword 0x438068b2d06c4e51
.xword 0x25fa7ac275ffe791
.xword 0x711fce0c7fea01f7
.xword 0x1118d3e2228f15e5
.xword 0xfd1996cdf9c814d4
.xword 0x35a7ebededfd3b64
.xword 0x7d12dc8c35089d44
.xword 0xe90349438ba79807
.xword 0x54aa8d81cdd207cb
.xword 0xb669b6665f24590c
.xword 0x7a5616aca68dde39
.xword 0xa7d593ce6baaa378
.xword 0x43d053a9880fbfdd
.xword 0xbae4f9f756d3a182
.xword 0x5a1147ab415121ea
.xword 0x2f8687c7524c254c
.xword 0xb2f0257534749611
.xword 0xcd595868ee41a10f
.xword 0x7fba4c1d76384e9a
.xword 0x7ee1cd7b481c7f8d
.xword 0x9c62758a0ff8161d
.xword 0xbdac7644ef2c69f9
.xword 0xdef720a3516a67ca
.xword 0x8aa8a5b2f56ba20e
.xword 0xaf3690ad73c06962
.xword 0x56942e5788dbf7ec
.xword 0x0f9d18e7db354712
.xword 0x249b4123f6043427
.xword 0xbc3e7e8e327d28b2
.xword 0x2f292fdffe42f80e
.xword 0xf95b670d2a4fd363
.xword 0x9bf2c2ea29df7c05
.xword 0xc85e7f104253eddf
.xword 0xc322db90db13500b
.xword 0xef77d7068c974a8c
.xword 0x75dc50a56aa54e43
.xword 0x0cf5b6caf0c8eeeb
.xword 0x86a0d219e3ba8aa0
_t1_rc4_iv_array:
.xword 0xcc9516d9524c0a20
.xword 0xc7c872aaaa12b36e
.xword 0x623a9e5dd031b03e
.xword 0x9356aa73a314dcfd
.xword 0x95dac52ca7f54388
.xword 0x84f6e016f876b355
.xword 0xc699d9ceb8886752
.xword 0x5ab9e92dc3b82f7d
.xword 0xd2fc6a4b3dd00a52
.xword 0xc6a9f278d0dcd5ba
.xword 0x76e4ece355fcaf7c
.xword 0xef129dae4cb3fbe7
.xword 0x541879eeb82335b1
.xword 0x71379f24dd3d07c2
.xword 0x1309d83805a7e914
.xword 0x048fc2d119474ae1
.xword 0x07befaaebefd492e
.xword 0xe92ad77cbee57a8d
.xword 0xb6def5ac9bb8a56c
.xword 0xbd41426431d2555a
.xword 0x088e17185b84df74
.xword 0xc8341d02ceae47bb
.xword 0xd60ab1002dc316c2
.xword 0x400ed4c76600c6b5
.xword 0x2a4b04298dc1ef9d
.xword 0xce856447c24f96f5
.xword 0x6dbf011521cb436e
.xword 0x233bcc93a62fdb6e
.xword 0xfedf84efe1329ce7
.xword 0x6cfa25b96cad22fb
.xword 0x9b30e2454f6f2a18
.xword 0x0b59723c73d46d23
.xword 0x5a9d499ccabd9370
.xword 0xdf9c405a57ae34b3
.xword 0x47d30d94b58204c9
.xword 0x0c44ab670b01b79e
.xword 0x696ffddc9596f980
.xword 0x8fc806e406e31c44
.xword 0x8821eab8cde26fa2
.xword 0xd557dfd0cbf92a80
.xword 0x133d823b7947536a
.xword 0x0c5bbbee8a7bb1e1
.xword 0x03b574b68b9f0318
.xword 0x90eaae06bc896520
.xword 0x2d5365de82c5fbaa
_t1_rc4_alignment_array:
.xword 4
.xword 9
.xword 9
.xword 6
.xword 7
.xword 1
.xword 0
.xword 0
.xword 5
.xword 5
.xword 12
.xword 9
.xword 2
.xword 6
.xword 0
.xword 8
.xword 13
.xword 7
.xword 11
.xword 15
.xword 0
.xword 14
.xword 2
.xword 11
.xword 2
.xword 7
.xword 3
.xword 2
.xword 4
.xword 9
.xword 1
.xword 13
.xword 12
.xword 14
.xword 9
.xword 8
.xword 13
.xword 13
.xword 4
.xword 7
.xword 3
.xword 13
.xword 14
.xword 13
.xword 0
.xword 15
.xword 0
.xword 10
.xword 2
.xword 10
.xword 4
.xword 9
.xword 2
.xword 0
.xword 8
.xword 6
.xword 5
.xword 12
.xword 11
.xword 14
.xword 7
.xword 15
.xword 14
.xword 2
.xword 1
.xword 2
.xword 3
.xword 3
.xword 8
.xword 12
.xword 7
.xword 13
.xword 0
.xword 0
.xword 13
.xword 9
.xword 8
.xword 11
.xword 12
.xword 11
.xword 7
.xword 7
.xword 7
.xword 6
.xword 4
.xword 14
.xword 5
.xword 4
.xword 13
.xword 13
.xword 13
.xword 8
.xword 14
.xword 6
.xword 13
.xword 0
.xword 5
.xword 15
.xword 9
.xword 8
.xword 10
.xword 4
.xword 5
.xword 13
.xword 15
_t1_rc4_src:
.xword 0xbcf3c29276217811
.xword 0xd98954c5b72ad4d4
.xword 0xa4ee15591ee88c83
.xword 0xf4de0d9a39b4b801
.xword 0x92190de65fed69e9
.xword 0x1890e2626cc2e58e
.xword 0xc169463bb26078a4
.xword 0x62d48ebd4a855f35
.xword 0x83c5fa4efa5c1b53
.xword 0x981ad9842287fffb
.xword 0x4698898a2b92d5e2
.xword 0xcdf6feacfcf70f38
.xword 0xc98ee36714f31d56
.xword 0x966cf5bf5cca50b8
.xword 0x4bfd927ccf647b9d
.xword 0x4b9a4196ac8d5738
.xword 0x59b768fb88772b9c
.xword 0x5b4cfa78c53f965a
.xword 0x3201514a398f56bc
.xword 0x504cb7c04f94429b
.xword 0xc88c19e6600edb18
.xword 0xfeb3e6bb138c57f3
.xword 0xae6c36812bb93e90
.xword 0x090d8b4ec95a506e
.xword 0x045475b1e8312ef7
.xword 0x6cea2a619c391cae
.xword 0x7190489a38886a18
.xword 0xce5c2f40d107fb2c
.xword 0xdc6f9eab8f4c4a79
.xword 0x5013f3e97c3e93b8
.xword 0x05d248b58169d28f
.xword 0x4a1916ab629516c0
.xword 0xf0d8e34cd5dfdf4a
.xword 0xc6b7220eea99ed11
.xword 0x4ff6de9b13944858
.xword 0xe40c9a9ba47f0772
.xword 0x878871cc3b5c6fa1
.xword 0x270729586ac0be4c
.xword 0xaab39cff2ded1edf
.xword 0xb2c35085638535c3
.xword 0xc93642dac896874c
.xword 0x314fd768074ac97f
.xword 0xee610e06d7604eb6
.xword 0xe3e0d38413f168e6
.xword 0xb2aa7fc03edcb006
.xword 0xfefc44272a1dc654
.xword 0xbda9bccfb5440ce2
.xword 0x390e56e879151d5b
.xword 0x07c95d9119f4e117
.xword 0x7f2845090fbb21cc
.xword 0xbc60c6099735ceb1
.xword 0x1a6cc75f7e68d4e9
.xword 0x4e93b30307d530e0
.xword 0x6aeb729afc31d37a
.xword 0xafd4376673b48bd9
.xword 0x0fdb6157ba5a4140
.xword 0x0aaf451305a64fbc
.xword 0x91c349ed69a70598
.xword 0xfdf78e253796a8ec
.xword 0xe5f5ed106dd0b9d3
.xword 0x71a5f8b1fd12b00e
.xword 0xeae82fefc0b8c6f1
.xword 0x06c6720234b680a9
.xword 0x43fbab2196dfadde
.xword 0xdea55d23f2b0b2f2
.xword 0x01b9e8219516f01c
.xword 0x514009422af19e59
.xword 0x303557d9d8a769ff
.xword 0x9ae3a17e2337bfe6
.xword 0x64b48abd252073a7
.xword 0x6cbc7936db7a1f19
.xword 0xa6ea98cc418a7330
.xword 0x061f3d3ca455b423
.xword 0xffc381529bcd9a8a
.xword 0x94e6d9d28cfa5294
.xword 0xb677a584eda05ea8
.xword 0xe06b4e8472bdff28
.xword 0x947435cbd2023eab
.xword 0x47ce69e2fd019a10
.xword 0x00b48c58e1fb5a59
.xword 0x66b87e5668bd8c7d
.xword 0xd329d97eb71e5c39
.xword 0x484090a11f2b6988
.xword 0x2ffe1a4e8d30da1a
.xword 0x74e685e31979f933
.xword 0xbf81795dad4403f4
.xword 0x84973cabe6413bbd
.xword 0x201581ab42cbd2a5
.xword 0x672695dfb074796a
.xword 0xe197580feb61d77e
.xword 0xa2004d6124fdb98e
.xword 0x3b26636ce70e4cd6
.xword 0x5986e4efd6d53d7c
.xword 0x022cadf445a006b9
.xword 0x328fbde63a3c1034
.xword 0x693eeffcd4843ff8
.xword 0xbee3a52087ae12a1
.xword 0x878c9604eadb327b
.xword 0x3822f8a0f7025a47
.xword 0x9464a44a468cab61
.xword 0x2fac5514494faf17
.xword 0x923227659bfad391
.xword 0x8350ff81be926645
.xword 0x1a14cb3a140311f7
.xword 0x44918dd19002c108
.xword 0xc9e226a9ab0d0c34
.xword 0x6c78e54dd09aa956
.xword 0x51fad294f4785cc4
.xword 0x98dea08087429dd5
.xword 0x65fd988dae47d380
.xword 0x849feae2517cf8ac
.xword 0x46bd1a5b7b3fab01
.xword 0xe94506efe85d4aba
.xword 0xabd214a25e24d311
.xword 0x286941f76d76a1ca
.xword 0x5c2ca4444d6aad5c
.xword 0xc179681864442f7d
.xword 0x4e33b672128a0130
.xword 0x1247c4a9656f2ee0
.xword 0x5b1d7c927412449d
.xword 0xa9ead07a77ab87a4
.xword 0x85b99f4df034e428
.xword 0xcc184999a6d19fd9
.xword 0x1565a4e0e86e2bfa
.xword 0x0a9acc11e4ccbf27
.xword 0xc81e5799ffd61a2b
.xword 0x4ad251ad62a95481
.xword 0xca54b14149f64d6f
.xword 0x6a9528296531c775
.xword 0x91e2fc7bf34895bd
.xword 0x9fc87e5f5fc50b5b
.xword 0xf1e425b362a02ae5
.xword 0xecc428d7f5063494
.xword 0x6c3e125a7b253dd4
.xword 0xee5b336e26b69f7e
.xword 0x85f51d9a518d8171
.xword 0x6f240ad9c9eafed7
.xword 0xe8502804c7ec16bb
.xword 0xf8a51b25906d4088
.xword 0x8ecb9a3773ab0451
.xword 0xe690a32f3cfebd44
.xword 0x63a154b77ea1a969
.xword 0x7d8d42f8aa686946
.xword 0x3e350f4a8d209255
.xword 0xdbe2e3924f175c86
.xword 0x27d841ca057fab3e
.xword 0x9e69fa553c655883
.xword 0x79b8182b8b23e544
.xword 0x111f6db278756269
.xword 0x630e9ab2137f0618
.xword 0x0772476659d7bb33
.xword 0x69877d7b2fb26f1d
.xword 0x6e46072034595a17
.xword 0x5c5302c559172112
.xword 0x897b678055dff53a
.xword 0x317d8e4aab78ce9f
.xword 0x43e4dc3e02255964
.xword 0xb376b5cb5b1ed311
.xword 0x5c7eb57ced0acd1c
.xword 0x85b79550862622c3
.xword 0x4862685ad5036c52
.xword 0xa61f458e75b30e6d
.xword 0xfcbdb4df14ca70e1
.xword 0xe62674b132bae786
.xword 0x8cb3d420fe2664bc
.xword 0x3830c51d34e437b8
.xword 0xe075470846f21457
.xword 0x9eb5c30770726810
.xword 0x752f0a96313db10f
.xword 0xb9608df6c037e65e
.xword 0xcd16e0c1c08664a6
.xword 0x630d62d22f24ce94
.xword 0x9d354803fc36b608
.xword 0xbeffd1feadd31e1f
.xword 0xdd38dfecceecc28e
.xword 0xfdc6acd782e361bb
.xword 0xf8119fa56e1475ec
.xword 0xf73617b0863da487
.xword 0x44eddc3fd47fd5d2
.xword 0x34405c71934f6967
.xword 0x2d1556b55bc43e4d
.xword 0xd0eb15ac14bb7334
.xword 0xc61e1a41a494e30b
.xword 0xa217b4e2b01c8fe5
.xword 0xd26afae3c5cd9312
.xword 0xcf997cbc3fa3a9be
.xword 0x28af917f826ff0f6
.xword 0x28ebb4e552ca8148
.xword 0x87cc9b5dbbc4214a
.xword 0x679a1515f13a6705
.xword 0xe003a11d5d9e82ca
.xword 0x9542e38bf55bd8db
.xword 0xcf480cdd2a43abf9
.xword 0x2033470358e8b0e7
.xword 0xca719f5cdf8d894f
.xword 0x9b984f44fa2331b9
.xword 0x75412c127696aec5
.xword 0xe5f595fda4ddbe8b
.xword 0x0a8b3cb34bd29659
.xword 0x3974057d08a28fe7
.xword 0x85d2e1313dff29b0
.xword 0xefcc17ed38ed1a11
.xword 0x009ab996a17e2f09
.xword 0x2f7e4bb0875560e9
.xword 0x751e540839ec6299
.xword 0x8fc835bb4a1638a6
.xword 0x25c5f999c999f5e3
.xword 0x06fdddbd88f76f45
.xword 0x7393e66981c19490
.xword 0x60d0d76f075f9957
.xword 0x656b731c4dfde2bd
.xword 0x097ed57baa042621
.xword 0xa84a5d36fbcbb33d
.xword 0xd1d594a3f3252363
.xword 0xf0dfaaf35fd50ed1
.xword 0xf0c6e740e58d5d0c
.xword 0xe6e1e821d4acc468
.xword 0xdf1e2e2b5871ec3c
.xword 0x36cdb525c1dbada1
.xword 0xacca236df7176bbc
.xword 0x99acfd9d57d79cf8
.xword 0x18faef1a33873f4f
.xword 0x17cc674b8b2d393a
.xword 0x02235dc927ba603a
.xword 0xdcec8fcf6ca8acf8
.xword 0x62c279f4e1310452
.xword 0xb478ecbe9760cbec
.xword 0x72250d47553bba0b
.xword 0x0e25848c497676f9
.xword 0xe3c5622eb2511803
.xword 0xc54e56a180a42cd1
.xword 0xbad622a1cc06777c
.xword 0xad51e4046df81fcf
.xword 0x6a382a88eba1c945
.xword 0x6e12d347c56cd97d
.xword 0x510689fd9690d524
.xword 0xd550e55a6cab8069
.xword 0x23fc852cf29b74bd
.xword 0x3cfc438f3eae7580
.xword 0xc9eb6720f1aee992
.xword 0x65f14f5e116b4896
.xword 0xe5fda5e517729f5c
.xword 0x7090e798a213b5c8
.xword 0x4d491c61f188a880
.xword 0xb15129662078b9e9
.xword 0x304ab53f450cd74a
.xword 0xb5499a07b92dee5b
.xword 0x8e3bed8bbd01da45
.xword 0x02527e2c938887a9
.xword 0x3eed57c63900340e
.xword 0x850fb441255fb3dc
.xword 0xc145c3dfa837f396
.xword 0x1d747aacbbd0bef4
.xword 0x21faaa9640d43fb7
.xword 0x592e7fd6737abfc4
.xword 0x4d809adb1a1f3ec0
.xword 0x0fd1d5341c178705
.xword 0x5faef860e672ac27
.xword 0x64a6aef02954fa66
.xword 0x9ed94864728093f8
.xword 0xff3a60657c07e282
.xword 0xae5bb332ed7e90ba
.xword 0x1898d0d19d696615
.xword 0x809f22ff4e8e07e9
.xword 0x893fca973f13e3f4
.xword 0x01d05dcea15f0b21
.xword 0x107a763ea04b1cbf
.xword 0xb765458e23d8fceb
.xword 0xc2bf53aabda1e7d0
.xword 0x7fe1d78a8cd9973d
.xword 0x7545843fccc6c760
.xword 0x126922967f7be280
.xword 0x7b3e2a498cc525b3
.xword 0x28655600714aa61e
.xword 0x283488db040f8683
_t1_rc4_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_auth_key:
.xword 0x8c1674a122c63a82
.xword 0x7a035e538158b98a
.xword 0x1091e1f09e041ac8
.xword 0x6b2058bde5b261ad
.xword 0x574c31c6d78fd99f
.xword 0x59a9f993f75227a9
.xword 0xac59360027f45d9c
.xword 0x700c8bd397ca75aa
.xword 0xa490f3f52d80d673
.xword 0x93c620129fb4a0db
.xword 0x2c84be744707d0fc
.xword 0x9559523c89253169
.xword 0x9238b0fdd96ac2fd
.xword 0x40f94258307ebb0f
.xword 0xb2d0a3282fcb0fe9
.xword 0x3905658d93f4ddfd
.xword 0xa8f5b23081d8a513
.xword 0x04a4a3d593f26b9d
.xword 0x80f8c70ceb5b849e
.xword 0xa5ea1cfb42a388f5
.xword 0x1697971743f5479f
.xword 0x24a0d75ee234ecfc
.xword 0x07eb784ebe6774a6
_t1_rc4_auth_iv:
.xword 0x6ac8001293793d74
.xword 0x952fc61c24c79adb
.xword 0x8ee0004e6cdbb64a
.xword 0x3fcd6c45eddc5922
.xword 0x218f3083cd35b712
.xword 0x9ac84d92fa104923
.xword 0x6a69371b37be1e3a
.xword 0x4d9fb2d64bf1bf85
.xword 0x97d45b0e78dee339
.xword 0x3f1a265a135ad3db
.xword 0xfdfcb46c0a55f980
.xword 0x85bdd1b5c365014d
.xword 0x0b00431f4c995c65
.xword 0x027467a693538450
.xword 0x5d12be83cc1ea6e3
.xword 0x7070dabc52b19c0e
.xword 0x81da9dac302b4db4
.xword 0xbafebf2b26bcfd36
.xword 0xf7e6db3ec65d54f2
.xword 0xb260bb19e235913c
.xword 0x5cf3e0c96ba5fc61
.xword 0x0651eb587663032f
.xword 0x30f0d994ce61f2f0
_t1_rc4_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_key_array:
.xword 0xd28561ad6159bfee
.xword 0xc351a84fe300db64
.xword 0x025fab11193ef525
.xword 0x4b3466f95b6b2ff3
.xword 0x3e2686c7cc4d4ff1
.xword 0x859399bb8c1a7428
.xword 0xc817565bfc60d12b
.xword 0xb279b570a5b037b9
.xword 0xeced51eb17a3d420
.xword 0xf1237d2464812b73
.xword 0x7f1fa2797ba16e47
.xword 0x81c33333af4c81d5
.xword 0xbf6cdd0f53920a9b
.xword 0x484a6cf45a85f40a
.xword 0x36ade9fa2b75d43f
.xword 0xd8b908f168bc490e
.xword 0x5e36445671ecb1f2
.xword 0x4d66218a6555cb8e
.xword 0x8250c9f1720d5296
.xword 0x85a849b91c44ba88
.xword 0x084700e0c8779fb7
.xword 0x6edc70b3c6838716
.xword 0xe87a400a2d4b3b04
.xword 0x49cfb5dde96cdd44
.xword 0x66b83b4cf519e07d
.xword 0xa713be55569b949e
.xword 0xe8d792bf9042da21
.xword 0x064662ee60075ad6
.xword 0x581a676a8d60bc3e
.xword 0x2c16d86b5e73e1ed
.xword 0x77f4c74948c1f9cc
.xword 0x1a19483b554cdae4
.xword 0xb69628d5270a9fb0
.xword 0x4fec84777d6fc679
.xword 0x0900b9b3773d480a
.xword 0x8d779a1aaf29b760
.xword 0x5b3d0744a9f4e151
.xword 0x0fc2d48b5592f7fa
.xword 0xbee5dc9298f55c3e
.xword 0x21904492fac2bef6
.xword 0x70cee62c769abdd3
.xword 0xa56bfb1c2d67a1e7
.xword 0xcefe857896ae2a10
.xword 0xa2c8bf5dc6634b86
.xword 0xd11ef27e088ea4e5
.xword 0x32849b0e2a36598f
.xword 0xea0beec2e95b2a68
.xword 0xb6325fadc302b7e3
.xword 0x898da0a247c3bb37
.xword 0xc4ad12161696e5e7
.xword 0x9885999eb897c3bf
_t1_sslkey_iv_array:
.xword 0x2fa89f41978d9d66
.xword 0xee3f7db07262db45
.xword 0xe57faf8901472791
.xword 0x69f067d9c2b0f101
.xword 0x2ef0fd29a952e983
.xword 0x6ab47258c2d335c6
.xword 0x2e87a47dbb931ea5
.xword 0xed388e4e8266a7da
.xword 0x69162c52451f3e5e
.xword 0x28c0453c19bdc103
.xword 0x7285f475170d676c
.xword 0x32eb1bf67fe59f3a
.xword 0x2e5dfff65118d284
.xword 0xe6538a8490456e03
.xword 0xcaa849863f038c28
.xword 0x16ccbba407badf43
.xword 0x12547d6671acab8b
.xword 0x073836e13bb3f63c
.xword 0x4f8deb83d210eda2
.xword 0xf57d35fe608962f5
.xword 0xf58bb1770c29b221
.xword 0xbb0141daa4f97703
.xword 0xa4331ef26365633c
.xword 0xf6da157c2214916e
.xword 0xf2edb6cee52c714f
.xword 0xe106c29c1bf76272
.xword 0x7f46ffe3c317c2f4
.xword 0x22959d00bb5f4145
.xword 0x39f29fa11ab54ed5
.xword 0x1fa3e86dd979f7e8
.xword 0x78cfbf4b79bac287
.xword 0x24e70cd2b6172264
.xword 0xbb5331b112a96414
.xword 0x25d07e62164af7c7
.xword 0xf87e9213fefdfcc4
.xword 0x39a4bca8faab35db
.xword 0x89c5df9964918564
.xword 0x2733406fa91e9878
.xword 0x4d687293cfe1a18f
.xword 0xf655dba0179985ce
.xword 0x5eb79f3468216140
.xword 0x1e3597d57de97ae3
.xword 0x278079b9f44d9eb8
.xword 0x5be0a2514511e297
.xword 0x98bc79c6b5577d2f
_t1_sslkey_alignment_array:
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
_t1_sslkey_src:
.xword 0x35dd99d4c500cc78
.xword 0xd53d0b983928b1f2
.xword 0x2a73149aef4a5d22
.xword 0xba425ea81f853682
.xword 0xb9f914108d33a79a
.xword 0x4d7257f69f2f2ae8
.xword 0x4c52b39b5e35d684
.xword 0x81a6bf96da8b4012
.xword 0xec62516e5eb9e897
.xword 0x9151490e8814c4f5
.xword 0x1359ad1f06d1e06f
.xword 0x7183a358d23a6afe
.xword 0x38afbd4700976dfd
.xword 0x7a48c341e2c0ca79
.xword 0xc147247eae664c8c
.xword 0xd1be52e5ae1c0c9b
.xword 0x2fb48e07de81234b
.xword 0x9715bd41aac5d519
.xword 0x55dbc997f2e0fd27
.xword 0x01d09b60002d813b
.xword 0x1948ece5f9f19819
.xword 0xb40bbdc0ea20d8d1
.xword 0x92bfe12327b886a1
.xword 0x8c248246a00328ea
.xword 0x10471241c1c6c22f
.xword 0xc4fb1faf9c3b7a16
.xword 0xd68ca894699a1f5b
.xword 0x5ca52d6bba6fb172
.xword 0x6e48319802e3a769
.xword 0x160bf015271181b9
.xword 0xa8dcf11199ab598b
.xword 0xff04c56be68d1196
.xword 0xc4de4ee3c316593c
.xword 0x847a4c3124701b49
.xword 0xdebb51bb3bcbf80f
.xword 0xa3b43b489ff78f4d
.xword 0x8ce2b0472da406d9
.xword 0xb631f9c52eb4e744
.xword 0x5c50dedc333c7f4b
.xword 0x153cde7ab77e762d
.xword 0x7de901f8e3021d15
.xword 0xcc688c308495642f
.xword 0x34bff0a84f249849
.xword 0x644f4298b85d19cf
.xword 0xa6c2c1aa1836a31b
.xword 0x81c563dd610c7296
.xword 0x8a6e51d57bb5afbf
.xword 0xfa0f49639cd1f8a9
.xword 0x15d12ef0860a3ed8
.xword 0xcddebe88668ead0f
.xword 0xcd788f6c50eb0fbd
.xword 0xa667f3faf4bd70a6
.xword 0xb2c57e3e7e88381a
.xword 0x86908b26cca50ec0
.xword 0x899ba128fe61e23a
.xword 0xdd84503453675992
.xword 0xc60a4c7f620af5ab
.xword 0xe20c8ced4b5cd823
.xword 0x219e38bbdf05a327
.xword 0x0063803cf763a6aa
.xword 0x066d3ae800b7fcda
.xword 0x1a7d941e6f791257
.xword 0xaf5d8f1919648415
.xword 0x95bbe611ce6fe278
.xword 0x7a4ca0e0e6cda8d2
.xword 0x75f5a88f2843635b
.xword 0xf41403ad0df0a487
.xword 0x34b57dcafc9231f0
.xword 0x810efc98e10d87e7
.xword 0xc81d491987da311e
.xword 0x917e9a00332764fc
.xword 0x7388829ddecb846c
.xword 0x23137f2de883b6e9
.xword 0x4aab58646b5b5d38
.xword 0xe6bfc0357c0b2976
.xword 0x5be04899ee0aff46
.xword 0xa8b98f815916aec8
.xword 0x58ba6806cf1ea8ee
.xword 0xe7b9f9c4be374839
.xword 0x8689da001f279c46
.xword 0x0b0220dbc5f05e85
.xword 0x3cef3e987b267fa2
.xword 0x22e8f241df406b7d
.xword 0x8267dc90b4925f8e
.xword 0xbfb61ff007a5d4e4
.xword 0x21f217e20fb8af78
.xword 0xf4ecfa98f3348991
.xword 0x069bdce7a6872082
.xword 0x02fc7871bf56a101
.xword 0xb399ef33248ea634
.xword 0x54ddc357926a3a9a
.xword 0x2edea715c0c51c8c
.xword 0x69620ad91288654c
.xword 0x7cb5c223afd06793
.xword 0x6799aa6aea314d38
.xword 0xe45fe48d73ce9e7c
.xword 0x12a8dd7a2cce6a72
.xword 0x3bbcd3b1c2c1a403
.xword 0x4bbc9766cf857f3c
.xword 0x7391518deb693d39
.xword 0xcd413cc4824d4d64
.xword 0x41359a34a291964f
.xword 0xe8aace55ad8266b8
.xword 0x9d665e0b55d15f0c
.xword 0xbce9643a5fc606d5
.xword 0xe676a9f690bfbe63
.xword 0xc4e130d52f60261a
.xword 0x60aafbede4501d57
.xword 0xf095180d413dbbe5
.xword 0xa3353c95ed995377
.xword 0x031574a3f4b8dd70
.xword 0xa960ef1521d2b85d
.xword 0xb2149ee9b0435017
.xword 0x8ba2039aff37bdda
.xword 0x8d5371e5132fa4cd
.xword 0x1ece7ddc753ae2d9
.xword 0xedd94d1b6e169abb
.xword 0x71413b4d2747c628
.xword 0x02ed05ab486018f9
.xword 0x093dfaf4c118acfe
.xword 0xc6f0d907ed029246
.xword 0xa98f38166d7c81ab
.xword 0x0f140300da00e41a
.xword 0xd514be5bf1f41e98
.xword 0xa11405e0f2d21732
.xword 0xc19c7d5efa0d419e
.xword 0xa78430de2ea905b1
.xword 0x0d7cda4fd2d1776a
.xword 0xe97c0b630739e17b
.xword 0x18cc133e75617d4d
.xword 0x13685b32573bce0b
.xword 0xf44b6ad597b94a0f
.xword 0x977ca3eae6c78259
.xword 0xcc5fda7111951ed9
.xword 0x65fad57ad713a25d
.xword 0xafb0a6d14f502031
.xword 0x8e5d5d3d022329b3
.xword 0x67989cf36dd1e597
.xword 0xa07c2c42ad8ac961
.xword 0xf191592ca8d6cd14
.xword 0xdd1eb01515f5b29a
.xword 0x81d68e46c6c6d628
.xword 0x6308e28ee233ebda
.xword 0xa0630cd711099cee
.xword 0x7a64f684b0bb2efd
.xword 0x1f9fb31e9f90da84
.xword 0xe580aaf79e99f8cf
.xword 0xdf0d62c85259fc96
.xword 0x5b4ab68de5899b95
.xword 0xf0c0fa58fad1c592
.xword 0x84ac5fd7e27ae27b
.xword 0xb1156c6b4ee90fe0
.xword 0x5e791720e4f0159c
.xword 0x6eedad041946faf2
.xword 0xf819c7e66aee9992
.xword 0xb4c90e32249a8244
.xword 0xa8f1f26f4023bc1a
.xword 0x5ded1f110b7b169a
.xword 0x00bf0c545ffd5690
.xword 0x897caeeda3019894
.xword 0xe18729cf2ff09313
.xword 0x1103c87764406f3a
.xword 0x80b9073f3abb181c
.xword 0x4fd5129dcb172404
.xword 0x03656dea46685e01
.xword 0x45955d924db6e58c
.xword 0x9423129e116c2406
.xword 0x1426c8d6df80cea8
.xword 0x71b276f2751afe8e
.xword 0x0e1632cb36ae18a7
.xword 0x56fa516bab2524a3
.xword 0x38df7f7403e81a50
.xword 0x5c21ccff795eb4bd
.xword 0x853e0d6b27613df4
.xword 0xc7a078dd583edf08
.xword 0xf33abd859095ee83
.xword 0xcc31ff7249898fb2
.xword 0x781fcc51bd2f734d
.xword 0x565beb11b26496ee
.xword 0x2488b5e321f7fd5b
.xword 0x4391c9a8b9bdd109
.xword 0x72537682cceb1a6c
.xword 0x62cc489397b5b51d
.xword 0x33ab4db59559d0b0
.xword 0xe9d1c6c9babbbdb0
.xword 0xec18b7a5cbaaed21
.xword 0xa66708bbd9e3c5db
.xword 0x7bc85e90ebbeb268
.xword 0x5fde0d3eebb9cbc0
.xword 0x7b8f9ce58d3c82f5
.xword 0x0cf1a53affe7f0cb
.xword 0x1be1941ac7657db8
.xword 0xf0e7305c7d0a51fc
.xword 0xeb9f56637862f9f7
.xword 0x535e14cfc0f2bd03
.xword 0x79b6ca431c651ec7
.xword 0x248aec4eb20ae28a
.xword 0xfc663890da180700
.xword 0x88c309528fe8f162
.xword 0xb609040e17ece678
.xword 0x54379f1d56873432
.xword 0xc388bb046c24f600
.xword 0xe045fe1ecd8cba73
.xword 0x6a4c61a0cdb82553
.xword 0x7a6eddebd5c73780
.xword 0x9d980b2f7c903e23
.xword 0x55ef639794ae7250
.xword 0xbd512141e073aff3
.xword 0x84ee7d064dec28cd
.xword 0xf5171425c802adf1
.xword 0x761a856713ba03e7
.xword 0x5b5d100a9166b51f
.xword 0x60f813a78478d62e
.xword 0x8694311711771bc2
.xword 0x882f9585b87900c3
.xword 0xf3a099d8cda41f28
.xword 0x4687359a98dca504
.xword 0xfd5f42ff79d42e47
.xword 0xe8989814b53a3c1d
.xword 0x5f1d691a23887b39
.xword 0xd35ef4e83c772fad
.xword 0xc37f71d205b96841
.xword 0xe62fb0284003f8a6
.xword 0xe95284da4cff732a
.xword 0xd3a104aaa18b52c4
.xword 0x6826a3b2870ac7cf
.xword 0x52c03e13bdc60a15
.xword 0x69db8ab4fb239bb9
.xword 0xce31f55ea602591d
.xword 0x05e56dffe8946e43
.xword 0xd749809cf849212d
.xword 0x11f4e2a377cef3e9
.xword 0xdeaa225e58d10f63
.xword 0x8d6f08da85806abd
.xword 0x363af676368c4b04
.xword 0x51b4338d7aeddabb
.xword 0x729ad6a822a849b5
.xword 0x7bff91ab542f4b53
.xword 0xef431f9bdebfb0cb
.xword 0xf64e92081dd8817a
.xword 0xb8c2dc5e3447732b
.xword 0x8393ea6f7b6153b0
.xword 0xe7832aca786d6b11
.xword 0x6272ccc58833c702
.xword 0xd3f6bdedd8af04d0
.xword 0xd879986f5632d5a1
.xword 0x610d9ba258615881
.xword 0x9e2eb911a512ff4f
.xword 0x3e7ae2dee022d55f
.xword 0xd57be37725a5a44a
.xword 0x8bb6ce2ad964b325
.xword 0x2e872eeb23e8eed4
.xword 0xee7fb1442b2f4311
.xword 0xb30185a57d0a85de
.xword 0x6ed22deaf8a872c8
.xword 0x5f88484a721d37a1
.xword 0xeae14bba5c39bcfa
.xword 0x1fa288a141af9296
.xword 0xde236b7b9ae05c18
.xword 0xb2a2ea83924a693e
.xword 0x405c299c6e45b854
.xword 0xe645f5a77d2e727f
.xword 0x6d06bbfa30d5304e
.xword 0xd150bfffb43911e8
.xword 0x2616e67f7e8cadf4
.xword 0x4c0a3f8b0c0e5363
.xword 0xb7abc462731971e5
.xword 0xc332ed94619bcb5e
.xword 0x41116808c0dcb071
.xword 0xadfc081455e29746
.xword 0xaefe23efc29cca90
.xword 0xbe1d5321c0a21651
.xword 0x3a54706ddd3f1d6b
.xword 0xd06414b3d7c6ba39
.xword 0xd2cb3c5e18798a5d
_t1_sslkey_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_auth_key:
.xword 0xb212fd6dc512e839
.xword 0x581a8147dbf7739d
.xword 0x6471c4615f11c5de
.xword 0x4c98036c47616af2
.xword 0x4100aa1283b041dc
.xword 0x439b117a928f19f7
.xword 0x30d4f7454a217659
.xword 0x2a2edbca05074d7a
.xword 0x2768181eccd2c090
.xword 0xc47b82e72cf4b12c
.xword 0xc83f882a779d6c3b
.xword 0xdf9b3b7c1e9611ac
.xword 0xd3a1fce06e6b8a7a
.xword 0x52be8823eb9ab149
.xword 0xe4bbbb8d5fd3fb27
.xword 0x43ea1931e611b8de
.xword 0xe492d1f9997c956c
.xword 0x93b0f950c9358bda
.xword 0xe7577a2c32af1fe6
.xword 0x288514dc777cc320
.xword 0x52118b0e05f1baa1
.xword 0x97dca26474df7582
.xword 0xff0164a41eb5a162
_t1_sslkey_auth_iv:
.xword 0xdcbcd089fdcde085
.xword 0x61b2e36731e976c8
.xword 0x7739fb567a41c389
.xword 0xe53e15f814a2f455
.xword 0x986400eea501f767
.xword 0xfa9a0e19a8d1158f
.xword 0x17e53584b882c401
.xword 0x71275bff96fe4071
.xword 0xae2352d0b57ef45f
.xword 0xd08dd441e7c80473
.xword 0xef88c98ea6def28a
.xword 0x7e1546a441109398
.xword 0x4aeb06f14b75bb93
.xword 0x5c136a38f516880a
.xword 0x065dd453d9f51991
.xword 0xef4c6ce4c3a5a4d4
.xword 0x56e221f42d407c04
.xword 0xffa89b07f4b77a73
.xword 0x6d8bd80dbec35337
.xword 0x54a3c808fc37bc85
.xword 0x97443574cea7ca1c
.xword 0x416a85005b433d44
.xword 0xb1da54070d725714
_t1_sslkey_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_toc:
.xword _t1_aes_cwd_array
.xword _t1_aes_src
.xword _t1_aes_auth_key
.xword _t1_aes_auth_iv
.xword _t1_aes_fas_result
.xword _t1_aes_key_array
.xword _t1_aes_iv_array
.xword _t1_aes_dest
.xword _t1_aes_alignment_array
_t1_des_toc:
.xword _t1_des_cwd_array
.xword _t1_des_src
.xword _t1_des_auth_key
.xword _t1_des_auth_iv
.xword _t1_des_fas_result
.xword _t1_des_key_array
.xword _t1_des_iv_array
.xword _t1_des_dest
.xword _t1_des_alignment_array
_t1_copy_toc:
.xword _t1_copy_cwd_array
.xword _t1_copy_src
.xword _t1_copy_auth_key
.xword _t1_copy_auth_iv
.xword _t1_copy_fas_result
.xword _t1_copy_key_array
.xword _t1_copy_iv_array
.xword _t1_copy_dest
.xword _t1_copy_alignment_array
_t1_crc_toc:
.xword _t1_crc_cwd_array
.xword _t1_crc_src
.xword _t1_crc_auth_key
.xword _t1_crc_auth_iv
.xword _t1_crc_fas_result
.xword _t1_crc_key_array
.xword _t1_crc_iv_array
.xword _t1_crc_dest
.xword _t1_crc_alignment_array
_t1_hash_toc:
.xword _t1_hash_cwd_array
.xword _t1_hash_src
.xword _t1_hash_auth_key
.xword _t1_hash_auth_iv
.xword _t1_hash_fas_result
.xword _t1_hash_key_array
.xword _t1_hash_iv_array
.xword _t1_hash_dest
.xword _t1_hash_alignment_array
_t1_hmac_toc:
.xword _t1_hmac_cwd_array
.xword _t1_hmac_src
.xword _t1_hmac_auth_key
.xword _t1_hmac_auth_iv
.xword _t1_hmac_fas_result
.xword _t1_hmac_key_array
.xword _t1_hmac_iv_array
.xword _t1_hmac_dest
.xword _t1_hmac_alignment_array
_t1_rc4_toc:
.xword _t1_rc4_cwd_array
.xword _t1_rc4_src
.xword _t1_rc4_auth_key
.xword _t1_rc4_auth_iv
.xword _t1_rc4_fas_result
.xword _t1_rc4_key_array
.xword _t1_rc4_iv_array
.xword _t1_rc4_dest
.xword _t1_rc4_alignment_array
_t1_sslkey_toc:
.xword _t1_sslkey_cwd_array
.xword _t1_sslkey_src
.xword _t1_sslkey_auth_key
.xword _t1_sslkey_auth_iv
.xword _t1_sslkey_fas_result
.xword _t1_sslkey_key_array
.xword _t1_sslkey_iv_array
.xword _t1_sslkey_dest
.xword _t1_sslkey_alignment_array
_t1_table_of_context5:
.xword _t1_aes_toc
.xword _t1_des_toc
.xword _t1_copy_toc
.xword _t1_crc_toc
.xword _t1_hash_toc
.xword _t1_hmac_toc
.xword _t1_rc4_toc
.xword _t1_sslkey_toc
!# CWQ data area, set aside 512 CW's worth
!# 512*8*8 = 32KB
.align 32*1024
_t1_cwq_base5:
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.align 32*1024
_t1_cwq_last5:
SECTION ._t1_T_CWQ_DATA6 DATA_VA=291504128
attr_data {
Name = ._t1_T_CWQ_DATA6
hypervisor
}
.data
_t1_user_data_start6:
_t1_scratch_area6:
.align 16
_t1_spu_op_array6:
.xword 7
.xword 1
.xword 5
.xword 1
.xword 4
.xword 7
.xword 1
.xword 2
.xword 1
.xword 6
.xword 5
.xword 3
.xword 0
.xword 2
.xword 2
_t1_aes_cwd_array6:
.xword 0x40e000801500000f
.xword 0xc06100001900003f
.xword 0xc0e100201400001f
.xword 0xc0e000201b00000f
.xword 0xc06000201300002f
.xword 0xc0e100801400003f
.xword 0x406000201800002f
.xword 0x40e100e01500001f
.xword 0xc0e100001500003f
.xword 0xc06000c01b00000f
.xword 0x406100e01b00002f
.xword 0x406100001900002f
.xword 0xc0e000c01b00002f
.xword 0x406000801b00000f
.xword 0xc06000e01100003f
_t1_des_cwd_array6:
.xword 0x406000600e00001f
.xword 0xc06100c00d00001f
.xword 0x406000e00e000007
.xword 0xc0e0008009000017
.xword 0xc0e0000008000007
.xword 0xc0e100200d00001f
.xword 0x406000800e000007
.xword 0x406100800e00000f
.xword 0xc06000a00800001f
.xword 0xc06000600e00001f
.xword 0xc06100400e00000f
.xword 0xc0e1000008000007
.xword 0x406000600e00001f
.xword 0x406100400c00001f
.xword 0x406000c00800001f
_t1_copy_cwd_array6:
.xword 0xa06000800000000b
.xword 0xa060006000000002
.xword 0x206000800000000d
.xword 0xa06100600000000f
.xword 0xa060008000000002
.xword 0xa06000c00000000f
.xword 0xa06000200000000e
.xword 0xa061006000000000
.xword 0xa061002000000000
.xword 0xa060002000000003
.xword 0x206000400000000a
.xword 0xa06000000000000a
.xword 0x206100a000000008
.xword 0xa061004000000004
.xword 0xa06000c000000008
_t1_crc_cwd_array6:
.xword 0xc161032400000009
.xword 0x416101c800000002
.xword 0x4163034400000003
.xword 0x4161014800000009
.xword 0xc16103c400000007
.xword 0xc162016800000006
.xword 0x416003240000000a
.xword 0xc16301280000000b
.xword 0x4162030400000001
.xword 0x4161014800000002
.xword 0xc160038400000005
.xword 0xc16201e800000008
.xword 0xc16203c40000000e
.xword 0x416001e80000000f
_t1_hash_cwd_array6:
.xword 0xc16301e100000029
.xword 0x416308a100000022
.xword 0x4163018100000022
.xword 0xc16306c200000030
.xword 0x416000a20000003b
.xword 0x4163024100000019
.xword 0xc1620f6100000037
.xword 0x416109a300000010
.xword 0xc162016100000022
.xword 0x4163034100000013
.xword 0xc161062100000011
.xword 0x416004e100000029
.xword 0x41610f4100000031
.xword 0xc1620ac10000003f
.xword 0xc1620d0100000007
_t1_hmac_cwd_array6:
.xword 0xc1620dc9000f0026
.xword 0x41621667001f002d
.xword 0xc1600a05000f0016
.xword 0x41610a05000f0004
.xword 0xc16206e5000f0034
.xword 0x416106a9000f0002
.xword 0xc1630025000f0026
.xword 0xc1610665000f0018
.xword 0x41600649000f0021
.xword 0x41600949000f0015
.xword 0x41620789000f002b
.xword 0xc16309c9000f001b
.xword 0xc1610a85000f001a
.xword 0x41620a85000f0004
.xword 0xc16303a9000f000a
_t1_rc4_cwd_array6:
.xword 0x40e1006004000004
.xword 0xc0e000000400000c
.xword 0xc0e0002004000003
.xword 0x40e100c000000005
.xword 0x40e000c004000003
.xword 0x40e1004004000007
.xword 0xc0e100000000000a
.xword 0x40e0006004000007
.xword 0xc0e000600400000b
.xword 0x40e100e004000005
.xword 0xc0e000600000000b
.xword 0xc0e100a00400000f
.xword 0xc0e100c000000009
.xword 0x40e0002004000005
.xword 0x40e100600400000a
_t1_sslkey_cwd_array6:
.xword 0x1060010000000000, 0
.xword 0x906004e000000000, 0
.xword 0x1060020000000000, 0
.xword 0x1060114000000000, 0
.xword 0x106029c000000000, 0
.xword 0x106036a000000000, 0
.xword 0x9060284000000000, 0
.xword 0x9060228000000000, 0
.xword 0x106011a000000000, 0
.xword 0x10602ee000000000, 0
.xword 0x1060302000000000, 0
.xword 0x90600a4000000000, 0
.xword 0x106033e000000000, 0
.xword 0x1060012000000000, 0
.xword 0x906015a000000000, 0
_t1_aes_key_array:
.xword 0x10c3f59f4f0c725a
.xword 0xfd91b0a13be8720e
.xword 0x60caffc597857e76
.xword 0x45b46bdd71f255f5
.xword 0xddffb67424ca94ae
.xword 0xbf63794a8e02b2ef
.xword 0x1060be6f70a77b5e
.xword 0x0d9f357da12d705b
.xword 0x45c8ab1151d6ac21
.xword 0x55a7ed0007ef35bf
.xword 0xb3072af6890ad5be
.xword 0x418859c6ffca9d05
.xword 0x4c8faf9dc2c6babf
.xword 0x14740ac7ba2f77f4
.xword 0xdf70ba45e118d74f
.xword 0x5c8d6ec5d017e7e4
.xword 0xf91a7d79aee75ebe
.xword 0x326f4f60e5afa128
.xword 0x4f66330839ca5331
.xword 0xa69d8ef67e1dcb1c
.xword 0xd00cb9d29c3aeb98
.xword 0xc57b256347c6a501
.xword 0x5d82d552b744ce99
.xword 0x0cf64e7a4fcb8443
.xword 0x04b8f3dd5792a634
.xword 0xf1099dd84c5a09c0
.xword 0x063b89d8614d3d1e
.xword 0x25804dc1de54cf75
.xword 0x4e9ea07a3f6101fd
.xword 0x6e9cf15dd86f933c
.xword 0x5af625b080aa230c
.xword 0xb88cb5a9e75cafda
.xword 0xdfd03e37dde2330f
.xword 0xfd3caf2e5b361c13
.xword 0xe1c152c98a54abf5
.xword 0x5a05c60f928bc329
.xword 0xab94bb91e2d0d222
.xword 0xab449f4e97f1a1c8
.xword 0x017874e9a48f30e2
.xword 0xaef8a545a5555ff6
.xword 0x6bb6f284d9aceb58
.xword 0x00cb33653d601036
.xword 0x4b56822c8898177e
.xword 0xebdab0b8cdd2d383
.xword 0xa90ea44bb05c4861
.xword 0x3e44e7b3c6e3846e
.xword 0x7efe9088e0cdf4f1
.xword 0xdb7f3957d0180d00
.xword 0x4816dd3726837940
.xword 0x5d04c23418665128
.xword 0x7c12bc555d485786
_t1_aes_iv_array:
.xword 0xdf822c17c7ce1ce4
.xword 0xec3992fdfafd5a9c
.xword 0x20881963c3b5bd26
.xword 0x0d9c3f32fd1609e0
.xword 0xdd2bda48532c35ae
.xword 0x1b9950ceb5036134
.xword 0xf1f0b892dc916cee
.xword 0x3f8bc712dc0bdcc2
.xword 0xb5f1a83fd5b76397
.xword 0x1be9fe796a7a6339
.xword 0xf0d64b1f3569b8c2
.xword 0x75d5588e4b2a0227
.xword 0x20886bd9280c7351
.xword 0xe4613b83e1d89ce1
.xword 0xdce02ec06fb4c751
.xword 0xe926f0f78dfb13b1
.xword 0xc6b660b7bb1a0090
.xword 0xd065737354fae3f4
.xword 0x00e6e3f149ddc1a7
.xword 0x1c80b8114ee0ec75
.xword 0x0569091951b9ace4
.xword 0x22cc8367b6324fcb
.xword 0x2fec7dc452ee26eb
.xword 0x3c76cb7debd62c44
.xword 0x29f76234c4fada2a
.xword 0xb8ec210f56baa453
.xword 0xf24daf32e6b42a98
.xword 0x586b5c172c4db216
.xword 0x64b7909b3dc6cb75
.xword 0xc0027869d418fbdc
.xword 0xccfd647d5777d345
.xword 0xa337a97c41e705f5
.xword 0x95dcb83ea0adcaa9
.xword 0x0d3b5eb3eec22192
.xword 0x2dc636703cdaaf4e
.xword 0xda245dab1eb162a6
.xword 0x5abe67697d251715
.xword 0x1c0371bcf65bc9da
.xword 0x7fbc5c9ff47692da
.xword 0x2b1032f6436ad0df
.xword 0xa47242a8c36f68a6
.xword 0xdbeaccbe735a5b7b
.xword 0x508f664ba7936604
.xword 0x95d7e81d8fb5f9dc
.xword 0xe60542d187a6d403
_t1_aes_alignment_array:
.xword 15
.xword 8
.xword 0
.xword 1
.xword 9
.xword 6
.xword 15
.xword 9
.xword 3
.xword 9
.xword 6
.xword 7
.xword 2
.xword 9
.xword 13
.xword 14
.xword 9
.xword 2
.xword 9
.xword 5
.xword 0
.xword 5
.xword 12
.xword 13
.xword 3
.xword 11
.xword 2
.xword 14
.xword 12
.xword 3
.xword 5
.xword 8
.xword 10
.xword 11
.xword 2
.xword 5
.xword 0
.xword 3
.xword 11
.xword 2
.xword 4
.xword 10
.xword 9
.xword 4
.xword 5
.xword 15
.xword 2
.xword 12
.xword 0
.xword 2
.xword 7
.xword 6
.xword 8
.xword 3
.xword 3
.xword 9
.xword 5
.xword 9
.xword 11
.xword 1
.xword 1
.xword 4
.xword 9
.xword 11
.xword 2
.xword 8
.xword 15
.xword 12
.xword 11
.xword 1
.xword 0
.xword 6
.xword 10
.xword 10
.xword 4
.xword 14
.xword 7
.xword 2
.xword 1
.xword 10
.xword 5
.xword 7
.xword 8
.xword 11
.xword 7
.xword 14
.xword 8
.xword 13
.xword 1
.xword 10
.xword 9
.xword 13
.xword 13
.xword 3
.xword 12
.xword 15
.xword 14
.xword 14
.xword 15
.xword 1
.xword 6
.xword 10
.xword 2
.xword 3
.xword 9
_t1_aes_src:
.xword 0xa25335952f9d7932
.xword 0xd9028e45f7943625
.xword 0xa436847b1c8e8d4a
.xword 0x0652e8b35b39a95c
.xword 0x3911e238cc6ea31f
.xword 0x8ed47602f7034da7
.xword 0x7c782eb592309238
.xword 0xce0716fe5615e95e
.xword 0xa05e48050549789e
.xword 0x822a64f45853618c
.xword 0xd412b496a09f98e5
.xword 0xe14ae39b52341777
.xword 0xa432a8d1b4cdc1f6
.xword 0x606b34d2c064e54a
.xword 0xedbb6d604742d124
.xword 0xa1331e8519e2bb42
.xword 0xf08d4f9d61c4ff21
.xword 0x5f1106355e82d3a1
.xword 0x2ed7c9d459118b38
.xword 0x0e7bdab87321d2df
.xword 0xcdd0d424e5fdda36
.xword 0xaaaed37519d9824e
.xword 0x322a3390c750fe5d
.xword 0xe8717437b70ad37d
.xword 0x7c115d9bd229e90b
.xword 0x2de93189945d635d
.xword 0x2fae8b7d84e9450d
.xword 0xc51f04d867f14600
.xword 0xb0becd641d2c207b
.xword 0x2f83364f3886a7f2
.xword 0xc65d55c8f9a623bf
.xword 0xc45656accbdeac74
.xword 0xf9abffc543b80075
.xword 0x274385c005a79ce6
.xword 0xd37de203b2a33e00
.xword 0xd177fdc731f5a9dc
.xword 0x08ef85b3e3a74315
.xword 0xa2002230def7e154
.xword 0xaec604b0dacd07ee
.xword 0x6e961da8e0b02c54
.xword 0x0aead9d713ea7653
.xword 0xf19a19aaa9ce1162
.xword 0x6bedbdbf6cef7b96
.xword 0x848154303bb5eae8
.xword 0xfc4da654c1945750
.xword 0x7111e9450ff4c803
.xword 0x38d949846d631811
.xword 0x2be80c677885fbcf
.xword 0x04a304388e6ed5a6
.xword 0xaae535ed46f648a9
.xword 0xc3df2ee4212848e4
.xword 0x55c714da0b8dfb51
.xword 0x5ba6da38663aaa8b
.xword 0x1a49f3db609272ed
.xword 0x2a282b8fbf780e5a
.xword 0x8a251983ef0b2be0
.xword 0x86a853ef16eb7895
.xword 0x9808894218a7f8ee
.xword 0xd9ff3acffac76166
.xword 0x11fcababb4491de7
.xword 0xebde11867b278203
.xword 0x65eb08855ce24aec
.xword 0x435fb6b350bfbfe6
.xword 0x5157ccf9329c11d7
.xword 0xbd1feb6036770ca0
.xword 0x08d5f81a77975633
.xword 0xf5a1d40655760075
.xword 0xfab124fe023af077
.xword 0x5dbca5a8e0cf14a6
.xword 0x7486c8d22626bb83
.xword 0x1662be7b6905a771
.xword 0x913cfa03e66b0e8e
.xword 0x2ffd3c4893c580e9
.xword 0x10b6e6718a76c688
.xword 0x4d11a41a40623fba
.xword 0xc50fc247d1bcb1c5
.xword 0xc5bf014703d93264
.xword 0x265bbb38831696d6
.xword 0x2eca57e8cac7c96d
.xword 0x8e1ba15688a1233d
.xword 0x4425e589eef5f76c
.xword 0xb966a0b9207df2e2
.xword 0x8657731fb5543212
.xword 0x651cfeae7361dce6
.xword 0xbd562bd822793432
.xword 0x641f27b56b77e870
.xword 0x487efcb67b886fb5
.xword 0x09a3d225e9461e05
.xword 0xf77a48e9f4da3ae4
.xword 0x34ec9cef031796eb
.xword 0xe39a296df05686cd
.xword 0x974c8f2dc8858d3c
.xword 0xa45f7ff7063bfc41
.xword 0x663006ce1b5b5aa9
.xword 0xe73c585255219031
.xword 0xb8916b1b63a41abb
.xword 0xd8a73bed4a03e968
.xword 0xd603f273e430a773
.xword 0x2727a038e4060d31
.xword 0xb20726d3e60f3f93
.xword 0xefdfb4a76cd0c0a0
.xword 0x319fb28cc0790f6b
.xword 0x17a48c7c3ab85fd8
.xword 0x654ea549a033d245
.xword 0x189bb02fade6b665
.xword 0x4abac0668edb0912
.xword 0x6c60bd9d6aa50841
.xword 0xab42fc4057381129
.xword 0xe02742d47e3469ab
.xword 0xb7838f949dc6be57
.xword 0x04cf2374d9ed1e0b
.xword 0x8ef59aac41fe11d9
.xword 0x013ac8b7a027dd6f
.xword 0x4a4741fa5836428b
.xword 0xbf8f04edcb417251
.xword 0xf0ca21a3e567ef00
.xword 0x22af1cda9440a6c8
.xword 0x317e3517817efc09
.xword 0x4a14241f6a98824d
.xword 0x98fd8c96bdf27bcc
.xword 0x493fcd886c1cc2f9
.xword 0xee100a8834e82e83
.xword 0x2ecfa79141ab15da
.xword 0x283eec494235d644
.xword 0xb5b6531a1f877dcc
.xword 0xef8837cfa9b274d8
.xword 0x0a9f05d1f4954a5a
.xword 0xbd0cc39bf69dc387
.xword 0xc79d24e0ac1aaa5d
.xword 0x10a4f43117e40cda
.xword 0x1d7f65b7ba2817df
.xword 0x48211038684d9828
.xword 0xe4f3f8a8ae3df0b5
.xword 0x612688fd31a288a9
.xword 0xfeedbd0935c826c1
.xword 0x3dceba665b03ec87
.xword 0xa18ff61d4907b1fd
.xword 0xd6241d4e2a5e6128
.xword 0xe51f2c42ee265c01
.xword 0x8ca02f6ed90b631b
.xword 0xaab096f14adb3419
.xword 0xf1a1f57c08dc7368
.xword 0xa1a37e1e72dc1eba
.xword 0xfbb992f97df2832c
.xword 0x0612faf38bb20491
.xword 0x599bef8919263fde
.xword 0x32e72c61be4495d7
.xword 0x37081470a2f06354
.xword 0xe343600d9a4469b2
.xword 0xff310e7307b4b6e0
.xword 0xc006c7c2e6c174b1
.xword 0xd96c61a0e7787d65
.xword 0x4c13111c95aabe4b
.xword 0x59cea5de21bd06e8
.xword 0x601f52480a89fda5
.xword 0x982b4f9466e77492
.xword 0xcf30495639a27935
.xword 0x8237899de929440a
.xword 0x0863da138e9e6d26
.xword 0xb12307b0cd959be9
.xword 0x83f818da52ab4643
.xword 0x4ddce44b39441e65
.xword 0x08d6ea17d9b0e8b3
.xword 0x9b4ef082df1b9546
.xword 0xfbd07d41e1570a37
.xword 0x871489841bc24991
.xword 0x6aa6a6526285e6b6
.xword 0x2b91f4bb50467b3e
.xword 0x1094bddd6787a750
.xword 0xadd8c8d6c8d7a0a7
.xword 0xe94a60dbf2108d06
.xword 0xb258ef9c26d34328
.xword 0x538f3837c45d86eb
.xword 0xf4cb0f67c633d34e
.xword 0xe8011e94d4bf029e
.xword 0xbe161e74f3bc21f4
.xword 0xd636eed97032e39b
.xword 0xd7e326001cdfe424
.xword 0x9344cf42dc2c759b
.xword 0x4191d65fad2b971e
.xword 0xfa5f7d91252ecaff
.xword 0xaab64db72d2a321e
.xword 0xee3e8238625d5c86
.xword 0x6bc70c0b0557a9aa
.xword 0xf61a7e15f82d23b0
.xword 0x25ffc7360285de97
.xword 0x4865f1377993df5f
.xword 0xddcf98c00bafc360
.xword 0xd41d2a98f576b776
.xword 0xaf805f3896239a1f
.xword 0x9c04ea7cf86618bd
.xword 0xe62b35dd5f5ca808
.xword 0xe0ec23a5c497ad03
.xword 0x669747edaf063926
.xword 0x8651447d373f8a9d
.xword 0x2c99097e5dcc228a
.xword 0x78fe7d050029f7ad
.xword 0xda43f9eb953da5ea
.xword 0x007543f3c5122db9
.xword 0xf3b3d2dd13b2f1e1
.xword 0x1379eed632e68caa
.xword 0x43af28bc8962e8ed
.xword 0xedfad401c0ef91c5
.xword 0xe587adf43784f616
.xword 0xc1813f7b44ef2194
.xword 0x10ee0f8f976b7c9a
.xword 0x129fbea997db6382
.xword 0xb653c8700ca9888b
.xword 0x15ca61c6b008187e
.xword 0xb652dcb5d0ae04ba
.xword 0xf2356a4a87dc4d75
.xword 0x09c9437cc84dc354
.xword 0xc0060ff649ad94fd
.xword 0x3f8930e7d1230cd4
.xword 0x83bce474002df420
.xword 0x49c078cd0d493669
.xword 0x42cb2eb2e6ad81e4
.xword 0x1d59a9c57976ee04
.xword 0x49d42dd0bdcdda03
.xword 0x120330e4fd661147
.xword 0x83cfe1ac6721a214
.xword 0x947802e0588e3a8d
.xword 0x0568e666063c2533
.xword 0xd4e76ccc930bbe66
.xword 0xf70d8001433df4b0
.xword 0x42ca30b6f4dafd74
.xword 0x1af90d4846ee284a
.xword 0x49879411861a6458
.xword 0x81743a98902504c1
.xword 0x40ea05e88db7f872
.xword 0x1d3bdfcdad9bf38c
.xword 0xcf2af937db42fbe3
.xword 0xcb3b3e5a1a521a47
.xword 0x97c03d5c15758046
.xword 0x82a7f1f3faebd9af
.xword 0xbad5818eb4ebd8ba
.xword 0xf33131bdc15fc258
.xword 0x6d56de01860cca9e
.xword 0x199ca3d320eb2da6
.xword 0x3e3ee28e4faf8e2b
.xword 0x13ef3a1404450832
.xword 0x504d013c210b032a
.xword 0x94fbaf34e30bb72b
.xword 0x592336be802533d4
.xword 0xf5f34333b7aa6c14
.xword 0xc5ab858572f1b437
.xword 0xed6b6736218e5b34
.xword 0xd98f6ac31d89b477
.xword 0x6c87488005dc839f
.xword 0x96dd6bf4424e0451
.xword 0x44ee4ad57567ce2d
.xword 0xcdd02864d35b2f34
.xword 0xd76a41de03e5b734
.xword 0xdcfd191a9f4ba131
.xword 0x130db7b7a1888417
.xword 0x4dbff105e5ee0078
.xword 0x2eb49e474ba2f780
.xword 0xaec67b6fa810ff3b
.xword 0x4d378bc264d8078a
.xword 0xa8d712933f97e774
.xword 0x9916d67598ef052c
.xword 0x08e92c618e64c277
.xword 0x0c16b3fceb678455
.xword 0x3ee24ea27efb7d96
.xword 0x2e5f83a24ad35d7f
.xword 0xa63cadf2e14774af
.xword 0xb9faf2f1ae79dc5d
.xword 0x3fc2e05c9a62c5e6
.xword 0x26de6b74c7e81970
.xword 0xc647add5ba6bf12c
.xword 0x527dc320f5f81a51
.xword 0x9613978c58807e9d
.xword 0xaf8731989873f1ba
.xword 0x041151ca97501bbd
.xword 0x25be824f1a1426fa
_t1_aes_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_auth_key:
.xword 0x573384fd6b4dc3c9
.xword 0x8e26309079ba4031
.xword 0xd491a61450638ae3
.xword 0xe4d4df1af968d11c
.xword 0x84a3d11cd562162e
.xword 0xedbe088554a03a0c
.xword 0xd9fd3875a82e58d1
.xword 0x6687f5cd9ff03fb9
.xword 0x6eb06f1139b91714
.xword 0x7f909651efe5e1eb
.xword 0xa64eb38204ac2fe5
.xword 0xeda70a4c6bb0db89
.xword 0xd497f4e171664bc2
.xword 0x60c96c8c210114ac
.xword 0x100adca0abb3a84d
.xword 0xde436891341c3718
.xword 0x8b132f29c4add6b9
.xword 0xc73deb9ff3a6d4e8
.xword 0x2ec3deb68ebd5faa
.xword 0x4cd37e66c92c925c
.xword 0xa4dfd54ac498cd71
.xword 0x71b0d0d92302dd00
.xword 0x5de4f9409b3c094c
_t1_aes_auth_iv:
.xword 0x011e98de99f9cac3
.xword 0xde7617f7e6a22a45
.xword 0xda64a888b3359479
.xword 0x0f2f853f7cabe1d3
.xword 0x3bd55cb1f49faf65
.xword 0x78844e56edc25d31
.xword 0xd5a69055c1113859
.xword 0xb5d08494beea63da
.xword 0xd41cfbf57490537f
.xword 0x29c1404b07cbb2fd
.xword 0x27a2c8b8e807ed8d
.xword 0xbec19d1826c2f570
.xword 0xdfeaa5d4426d8192
.xword 0x76582572b28e058f
.xword 0x2203f1011f590a74
.xword 0xb645af97267e4d4a
.xword 0x371e4c66b9cf2088
.xword 0xf852b867a94d0e04
.xword 0xe20e0333ec464449
.xword 0x1b0b08b99c91f04a
.xword 0x9dfb20af0655e644
.xword 0x81235ac6c1967313
.xword 0x5240f65d745b18eb
_t1_aes_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_key_array:
.xword 0x67946fe483810eca
.xword 0x2e812dbef0bbbacd
.xword 0x6396749c8532b84f
.xword 0x24e8be3e28e48356
.xword 0xc8065d68e533532d
.xword 0x64f64bb58468f858
.xword 0x9b063b7ba248d055
.xword 0xd8ac9099561cab50
.xword 0x508c1a1520d2d9af
.xword 0x0d7694b95a7fbee4
.xword 0xc8ecf81cdfb36cec
.xword 0xd9ea47445e3d1bbd
.xword 0x7b6ec9be79feca51
.xword 0xd648381872427a7a
.xword 0xa07ce2d7892effe1
.xword 0xd981f453500328f1
.xword 0xc95b6aa0e58a44d8
.xword 0xd56ce23dcde151fe
.xword 0x1ca988dfdc6e9c69
.xword 0x52242f160158b54d
.xword 0xa51e37997830e603
.xword 0xdffb992464b4e546
.xword 0x2408269fed0b94e5
.xword 0x65695847bacddbb2
.xword 0x14b3a285716a3f9d
.xword 0xd4d494972d0d14e8
.xword 0xf1165f5d99611ebc
.xword 0x0e609be0030f56da
.xword 0x357b7e58098f0b5e
.xword 0x316b0c631466da87
.xword 0x4c129036053d7c01
.xword 0xc76994b26adaa8df
.xword 0x9e803519b93238c1
.xword 0xf0299fef1388fd9b
.xword 0xc0a79be2091dd588
.xword 0xc55f846b565ef17b
.xword 0xfb52a38164a73d88
.xword 0x770d160fe6e7f7a1
.xword 0xa7eecb55c4b8144c
.xword 0x068afe60eb939f41
.xword 0xb88653250f51eb3f
.xword 0x93977bc328035700
.xword 0x5348485526361617
.xword 0x36c28afbb1dc5501
.xword 0xf4a2c5e0a5f1b79a
.xword 0x4b7eda890a5d8a66
.xword 0x7f740d093cf98da8
.xword 0xd5436c65206e2833
.xword 0xbf8583b74f2139e9
.xword 0x573c1a3e9546d119
.xword 0x4b12ca9a2426db8a
_t1_des_iv_array:
.xword 0x3cfe8e07c5b70791
.xword 0xc3c095cae7305965
.xword 0x30d43a4338c3c12d
.xword 0x9bd5be06925cce15
.xword 0xa0ef96de3c3f119a
.xword 0xdd1c247704ac420e
.xword 0x8d505c1eacaf8a48
.xword 0xd29b07e012844d1d
.xword 0x87228f2b8d4dbe4c
.xword 0x67a441215e7a7cdf
.xword 0x676b8019d87e3b6e
.xword 0x1d43de120dd9a0e5
.xword 0x835e1819bf775c24
.xword 0x814149d9faf1fdf7
.xword 0xd7c074f8b377c4e1
.xword 0xd923010783b753f7
.xword 0x90d4f0041cd7155a
.xword 0xadf3f34a298db9ed
.xword 0x89e5aaca464e2de9
.xword 0xee29d662ae64d6a4
.xword 0x9fa94fe6578895ea
.xword 0xb13bccf292217f0a
.xword 0x71efa2be1a7507d0
.xword 0xe691dad74747b3bc
.xword 0x2785b4805ef7d09c
.xword 0x0bc06398c23fd450
.xword 0x4331ac7d807683d8
.xword 0x0ddb905a8b1f88bb
.xword 0x74d3868100d07b46
.xword 0x2f5171068ce4464a
.xword 0x761353ef0ad85f2f
.xword 0xd21d5fb570174275
.xword 0x3c5903d2f82c3b7a
.xword 0xff4d275a6e1b5898
.xword 0x5cce793f0e8b34b5
.xword 0x5354815a5e153399
.xword 0x14ba6dd501b638d4
.xword 0x6cd0a7003111fe8a
.xword 0x70e0d7ada95650ce
.xword 0x0a242a51cc3d36a6
.xword 0xc60249af9686d971
.xword 0xc3a5b1f32df1b086
.xword 0x760e1476772c1915
.xword 0x51afe7c0c1646936
.xword 0x5859a433dae809af
_t1_des_alignment_array:
.xword 0
.xword 10
.xword 6
.xword 11
.xword 5
.xword 12
.xword 9
.xword 5
.xword 5
.xword 2
.xword 10
.xword 2
.xword 8
.xword 12
.xword 15
.xword 4
.xword 13
.xword 6
.xword 11
.xword 6
.xword 5
.xword 11
.xword 5
.xword 5
.xword 1
.xword 5
.xword 7
.xword 4
.xword 1
.xword 4
.xword 13
.xword 0
.xword 13
.xword 6
.xword 11
.xword 7
.xword 13
.xword 1
.xword 15
.xword 11
.xword 15
.xword 8
.xword 15
.xword 4
.xword 2
.xword 4
.xword 2
.xword 0
.xword 10
.xword 15
.xword 14
.xword 6
.xword 7
.xword 0
.xword 4
.xword 3
.xword 3
.xword 14
.xword 13
.xword 13
.xword 13
.xword 4
.xword 7
.xword 7
.xword 3
.xword 11
.xword 11
.xword 11
.xword 12
.xword 14
.xword 6
.xword 9
.xword 13
.xword 10
.xword 4
.xword 13
.xword 7
.xword 3
.xword 12
.xword 7
.xword 13
.xword 4
.xword 13
.xword 0
.xword 14
.xword 7
.xword 13
.xword 7
.xword 11
.xword 13
.xword 5
.xword 0
.xword 11
.xword 0
.xword 12
.xword 12
.xword 3
.xword 6
.xword 3
.xword 8
.xword 6
.xword 2
.xword 9
.xword 5
.xword 8
_t1_des_src:
.xword 0xcbcbd6f5d6311aba
.xword 0xb59ebac74375c6d4
.xword 0x3d32270d744fd723
.xword 0x08ea220594c4f85b
.xword 0xc7bbd585fc7f9121
.xword 0xb6ad7c0bc9df5af9
.xword 0x33ef6079d376f4eb
.xword 0xf511beefb115d180
.xword 0xa21b04903bf78e98
.xword 0xc30bfd71b6c4ac73
.xword 0xa084ab67cc9213a3
.xword 0xf305e6d386611b5d
.xword 0x8ebe1b742b2a9850
.xword 0xb07f4d87596348b9
.xword 0x8e82e8cdf68c3537
.xword 0x01aed817985b3656
.xword 0x62226ccbb11a5e4f
.xword 0xa35a7a23a2f27de8
.xword 0xdec21df2b2c7c319
.xword 0x8b35ecfddcd006e5
.xword 0xc36c00df04508621
.xword 0xd5e49f10d4fb9da1
.xword 0x1d8ddace6be290d9
.xword 0xdd44645b109de13b
.xword 0x57c98f0cb10cde91
.xword 0x1e8935c30be4a30c
.xword 0x49753593c69f549b
.xword 0x2af7b89adab9ee98
.xword 0x40d497170329befa
.xword 0x8b3693eedbac55f9
.xword 0xb94be1f3e08a6c75
.xword 0xcccdbf221a4c0e75
.xword 0xe3a41abf85a29418
.xword 0x17e6248a17a5e6bb
.xword 0xf291601c731afa79
.xword 0x28de96ecf4cfaa29
.xword 0x6d4a6c59706aaa32
.xword 0x4195733bbb76c225
.xword 0xdd50dba109e8f14e
.xword 0x0b615ec3eb391912
.xword 0x2d6cddc780a28614
.xword 0x83142914731af343
.xword 0x24f8caa4638cda67
.xword 0x2849f06e29b5acb4
.xword 0x0fd8656dab5ef422
.xword 0x83f37cb4d3ba00ee
.xword 0xc0a12a7422ee1379
.xword 0x18b05eb59cd0b0e2
.xword 0xc463678959943d86
.xword 0x3fe5f652ab310536
.xword 0xf8e7f85815a1fcc4
.xword 0x432dfe278199da63
.xword 0xd29deda158503791
.xword 0xdee1d2154634372a
.xword 0x2a6058694bdc5f2e
.xword 0x175523820e3c230b
.xword 0xa8314649311e4c26
.xword 0x630c7262efa63e2b
.xword 0xb484b67491771b31
.xword 0x9ed3991898b2da9f
.xword 0x73ca5ada1ac51d20
.xword 0x47cd48c40c77fbca
.xword 0x3b5781fb7f4d5924
.xword 0x50b1de1bf6721b03
.xword 0x46569a24294513ae
.xword 0x39bee7cf0890659a
.xword 0x0e587db1bbe3902a
.xword 0xd64f448a6efaa184
.xword 0x7c1965b7788c086a
.xword 0x182dc644c308d91f
.xword 0x8a46d0326e01e133
.xword 0xd6be6fba68a05c63
.xword 0xbee64b288f0cec64
.xword 0x8f962ab5c9cb4cb5
.xword 0x7c20f348a28646d2
.xword 0x75905facfe9eaab8
.xword 0x3b32172a974ca319
.xword 0xf268a04f23dca69a
.xword 0x54da04b735476e1a
.xword 0x31a7fd421f08f4f9
.xword 0xade16d787115cbdf
.xword 0x6cccfecda103b088
.xword 0xfc38c31979c6ecb9
.xword 0xf562ad3e9ee9f241
.xword 0x7c21008f4c26b7c3
.xword 0xede643ff4e876ce8
.xword 0x48c40f023bbbd579
.xword 0x64bd1b23aabae322
.xword 0xb8ede371e6d723a8
.xword 0x19f2ee436c4ed07d
.xword 0x1c4d0472b8f4fbe3
.xword 0xbf0639d49774871d
.xword 0x302229703097d39f
.xword 0xfcd9383f0adcf081
.xword 0x48566d7eef260f7f
.xword 0x040939eaadaba46e
.xword 0xbb88979a95ef6d93
.xword 0x3288f9faa32d639f
.xword 0x88daeee2a5130044
.xword 0x2544a717e262981f
.xword 0x814a4840976e0ffc
.xword 0xab271e56062e052c
.xword 0x99ec511b3e04e80f
.xword 0xeda59364353dedbc
.xword 0x2ebdef9ac91ad527
.xword 0xfcf4b5f1458428f0
.xword 0xe5e9b22beed1071e
.xword 0x9316164db21d7881
.xword 0xe37fecdba5c530fa
.xword 0x9c95ce71f739e824
.xword 0xcaf8d4fd5add3a03
.xword 0x98100b3945fda90e
.xword 0x0bc4ffafc7b23fb9
.xword 0xd68d434bf4ea1c56
.xword 0xf92d2e73e937d095
.xword 0x2d2d62a628be3b8c
.xword 0xcfea0e5432758afc
.xword 0xe6e03a88c79788fc
.xword 0xfa88c7ef53dc2b54
.xword 0x8b58ef42e989a147
.xword 0x13e7bb372361e0f1
.xword 0x768e0a19286eaaa3
.xword 0xa9c6e98b770d5a84
.xword 0x02f973a35502b5fe
.xword 0x6bbcfc51827494ae
.xword 0x47b38346c3caf3dc
.xword 0xe7257f0885496c6e
.xword 0x54528690153217e2
.xword 0x16a957181f4e04b9
.xword 0xfa9420163c3bffd3
.xword 0x4b8d08bd20901247
.xword 0x27c7a9fd60a1cb0c
.xword 0x47390eec0d762fb5
.xword 0xe26ea0c2c125bc22
.xword 0xdc4f75472d889249
.xword 0xf4d78858de613b6c
.xword 0x918341f7f87b100f
.xword 0xba5f46e9dc027a7d
.xword 0xd77bdc989e82bc3f
.xword 0x26456f4efccf2794
.xword 0xbadd07bd0914bd10
.xword 0x9c88d1f9fa6f5864
.xword 0x6bef5d37ec268b15
.xword 0x13f543ca53cff370
.xword 0x6e3ebd31a6d11cd4
.xword 0x9508a191874601b7
.xword 0x98e8de34d061dec5
.xword 0x2e2a8b5669a0c748
.xword 0x23e0875b03d040f6
.xword 0x63b9ab68746efbd4
.xword 0x93a5bb7c4c418cf0
.xword 0x4b846f8859acdeeb
.xword 0x5b9f1bfd3c9bc91d
.xword 0x061648477bd3c6ca
.xword 0xea628c38eed4cff6
.xword 0x67b7f7a1a1000661
.xword 0x522ba229c29ab839
.xword 0x423dc63edf904c91
.xword 0x0a949ae1f70f68b7
.xword 0x46e3a232ccb58b58
.xword 0x25bb061539b0e9c5
.xword 0xcc57a8538cd9a4c2
.xword 0xecbccbba288c699d
.xword 0x4fbd4d6db4c42e68
.xword 0xc33def87af2a932e
.xword 0x0d10d58495289975
.xword 0x35a1e13bb1ffd306
.xword 0x454a79062d53aab8
.xword 0xff52aa06c7b7f911
.xword 0xc4edb7393d20d06d
.xword 0xb252fa84e4bfce66
.xword 0xdac5398b730a77eb
.xword 0xbd13c82fc883f168
.xword 0xdfe573ff6b7212e0
.xword 0xcf46750156104d29
.xword 0x1a3e0a148183f8ee
.xword 0xf3d1722c6fb73324
.xword 0x2ddf117cc209254a
.xword 0xe2071fcf095f29ff
.xword 0xaa1a96302c0103a2
.xword 0x6337ea8421cc459d
.xword 0xd2e4261c09474239
.xword 0x3be899e1cc69b212
.xword 0x1a7cb493e04bfc7a
.xword 0xf9a1a5749b9e5822
.xword 0x9e4d98cbc552988e
.xword 0xeac84e7858fc9eb9
.xword 0x8c173844a20de5b1
.xword 0x111f215d68c8ab89
.xword 0xc0f2df73a7cf4a56
.xword 0xfe989c4d3c68f09d
.xword 0xa8743458d5fdb03b
.xword 0xa739d0d59652ab31
.xword 0xad541546c6b14b9b
.xword 0x133ee5cd87fc70f8
.xword 0x49c32c6df22d5b35
.xword 0xed26e1b6533c1548
.xword 0x8ea06f5d11c9e978
.xword 0x5dedf6c4d2f90b64
.xword 0x9d4b8a8f6bae0d06
.xword 0x3ffb06108a7d3285
.xword 0x3adbd58ea41f9eef
.xword 0x82319cbf105884d3
.xword 0x354c49cb26da9e2b
.xword 0x67eb25071237654b
.xword 0x24975d8bc5bac01d
.xword 0xd2413caad7555612
.xword 0xbe9b2a72ca089a90
.xword 0xdcef23529adf600d
.xword 0xa6b76e8243729d92
.xword 0xd51145776a5ea65a
.xword 0x7d79259f44b7c3cd
.xword 0x1da3f3e6a3232de2
.xword 0x59991b95eb3f38fe
.xword 0x88530159d27f6f25
.xword 0x78c97c7e5a655acd
.xword 0xcb5e74f02b9e6743
.xword 0x4c55458bb14a69e1
.xword 0x9286853eb95c339d
.xword 0x13d46f5448eed614
.xword 0x5a0f9b67b8926c15
.xword 0xb24dbbc5f8c5a43c
.xword 0xfb10f55311c21fe1
.xword 0x039a90af6fe5c164
.xword 0x384a821deb767496
.xword 0xf31570e9e4fa7a60
.xword 0x1037d170f22c77e1
.xword 0xad777a3cca6391b7
.xword 0x4e8efb42adcdcf7d
.xword 0x5d691eeb62adaa60
.xword 0x720050da0f0a52e6
.xword 0x957bbcf1297edb62
.xword 0x23cf18cc5fe1005e
.xword 0x01f8a3f8500c5176
.xword 0xaadd805dbc9ac78b
.xword 0x4e019f2778b1515c
.xword 0xcb9dd31f3961ac40
.xword 0x5853e4e9b6234897
.xword 0x3c9ba63a53d06a70
.xword 0x4f0ef82091f9617f
.xword 0x8f5f9d8bdbdcf804
.xword 0x698e0375d1245aca
.xword 0x87afa00dc59bcc37
.xword 0xdf4fcc7ba45b5b70
.xword 0x5ab5580c5002118b
.xword 0x4f8a53f26a251054
.xword 0xf2e12a229ee51417
.xword 0xcd3469c37af6dd6c
.xword 0x01779d0bc7b5baf5
.xword 0x87f3ca0beccbb422
.xword 0xda40fb361505d4db
.xword 0x07551e77a887dc1c
.xword 0x62874252a9e77d87
.xword 0x6754161de94b957f
.xword 0xce4db013ea83df5b
.xword 0x0e343544a9b8602b
.xword 0xc5fbe4e8b43add2e
.xword 0x68a1b13d8301a78c
.xword 0x2eba1f7010689049
.xword 0x3da417430b366869
.xword 0xa108d24cfd14e112
.xword 0x3d29bc033480d481
.xword 0x0424fb239a36cd07
.xword 0x44b53814c5bb3370
.xword 0x5758695449999dd7
.xword 0xd373f05192caafb6
.xword 0x855018659936d630
.xword 0x6ce539ad11b586c5
.xword 0x3f1e48ea5848a5be
.xword 0xd055a789d49abdd7
.xword 0xddb00d991d634186
.xword 0x7866ba61eb693740
.xword 0xacf1fb84831ccf9e
.xword 0xc67b57824dc1baac
.xword 0xf4493c682242fa19
_t1_des_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_auth_key:
.xword 0x0435477df4176a56
.xword 0xc4b4cf1af3a1d427
.xword 0x5f1b54c99090f2ad
.xword 0x5299b0b3d50a457a
.xword 0x71554d648dca6865
.xword 0x4f7589413db29161
.xword 0x74ac42fb30d1da13
.xword 0x56d07d8a6f35e462
.xword 0x2d2d595fdc04de1d
.xword 0xdb29039552fd9eeb
.xword 0x3d38393fa20ca050
.xword 0xd43d48a77ffa32ad
.xword 0x4cc001c4853c73fd
.xword 0x81727f7238b4bca9
.xword 0x6524e71cbc9513c1
.xword 0x781c0cd95972f4ed
.xword 0xd6d21a94a409f140
.xword 0xa3c2f2f8dc05dab3
.xword 0x618b9235d019837a
.xword 0xbdc94ffa4c667c63
.xword 0xbf30ee25d00c5716
.xword 0xa0f1170a5d64733a
.xword 0xa3118efe66b2ac6d
_t1_des_auth_iv:
.xword 0x35fd0981efd98735
.xword 0xa54792ac314f2bb8
.xword 0xd4fbf82877372092
.xword 0x5676f71115e26099
.xword 0x24d5a0cd54181356
.xword 0x858ad186370d17fa
.xword 0x840f8c30a4c16445
.xword 0xf1b3ab44411241ff
.xword 0x4e96434872a0e0c7
.xword 0x2e115e734c25e384
.xword 0x761ae3ba4755bae7
.xword 0x02f24e5a89979249
.xword 0xb14e03f0b7da90f3
.xword 0x2573aa951fa12d8d
.xword 0xd7d349559eec7ad8
.xword 0x1258ec48939cc215
.xword 0xd655288da5464056
.xword 0x5daef9e9a518d1f9
.xword 0xea5c3861cdb0776b
.xword 0x3b6f276421f50cf7
.xword 0xd85da555c8546b77
.xword 0x2c0c4c5420612961
.xword 0x7c4428f04e6cbf41
_t1_des_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_key_array:
.xword 0xdb4ea0f109d2c2de
.xword 0x29f0f8beed36d895
.xword 0x9141b79004ce19a8
.xword 0x81bf500734048ca2
.xword 0xa6be1e738841a9ed
.xword 0x1281822b2ab7d137
.xword 0x3b705c3378176566
.xword 0xcce26ddbfc219a31
.xword 0x77e8ce8b154c8741
.xword 0xd4d3d7e5ec08d448
.xword 0x2073eacf2e877761
.xword 0xea7cbc66a716ddd8
.xword 0xfd8a45706e6e82f1
.xword 0x74db62fb044889a4
.xword 0xaec1b48a88908092
.xword 0x34ec5f10e860e0a3
.xword 0x6d082fcd26cfbd49
.xword 0x8324feb229d17529
.xword 0x05d2a3f8878ebe29
.xword 0xf207480dba04524b
.xword 0x79226bb9bdf9070e
.xword 0xe980ee489ad07420
.xword 0x092deed0a1de7559
.xword 0x8774f470e8231329
.xword 0x4214c38a7028ce11
.xword 0x187a9d86f8472315
.xword 0xd7e5bdf0901ffe66
.xword 0xa3a1ed5be4f3fbc2
.xword 0xdc94e50f1bc4b9a1
.xword 0x5cfcb8b83a6f7551
.xword 0xd8bf07346e5ff01c
.xword 0x2e5ef4a1ba84c194
.xword 0xfa6d34e83be2078e
.xword 0x2f259bdf22503676
.xword 0xf6b785635502285a
.xword 0x46957a60568be0c7
.xword 0x62a079612e016c2b
.xword 0x1e989ab08f4f5356
.xword 0xb2d081e885035a51
.xword 0xa5c3b9b04907d6d0
.xword 0x1d1a6e0ae59e6bf5
.xword 0x0bf8654cbff23f5b
.xword 0x5aa67b4b9384b4a2
.xword 0x37a92ba82e00556a
.xword 0xa452550a3339bd39
.xword 0x5f6002d35ea4f7cd
.xword 0x046c38239949ace9
.xword 0x6fc5cdd769abdebf
.xword 0xfc68369c23aa66bf
.xword 0x08dae45a401d0501
.xword 0xb4723be5851eaf59
_t1_copy_iv_array:
.xword 0xdd6a364bfca1903a
.xword 0x6451df0ab65b56c4
.xword 0x6817f073488d8b5c
.xword 0x04778f00f3d93a9b
.xword 0xd96bd27b68e4e01a
.xword 0x7e517ca76ff9c173
.xword 0xac7073e1ff560637
.xword 0x72025b6de2f43cf5
.xword 0x3d38f39fa7b7197d
.xword 0xe42461ac1b535fb2
.xword 0xa70425f12f321bd2
.xword 0x4e272ec3d6f15bae
.xword 0xdbbbccb12aa21be5
.xword 0x2f34944cce9112ce
.xword 0xe450ac8cf365cf5d
.xword 0x1ed381004a67de9e
.xword 0x4dfec4225865b83d
.xword 0xe738b2419c77a43a
.xword 0x0ec53f7bbd980a1c
.xword 0x2212df46d67f4d42
.xword 0x7e53a0f83aa6040d
.xword 0x1f15f66b3d490b6f
.xword 0xd8eedfd3b31098ab
.xword 0xb986704835cbf0e8
.xword 0xeddb56f8aedc4578
.xword 0x191beb379a6206e3
.xword 0xca59ef4d6b73662e
.xword 0xef8e65934f04a151
.xword 0x7ca6d098d6039317
.xword 0xb05e373121446a07
.xword 0x0c0091d963bdb66f
.xword 0x15f3750940501130
.xword 0xa2d6cc8fee0a61a4
.xword 0xf7d209e3780a992c
.xword 0x199dd709938c9992
.xword 0x61f936081fc8b79b
.xword 0x8efb8e670cd8bd5c
.xword 0xb066c3ce1940888b
.xword 0x7cfa13e3c06fbca4
.xword 0x06ef78caabd71caf
.xword 0xfa0df01254e9e482
.xword 0x0e696650a1a83338
.xword 0x899d685009f22863
.xword 0xd17b2e5460ee0131
.xword 0x2587fa763b10600c
_t1_copy_alignment_array:
.xword 7
.xword 13
.xword 3
.xword 7
.xword 3
.xword 13
.xword 13
.xword 8
.xword 12
.xword 7
.xword 5
.xword 11
.xword 14
.xword 0
.xword 0
.xword 5
.xword 7
.xword 6
.xword 3
.xword 9
.xword 5
.xword 3
.xword 0
.xword 4
.xword 4
.xword 13
.xword 1
.xword 2
.xword 14
.xword 14
.xword 4
.xword 3
.xword 3
.xword 15
.xword 0
.xword 7
.xword 15
.xword 13
.xword 14
.xword 6
.xword 13
.xword 1
.xword 12
.xword 0
.xword 7
.xword 15
.xword 2
.xword 7
.xword 13
.xword 12
.xword 7
.xword 6
.xword 6
.xword 15
.xword 9
.xword 14
.xword 8
.xword 6
.xword 7
.xword 0
.xword 8
.xword 14
.xword 5
.xword 1
.xword 10
.xword 15
.xword 11
.xword 9
.xword 9
.xword 0
.xword 15
.xword 10
.xword 7
.xword 2
.xword 11
.xword 14
.xword 14
.xword 5
.xword 7
.xword 8
.xword 5
.xword 3
.xword 11
.xword 2
.xword 2
.xword 15
.xword 10
.xword 13
.xword 6
.xword 4
.xword 5
.xword 15
.xword 5
.xword 15
.xword 3
.xword 5
.xword 15
.xword 14
.xword 12
.xword 3
.xword 9
.xword 10
.xword 15
.xword 5
.xword 3
_t1_copy_src:
.xword 0xe4a3067f6c5da816
.xword 0xfb53a13e9a47a33d
.xword 0xd855ffa0d58ede0c
.xword 0x1a8ce20aa016e6d8
.xword 0x99dc4222aba62771
.xword 0x5c90cdca0a88f4e0
.xword 0xbb6367c23de9a712
.xword 0xa28845a79903cd57
.xword 0x84bce61d3ce497cd
.xword 0xf81874f3cff69664
.xword 0x63f8454727bff08d
.xword 0x81b0f809665c6856
.xword 0x98c8f80677a25f35
.xword 0x34d61cb4c4029da0
.xword 0x2fd85065cf00f2b0
.xword 0x194762de77c4e6eb
.xword 0x1c4b575d39e30568
.xword 0xb884dbc5eca7b15a
.xword 0xd7c194dadc246ea2
.xword 0x12db7da37421b1d8
.xword 0xc38100feea756cd3
.xword 0x64ac3dd26f2f98b5
.xword 0x8fd2cf3151a673e6
.xword 0xaf59a55b5afaf9f1
.xword 0x553e830e9fe91eaf
.xword 0x6a18f5a10c49321b
.xword 0xb2e114d732aa7ba1
.xword 0xab051ce11e06b9ae
.xword 0xdaa26f627967a209
.xword 0x0262f3111cefe0a7
.xword 0x67a208d4c8210fbd
.xword 0xa29092edc53de497
.xword 0xcfccbd77ae8b7a67
.xword 0xd610d05b27f1105d
.xword 0x737ea47cec67b086
.xword 0x766abec28fe47032
.xword 0xc883adde76f2e6ca
.xword 0xbda1f6e97355b613
.xword 0x69ac532aa7cc4029
.xword 0x0b44ff1c82f21249
.xword 0x4e41ad72ca7a7733
.xword 0x1f823101ff23f544
.xword 0x3971058eb062a70d
.xword 0x309df944b1c372b9
.xword 0x334a2980e8da1346
.xword 0x903f2d05943d43b2
.xword 0x3f94f74fb35a5a22
.xword 0x77567764611180d2
.xword 0xf84ba9ffd615236a
.xword 0xdbb2c4b06205288e
.xword 0x71444a3529ee2faa
.xword 0xb2b844e8027e58b2
.xword 0x93be633e767af426
.xword 0xfed977b1543c85e1
.xword 0x43f56096b9910beb
.xword 0x6a1b4cfb5b70f747
.xword 0x9a58cb19b31b4ded
.xword 0x1bf31bab09110e05
.xword 0x5b26f89d7b6afcbc
.xword 0x1ccfc5d5c5ffc34c
.xword 0xbe4b33471e8685f2
.xword 0x778ffdb06b3a96ad
.xword 0x4fee89deb5293e70
.xword 0xc2053cbb042f6a04
.xword 0x0885f331232a7ad3
.xword 0x50fe1f044a4b15ec
.xword 0x8e8a8aa0617e5f0d
.xword 0xfe6a678578723cba
.xword 0x05f5ebd7a46a19cb
.xword 0x43dd590753db9dc3
.xword 0x6430a32f9f83665f
.xword 0x4d1a0233fa7c906b
.xword 0xc382ca2c4f4b2234
.xword 0xf8fa1962d4ada6ed
.xword 0x1e43b90375935b16
.xword 0x2cf9237f267e11e9
.xword 0x63b0435ad2a8d1c7
.xword 0x096ecf2277940216
.xword 0xbf0e14099aebb5a1
.xword 0x259fcf376b9532bb
.xword 0x86e4243071579cd8
.xword 0xe2af5657b3d70aff
.xword 0x4d7c327a4e614553
.xword 0x11c22a1654a4ce28
.xword 0x5557e6349a83e52b
.xword 0x2aa33ff11fd46193
.xword 0x5d561c5b0f3b8fd4
.xword 0xf7bdc24ebd2bd85c
.xword 0x8213e85ee759585e
.xword 0xc8df8aa27b303396
.xword 0xb0ec51d9513ce76c
.xword 0x514646d919b3e001
.xword 0x5f8d7d6e85d3dafb
.xword 0x3b1c62497a0ea818
.xword 0x125c429bfd31d282
.xword 0xce34a038b56c08b0
.xword 0xf01564ae99a3f4cb
.xword 0x22d503f32f14cb26
.xword 0x48e379fd08367006
.xword 0xedc6b3298b43236d
.xword 0x0462c49dd5653760
.xword 0xdda69ee473d3b47c
.xword 0xfc0a878b5c7e2719
.xword 0x8ca34877987e5c2e
.xword 0x56db751967fa8636
.xword 0x2123e47c83f7a160
.xword 0x5e6332010e40fe0b
.xword 0xc9c852af3595c5be
.xword 0x4fe658e616a91c20
.xword 0xc7c7716b489534b2
.xword 0x46a2688f8b82770b
.xword 0x733cc04026cea4ea
.xword 0x958988af13adc26d
.xword 0x0b2d531871ca2964
.xword 0xc7453440e73a3dbe
.xword 0x7df5f4403141a5e5
.xword 0xa96589fc9f578af2
.xword 0xf4972f2984b0d136
.xword 0xd5ed6ab48e3c9aef
.xword 0x89eed11a6c1380f1
.xword 0xb3b8126bb70db045
.xword 0xb9eccdfd4197b0c9
.xword 0xcb312d0a9255e03c
.xword 0x2bf35bf7d73d281d
.xword 0x2a55eb50cc8784b9
.xword 0x625f2af241573eb6
.xword 0x2ca9e5f839866cd4
.xword 0x3f81c00b81e36e23
.xword 0x1dd3a88cf295e388
.xword 0x68c763f62750078a
.xword 0x70a088d86bba97ef
.xword 0xbb85750cd25e7163
.xword 0x719cfdd40959aab6
.xword 0x6efefbf8a7a39c71
.xword 0x9aa475f7a0feebb7
.xword 0x521221dae36ff89a
.xword 0xee7ed0cd746d5880
.xword 0x8b405b803c65ad98
.xword 0x277d176acf20bcb7
.xword 0x6001c086ef2c0d20
.xword 0x637dc669eed71db7
.xword 0x8a4bedd9dee53751
.xword 0x63c5c761899a3e48
.xword 0xe73d09e3db61d51e
.xword 0xd27bda5db8eb5c04
.xword 0x2e192ae0bf1a972d
.xword 0x620b1700b659562f
.xword 0x02fc07650152abdc
.xword 0xc0a32d9c663facc3
.xword 0xdd1c0bdf2d50d1b8
.xword 0x13fdf433c8fcc758
.xword 0xbcca7840e2854ac1
.xword 0x16bdc665b42812f7
.xword 0x2b7139d29d4c873c
.xword 0x8223e4239b35df31
.xword 0x2b9c8ab82d09c738
.xword 0x04935722c7b0e90b
.xword 0xdab7e1459dc4d62b
.xword 0x65a5b8e2d6205b87
.xword 0x381249dea38840ab
.xword 0xc4c20361d99de222
.xword 0x82384cff9e228ed3
.xword 0x66cbb750b9ef0b00
.xword 0x21ff9f1deedca955
.xword 0x375f2bea05aea524
.xword 0xfdd64182c6e4d24f
.xword 0xb6cc150f518b62cb
.xword 0x260bb50b4e909abd
.xword 0x92ab4bf97068b278
.xword 0xff0b0539aefdcb9b
.xword 0x59881e2a091f378a
.xword 0x74d974d836c02cdd
.xword 0xc009e5c550f22f83
.xword 0x240a7021985f0e1a
.xword 0x501551be8cab767e
.xword 0xb14cb69e1f023746
.xword 0x999854330c13e2d2
.xword 0xed52d8e0b4eb89ef
.xword 0xae152f3e28317f5b
.xword 0x1d327dd8627350ff
.xword 0x4e4e67815286318f
.xword 0xd2c5e294c42b9e38
.xword 0x71060b361acd337b
.xword 0x058f39531554a8a8
.xword 0x2d9d7c7db628b564
.xword 0x776c13df5db550a6
.xword 0x496e7d1cef37720a
.xword 0x29b2f63c5bfe71c6
.xword 0x50043cbe8265f1f7
.xword 0x1f961f6dcbcdd729
.xword 0x3085fca684caddce
.xword 0x2a8e7e4b6ac951e5
.xword 0x6a432704fdc549dc
.xword 0xffb3491821988946
.xword 0x3983f820f35beefe
.xword 0x47a9bd81e6161bf8
.xword 0xca30ee0798a2528a
.xword 0xfafada44967a6c6a
.xword 0x325ffcf323d18ee1
.xword 0xa7d3221970d66a42
.xword 0x61d92aee0169c234
.xword 0x6e14d98fd694052b
.xword 0x4ce411910cef0b41
.xword 0x221b81859552db5b
.xword 0xef8736263ee34c57
.xword 0x2af3d727217017e3
.xword 0x016d408b9cf3544f
.xword 0x583a69adcdba82c4
.xword 0xb80c746a87c07bea
.xword 0x88193c4988b81f95
.xword 0xffd2f00b01585513
.xword 0x866446bf6b84f628
.xword 0x920803d5256e91d5
.xword 0x2d51c2743ce2fc90
.xword 0x85fe62348ab966d1
.xword 0x984280b0d6271fa8
.xword 0x842da33a7e70ccb1
.xword 0xed05f86ca792754f
.xword 0x522879dc69ebdbbe
.xword 0xe3e866d5e393e52b
.xword 0x4c8755310cb2a239
.xword 0xc689f71995ec438b
.xword 0x2ded4c7aed8762b3
.xword 0x93ceb676207202fe
.xword 0xcd404794cc477612
.xword 0xd7f516e82e255074
.xword 0x7d0b5b79a0cf9c55
.xword 0x4b8b82eaef0530fa
.xword 0xb5d47d66d47f87c8
.xword 0x40362c5da4928466
.xword 0x1b1f8d3cf14be5ee
.xword 0x9c08385660f842f1
.xword 0x01c4e43551639d43
.xword 0xe6f0302200a83776
.xword 0xcc22d30b33f91262
.xword 0xa57837c0e74768d7
.xword 0xb188320fabea0a89
.xword 0x68d825a3fc2aedbe
.xword 0x5c4734b9ab0713b5
.xword 0xdcd9ee71f47d4868
.xword 0xfa5a637b52e8b261
.xword 0x303e2b6982cb6c91
.xword 0x0077f6bb2886db8c
.xword 0x098d2716de47225f
.xword 0xaceea562f195d056
.xword 0x6d93caea62b752e7
.xword 0x1108a4ab3e849fd8
.xword 0x4f83e3fed2fd7887
.xword 0xbae1abd815e90e2d
.xword 0x2345e49ad9e3d69e
.xword 0x1db2a7bbd137efe1
.xword 0x1d5188f6fa92edea
.xword 0x8ff08a92ab31ebbd
.xword 0xb4c037fbb6ac5d38
.xword 0x65316a614def66a5
.xword 0xc3ac914336e99a97
.xword 0xe1e58fe09866aa98
.xword 0x05efc2c71b37af3f
.xword 0x9509836b08bb53a9
.xword 0x6d7f66bdb2027f1b
.xword 0x4904473abcae453f
.xword 0x576df6ae22b326fd
.xword 0x8a96b86545647540
.xword 0x37858b9c212b418b
.xword 0x18b7da5fcc295b7a
.xword 0x0657522f3807f80a
.xword 0xc31171c2916429b9
.xword 0x81d2befa33b4936d
.xword 0x07e5d7f47e32a94a
.xword 0xddffc8aee646e60c
.xword 0x8c8b34173d306b70
.xword 0x9fcad1c842c45d16
.xword 0x2c2cb7accaa45872
.xword 0x320d4e01cd863226
.xword 0xc025147ca5994b5b
_t1_copy_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_auth_key:
.xword 0x02164e213747e655
.xword 0x2b6f11d4b4f47c8b
.xword 0xf659718b02bcaa3b
.xword 0xa6dbccd380b31467
.xword 0x90e46505e4101441
.xword 0xbbb78c718d1b8687
.xword 0x0ce071ad495e3893
.xword 0xbee6e3733a9c8912
.xword 0x064aa183df52015b
.xword 0xa122acede18ea748
.xword 0x7383a72be85dc0b0
.xword 0x7fd9e7feb25c3d39
.xword 0xe79e81c8c663d299
.xword 0xe7dbb537ecbdd66c
.xword 0x4356a5b1ab6c14ec
.xword 0x086e288829e7dd80
.xword 0xca5f15768014292b
.xword 0x12e17690ca9b8b11
.xword 0xd5be963e5060ebf8
.xword 0x540fc3031dbc1733
.xword 0x07fb623a3a92181d
.xword 0x843650dc41d57773
.xword 0x70a5edbde334bcd5
_t1_copy_auth_iv:
.xword 0x40ee8df18a55d4c8
.xword 0x459504b8d51d7d59
.xword 0xb475d419aa89da39
.xword 0x89ee260b98297731
.xword 0xfe1c0c8645bcbaf7
.xword 0xeb44ae96476854d7
.xword 0x1876555bd2d44dae
.xword 0x7c48cae1c655147d
.xword 0x910f317375867fdc
.xword 0x3e6b4d82265c313e
.xword 0x2fc52fb6c932caa3
.xword 0x8b443480fb37350d
.xword 0xfa98ecf000a4be35
.xword 0xd961a34f31324d51
.xword 0x8e12e95a179c1c1c
.xword 0xe5cbbb011d1ed72d
.xword 0x947926676f650c70
.xword 0x1f7e574cf7e72609
.xword 0xadc661ef751c2ca1
.xword 0xf9e4e4d16c6185a1
.xword 0x84bf82b7af8b6d11
.xword 0x8601c3eb9623dfa7
.xword 0xc595cadc2f1e286e
_t1_copy_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_key_array:
.xword 0x1a00c688923f862f
.xword 0xc39dbea6878ba05b
.xword 0x235add74cd0702b6
.xword 0xda2e57c61007bc95
.xword 0x82c7bcda75db0321
.xword 0xce9439f0cb963350
.xword 0x4d8b85dfe45e42d2
.xword 0x40e47e0b6bc2a543
.xword 0x36695116fff9ea6e
.xword 0xa84a6091df189555
.xword 0x2701648402afce9d
.xword 0x382ee80fe6d6625c
.xword 0x7d76391ee2c758f0
.xword 0x19c56bec103cb50c
.xword 0x46a20497f93a1e79
.xword 0x70c7f0f3f4785b79
.xword 0xeb5c06297b3a46b9
.xword 0xd30b761bac79f844
.xword 0x77a10bd380a324f6
.xword 0x37005c89a59dfe4a
.xword 0xd25a5c111c472289
.xword 0x739b10c26ab57e9e
.xword 0x49a35d883bff949b
.xword 0x0ae7873bf593d234
.xword 0x4febc82e70a47181
.xword 0xb6d773f6c101c89d
.xword 0x673257dec1e5440c
.xword 0xda901ab72078c489
.xword 0x2cc4b202a8fefc3d
.xword 0x9d0897a183534894
.xword 0x6eb6704a3794dcc3
.xword 0x1fc33790c6561ff1
.xword 0xf1640731ba04f8ff
.xword 0x27a1cc3f010ee654
.xword 0xf2b4f1652004be54
.xword 0xdaa518cedc971878
.xword 0x396aa5a1a24349de
.xword 0x7d839ba69c005b40
.xword 0x7b08897d8005faef
.xword 0x352a05968d16c476
.xword 0x768a8ef2b04342f0
.xword 0x9cc1b7608d270b17
.xword 0xf006f0f4f1bf7010
.xword 0x38a943931b67c930
.xword 0x7b65d98ac1fcc1eb
.xword 0x9eb4e693fc8b52f6
.xword 0x03da0dbc82ba8275
.xword 0x05ebb6e6556e4c1a
.xword 0x3987d1ec581d1b43
.xword 0x339ff2c65a645462
.xword 0x82ac47e398ef887d
_t1_crc_iv_array:
.xword 0x7f6cce730baffd85
.xword 0xb50ba5213bdedca8
.xword 0xed287e0360461945
.xword 0x50637cca918c2e8e
.xword 0x03dfbfdd04f27a5b
.xword 0x7cf9625e529e5578
.xword 0xf5925d5af13f2bc5
.xword 0x6f19373d36c16ceb
.xword 0x94420c14181377a1
.xword 0x2b7e4f380dc3c24a
.xword 0xcd4eae44230943f3
.xword 0x49bbc64d72008da4
.xword 0x5769bd17412b3dfb
.xword 0x9b503f77bb461472
.xword 0x9a6682a73b588b3a
.xword 0xb15e24f23b1d794c
.xword 0x541e57eb2a5cb402
.xword 0xae72b5a62cb34659
.xword 0x0633fcc1e36fd06f
.xword 0x9c4a375c683d0586
.xword 0x34534905444876e7
.xword 0xeacb572e117d6e23
.xword 0x8a7c4406b99ba6b2
.xword 0x1158a1a5c61cfca1
.xword 0xfcca78e5c5cdd06e
.xword 0xc39d142a93102bd7
.xword 0xebfe2d71e49c230c
.xword 0x2fb33f39a1684c69
.xword 0xcc4becd969998789
.xword 0x453f0788122ffa31
.xword 0x4f14262de0940489
.xword 0xad5fa9a55ac14934
.xword 0xd1e44c52f3c3720e
.xword 0xb1fc755c01cfb894
.xword 0x58cd52eaa885a39b
.xword 0xb901e68d49340c14
.xword 0x918c5274a8c4ff14
.xword 0x696b96953f1ec5a9
.xword 0x8d850adf2c6d6963
.xword 0x83e78b407beaeac2
.xword 0xae6760802a4719cb
.xword 0x49ed081303afd343
.xword 0x44cc07105ad38e0c
.xword 0xe339d90c747ef580
.xword 0x2223f628a12f92a6
_t1_crc_alignment_array:
.xword 9
.xword 5
.xword 13
.xword 15
.xword 5
.xword 1
.xword 10
.xword 6
.xword 11
.xword 8
.xword 11
.xword 4
.xword 12
.xword 10
.xword 9
.xword 2
.xword 12
.xword 1
.xword 9
.xword 11
.xword 6
.xword 0
.xword 10
.xword 2
.xword 4
.xword 9
.xword 13
.xword 12
.xword 12
.xword 9
.xword 15
.xword 12
.xword 5
.xword 8
.xword 1
.xword 4
.xword 2
.xword 6
.xword 2
.xword 0
.xword 9
.xword 12
.xword 12
.xword 1
.xword 0
.xword 2
.xword 5
.xword 7
.xword 5
.xword 14
.xword 5
.xword 2
.xword 5
.xword 7
.xword 4
.xword 15
.xword 9
.xword 1
.xword 7
.xword 10
.xword 1
.xword 15
.xword 3
.xword 0
.xword 6
.xword 8
.xword 9
.xword 2
.xword 13
.xword 10
.xword 3
.xword 4
.xword 2
.xword 6
.xword 7
.xword 13
.xword 4
.xword 4
.xword 7
.xword 11
.xword 4
.xword 6
.xword 1
.xword 14
.xword 4
.xword 7
.xword 2
.xword 13
.xword 10
.xword 8
.xword 0
.xword 10
.xword 5
.xword 7
.xword 12
.xword 7
.xword 12
.xword 7
.xword 13
.xword 10
.xword 15
.xword 4
.xword 15
.xword 11
.xword 11
_t1_crc_src:
.xword 0x5eeab576d25dc5dc
.xword 0xc0881111805e0166
.xword 0xdad6800a696f704f
.xword 0x58a9dd6d1a3ce095
.xword 0x152751ce3d63c27a
.xword 0x73f3143593347ea4
.xword 0x2a19c561a1a78ded
.xword 0xb0b0852fa509c9fc
.xword 0x1600618042fb8a00
.xword 0x2f640df37be9f386
.xword 0xef427153997ebe8d
.xword 0xd350e189157e31be
.xword 0x26edf12ed4a288cc
.xword 0x15f6e0717f1cc68a
.xword 0x83cb2145beedea94
.xword 0x10c876b6c25ba9dc
.xword 0xf81fbcb1c74b36f6
.xword 0xc7b2f8f8ceefcd19
.xword 0x51258ad18054db6c
.xword 0xc7a4dd26a5f72887
.xword 0x4a508ef8888da8f3
.xword 0x6b6c1b441b2bfeb3
.xword 0xbc8b9eb0bec05fe2
.xword 0xcea87859aa1a9b97
.xword 0xbec60df4453238be
.xword 0x5493c110d0818238
.xword 0xa7192a74c3daa9e8
.xword 0xc9d802e18952e76b
.xword 0xef7081c612cad67d
.xword 0xf04a20df3e6e75bc
.xword 0xdbd3f6592e6b7c54
.xword 0x148b5af0de0270ca
.xword 0x41d3800a4b7ffe04
.xword 0x6257a2b12c57dd69
.xword 0x95733888c329f96c
.xword 0x68f989e44cb1c05d
.xword 0x5e42866aa1bd51eb
.xword 0xdb2c1448e5e6d624
.xword 0xf098aa04e751ff3b
.xword 0xeea91cfbde00276d
.xword 0xb61ab03ee299aff2
.xword 0x38280bd21a351012
.xword 0x94b9e2fd7d313671
.xword 0xebda7347d093fe49
.xword 0x0033ce074af091d7
.xword 0x2ceb2adbdbeaa0d0
.xword 0xc7f97e5021d298b6
.xword 0x23f55fc87f125a66
.xword 0xe4a03581e646d430
.xword 0xd8c0d4b210fdb71f
.xword 0x1a777667d23c2f0d
.xword 0xd46c49cc1a261296
.xword 0x0bd57706206e1f76
.xword 0x79aae9c7aa446ada
.xword 0xf2e8310ff8a5f30a
.xword 0x9148e73b50557b15
.xword 0x5bd52a3963e6bc68
.xword 0x8f26d8f0aca570c1
.xword 0x93d633a9fd64db62
.xword 0xde0daaaee27cfdad
.xword 0x8d821fb12ee58f71
.xword 0x41b9accaeee4f138
.xword 0x28c26c82dc268fa3
.xword 0xb939c9cf1c6aa106
.xword 0x7bf975c4cfc8d04f
.xword 0xe26f277e1c83f3f8
.xword 0xd75890f8fbe3bf0c
.xword 0x9d385293c70905b1
.xword 0xa69ebded21057425
.xword 0xb833cff6f43f938c
.xword 0x80d43a9e40e23683
.xword 0x19a96a4f60dc429c
.xword 0x59b2a99531055704
.xword 0x60cd3da4a636e7e4
.xword 0xa932f11a090ad33e
.xword 0x32a290cda1157291
.xword 0x3451bc545db9e913
.xword 0x2a4e07fb50beadb1
.xword 0x1d2ee1c3ce477c61
.xword 0x4f5a30f78131ae2c
.xword 0x2dff3f1704fc015b
.xword 0xcc9906ed5cef5cff
.xword 0x75c1f56e53ac3c30
.xword 0xe395b4f97eac774a
.xword 0x98d4af925bc462fa
.xword 0x32196f32e8668c9f
.xword 0x6ebed6f4b569f09d
.xword 0xf8413523593c7616
.xword 0x9a7beecedccde5b0
.xword 0x4ff74ad0002ec4f2
.xword 0x251421621448682b
.xword 0x0e8c727b611c6e4c
.xword 0xfd36329ab0e15ff5
.xword 0x2eb5e4f43aadd5ea
.xword 0x438b83ff68192783
.xword 0xc4cc526f3b91737f
.xword 0x52f5c9f276264175
.xword 0x3bbc005a68ba9a77
.xword 0x2c4930d0f205934a
.xword 0x6d4e7e7018b32133
.xword 0xf6da62a5daca3c21
.xword 0x03f1e830c1b0c009
.xword 0xddd2920d0920369b
.xword 0xaaa568ec1fa3108a
.xword 0x53a1a5ace4de9d4b
.xword 0xd17ef0b0d4c2dee9
.xword 0x6e58c8788223654e
.xword 0xb4b14b758df43bb3
.xword 0x3ad7ec5c6a3ccb50
.xword 0xef209b0b4d52b90a
.xword 0xe0dc72139a701a9a
.xword 0xe7115196d3547aa1
.xword 0x686181720fadc0ec
.xword 0xaf81f0d306c0aaa0
.xword 0x80d41db3648eb5d9
.xword 0x5749d1c8fcfe6a89
.xword 0x6361459caf1ade2d
.xword 0xab29666c3a63c143
.xword 0xc2f24d6747e4946a
.xword 0x778b4c70d1f9e368
.xword 0xfcd903e98055a73e
.xword 0x00198be6fee431d9
.xword 0xfe386ca66eef4fc5
.xword 0x6b8b1aed321d0e72
.xword 0xdeec53b3784ef7b8
.xword 0xec6f8ad0d101fcbe
.xword 0x99e5d50f05c98506
.xword 0xc0b2e2902ccc5a32
.xword 0xecd55f266fbcdf74
.xword 0x3d4e13f702a5691c
.xword 0x0d944ea5f5cf1778
.xword 0x3b27a8b6c40a90a3
.xword 0xbecb1a1977264e0a
.xword 0x691734822614fac9
.xword 0x4f94c9d2524df3f6
.xword 0x1e5806c998bcb8e6
.xword 0x0e5576cf441c32e7
.xword 0xb3669f0c0e95f86b
.xword 0x3eac7ed99faa25e8
.xword 0x414bb7dc75ed768e
.xword 0x2e64e7f5dec1b267
.xword 0x0dcae23b9deb77a7
.xword 0x0f56b9d77e9d48da
.xword 0xb6f7e2cb33e8a9eb
.xword 0xfa2c18e5da508a23
.xword 0x5fe16281c1e02e20
.xword 0x114d2efbf6730249
.xword 0x1f7f47b931e27f34
.xword 0xdb8bd654f07c3659
.xword 0x69977c32619e6cf9
.xword 0x48583200060702b2
.xword 0x8cf783b346f336a0
.xword 0x97724e6f9dbf817e
.xword 0x7e68c54d201ed7f0
.xword 0xbf5345f12b30c755
.xword 0x1fc9974be1da2e39
.xword 0xb4ec6f2176c2d020
.xword 0xd836ae14c01b4271
.xword 0x3ad511383f6719a9
.xword 0x1e7874f445620cf7
.xword 0xc0550ba82898637b
.xword 0xae4a58a7c2850996
.xword 0x90755187a6a16a67
.xword 0xf4b06619cd26c47a
.xword 0xed8eea32266d485c
.xword 0x7cc5723680656004
.xword 0xbaf53bf1fa0fae67
.xword 0x6bcacfac2a081181
.xword 0x2799724fdad20be6
.xword 0xe765b6055048d8be
.xword 0xb97926ce74a36182
.xword 0x5c791b0ae4d7f2e3
.xword 0x8ba56f6aef84fccd
.xword 0xe5f4314110cb857f
.xword 0xae6ff612d2b47c73
.xword 0x3dab2d44345eeb0a
.xword 0xdd84540e75c0bb0c
.xword 0x6b85e03432100b8d
.xword 0x04f2d782c5093d34
.xword 0xda5cbe807ab01cfe
.xword 0xb26de513d0b096a7
.xword 0x11245b4d61424e05
.xword 0x0d5e057b2fc98d26
.xword 0xda551637b90bf8f1
.xword 0x9de786f3a4d774d6
.xword 0x91589e98360801b6
.xword 0x27c05592d368cc2c
.xword 0x01925c07d7d7ac77
.xword 0x266f1afcc59fd901
.xword 0x5208b68df54736f0
.xword 0x81a66e9258a4d47a
.xword 0x076bf690def8696b
.xword 0x828758e0ea8f288b
.xword 0x22a2785b7c45e3f8
.xword 0x4f99922346c19279
.xword 0x4c7678810de1ae86
.xword 0x5ecc8c7a97465fb3
.xword 0xfb7bb1b5a55a443c
.xword 0x9c205e02120798be
.xword 0x65bcbdb7669546fe
.xword 0x2c5727a13f1cb5c9
.xword 0x1d52f6cd3dbdf67f
.xword 0x6a6e055462619d93
.xword 0x5ecd12b1d964c0b8
.xword 0x73338d763d102479
.xword 0xcb9b7d9fba528bc1
.xword 0x0e3b8232f380ee10
.xword 0x3f5773e4bcc369ae
.xword 0x5481d2c075ad9c9c
.xword 0xd5afaadf9198cf69
.xword 0x2a6d5df4f2d74bd6
.xword 0xcddeda33fadff9da
.xword 0x395783a4d023687a
.xword 0xda0dd839c4b43d8a
.xword 0xc87756945d9949eb
.xword 0x12bb67cda6e7a9d8
.xword 0xc5fc813ee552b46a
.xword 0x4228ebe97936fc6c
.xword 0x026bfa47148bc371
.xword 0x84605370ae990bce
.xword 0x41928b21f64e7acf
.xword 0x8345236f70d2b4d1
.xword 0x4d64a7dbcbd7ce05
.xword 0x6c25f4604520f762
.xword 0x1dc712ec5c5ec87d
.xword 0x66b46fab85c81c94
.xword 0x9b9cf16141d62102
.xword 0xfcf4e45c8a632969
.xword 0x81ef77029c04bd87
.xword 0x7d2d00c03ba67820
.xword 0x0a9b36dfac5fb471
.xword 0x20fcf7f164e1d9f3
.xword 0xe1a59d80522449df
.xword 0x6177b5264891f27a
.xword 0xac6d346452dc2988
.xword 0xa0263af98e6ddfd1
.xword 0x06bbc51d9345cd21
.xword 0xedeec3d6be38f30c
.xword 0x8475a8b5684cd7c0
.xword 0x1bf782eb69c50f26
.xword 0xd60496eba6f3af1b
.xword 0xa66c4af1283bce19
.xword 0xfad0230302b738de
.xword 0x8ce3788a7983e2f4
.xword 0x662ff91b23a13699
.xword 0x7f8304ebb3964267
.xword 0x62c54be5fc10f67c
.xword 0x92fefe5f58347f02
.xword 0x34ed54bd20f2e6f6
.xword 0xc9bc3dad79155a85
.xword 0x4033a10c722d1683
.xword 0x02b43ac673ca75b8
.xword 0xafc8b4fb7ce30049
.xword 0x76928c714409a9b6
.xword 0x1b38725935188976
.xword 0x1d4e9a192a5f3cbd
.xword 0x19019a5b480b73b4
.xword 0xe88328acb1a69f4e
.xword 0xb6a5c63cb35b127e
.xword 0x4a5a3ea380a25c95
.xword 0x0e792c8b4fba97ac
.xword 0xaf6237099f658422
.xword 0x195870f163322380
.xword 0x53ef7af9ab3f0fe4
.xword 0xc57f5a782fc21c72
.xword 0x8a3ffcda96011b4b
.xword 0xae72b71251d2355f
.xword 0x7625b80c9ebdf4de
.xword 0xf5eddd37aa7d1ec8
.xword 0x9f2dbe220d686c84
.xword 0xf508ca5eae86a618
.xword 0x60e63680cf44863f
.xword 0x35209efdf55f244a
.xword 0x5008624d39413ea4
.xword 0x8363011d4a0460a2
_t1_crc_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_auth_key:
.xword 0xbfda031d186986ec
.xword 0x811ae833e2eefe85
.xword 0xe7f7c225877ad156
.xword 0xb16b6385ff4134c5
.xword 0xbe78fcea49462848
.xword 0x9b99d139e240c97a
.xword 0x32e3f6992810a38f
.xword 0x8ab0c5e29174f435
.xword 0x6066c6ed0f48441a
.xword 0x4b56515cff162000
.xword 0xc610e3c4a3c5043f
.xword 0x93cd5d21f4fe8908
.xword 0x8ffaeeea4d98a5ab
.xword 0xf1cbac7ba04b3250
.xword 0x6ef716b1a0dd0cb9
.xword 0x5bb69d8461010c08
.xword 0xe31c41dfb9c74ed8
.xword 0xc6f0a647c549dcdc
.xword 0x82d4b8571b86bb2a
.xword 0x336475a9ee9df564
.xword 0x2c9cfa6a842b3ee5
.xword 0x37ef766ff06f559b
.xword 0x7a59c84f16e6b756
_t1_crc_auth_iv:
.xword 0x916a573fee93a749
.xword 0x13712c7a58b34207
.xword 0x4980f0e5557525d1
.xword 0x60bcb829156db6db
.xword 0xb66e16279fccce7e
.xword 0x454a35e771692d36
.xword 0xa8b0a3d4127c5100
.xword 0x38d8d9da8ee60538
.xword 0x1e88caa81b65d0d1
.xword 0x7df2fcb1b8925817
.xword 0x3ea753769b3e50c7
.xword 0x42f764d848ec00e2
.xword 0x8620121e6118204c
.xword 0x6d7c342485fa13e7
.xword 0x891051c359bfd3d2
.xword 0x22cff59d31b880a5
.xword 0xff2bf4337e5a11d7
.xword 0x5adbeb4ff7fdba52
.xword 0xf9b885e682abd73e
.xword 0x1c7d83a794dc5667
.xword 0xd0cc13c36dd3fc58
.xword 0x9836e0a3ffcc5aaa
.xword 0xa981411bf25c8fdc
_t1_crc_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_key_array:
.xword 0x0fd07cfe55557359
.xword 0xdd8194e50b48a809
.xword 0xd7a61bbd0f7d0f6b
.xword 0xd0a7f8d693ad1f4a
.xword 0x9afad153bf0bdbf2
.xword 0x8804fb49bd54e682
.xword 0x87d433fdffd59630
.xword 0xcab9868afc5af637
.xword 0x6579beda34b228dd
.xword 0xb425cbcf4ed9ccba
.xword 0x687cb00f3c197d20
.xword 0x89f67fde6630aaaf
.xword 0x11696e82b94f429e
.xword 0x510d20987e5b67e6
.xword 0xb7c4198c38124fad
.xword 0x7c38fdc1287552eb
.xword 0x48979d0d29c0dc84
.xword 0x3c9854e6b11e32f4
.xword 0x71ba21d562c37054
.xword 0x7fa1714b273bf499
.xword 0xf33a2aa3b1d8eccb
.xword 0xdddd9cae4861e2e1
.xword 0xb17e7543e525de00
.xword 0x03dc88191fa4bca7
.xword 0x2969e9e3f0004244
.xword 0xa393a4dca308f9db
.xword 0x47854cb5dbee0621
.xword 0x8eed78c4cf9ebac4
.xword 0x969e7d34384c52bb
.xword 0x37d87c6271632fc0
.xword 0x79a1a856162ca260
.xword 0x04819485f797fdbb
.xword 0x3b84e545a9680be6
.xword 0xe5d7f8ca2a200534
.xword 0x8cb9a4d8f1497ecc
.xword 0x003de5468fc73b42
.xword 0x2ca56824b443b987
.xword 0x4934fa35fdd6f2fc
.xword 0xa92b6e45ab666408
.xword 0x42ea2d7ee52afba4
.xword 0xe582f2dc762d4e07
.xword 0x39ef4d89f902d811
.xword 0xe0acb4019400cb6a
.xword 0xd233f94fa57c8274
.xword 0x2e827e9ca4e0db2f
.xword 0x1ba950d32e90ca7b
.xword 0x7f95385bb679cd58
.xword 0x6502b5208591568b
.xword 0x509358b420043a2e
.xword 0x94bc1bcb4da09dbe
.xword 0x27cbd40d71528250
_t1_hash_iv_array:
.xword 0x22b745471334f029
.xword 0xd0d9039723d13519
.xword 0xb69a95700397930b
.xword 0xace512536a730403
.xword 0x8dda59d376f4b968
.xword 0x97dd0c6812ab9684
.xword 0xad7f5407755ef1eb
.xword 0xc35a4498b11ec496
.xword 0xd7874ae83095ef54
.xword 0xbd32b82b4f5225d4
.xword 0x7d50d8b38a30d620
.xword 0x6a103af93cd7e962
.xword 0x4e6cfee4de4e06f7
.xword 0x8a6b86cecf9dce8f
.xword 0xb6445b69cf289cfe
.xword 0x36ee2a8b1e70ffb2
.xword 0x68af8e137a09ac58
.xword 0xcd94a1c48e635458
.xword 0xa1abd041a785cd88
.xword 0xaba44add250c161d
.xword 0xe83a3babe1b02d47
.xword 0xf2409193b85de5db
.xword 0xefbf48718b6feff2
.xword 0x48620e49a58fe4fd
.xword 0x7ef7940cca7e3437
.xword 0x8d5c88cb55355fb7
.xword 0xc6e11bc371bd52a7
.xword 0xbf3e19517e992efd
.xword 0xa46293adbe41819d
.xword 0x14331708cb7b5261
.xword 0xcdabbe50253f63bf
.xword 0x23eddf088a7848e8
.xword 0xb72bc15aba5e0968
.xword 0x42c55b75bfde4710
.xword 0x402d2cd8deb1da2b
.xword 0x321da5cc62da8f8a
.xword 0xce42097d7c846898
.xword 0x233809c256cd2509
.xword 0x7e1bc5b336c22da3
.xword 0x3f2ff81b71812917
.xword 0xdae2169035b3a6a5
.xword 0x4654e08bac1d1a3d
.xword 0xb84db0910b9604cc
.xword 0xef47d5fab44cf812
.xword 0xe6a059c4e445a285
_t1_hash_alignment_array:
.xword 7
.xword 10
.xword 10
.xword 6
.xword 7
.xword 8
.xword 10
.xword 3
.xword 12
.xword 12
.xword 7
.xword 12
.xword 4
.xword 12
.xword 13
.xword 13
.xword 1
.xword 13
.xword 7
.xword 8
.xword 2
.xword 10
.xword 11
.xword 3
.xword 4
.xword 15
.xword 7
.xword 6
.xword 5
.xword 7
.xword 11
.xword 5
.xword 2
.xword 15
.xword 14
.xword 0
.xword 3
.xword 11
.xword 2
.xword 2
.xword 3
.xword 10
.xword 5
.xword 0
.xword 13
.xword 7
.xword 13
.xword 5
.xword 11
.xword 8
.xword 0
.xword 13
.xword 5
.xword 3
.xword 12
.xword 3
.xword 9
.xword 8
.xword 9
.xword 8
.xword 15
.xword 3
.xword 0
.xword 5
.xword 9
.xword 11
.xword 15
.xword 2
.xword 2
.xword 14
.xword 15
.xword 0
.xword 8
.xword 6
.xword 13
.xword 4
.xword 12
.xword 5
.xword 11
.xword 6
.xword 10
.xword 9
.xword 10
.xword 1
.xword 11
.xword 11
.xword 3
.xword 15
.xword 4
.xword 7
.xword 8
.xword 10
.xword 8
.xword 5
.xword 2
.xword 10
.xword 8
.xword 3
.xword 7
.xword 1
.xword 10
.xword 0
.xword 3
.xword 1
.xword 1
_t1_hash_src:
.xword 0x037fd425c428cca6
.xword 0x838aa50d4c444d25
.xword 0xc9f73334009a60ca
.xword 0x644fa485f45bc5c9
.xword 0xceb14271aa8a65dc
.xword 0x006771309a2b0d1d
.xword 0x8eb3874ddae29070
.xword 0x63e09a8a56d02e80
.xword 0x213e1f9a4c7835c7
.xword 0x30860013ea7c944f
.xword 0x5788f4ceaec3d326
.xword 0x2f08b53073d622d5
.xword 0xca7e885774e798b7
.xword 0x05de93ad24dac8b8
.xword 0x8a0da4f74e99334a
.xword 0x517ded56f9b0405c
.xword 0x088545ab1ea6bfda
.xword 0xe93ca9f773902f10
.xword 0xa2e27c7e50cba366
.xword 0xa25fca1576f6b758
.xword 0x7b677330176c5276
.xword 0xb2c83ca4f73e0279
.xword 0xa2841e3c3a7a53e8
.xword 0x767c6c75b1e2223e
.xword 0x7c2a1eecba76a310
.xword 0x4337e75489f3f5d1
.xword 0x796dab7ef919c0c7
.xword 0x0d8b4a633fcfa219
.xword 0x4df10804936011cc
.xword 0x614bd5cf2ef39fd5
.xword 0xd86c1f0454cb6175
.xword 0xe4b353ebf80d12fb
.xword 0xb591e10bd55f3e15
.xword 0x0cf86bc027a47ab8
.xword 0x80ea35aaa1c18724
.xword 0x722bc5f8ceda720a
.xword 0xf1db97cad411ab8d
.xword 0x3ac0fec65416e0f4
.xword 0xb5838e34c78cbe28
.xword 0xccc0b5d527cb44ac
.xword 0x5ffa0781c547a566
.xword 0xea26b58b99333a9a
.xword 0x895fd7b17cca8ec6
.xword 0x4b5badbd97a1fbf8
.xword 0x025d128450d0bbdf
.xword 0x0a212f6ed30501fe
.xword 0x7ffc544bb96d49ec
.xword 0xa86ccdf3bea7969f
.xword 0xae5210f2be34e25e
.xword 0xc6ebccdac50e25e8
.xword 0x2364f0ad3f5323e2
.xword 0xcbbd45e1f9785bef
.xword 0x7b59d369bd8d9c01
.xword 0xfad8a9776edd1021
.xword 0x3f494278e3483ab6
.xword 0xa6d0a4f71b37ed7c
.xword 0x4bd36e7bd4826edb
.xword 0x9e7c88fe09b41716
.xword 0x92de7a7bca8fa2d6
.xword 0xf22c8de6f7e9f9e0
.xword 0x1790e1080f48064e
.xword 0x0a8b1cf06709ce24
.xword 0x9f08cbea590ad649
.xword 0xe4f3b65d5f9c406d
.xword 0xb365f895296d5eb0
.xword 0x9842fd1449a33b66
.xword 0x8580c55500b76dc6
.xword 0x71662e617b3bcbb6
.xword 0x6e81bf86492f616d
.xword 0x60569c2f6ddb9069
.xword 0xfecbb922b8af7386
.xword 0xd2247870b18d97be
.xword 0x6daee8b8ed9af19e
.xword 0x6fb29ab054ea3f65
.xword 0xb9114ecbd3e1cf62
.xword 0x64051a167b4a43ad
.xword 0x69ae0ec197a1edd2
.xword 0xd0600d639045db85
.xword 0xc178111fcac4ae1d
.xword 0x8b45a41d1718ed9e
.xword 0xa3e1675fff8f3bad
.xword 0x5912cbcb6e104035
.xword 0x3e1f9864a1d291c0
.xword 0x4d39add8f83d8a2e
.xword 0x6d68e41ac472b7b0
.xword 0xc081d04881f4d606
.xword 0x6d944d7547d1784a
.xword 0xf383b25382723642
.xword 0x551074d2d3bbd1f9
.xword 0xabc64c59df7fabcd
.xword 0x342a8ddef1fcf100
.xword 0x02091626cc29698b
.xword 0x2a6cf65a6276bd1a
.xword 0x39756bd3c6eb5154
.xword 0xe63d580bcc7a0a5d
.xword 0x6aa3e8767477c002
.xword 0x3316d003f8cb44db
.xword 0xc650b675b643b346
.xword 0xb3c940f10747f438
.xword 0x8dcf7b9879916063
.xword 0x6c504ff722ae7bd7
.xword 0x1c7f2bd999b16043
.xword 0xa52e0e4f7322a3d8
.xword 0x50c495361ee7c47b
.xword 0x94553c50bd56dde3
.xword 0x5b6051acbdbbfd3d
.xword 0x85c6a8fae0ade0bd
.xword 0xc35b171bb40a9f74
.xword 0x3069f2f739475123
.xword 0xdc2d3984bf1d64bc
.xword 0x44eed4b149aaba0e
.xword 0x2313cdb764db99d7
.xword 0xd11c2a57f463d81e
.xword 0x346de22884faef5d
.xword 0x88f58b724acbd5ba
.xword 0xecc645472e92664d
.xword 0x008c19aaa9b84a01
.xword 0x7c931e7d54d2e6f1
.xword 0xac7028c9f741bd85
.xword 0xb14448bdfd3cffda
.xword 0xc183779745fcc58e
.xword 0x4d107ab0659ca0bb
.xword 0xc57063c4156adf87
.xword 0xf3da4423b48cb799
.xword 0xdd8d188789c73964
.xword 0xa7c2d8c594429f07
.xword 0x42d44e681a27bdbb
.xword 0x52723f2f91566b7c
.xword 0xcf8916bb40157d0e
.xword 0xdb1efae766431faa
.xword 0xa0c54f6bd08234d1
.xword 0x6ee97a8452106b65
.xword 0xd262fd0016a75917
.xword 0xc700e42c18f9a145
.xword 0xd1382a2c9f8c318f
.xword 0x95675422166c6212
.xword 0xd8b16b01ebff8358
.xword 0x64bf0080de8fb183
.xword 0x6b845cf5c0e5677d
.xword 0xc612a0e5c65ce288
.xword 0x0f54c3c53b31aa4c
.xword 0xe3c86201069950c0
.xword 0x769bbf9e380e7aa1
.xword 0x7c70bff3ab42e908
.xword 0x59e05dfa1500a1c2
.xword 0xdc4a77ed89e304e8
.xword 0x07da4366084a2b55
.xword 0x36db390db128fb5f
.xword 0xc28d4d47f042f361
.xword 0x095869a6d5e31177
.xword 0xcd63935fa91078a9
.xword 0xc84aec22d1a5773d
.xword 0x762efee56622af20
.xword 0xf3b15a85b5a1665b
.xword 0x793815868cbdb88f
.xword 0x759fcc1bde7f9872
.xword 0xc60316c13195e350
.xword 0x6ecf28d4a30d2c73
.xword 0x75e32db426ac08da
.xword 0xba5eb20d78acbb65
.xword 0x01271d93057d3573
.xword 0x4ee8c87225c56dfb
.xword 0x3f450425f8173025
.xword 0x382dcb02a7b900ef
.xword 0x47cd83789e0745b0
.xword 0x3c6d27d47eb74a43
.xword 0xf449c4a42f292967
.xword 0x682b72b9c0eedf72
.xword 0x76b2e8c6e689efad
.xword 0xf8a18adbd1810c64
.xword 0xf1b6fb33dd9d4764
.xword 0x8f71f40397b6e318
.xword 0xd46932701eb47256
.xword 0xfe54b69514d6e753
.xword 0x261c1030bf222473
.xword 0x09e4a2d17522fdf2
.xword 0xb0a5740c6804d536
.xword 0x9518043912f12636
.xword 0x221cbb5f141b9b52
.xword 0x3f275158728c2beb
.xword 0xb14e6f18b1e89f1f
.xword 0xfc13aa18f7d9bc37
.xword 0x3dcacae3d65a4d66
.xword 0x8f6762dee9450586
.xword 0x7f19ab2f67636780
.xword 0xaeb6cc0907a13958
.xword 0x6804158629a8e9e2
.xword 0x0beffab536d83aa9
.xword 0x064c03780bbcba51
.xword 0x242c113176664481
.xword 0x939bd382b2a09318
.xword 0x6e52957d9642a311
.xword 0x096bb0a9c8142121
.xword 0x92cccaf21dfda643
.xword 0x45f594130ae24c8d
.xword 0x4c7e29325834b90d
.xword 0xd673c6c978534168
.xword 0x32d891d33255cc73
.xword 0x70ac0c4a324b626b
.xword 0xe5fbe3baba1f33a4
.xword 0x83830760439f47c5
.xword 0x5398589a5b97c256
.xword 0xcb79e2b959120de2
.xword 0x6122c23bb4ec5c17
.xword 0x4943b773ca0b667d
.xword 0x35c3dad4bc1d72a9
.xword 0x256b5a03c150b31f
.xword 0x6b8c4e76f456f413
.xword 0x42b80337615a30d5
.xword 0x38cc8b5246c4034c
.xword 0x64ffd36c312dd17a
.xword 0x3a96808345882c42
.xword 0x7af2086dcc46bbfa
.xword 0xd0eab7580d4e033b
.xword 0x2c0c3f23b6d6ed6e
.xword 0xd23bb70b41d2383e
.xword 0x66be6f4a244d610b
.xword 0x8926c50ea8121134
.xword 0x08377a2d04484031
.xword 0x0a2bd6427965de59
.xword 0x8fa2242d19b9885a
.xword 0xec471cc6b1411da8
.xword 0x84a874522e5094a4
.xword 0xe8710b58f0949cf7
.xword 0xfde790f19fa0f6e9
.xword 0x64818c9cdcfc50dd
.xword 0xc9473b5f2c9b93b1
.xword 0x685d1571e31dec60
.xword 0x6532183b9f5dbe50
.xword 0xb6c914da230a000d
.xword 0xf85fd68c240ff3c7
.xword 0x5b45af9f779471b5
.xword 0x0925eafad3a614d8
.xword 0xacf2585302b42989
.xword 0x69a5315180d4562d
.xword 0x3c06d17377c19134
.xword 0x0a57bc7a0f3430bb
.xword 0xef0cad3dfcb7fb88
.xword 0x16b3806f3038ddee
.xword 0x0889815fb8c0ead2
.xword 0x4a62a588400451bb
.xword 0x66f6d4f229e6da7a
.xword 0x48eeb23ce31a025b
.xword 0x542eb79cd35b36c0
.xword 0xe41cf545b84b6fd0
.xword 0x9c1639b988ef2e25
.xword 0x3f86bd8efcd9b7f8
.xword 0xa88c1ff9b2d1bb54
.xword 0xabe2b8d81b4120e8
.xword 0x81b879e36957658f
.xword 0xe9da0437b51204ea
.xword 0xd153eaaf98c197f1
.xword 0xe22ef889ad4205d5
.xword 0x2bb90a72dbba2759
.xword 0xc947875724dbdada
.xword 0x7da76c7377bdf890
.xword 0x7e3b4b8ba2b8064f
.xword 0x83bea3bbaea76b37
.xword 0x943cc6649b706686
.xword 0xd15aa050d9600eb4
.xword 0x5ac5e9d8d4e197c5
.xword 0xaa27273b02030cba
.xword 0xfa9a1055fec83f45
.xword 0xed42609e51bf67b7
.xword 0x759401af4a0215b2
.xword 0x2677f3b135333308
.xword 0xa4c5b6b2b96781c6
.xword 0x165db0d2ab8fc75d
.xword 0x6e856f4b2050bdb6
.xword 0x7aa7f8af9e9d8cd4
.xword 0x4b10c97d87f9336c
.xword 0xa90d1b4f5275dd99
.xword 0x4c022b807916bfc1
.xword 0x80d8e09e586b5083
.xword 0xef756e58d2ce9e7c
_t1_hash_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_auth_key:
.xword 0x3a36a512bb2048a4
.xword 0x1cd9a007a96e306c
.xword 0xa31a89736fb2bb42
.xword 0x5c613e61571ac22b
.xword 0xe1b214a7f5cea281
.xword 0x3648001191275f0e
.xword 0x3820a600ef51c23b
.xword 0xee79f4d1a90b347a
.xword 0x5ba359d8998af604
.xword 0x4463044f2e5a80b8
.xword 0x8c767e633a08f821
.xword 0x6fcb74dc1066e613
.xword 0x60ad906ec0c7c7e7
.xword 0xf940251c6bc07029
.xword 0x23e7bc40d6570b7e
.xword 0x333f2887861cbac9
.xword 0x4c631c4b050db913
.xword 0x81e5223762557ece
.xword 0x2a8c766e820de1ee
.xword 0xa15065613d60c733
.xword 0x35a1f387477f185c
.xword 0xb216e0b3a6de3f53
.xword 0x4c18e8775a201a0e
_t1_hash_auth_iv:
.xword 0xc35661d35c7638d0
.xword 0x2925d2d299cd374d
.xword 0x79c5093a4c5440cb
.xword 0x6e68f58ff2e91f04
.xword 0x01e321c73e39c714
.xword 0xc25eba36d01e5605
.xword 0x318a30d1d28a19dd
.xword 0x8b6305b51dd5256b
.xword 0x6da39651426094a3
.xword 0x1017f69601cd5eed
.xword 0x72645816de747099
.xword 0xe7ba4f54659f8608
.xword 0xae7c9346ab19b9ed
.xword 0x41720df9ceb5616c
.xword 0x4e52743995f5f055
.xword 0x8619ed834f5c130f
.xword 0x822938a1e3a2136e
.xword 0xa628a9d39eb9106c
.xword 0x7679af1df4607a77
.xword 0xc92e3b35e1ddbd23
.xword 0x7cf3bddaaf25ef2a
.xword 0x97292aa511df138b
.xword 0xd01c138f283d28b2
_t1_hash_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_key_array:
.xword 0x7def99ddb59c49c3
.xword 0x849bdf2520715504
.xword 0xf9bd12720103d2bf
.xword 0x604be29480134da2
.xword 0x8b38a0ffad3ba005
.xword 0x908ff8e7f0aa246c
.xword 0x674acd199504c199
.xword 0xe837b7f9df1748f5
.xword 0x5a6b2ec390ba1bbc
.xword 0x70f1b7fe5063cfcb
.xword 0x78ad9a893dae7ddc
.xword 0x6c5308764dd78204
.xword 0x43eefde77c2b806b
.xword 0x0212048e8d988377
.xword 0x08dea046d96a50c9
.xword 0x4da167d067ecbc3a
.xword 0x5a7140723424bbf2
.xword 0x0e251f4652c176ed
.xword 0xa712e8e5a9b0e347
.xword 0x02be8442989a6212
.xword 0x8a3bb3b767007272
.xword 0x7ca8a2838b7bbf89
.xword 0x601e5374a6dfd0ef
.xword 0xbb640e6820d48c20
.xword 0x30726c7f9d38891d
.xword 0x760520f8f0dc57c1
.xword 0x5736d086e9efabb5
.xword 0x8609a70fd618cc5b
.xword 0x617eaff5bca261f7
.xword 0x7fb672ba20cf83df
.xword 0xd10d47c3a6dc3d70
.xword 0xc1298df5d072c9a1
.xword 0x61ff0f99e3fb17b3
.xword 0xda7b51591e8f07dd
.xword 0x21e84c0b0d73e636
.xword 0x3a37bb7b5e0ea4ea
.xword 0x18714c34ae65688a
.xword 0x74ba3320353a460b
.xword 0x0a4d265f70c37a2c
.xword 0x3a4c23a09d8a9100
.xword 0x559e2a9d29005349
.xword 0x64225ed2c1b4ff41
.xword 0x8e7b5afea2f112e0
.xword 0x0f25f3ca4d5b01fc
.xword 0x6a7a5f6415da9eb5
.xword 0xc4c903cd4e393d33
.xword 0x1f3abcb9720f9342
.xword 0x8075001966b263b7
.xword 0x0c8f508bee754104
.xword 0xb97ebc6da02c97b1
.xword 0xffb9c2ad98a22e76
_t1_hmac_iv_array:
.xword 0x4ece4d4668ff29e2
.xword 0xf3053679ec011ad5
.xword 0x52c3a36b959ae47b
.xword 0x608fdbb0ece45216
.xword 0x14ee496bfb12cedb
.xword 0xefb550182c1f6a04
.xword 0xf728b8d8301af667
.xword 0x965039accc51eb78
.xword 0xbfa464abdf7e2dc0
.xword 0xaed0b450f609cdb2
.xword 0xd3882c8d2c787b56
.xword 0x0c0fd4098432723d
.xword 0x9a196bd945b44788
.xword 0xe19716cff7af6b0f
.xword 0xd02ca843917f8907
.xword 0xd3f32d99289e58ea
.xword 0x3b38fb14628f22b5
.xword 0x642f5c3a3a885ecb
.xword 0x23b6f65aa86d21b1
.xword 0x8a74df7499a09fff
.xword 0x074fed90aacabdde
.xword 0x3d333a408682c6a4
.xword 0x6e6e1bf5a78cc416
.xword 0xb1ee45c8bda7e8ca
.xword 0x74b44e4f4396dc36
.xword 0xab3126e460ca1885
.xword 0x063f6f4f22f5199d
.xword 0x703d58b99ef145d3
.xword 0x05102c70f049b518
.xword 0xa777ea41af9bc612
.xword 0x4ecbd4e794be5c7c
.xword 0xafa30df93f140018
.xword 0x49a19fe60dd90504
.xword 0x92d9c2d7d92a8ed7
.xword 0x9bf05613528f6401
.xword 0xc27ba478d4612727
.xword 0xdfc04590ae516c1f
.xword 0x923c5d7727960691
.xword 0x94e2e17af7ae3096
.xword 0xd79af7aa77ae01a9
.xword 0x4b1400bbefe03cb1
.xword 0x0d4972fe5951aca6
.xword 0x4f1140fcff4c0201
.xword 0xb760c1f0a2233489
.xword 0x67d549409e22bdff
_t1_hmac_alignment_array:
.xword 11
.xword 15
.xword 8
.xword 1
.xword 0
.xword 13
.xword 9
.xword 13
.xword 14
.xword 7
.xword 14
.xword 0
.xword 9
.xword 15
.xword 3
.xword 3
.xword 10
.xword 8
.xword 4
.xword 9
.xword 6
.xword 6
.xword 2
.xword 15
.xword 4
.xword 7
.xword 6
.xword 12
.xword 12
.xword 10
.xword 11
.xword 11
.xword 3
.xword 13
.xword 8
.xword 10
.xword 5
.xword 6
.xword 3
.xword 9
.xword 6
.xword 3
.xword 11
.xword 8
.xword 12
.xword 1
.xword 14
.xword 4
.xword 15
.xword 14
.xword 15
.xword 7
.xword 10
.xword 6
.xword 4
.xword 10
.xword 6
.xword 0
.xword 9
.xword 7
.xword 1
.xword 2
.xword 14
.xword 9
.xword 1
.xword 7
.xword 14
.xword 9
.xword 1
.xword 15
.xword 13
.xword 7
.xword 8
.xword 12
.xword 1
.xword 1
.xword 2
.xword 4
.xword 4
.xword 11
.xword 5
.xword 14
.xword 4
.xword 11
.xword 8
.xword 10
.xword 2
.xword 1
.xword 15
.xword 6
.xword 7
.xword 10
.xword 15
.xword 6
.xword 0
.xword 9
.xword 9
.xword 3
.xword 14
.xword 13
.xword 9
.xword 2
.xword 11
.xword 11
.xword 13
_t1_hmac_src:
.xword 0xb4d087f1dc7969ef
.xword 0xf9afdce799816d0a
.xword 0x3ebad561ae484f07
.xword 0xf92955d6bdf354f0
.xword 0x754d4d192af781bd
.xword 0x09d3ec602de8bb3a
.xword 0x080a0f1d73750f19
.xword 0x50c51ae9bddb6d5d
.xword 0xdeb6774a83fe0875
.xword 0x2a9f1958571da717
.xword 0xb89b13882bd26fab
.xword 0x1fb20f179fef4e8f
.xword 0xdc66d776ebe609a4
.xword 0x55a1158757b7f1db
.xword 0xd016de4bd204681b
.xword 0xcbb47b4575eccafe
.xword 0xbb20a0d32449ff58
.xword 0xf9da86a6e65853fe
.xword 0x2c122e03815396af
.xword 0xcd4bec546ec1f772
.xword 0x865ba25c0f2ba139
.xword 0x43474b0de9c29d6d
.xword 0x9181a74fe030638e
.xword 0xe0f1c10c940c6813
.xword 0x0831b9577d108205
.xword 0x42a35425262075a2
.xword 0x5536c1d7a1dac5a1
.xword 0x761db7d899e2857b
.xword 0xb65ccf6eb054228d
.xword 0x1a3ccc570ba51dab
.xword 0xf8230a0d36470910
.xword 0x1ae03eb1cd065c63
.xword 0x54aea732bf4e2f6c
.xword 0x7509bea8e64d4b74
.xword 0xb7ba122554243d82
.xword 0xdb00ccb20bbe8883
.xword 0x95b8ee4436323835
.xword 0x1aa2196d10075484
.xword 0x55d07ef3942d6962
.xword 0xb01741b7df7078b6
.xword 0x7dec891b3cf1dcd6
.xword 0x8f250ea90c456381
.xword 0x95e483715632be4c
.xword 0xddc187ef53cfe78b
.xword 0x7f32d0c5a96fa69f
.xword 0xe80f8f17f7daa557
.xword 0x29e40a639b090e2c
.xword 0x61423a64757ce402
.xword 0x2b35566075f9d3ce
.xword 0xb35319ecf7d70726
.xword 0x5c358ddbc57f79b7
.xword 0xfc22296e346b256d
.xword 0xa3f189c4dbd5f9b9
.xword 0xc97e0a31d1177e1a
.xword 0x6f874143f66ef3ee
.xword 0xfb2fa9185a2c4924
.xword 0xb27c25f204f5d376
.xword 0x9a4595f9168a2929
.xword 0x4489f336dcb7f6b2
.xword 0x5dbbe9e20c9e5925
.xword 0x33f5661d794c0fdc
.xword 0x908b844dcb8161b7
.xword 0x3bcbf510fdfc9105
.xword 0x56858bf633ca730d
.xword 0xec4786a54ebbc781
.xword 0x028beabde33dd6b8
.xword 0x032ba9e50df88d21
.xword 0x7179a2ee6053f1cc
.xword 0x9d86c97fdc47b8c9
.xword 0xde4bc801966cc1a2
.xword 0x45e68ee97c153071
.xword 0x06b894c23620ade6
.xword 0xc86cd0c66d194999
.xword 0xcbd7733d8996f095
.xword 0xd35aad0d6aecb0b0
.xword 0xf3922e5a064392a0
.xword 0xb8d9ed8d2e4cc36e
.xword 0x30f1894d4a32a609
.xword 0x5a6e9882d46a34bf
.xword 0xf6afb29876d6cb51
.xword 0xc5156516cd47206e
.xword 0x91872144ea053adf
.xword 0xb87cf5317e12c5fc
.xword 0xcbc2e3fe47610f5a
.xword 0xa87424468da2d5bf
.xword 0x60b6d1b4d87a28b9
.xword 0xfe3de3f99c1c6277
.xword 0xc8cd6fdc9e9fcccd
.xword 0x72b99b0bb4f11660
.xword 0x46104242a4db4316
.xword 0x666be401f302dcac
.xword 0xbf321a89ae5ec20f
.xword 0xce28122918501af4
.xword 0x2a062754aa3faad3
.xword 0xef360958f8e58368
.xword 0x0873e28b243571ed
.xword 0x91fba99713e3664f
.xword 0x3324f28d359bbeda
.xword 0x64e52c99a8a3b1e0
.xword 0xe0d5dd89d1fc8f20
.xword 0x7a47e49a752b4379
.xword 0xe9c225b31ff78664
.xword 0x914c54b6d9ac2ee6
.xword 0xc1cc2a170a0af2a5
.xword 0x47d0e38b5f34a530
.xword 0x259cf1c3a5bff20e
.xword 0xf12c517550cc2663
.xword 0xa99e8baf6c62a17c
.xword 0x2de03859bbcf4bb9
.xword 0x1fb446a518d5c59a
.xword 0xd5a6805d76a75e46
.xword 0xd468c00a37e680fe
.xword 0x8512e04043527629
.xword 0xe079add25af2b8ec
.xword 0xf13b24c518391faa
.xword 0xd21b18ab006ebc3c
.xword 0x34dc9d6cc5e3ccaa
.xword 0x6ac736e5d9aebf5f
.xword 0xbf4c7fccdf6e7a05
.xword 0x56b06efaad16e0f1
.xword 0x48323f1dd4a0cfb6
.xword 0x14f580bdc233f7ad
.xword 0x98a541905ff3691c
.xword 0x4c66a8adca2a6a64
.xword 0x1a66d1e43f5128cc
.xword 0x59d395aba3576438
.xword 0x683761b82ea8c0a2
.xword 0x8c6acf8b35b950e6
.xword 0x3798db4d2d179eae
.xword 0x11328bb95db8e748
.xword 0x77990314d0fdf754
.xword 0x798ac9d388b325df
.xword 0x1a0e5c4e066966e4
.xword 0x41d239f73f7abfc7
.xword 0x5310902bc3fad942
.xword 0xa68586955a11b7db
.xword 0x7bb3f2e5b3de4219
.xword 0x68b1bd633ab48603
.xword 0x50474706f754d9c2
.xword 0x39c0e11e91509c8f
.xword 0x2150ee4973545e56
.xword 0x2dd4a239009ee484
.xword 0x30e100cb5d3cb5c5
.xword 0x3cadded5b6dfc265
.xword 0x9d50e54ecf328400
.xword 0xeabf1bab09d3849e
.xword 0xf7ce2a9b0557439b
.xword 0x3d2863665775d30e
.xword 0xfd42aa706b66d0c0
.xword 0xb26ecce91927c67f
.xword 0x25aa5d923bd5dc42
.xword 0x3f4037f1a7af07a2
.xword 0x3fce60ee54cd6855
.xword 0xc62d5ddcc8549503
.xword 0x8e8737ddeefc67f0
.xword 0x3ee751aa078a65d0
.xword 0x8701b6fac0823f60
.xword 0x9e4fac377cc0fdd9
.xword 0xc683a3b116264b57
.xword 0x5d5b8e3380261e1b
.xword 0xeb9d86c0e33c83e4
.xword 0x54ff87df115ba724
.xword 0x402c10e39b8b1705
.xword 0x63fa574bebaa1cc8
.xword 0x6c3e161fadf3b421
.xword 0x2108ed91e63bb2b0
.xword 0xe742a2425c287f57
.xword 0xa7d40b85ffa98dd0
.xword 0x56b07aa820b6c514
.xword 0x7f5eab3b3881bcd1
.xword 0x5fa215992ef803bd
.xword 0xf2beea0f87df30b2
.xword 0xd70d278f4fc9bc1f
.xword 0x8691212018a17a1e
.xword 0x1dd579960db04331
.xword 0xede7f715bea51ccb
.xword 0xebe1efd0318be79a
.xword 0xcdab76aafa31e71e
.xword 0x0703f5be521c0b50
.xword 0x4938ddcaab7c00f6
.xword 0xbca32075a321944c
.xword 0xa6350fef4737b46d
.xword 0x2a23bca4017e5680
.xword 0x793074dbbb7e9d17
.xword 0xe8b4c642447ab68c
.xword 0x8beff28b533f3d5a
.xword 0x3eb41609002e3985
.xword 0xfbea317f6165363c
.xword 0x43979c19012df64c
.xword 0xe40408433b096606
.xword 0xe8ef73facad84994
.xword 0x4ee47c11b6676360
.xword 0x41aafdfe4a1b70bc
.xword 0xad631af88296aae2
.xword 0x62cf277bf945f4d4
.xword 0x9e47588d1b32fe64
.xword 0x1a9d918f13057967
.xword 0xcee997e633e29fc8
.xword 0xa5ae809b9aef6bf7
.xword 0x6c88714dc183d71f
.xword 0xf07e872610a00d4b
.xword 0x03e0f42a7d5ab199
.xword 0x7814f424ce225cf5
.xword 0x4f081fa1437d118e
.xword 0x1cc7660f8b84f218
.xword 0x38bac21471bc2eec
.xword 0x206da245ada54376
.xword 0x1ff8b764f89d9ebe
.xword 0x0ca302c472daab71
.xword 0xb37fb4bad099b424
.xword 0xf67c3660655da600
.xword 0x84dc89e7f4a629a5
.xword 0xd20fdfedfff8d236
.xword 0x82ff357804827adf
.xword 0xa5df35822bbcf56f
.xword 0x4e7522937a1fc67a
.xword 0xc7c692feb275df41
.xword 0x0293dc26989338ae
.xword 0x6f10fe216fdd3a81
.xword 0x5bbf1087c1cd51a8
.xword 0x0a5cd9b5cd82ee26
.xword 0x3bfd778e63a16466
.xword 0x293b424d379cb227
.xword 0xa60d109bb921bdc2
.xword 0x02a5f45c22945d48
.xword 0xea19d7841746cf72
.xword 0x862fe1c89993b9cd
.xword 0x3b1a332761b908ae
.xword 0x0594b1baa7c8d308
.xword 0x0708901aa7448008
.xword 0xb98e3f6d9d7a051c
.xword 0x4705c2327d715898
.xword 0x513025ec4f066f65
.xword 0xc915337084e5153d
.xword 0xb7144030d14e681e
.xword 0x7027135a09b0575e
.xword 0x86b76c83861fd934
.xword 0xc05c990d28c20caa
.xword 0xbf9f4949d6820e25
.xword 0x0d648f23341c7407
.xword 0xca26750241e229f3
.xword 0x38fbf0fab78bd6aa
.xword 0x91c78de046fae144
.xword 0xcd4a0817f38f1e00
.xword 0xc403aaa5affa6284
.xword 0x3333173f6514968e
.xword 0xbde4b90e7a31f533
.xword 0xc6355ffd2378570b
.xword 0x2cf135a4ef9791fe
.xword 0x05afe9157395738e
.xword 0x533b717322049a45
.xword 0x0d6940cd78fd63e7
.xword 0x5138dd9d01276b60
.xword 0xc256ad177b62a62f
.xword 0x4feba1da14e3d105
.xword 0xcc7ac590203c744e
.xword 0xf04c9e8608956b3f
.xword 0x383a22077965eda7
.xword 0x44fc0edd937e3421
.xword 0x0c0bcc78691817c7
.xword 0xc0a3211cb10ec4be
.xword 0x2073b1667aba653f
.xword 0xcb29847758e5d982
.xword 0x519f895c33e0c90e
.xword 0x49373dafddd854b2
.xword 0x1431ae04cba66e80
.xword 0xc25eeebace48287e
.xword 0x9a6590a8be957d5f
.xword 0x6c43e5643090688b
.xword 0x258c8a06ef7b5ee6
.xword 0x54dd940f2dc1cea2
.xword 0x7220861f91914392
.xword 0x99264d71edb82fed
.xword 0xd01b6dcdda39a968
.xword 0x7efbf554909c0d93
_t1_hmac_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_auth_key:
.xword 0xb9bb9cb4c43db114
.xword 0xef8a54242a343a73
.xword 0x7c48ef81f286d577
.xword 0x2ab07a226f1b9bcc
.xword 0x1f044f27c74c8455
.xword 0x327560cb97327182
.xword 0x0464b3abf4089e00
.xword 0xbd3ee7dcf215fc86
.xword 0x338ffcab3557859e
.xword 0x3aebc043a008b561
.xword 0xab01f1c00ecaedb4
.xword 0x915607d9f597cf3f
.xword 0xd644736f0ceede3e
.xword 0xeb863376989c7920
.xword 0x3bc5e0a1b85b4a30
.xword 0xb09c77d22bb91e3f
.xword 0xe0429a653048cb72
.xword 0x3071916b7345e24f
.xword 0x774505c8db2f8a2c
.xword 0x9ec7787f4b5738e7
.xword 0x01e719de437419b2
.xword 0x63fd0d1767e240bb
.xword 0xbc56ee8b8f1061e7
_t1_hmac_auth_iv:
.xword 0x99a4f09fd05fb287
.xword 0x69174c4a327e5d75
.xword 0x1911b090b894cfa5
.xword 0xcafc2d3215ad982d
.xword 0xef40dbd84b92d500
.xword 0x7789895215f60a7b
.xword 0x885bb13e4687007c
.xword 0x7e83d7ee32697901
.xword 0x37b1924a2b125162
.xword 0x5c6fadf929270d9b
.xword 0x645de66a7c110c98
.xword 0x1305610090132f22
.xword 0xd22cb089950743fb
.xword 0x6ccbca9a56e6491d
.xword 0xff88836f8a515c9e
.xword 0xc0b7a347c27dbc4b
.xword 0x537ff73bd4db29ce
.xword 0x578628d32ed6e547
.xword 0x404a1ef581fc551c
.xword 0xf327badf58bc9bc1
.xword 0x93582c1bb6ae1ce7
.xword 0x6618c96fe85f35c3
.xword 0x6579ab53ad775972
_t1_hmac_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_key_array:
.xword 0x9fcbeb11eb787d81
.xword 0x811e2e2a70d042a7
.xword 0x4323ed87e150b990
.xword 0x423fe5b4469f0894
.xword 0xc37a83662656ea2d
.xword 0x8ecb37506eabdcd7
.xword 0x4ef966bc437ef67a
.xword 0xe3380b28da573462
.xword 0x1644e3fffcb24b60
.xword 0x542f930f49d5f10c
.xword 0xcbca5b446daed39a
.xword 0xd2a65f7696bbd98b
.xword 0x777d51179ed9edf2
.xword 0xcc87d312b4d138d3
.xword 0x93e6e7bcd08b0899
.xword 0xdf4d4d1b84012597
.xword 0xca1f388560a5e0f6
.xword 0x5cfb41f0640c710b
.xword 0x0f55191a0570c9fd
.xword 0x8726797b9557562e
.xword 0xcfa713ccf4075784
.xword 0xafadfc9040f27b9a
.xword 0x0e772141cb891742
.xword 0x82039f910fdada02
.xword 0xa57221b342e5e84a
.xword 0x990eb45a83103e53
.xword 0xdd7f18046fd91ca9
.xword 0xfffddfb492e1df07
.xword 0xd3a6d19e24c81c34
.xword 0x1ec58a894d0130fb
.xword 0xd10be279d4ee2a90
.xword 0x80a3ef7974df7524
.xword 0xb9bf3c4ca492b125
.xword 0x31591cbbd1bad31e
.xword 0x4d3d8429649e19cd
.xword 0x1fb0c7a7c57df126
.xword 0xad6e65c2c80996ea
.xword 0x463de05eb2fa0468
.xword 0xa51a06e9ed3dbb32
.xword 0xec35415d5af25637
.xword 0xaf1a068239829e2f
.xword 0xb53d15bb28db7e66
.xword 0xd5ffd6e3ff52525a
.xword 0x6bc0c71e922e97e2
.xword 0x2fb4d3b6eb6355d8
.xword 0x2f450def71183c08
.xword 0xc20a3922f3ede8de
.xword 0xe9a9f1a12807ef3b
.xword 0x9ff1c36bc4414643
.xword 0xb473c61d2782fff8
.xword 0xfa692c64604b054c
_t1_rc4_iv_array:
.xword 0x33333a281a5bb7d0
.xword 0xe47d266abf3b9970
.xword 0x6a4c9d6d8bf1ff3e
.xword 0x84cea544ad348d6e
.xword 0x9961cd04e30d9de3
.xword 0x1be95e843cf70df9
.xword 0x75232b2430dbde94
.xword 0xaf88dd06caf88e88
.xword 0xc160af3312feeabf
.xword 0xdbf925b7b1ec7bb6
.xword 0x0c509895a1436a8e
.xword 0x933de22cc94875da
.xword 0xc652abf4469e8959
.xword 0x4c4d907cbfee328a
.xword 0xf8f9d7e61160fa38
.xword 0x60d5ac8c8927e5d7
.xword 0x04d2807c37c8d8c6
.xword 0xa0e9cb773d3fcf67
.xword 0xb006db1f5a5cc6c7
.xword 0x57f9d2e8278c387e
.xword 0x8b1d60edaabf64a7
.xword 0x771b94ebbd4d0996
.xword 0xcb4a7ed9233a72f0
.xword 0x346979f5978f3f1a
.xword 0x0a1f7f223000d5eb
.xword 0xf5d7ff488ce035c7
.xword 0xbeee0439f849b021
.xword 0x63dd220f58c43cb5
.xword 0x7c4ebbbd1f579cbb
.xword 0xafeebca01416e16b
.xword 0xc21a13f9293eecfe
.xword 0x0665b368e9ebd483
.xword 0x3bb6db4905fedb3b
.xword 0x6d70bfc1b746adcf
.xword 0x6b390e1157d793e5
.xword 0x46158242ccf29e21
.xword 0xf5b1bd38830ae989
.xword 0x66b7954ef4216903
.xword 0xe34767c8823afa7f
.xword 0x4c29db59438ca5e8
.xword 0x4bdf625ce87341c7
.xword 0x051be0189e29cbc5
.xword 0xed99c2a10f97de68
.xword 0x253a15e380009e19
.xword 0x3264a0880300488f
_t1_rc4_alignment_array:
.xword 11
.xword 11
.xword 7
.xword 11
.xword 0
.xword 8
.xword 7
.xword 5
.xword 8
.xword 9
.xword 4
.xword 2
.xword 0
.xword 4
.xword 6
.xword 3
.xword 15
.xword 8
.xword 5
.xword 6
.xword 2
.xword 13
.xword 15
.xword 12
.xword 13
.xword 6
.xword 11
.xword 8
.xword 5
.xword 10
.xword 15
.xword 5
.xword 2
.xword 4
.xword 14
.xword 14
.xword 13
.xword 9
.xword 5
.xword 11
.xword 4
.xword 2
.xword 12
.xword 12
.xword 4
.xword 0
.xword 5
.xword 6
.xword 14
.xword 2
.xword 2
.xword 14
.xword 5
.xword 3
.xword 3
.xword 14
.xword 5
.xword 4
.xword 10
.xword 11
.xword 15
.xword 6
.xword 14
.xword 15
.xword 12
.xword 15
.xword 8
.xword 10
.xword 9
.xword 5
.xword 10
.xword 2
.xword 4
.xword 9
.xword 4
.xword 8
.xword 4
.xword 11
.xword 10
.xword 8
.xword 9
.xword 6
.xword 9
.xword 9
.xword 13
.xword 12
.xword 0
.xword 12
.xword 7
.xword 11
.xword 7
.xword 1
.xword 9
.xword 9
.xword 5
.xword 10
.xword 12
.xword 13
.xword 9
.xword 7
.xword 4
.xword 8
.xword 10
.xword 4
.xword 10
_t1_rc4_src:
.xword 0xda239c8c62896bf8
.xword 0x49a8d2c286da8e36
.xword 0x9b039934da4aae07
.xword 0x2073ce80dec35be4
.xword 0x6ac3057dd63df934
.xword 0xfb64a6617fae722b
.xword 0x55c7fa844f099cc3
.xword 0xfc87670cc7e6e92f
.xword 0xfd8f7a49ac066185
.xword 0x01985cacfea6f700
.xword 0xd8bc0f117c705edd
.xword 0x0933cbb2a0c54d1a
.xword 0xf64bcde2f243fb50
.xword 0xb3c868a5db0b3193
.xword 0x9b1ec3687215f167
.xword 0x1eb5a5f996d4daf8
.xword 0x4b30e0b3f567211d
.xword 0x55399f4a94c4bb54
.xword 0xbe16fea62b1ca8b3
.xword 0x7632180e48782cc5
.xword 0xacc5b23810347fd0
.xword 0x30b90ca54fc13251
.xword 0x0bc12d7c3ef78c51
.xword 0x377d67ef4785b1cf
.xword 0x0c6ea3e2821820e1
.xword 0x76a8d211d183049e
.xword 0x819250bc64ae5cd5
.xword 0x7fd1ea3f78d19a5b
.xword 0xa342f815574c8a1a
.xword 0x21013e2d6af86298
.xword 0xa5aed6a17fd71442
.xword 0x140799980b3d1e3a
.xword 0x3efa36437b293a8f
.xword 0x6ba54f898964bd6a
.xword 0xb65737e124a12e36
.xword 0xcd7bbd1627f1870e
.xword 0xf83b7e72fe33e4fc
.xword 0xca4dcfdd554a3383
.xword 0x5d62df5d97527719
.xword 0x13696e6641f934af
.xword 0xfc764123860cb793
.xword 0x6a1f1f5e5f846f91
.xword 0xe9556d6726958a95
.xword 0xbe8411e12f12ac4c
.xword 0x8a41437a60f28b5e
.xword 0x491ebdc25df132ae
.xword 0xd9bca882e85c2fa2
.xword 0xe08938b33f8535f8
.xword 0x91c6e0aa8f0d385f
.xword 0x4511327633ceae32
.xword 0xfd010ff99973b375
.xword 0x6062a6db5cc0ece1
.xword 0xede8169313ae18dd
.xword 0xa28125c1b3801502
.xword 0x547b7c934ba38752
.xword 0x14738cd06e9b06ec
.xword 0xe9e2aedafb3fa3e7
.xword 0x8744a5e40c732901
.xword 0x8396bd9e15db8a38
.xword 0x279820eee40a7441
.xword 0x8ed23f40727b14af
.xword 0x265aaf1888105798
.xword 0x05197fa7a080a49a
.xword 0xe565ea51b6c30051
.xword 0x3ce51da4f5b322e7
.xword 0x7425a62d70368987
.xword 0xe844ee0e30a35658
.xword 0xeedf95a1f3924890
.xword 0xe52aef60a654e662
.xword 0xd6bcdb871c3faea5
.xword 0x98ed1826318ff842
.xword 0xf1511707b46f5717
.xword 0xafda1b0741311e6b
.xword 0xf0c954cc6a03f233
.xword 0x34e5f4e8478ce57d
.xword 0x17aaa8fac4927f70
.xword 0x9bfa3491e124c444
.xword 0x1a23ff67f8e380fb
.xword 0x3354bfc9e33f1149
.xword 0x42724d2add4d5a47
.xword 0x91a4088b82f8efab
.xword 0x5009641eea467637
.xword 0xbd66ebeffe802a3b
.xword 0x415f67da39a2c825
.xword 0xb6572ee33f6cedd4
.xword 0x576ef6548dd2052b
.xword 0x38bec212f3103e74
.xword 0xe613f1def57f5fa1
.xword 0xa6b5393fe4ed2345
.xword 0x9f54d4a6d4fb0409
.xword 0xba8bc53a01b47e7f
.xword 0x5ce8afa30c6a35ba
.xword 0xa10ab38d831ec79a
.xword 0x9b5e8454a99363d3
.xword 0xfc977c1cb775aefe
.xword 0x59da44bdc5e9c1a8
.xword 0xbc5391b419499b66
.xword 0x83ee6011f0f9f7a7
.xword 0xdbfa3c087eda5667
.xword 0xe4e6b68e3edd8472
.xword 0xc2edf46fa2e4cdf5
.xword 0x22a7d8ee5594b5b6
.xword 0x0ad6988930ff0415
.xword 0xf2604e98a8294509
.xword 0x646b36ccdce978f4
.xword 0xb242cafc08a382a2
.xword 0x643bcfa4d10a8a1e
.xword 0x46a84a4a4c897a14
.xword 0x771f7c021e108326
.xword 0x5c97e456a925fe27
.xword 0x7ec81714824ea82f
.xword 0x73d17fba19044946
.xword 0xd2a933a6ebb42e02
.xword 0x5ac10f5cb8cfdb2e
.xword 0xb1478a3efd97624d
.xword 0x03cd0c152f41f08b
.xword 0x041262d8f05cda6f
.xword 0xb22bf8549225e35b
.xword 0x8ef3dbedaecfff5c
.xword 0xd6c71037b8f402aa
.xword 0xb5c24aafa43449cc
.xword 0xc1dae224b57cc501
.xword 0x539900f4dd62a8ed
.xword 0xc152c91fe358e0ae
.xword 0xe7f29c7d37a7c6db
.xword 0xf5aa50c22e42ab87
.xword 0x1706efe4ffbbd5a5
.xword 0x922a04745af98f67
.xword 0x50fd5463de510b06
.xword 0x0f5719a16328ae5a
.xword 0xcf86ed737c4c66c4
.xword 0x49eea1a9f4bf5a8c
.xword 0xe7913e5cf8329b4e
.xword 0x1fb19d9349f6e567
.xword 0x9b766842ec5eb90f
.xword 0x5c1909de0c70c7f9
.xword 0xb5b6525cf711b7e2
.xword 0x5640cfe37b7da1ff
.xword 0xafcc33a6aa10a7f3
.xword 0x254f677600079fdd
.xword 0x0f236dbc0cc9bca4
.xword 0x6dc0294be45b3821
.xword 0xbd29120a63c5cc65
.xword 0xaf5b0ca4b3e7ace4
.xword 0xa31a15e27c7b4618
.xword 0xd2187538d7d226ac
.xword 0x690247004838a4f8
.xword 0x9d8400e3cca92052
.xword 0x796b6230a4a18b83
.xword 0xcd138c9cb8987170
.xword 0x70510d5c3fd023a7
.xword 0x686c103a2173f57e
.xword 0x6fe9068b1a181f37
.xword 0x0b697fefe16d55ff
.xword 0xb6fa3aa8d69f3335
.xword 0xc38bbcfde79e5f4f
.xword 0x74b8460c9ef7a624
.xword 0x76b43abce841c1f3
.xword 0xe18b52e1c696354f
.xword 0x5fc13a8169ad7b8b
.xword 0x712882673e2663b0
.xword 0xfa03881952315087
.xword 0xb1c03c81fc4cb81f
.xword 0xd72eb1b2e455be6f
.xword 0xdb8238fbacbb8504
.xword 0xc33c5e744d8b592c
.xword 0xb3a8f6898d748231
.xword 0xbb43f77c1afca022
.xword 0x03d10e843d38afa0
.xword 0x70e49269b578c0a3
.xword 0x2dbaf282ccc2ccdd
.xword 0x09df89e9a2952a33
.xword 0x008a88f139376752
.xword 0x76e93b0e1b3d7dd5
.xword 0xd7a424b770bac4ce
.xword 0x07fdbdd6b9806fa6
.xword 0x94ec9fe3eb75bf9a
.xword 0x2a433f2ce54199dc
.xword 0xec6ff75af368deb6
.xword 0x9705f0bfb489014d
.xword 0xe21067a471490856
.xword 0xcd7adb30b4142b96
.xword 0xc91e908b34031103
.xword 0x54aa6a22724053a5
.xword 0xa6293a4131254af8
.xword 0xe9c10febc4e72c24
.xword 0x91a5faf74e089f21
.xword 0x7f5ba504eb7310f4
.xword 0x34f40cce69bed3cc
.xword 0x600c6ab84d6ab18b
.xword 0x1e93c3ec2239778e
.xword 0xd9db9a6828594e76
.xword 0xe4beeb7a19146b47
.xword 0x923d5538a3993a97
.xword 0xc51f8138c25b380a
.xword 0xda8aaaa105d2f612
.xword 0x3f55be19176f679e
.xword 0xee3e4a27347c0502
.xword 0xfae93bc32dc78a14
.xword 0x6c9fdc198d9dba5a
.xword 0x7af8a89bfaa034dc
.xword 0xde25d35be9bac898
.xword 0x5166cfcf1eb79970
.xword 0xc29ffe068794dcce
.xword 0xa34b0d00b5fc1002
.xword 0x5f691f878559a09f
.xword 0x21bb410be07ef08f
.xword 0x04b87a5db11444ca
.xword 0x7175010aefab74ae
.xword 0x5f960276e5ad03ad
.xword 0x46f4daaf50d7be45
.xword 0x608e7fec2d2e54b4
.xword 0x65ceac8163721da9
.xword 0xe600c0408e46473f
.xword 0xaea6011ea8196466
.xword 0x45a40217bcec425f
.xword 0x1a69bd4ea328ae6a
.xword 0x6605a1eb1231e6ff
.xword 0x9b5a57abeb56a860
.xword 0x4dcd493bd71706c7
.xword 0xf9d2f4929e724396
.xword 0xac541aecea00d981
.xword 0x13703c5b527415f4
.xword 0xda7ffe489ef01100
.xword 0x04913cad17178065
.xword 0x5456e9787bdc09a9
.xword 0x297b6a376a948692
.xword 0xc721c7474d7f5f81
.xword 0x4daab73cd8487edb
.xword 0x923a44f3e23ff295
.xword 0x2775b7b9bdc15d6a
.xword 0xca358db2739f4d98
.xword 0x2935676ee8ef703a
.xword 0x29ea812f963b1892
.xword 0xe0bbb6f2dffeab5a
.xword 0x0ab498ba5a8e83b6
.xword 0x3c827cbd1c51a92d
.xword 0x6c1e6a9fc419dc65
.xword 0x234847c58263dd90
.xword 0xc773f0d54ff1cdd6
.xword 0xa9a9f26b4e2a9150
.xword 0x05d0bd2a0f17af9a
.xword 0xb4695a79937a4e7e
.xword 0xfac5b69c9c67f08a
.xword 0x8300e4df35ca1414
.xword 0x21e637e58d604a81
.xword 0xe24d2a1175d2b2ad
.xword 0xbcd27ec3731912f8
.xword 0x39152852165d3c10
.xword 0x587e98747381cabc
.xword 0x86d474cdd4aa2822
.xword 0xed0e9c08b0dc3242
.xword 0x997b67613bee3261
.xword 0x29c54c9452f255f0
.xword 0x1cf47782a9e3a78c
.xword 0x665d8cb41c44c217
.xword 0x45f8edb423dc3159
.xword 0x10ec96c15c6cd8ef
.xword 0x0902bc977c5b97f6
.xword 0x69367cd0a9790fe1
.xword 0xc6aaa1c99439a51a
.xword 0x685d637bea8fca15
.xword 0x229af711d4434d50
.xword 0x8e6302dcae7259c1
.xword 0x091c983990136e62
.xword 0xab53ed02a962fa98
.xword 0x9237cdaa92f6d593
.xword 0x65960b64c92a6eb8
.xword 0xcd20235bd6539df4
.xword 0x342395fe87ddeb06
.xword 0x064d63d2b0463dcb
.xword 0xfd11069202f00178
.xword 0xe1c34079c3906eaf
.xword 0x8020d719a981ca3b
.xword 0x109fe1334eb91f8f
_t1_rc4_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_auth_key:
.xword 0xe6c187b7efbe3fc0
.xword 0x51d5243a2dd9164d
.xword 0x1a7f483cc1c3a2ac
.xword 0xa347b11547432686
.xword 0xd030752160f85bfb
.xword 0x963fb6211823aab0
.xword 0x4719bddfa98437e0
.xword 0xf36a7ecccafdb477
.xword 0xf65db27b5ebb28fc
.xword 0x8412310642abac84
.xword 0xde1ec2e0032899a7
.xword 0xdbb369df96c4d053
.xword 0x6b97b6907d8ed191
.xword 0x39b6dc9bdffad72a
.xword 0x5719abf2dc0eea6e
.xword 0xa23528e087835d28
.xword 0x8303ca8bd6ed989b
.xword 0xf6f7ebda4feed6f6
.xword 0x830de45f18025ac1
.xword 0xbc7545b987e81148
.xword 0xff4d802e5be2a8ef
.xword 0x7143e8e5d20368a1
.xword 0xf8a828701aac3b23
_t1_rc4_auth_iv:
.xword 0x3467e2b065550e26
.xword 0x967d20732bedf3c7
.xword 0x98a2702f381aa9ba
.xword 0xf07d17138b4fb261
.xword 0x865e14f1294fc7e1
.xword 0x7dc79f46f9e0626c
.xword 0xa5c3e223b3d21645
.xword 0xea555103297db153
.xword 0xfb1a3c7467a1de40
.xword 0x02fac563f575cb9b
.xword 0xe33b842099764257
.xword 0xefbd425a77ee0a3a
.xword 0x564b05a26c20f122
.xword 0xb1d86e22047ee600
.xword 0xb6d180a380b2a265
.xword 0xef0fe32c5162b1ae
.xword 0x96de47d81764adea
.xword 0x2c75f9658ae99d55
.xword 0xa9e5173be44f9cad
.xword 0x03fc5f17c27b204b
.xword 0xab5dfc606a4c26e6
.xword 0xa4aeb8fb19c8769d
.xword 0x98792ccf5e65494f
_t1_rc4_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_key_array:
.xword 0x7baae8fd572d9273
.xword 0xc01cac039bc43d40
.xword 0x3a405c8a194d08f0
.xword 0x12d087468ae9d5bb
.xword 0xeb48aeae71948106
.xword 0x278332162515ce8b
.xword 0xa6c9244cfb03e887
.xword 0x68cc068998b5f3ee
.xword 0xcd3b13adb12f6d28
.xword 0x24dd8659fff468eb
.xword 0xe5d68c4f6501ef56
.xword 0xf666786732841067
.xword 0x95b89f518faca123
.xword 0x1564485c915849a8
.xword 0x2e03b6939ba13737
.xword 0x0cf8ecaaea7e501d
.xword 0x7b9844515058bd3e
.xword 0x5c12066fa9ff26d9
.xword 0xbddda63b01fd2d12
.xword 0x8ce26b7f1f10e060
.xword 0x5ffa2d7a077a890d
.xword 0x8aea43d13b8685a2
.xword 0x2b9cf86e6332e3ce
.xword 0x5027a5b3b4df8c3b
.xword 0x84497c73e43cefb0
.xword 0x4c3139ad207fa584
.xword 0xbf6c94f1d4806274
.xword 0x103c35468f7566c7
.xword 0xed79365e883911ac
.xword 0xee5ef8fcd6f60669
.xword 0x64f8f85f7a51464e
.xword 0x763fedb7aada3797
.xword 0x2d3911770651318c
.xword 0x8995a68109cca5f3
.xword 0x6ba88c93832f1493
.xword 0x153b7063602d333d
.xword 0x3008bee19a4a6731
.xword 0x78dc6f5f0cb07ea0
.xword 0x63f891bdac04b3fb
.xword 0x041628fa2d4e6d6f
.xword 0xf52aaebc4cd98820
.xword 0x92e8a3d80e28f31f
.xword 0x78761ef28a46ff48
.xword 0x0c92b23550120b32
.xword 0xdd744f01e86281b4
.xword 0x80a7b35d0a7770d2
.xword 0x7984aef8d5c8b462
.xword 0x8d73fc0d51f609bd
.xword 0x9ac52dfc0e69f4f0
.xword 0x7170fb21488979f2
.xword 0x2b3bfeadbd4792eb
_t1_sslkey_iv_array:
.xword 0x76234b759e6d6f9e
.xword 0xd82d6a6dcd15d089
.xword 0x6b51d15826c1641a
.xword 0x14017f7bffe390d6
.xword 0x09401952b69bea9c
.xword 0xe7ec82f79736b458
.xword 0xffbdd4eb1f83f8c9
.xword 0x01402dc6e340fa0f
.xword 0x908ecfdd8fe766a8
.xword 0x612a8f02ecfc0fd7
.xword 0x907eb7c7512dc9f6
.xword 0xbb4b5c3e2a683346
.xword 0x55bdc2062aa652bd
.xword 0xc85fd49069fd839f
.xword 0xea326dd978cfd70a
.xword 0x5e695f72c68a4882
.xword 0x1288d8a0a4f1f634
.xword 0xd80c947cbf6120b0
.xword 0x0abf045d08718986
.xword 0xe8591a6a3823e0fe
.xword 0x79e914dc543c270d
.xword 0xab000492f5d3b59e
.xword 0xe2959f08e8be6296
.xword 0x76f3f047ce230f0d
.xword 0x30ffabb165665816
.xword 0x4f8304399b82ebc0
.xword 0x0d55322db5bfd084
.xword 0x7fe67e2399473669
.xword 0xc4a66560cdf4cc47
.xword 0x16d3f8e6ea0c9e31
.xword 0x7e10ac4dcefefa2f
.xword 0xa38409dc0987a6dd
.xword 0x108b5183daca23c1
.xword 0xc0998542e23ff288
.xword 0x96a6cb4da4921c25
.xword 0x86f87676521d9977
.xword 0xf84cefa65c518780
.xword 0x45230232b8240d0a
.xword 0x39136cdd53b10790
.xword 0xa5012eca84e1c586
.xword 0x3f00d3f537fc8a8a
.xword 0x8763cd1d7a5e4be2
.xword 0xf7d1a453157eadff
.xword 0x2e9bc605ae31c595
.xword 0xe9c9fe3d4ad6abe4
_t1_sslkey_alignment_array:
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
_t1_sslkey_src:
.xword 0xe1df1c476777484e
.xword 0x874363abba354e2b
.xword 0x62cd44c7099581ec
.xword 0xbdfbf188241cfb72
.xword 0xbdf6e21578bad0fb
.xword 0x13fec31de80fc1b7
.xword 0xaae5125f5a6fbed8
.xword 0x3466f61ae4470d7b
.xword 0xefd210e644bda390
.xword 0x9e47851675824751
.xword 0x7a129f5f0e55826b
.xword 0x96a4df44efcba664
.xword 0x31e6f8e855a021ae
.xword 0x9ee6f8cd2a647468
.xword 0x076203de92d79240
.xword 0x22a15c071f4206e5
.xword 0xe77ef31ae5f380af
.xword 0xff8d21b40ed14295
.xword 0xe9c3e42c5646f815
.xword 0x5b5844cec8818645
.xword 0x96af8a7902e3dac5
.xword 0xa703b191f3f65d4d
.xword 0xb1dbf1992e9be8aa
.xword 0x587059236c739a4b
.xword 0x7767a8b2a6f8f948
.xword 0x67032c9d3510d4f0
.xword 0x25ad72f88fd61b67
.xword 0x397f3d8a34d44abf
.xword 0x9d075e5a49e8862c
.xword 0xe302ed94425fd188
.xword 0xdac56c9e9d479ebb
.xword 0x1a33ee2d62415b92
.xword 0x8961227b56d9be82
.xword 0x884987a17fc3b88e
.xword 0x3a9bdbc8db9aa6b7
.xword 0xd3bda6e614b3453a
.xword 0xa3b8d9d7727b1474
.xword 0x47e4ed7c3902cd3c
.xword 0xa614b48c8fc32165
.xword 0xeca2a37af59641ec
.xword 0x0c09c9c4453d4b9c
.xword 0xe1657e298628e46a
.xword 0xd48eebcc0bab3237
.xword 0x0630b61c7624d519
.xword 0x178238ef2f1f2788
.xword 0x3f3ea845ce5be68f
.xword 0x574e9ab9a80f7aaf
.xword 0x20fb772817d8029c
.xword 0x15cfc5a8842d0c6a
.xword 0x0559a3f584323ce4
.xword 0xbd65cbf968bef87e
.xword 0x9a0dd18b11c4cda8
.xword 0xf3ceb48bf640252d
.xword 0x4105e4d842c138f7
.xword 0x589726cec2519f6d
.xword 0x8c2b0bbf7dacdf18
.xword 0x11bb912e0980ef53
.xword 0xeaa2231d8a723469
.xword 0xf0343ecc43904ccf
.xword 0xb57f1e55ced05a22
.xword 0x73fc417537806623
.xword 0x7e29aa77a8635d89
.xword 0x24c6dc6f6d7c8fcf
.xword 0xe54833c4759449ab
.xword 0x5f0ccc60a3015189
.xword 0x86dcbae6154ad9ed
.xword 0x9f84548fa5764d60
.xword 0x0474a69d7ded9063
.xword 0x9558fa3b51cfda7c
.xword 0xbe4a278c7628e7c0
.xword 0xf03b7d5bf5b7c601
.xword 0x237b75f753b94d82
.xword 0x2229c6367ea1a7de
.xword 0x3a105540f220532d
.xword 0x983dd676f49170d8
.xword 0xdff78c72ca9678d7
.xword 0x0cd8675332a28da9
.xword 0xc41f53d0734bf49b
.xword 0x3d9cd717d2c65b7a
.xword 0x9b6c91c4ab393de1
.xword 0xed16e266d2786d12
.xword 0x6d6c6e8bc2d098f0
.xword 0x493b249d0346e9a1
.xword 0xa1111fe8876b5ab4
.xword 0xb99177b287fd92bb
.xword 0xfa0a506aab75a04e
.xword 0x80c40e4d41493caa
.xword 0x17401e1641c274b5
.xword 0x61cc5271fae503bb
.xword 0xa79aa06e5902ad3f
.xword 0xaa1e2dec1024cc13
.xword 0x464dfb31e564f9de
.xword 0xa580746ae5df4115
.xword 0xd2272ab822b6ce98
.xword 0x6fa9dd64e207f17b
.xword 0x9ace83ce1f9dd062
.xword 0xef767d2632e81181
.xword 0x5db92843d07e153d
.xword 0x43603937c7c4e69c
.xword 0xd687533a7014ca8c
.xword 0xce306d93330f6f71
.xword 0xe7e5489128fd7cc2
.xword 0x210c269eda02ef89
.xword 0x03aae34d6b31b3fe
.xword 0x85849a4c3764c4ea
.xword 0x2f0c674c76acbf30
.xword 0x7e7820430b67993c
.xword 0xfab3c2f13da17716
.xword 0x43d6f3a2015b9317
.xword 0x606d495607d738b9
.xword 0xbe904b0b1927dd88
.xword 0x5d7118e82ef87864
.xword 0xd56647262b43896f
.xword 0x96cea757742f2940
.xword 0x07078cc56fde711a
.xword 0xd8cad17beb61d40a
.xword 0x918548c387df01b6
.xword 0x467c93511071aad8
.xword 0xd6540ae2bc99787c
.xword 0x912e56fa728a9655
.xword 0x34df2c401367c1c1
.xword 0xea6b54c1c13c5d31
.xword 0x86fa8f99a6781371
.xword 0xd4e90db3330fe19f
.xword 0xe374b19165eaf068
.xword 0x406e93c53a3a2a60
.xword 0x1c0b387c13da07a3
.xword 0xc48e3872a3f24233
.xword 0xa64e2dba82bea7ef
.xword 0x2c5a59cbb1fc2f70
.xword 0x4d2b860c07613e5c
.xword 0x62ba17460b8df085
.xword 0x03fbbd64d68dc115
.xword 0xbb4b0d46d9cf8656
.xword 0xb36c98b8aea8a20f
.xword 0x508139791faf14e1
.xword 0x05c30c2c57de2da6
.xword 0xd192d1f8031be0b6
.xword 0x3f29e5f09389b23a
.xword 0xeae55d33c66ecb51
.xword 0x67f244ad7697f3bc
.xword 0xdb8486b493896212
.xword 0xbaa70730e3443cc9
.xword 0x218534e02fbb511f
.xword 0x357c8a15cc448975
.xword 0xd9d6ffff2bbfde61
.xword 0x36ac78ebd725e2af
.xword 0x777f49e13ffb4fcd
.xword 0xfdeb3a54ee36a8d5
.xword 0xda5b06f5396c1bb4
.xword 0xbdc51270e440f78e
.xword 0xac3b8a2b9bad0552
.xword 0xa7a920e07aa114bb
.xword 0x7a4a8bd1178579b2
.xword 0x6e734efb4317021f
.xword 0x9a60a6e5b80de722
.xword 0x704da400c89d20e8
.xword 0x412a30e951f7ff03
.xword 0xa319107778ec4393
.xword 0x3fbddf2872344c50
.xword 0x1926c37142c22ecf
.xword 0xaa1761fe5fffaefe
.xword 0x8aab69467186262a
.xword 0xf668095431c9efc0
.xword 0x82f148115601bc4c
.xword 0xce9783c60cc9acbb
.xword 0x513e4242b9747b6e
.xword 0x09dd6dede8df442f
.xword 0x5fc162186a537bb8
.xword 0x95d5749499c1032f
.xword 0x366565858d5d9ef6
.xword 0xf3ea3d973a696ae5
.xword 0xc2ae0fe4d30f6bc5
.xword 0x23685f2f1b6f2ffa
.xword 0x0a50695ea3a745cd
.xword 0x4f4f391972fb72f3
.xword 0xd37c36d4df05be01
.xword 0x0dab280285a7eca5
.xword 0x7c4267c0d23dca76
.xword 0x9f73216af4d33f34
.xword 0x12de123050a0a762
.xword 0xaa5dfc084aee0cb2
.xword 0x96b174b2b1b11456
.xword 0x1aff674089f423b5
.xword 0x4fffa9029b43a28d
.xword 0x48a9de33ed480d25
.xword 0x9b0ab74826c8d64a
.xword 0x30822e9dc8bf49d1
.xword 0x6baf628ddc36eed3
.xword 0xf7bd7ad89fc492ff
.xword 0x4b6e2963adf5ca37
.xword 0x66edc47457d0417f
.xword 0x571a9e17626eeb30
.xword 0xbd1754007cf8b3b8
.xword 0xde584adb4f36092e
.xword 0xe33bc440f7130745
.xword 0xb09b2dfd76962d18
.xword 0x077ac329ffecc25e
.xword 0xf568bd4d6a9e1d51
.xword 0xdd32375a9a9f07ac
.xword 0xae376697d1d238d6
.xword 0x7ce7ef691cc91fb7
.xword 0x48efceb1478d2d9f
.xword 0x033154f9568e5341
.xword 0xd61ce53dd1f707d4
.xword 0xc94e9845a00d915d
.xword 0x4e5fff5f4ed82492
.xword 0xe4c736f6a922384c
.xword 0x6e5dd6615aa3aa90
.xword 0x352bf1f59a31e9d7
.xword 0xde6a3fbbc3e179c7
.xword 0x661b9bbf664f7a69
.xword 0x5c838812097625c9
.xword 0x1b93764e2adf8d7f
.xword 0x56dbb2c6ddfb9eb0
.xword 0x5a1abbb077c233ad
.xword 0x8c8c19a50536102a
.xword 0x7ece581b345569e9
.xword 0xcc338a6b79f411a0
.xword 0xc51e15b48c1169d3
.xword 0x0a7a46505cc1d12f
.xword 0x2cbbfc982b04aec8
.xword 0x635cdcc24d2ebac4
.xword 0x80c70dd8f2c0c39a
.xword 0x79090533203e5fb8
.xword 0x9804fb3e750611bb
.xword 0x097f0e4a8d93f3fe
.xword 0x014b15d00a3ca731
.xword 0x4be01ddc93a7a9a7
.xword 0x17da257d2cdf3fa8
.xword 0xebeb98a61dbd3e51
.xword 0xf0c94078413ba635
.xword 0x83ac67dfa063e836
.xword 0xec78d1bad64472ae
.xword 0xc96e8273dd17ca67
.xword 0x983e3597261f809a
.xword 0x82c4942c50c3a490
.xword 0x9a3d1ac141461a06
.xword 0x6468526d3d0d62a5
.xword 0x1e2ed07115e77625
.xword 0x424221c69680b1d0
.xword 0xbc5adbe92735e220
.xword 0x209af43412a586c8
.xword 0xb45bf6858da2e861
.xword 0xe10b30d20e8a1933
.xword 0xb8f537dc46441ba6
.xword 0xf286d043920ad0be
.xword 0xf187b353d8d7ddd9
.xword 0x057032baacd34dea
.xword 0xcee4d52123b6865d
.xword 0x75dffd7db5cf8dce
.xword 0x8fe70dfc8b43e2fb
.xword 0xcf591df16c73fad6
.xword 0x012e434bd6937e11
.xword 0x3a04a467f5a17a19
.xword 0x3ce883466424c59a
.xword 0xf2a46113eb21347f
.xword 0x304d64ee2faa2eb0
.xword 0xaedd83acf0252bc1
.xword 0xfa000fe45992bf21
.xword 0xe24cbdd05213e2e0
.xword 0x63e45e2484e3d722
.xword 0x67e64dbd091f3288
.xword 0x1392f6aa98ac27a1
.xword 0x2598cae12edf6673
.xword 0x2ec77dcc975823df
.xword 0x9c77c08291fa8abe
.xword 0xf148ecdb9f25ba1f
.xword 0x624b5b51cbcba88c
.xword 0xeee2bdff0cde657c
.xword 0xfe944b0e8ec4c18f
.xword 0x392ffa64ca8b239c
.xword 0x9114d81cf94e6b4d
.xword 0x6596875f32ced7d1
.xword 0xf4a82582a4e049cb
_t1_sslkey_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_auth_key:
.xword 0x154fa7d4079c1b23
.xword 0xc0492f3e57d8fff4
.xword 0x0930a2256590b8dc
.xword 0xf67a701df4e01e2f
.xword 0xbfd649e844267d06
.xword 0x1357238e3c6dd5a7
.xword 0xccb921abc9160a55
.xword 0x1cbfebf545f09a94
.xword 0x6a20e47ce920b506
.xword 0xddd57ec4547b762f
.xword 0x5fa9c99ceaa0a7f6
.xword 0x1b7828c4ae867fe8
.xword 0x6e1d4f633c1ea07b
.xword 0xaaf695a69e17d9c6
.xword 0x5e94d54f519690a4
.xword 0xf8e6bf4f9970346f
.xword 0xd6a4ffc381b680b6
.xword 0x8d7e6fd3f309ccda
.xword 0xa7a18c9d3e351f82
.xword 0x25f17994539b58c7
.xword 0x82de9c0b004c1486
.xword 0x1bf91ee090195746
.xword 0x65729d2ae1e0cd43
_t1_sslkey_auth_iv:
.xword 0xecd5277e64bec254
.xword 0xabbc610f85ab3ace
.xword 0xd40bc6045a9c2430
.xword 0x8b67b530d507ff24
.xword 0xd55e7db0f9e7b54c
.xword 0xa0eb2706551b8b04
.xword 0x40122a5e10a81c68
.xword 0x47bfa828620a701f
.xword 0x927e0f57268572ae
.xword 0xff29e2b5ff895411
.xword 0x6c97d31b66a6b0ab
.xword 0x28903e6c95ca15b2
.xword 0x86bcd04968a1f96e
.xword 0x007ec4529fbe4f28
.xword 0x158868d28bbca566
.xword 0xe654664f21613387
.xword 0xd035cd59b41e5699
.xword 0x27f70e573fca7e08
.xword 0x6e9d363776d1c0be
.xword 0xd755139e848e4bbe
.xword 0x0a33e804e8f85530
.xword 0x2dba8d0aa5c8f9db
.xword 0x407953d996760999
_t1_sslkey_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_toc:
.xword _t1_aes_cwd_array
.xword _t1_aes_src
.xword _t1_aes_auth_key
.xword _t1_aes_auth_iv
.xword _t1_aes_fas_result
.xword _t1_aes_key_array
.xword _t1_aes_iv_array
.xword _t1_aes_dest
.xword _t1_aes_alignment_array
_t1_des_toc:
.xword _t1_des_cwd_array
.xword _t1_des_src
.xword _t1_des_auth_key
.xword _t1_des_auth_iv
.xword _t1_des_fas_result
.xword _t1_des_key_array
.xword _t1_des_iv_array
.xword _t1_des_dest
.xword _t1_des_alignment_array
_t1_copy_toc:
.xword _t1_copy_cwd_array
.xword _t1_copy_src
.xword _t1_copy_auth_key
.xword _t1_copy_auth_iv
.xword _t1_copy_fas_result
.xword _t1_copy_key_array
.xword _t1_copy_iv_array
.xword _t1_copy_dest
.xword _t1_copy_alignment_array
_t1_crc_toc:
.xword _t1_crc_cwd_array
.xword _t1_crc_src
.xword _t1_crc_auth_key
.xword _t1_crc_auth_iv
.xword _t1_crc_fas_result
.xword _t1_crc_key_array
.xword _t1_crc_iv_array
.xword _t1_crc_dest
.xword _t1_crc_alignment_array
_t1_hash_toc:
.xword _t1_hash_cwd_array
.xword _t1_hash_src
.xword _t1_hash_auth_key
.xword _t1_hash_auth_iv
.xword _t1_hash_fas_result
.xword _t1_hash_key_array
.xword _t1_hash_iv_array
.xword _t1_hash_dest
.xword _t1_hash_alignment_array
_t1_hmac_toc:
.xword _t1_hmac_cwd_array
.xword _t1_hmac_src
.xword _t1_hmac_auth_key
.xword _t1_hmac_auth_iv
.xword _t1_hmac_fas_result
.xword _t1_hmac_key_array
.xword _t1_hmac_iv_array
.xword _t1_hmac_dest
.xword _t1_hmac_alignment_array
_t1_rc4_toc:
.xword _t1_rc4_cwd_array
.xword _t1_rc4_src
.xword _t1_rc4_auth_key
.xword _t1_rc4_auth_iv
.xword _t1_rc4_fas_result
.xword _t1_rc4_key_array
.xword _t1_rc4_iv_array
.xword _t1_rc4_dest
.xword _t1_rc4_alignment_array
_t1_sslkey_toc:
.xword _t1_sslkey_cwd_array
.xword _t1_sslkey_src
.xword _t1_sslkey_auth_key
.xword _t1_sslkey_auth_iv
.xword _t1_sslkey_fas_result
.xword _t1_sslkey_key_array
.xword _t1_sslkey_iv_array
.xword _t1_sslkey_dest
.xword _t1_sslkey_alignment_array
_t1_table_of_context6:
.xword _t1_aes_toc
.xword _t1_des_toc
.xword _t1_copy_toc
.xword _t1_crc_toc
.xword _t1_hash_toc
.xword _t1_hmac_toc
.xword _t1_rc4_toc
.xword _t1_sslkey_toc
!# CWQ data area, set aside 512 CW's worth
!# 512*8*8 = 32KB
.align 32*1024
_t1_cwq_base6:
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.align 32*1024
_t1_cwq_last6:
SECTION ._t1_T_CWQ_DATA7 DATA_VA=292552704
attr_data {
Name = ._t1_T_CWQ_DATA7
hypervisor
}
.data
_t1_user_data_start7:
_t1_scratch_area7:
.align 16
_t1_spu_op_array7:
.xword 5
.xword 6
.xword 6
.xword 5
.xword 5
.xword 1
.xword 5
.xword 6
.xword 0
.xword 7
.xword 6
.xword 6
.xword 1
.xword 5
.xword 7
_t1_aes_cwd_array7:
.xword 0x406000c01100000f
.xword 0x406100801400002f
.xword 0x406000401800002f
.xword 0xc06100e01900001f
.xword 0xc06100c01700001f
.xword 0x40e100201500000f
.xword 0x406100001900003f
.xword 0xc0e000001700001f
.xword 0x406000001500001f
.xword 0x406000601100003f
.xword 0xc0e000001800003f
.xword 0xc0e100801400001f
.xword 0x406000401400000f
.xword 0xc06000001300003f
.xword 0x40e000001300002f
_t1_des_cwd_array7:
.xword 0xc0e100000a000007
.xword 0xc060008008000007
.xword 0xc0e100e00a00001f
.xword 0x406100e00800000f
.xword 0x406000c00d000007
.xword 0xc0e000e00c00001f
.xword 0xc06100800d000017
.xword 0x40e100000d00000f
.xword 0x40e000400d000007
.xword 0xc06000800c00001f
.xword 0x40e000800e000017
.xword 0xc0e1002009000017
.xword 0xc0e100a00d00000f
.xword 0x406100c00d000017
.xword 0xc0e100800d00001f
_t1_copy_cwd_array7:
.xword 0x2061000000000007
.xword 0xa06100e00000000c
.xword 0xa061004000000007
.xword 0x206100c00000000e
.xword 0xa06100200000000b
.xword 0xa061000000000007
.xword 0xa060000000000003
.xword 0xa060004000000007
.xword 0xa060000000000003
.xword 0x2061006000000007
.xword 0xa06000c00000000f
.xword 0x2060004000000004
.xword 0xa06000e000000005
.xword 0x2060002000000003
.xword 0x206000400000000f
_t1_crc_cwd_array7:
.xword 0x4163030400000003
.xword 0x416101480000000d
.xword 0x416003040000000f
.xword 0xc16001a800000007
.xword 0xc162034400000001
.xword 0x4161012800000001
.xword 0xc16303c400000004
.xword 0xc16301c80000000e
.xword 0x416003840000000d
.xword 0x416001c800000008
.xword 0x4161038400000005
.xword 0x416301680000000e
.xword 0x416203840000000c
.xword 0xc161018800000005
_t1_hash_cwd_array7:
.xword 0x4160098200000021
.xword 0xc1620d030000003e
.xword 0x416308610000003d
.xword 0x41630ba10000000f
.xword 0x416103e20000001e
.xword 0x41620d420000000f
.xword 0xc1600e630000000c
.xword 0xc1620b610000001e
.xword 0x4162056100000038
.xword 0x4162000100000010
.xword 0xc16104c10000003a
.xword 0xc162090100000015
.xword 0x41601e630000001a
.xword 0xc16109e10000000d
.xword 0x41630fa10000000d
_t1_hmac_cwd_array7:
.xword 0xc1620409000f0010
.xword 0xc16303eb001f001f
.xword 0x416111e600130034
.xword 0x41600ce5000f000e
.xword 0x41610c65000f002b
.xword 0x41620f05000f0010
.xword 0x4163132600130003
.xword 0x41600209000f0009
.xword 0x41620049000f0028
.xword 0xc1600385000f002e
.xword 0x41620005000f0024
.xword 0x4162092a00130019
.xword 0x41630165000f0039
.xword 0x41601927001f0031
.xword 0xc1630405000f0032
_t1_rc4_cwd_array7:
.xword 0xc0e1000004000007
.xword 0xc0e100400000000f
.xword 0xc0e0006000000005
.xword 0xc0e100200400000f
.xword 0xc0e0008000000003
.xword 0xc0e000e000000006
.xword 0xc0e100000400000a
.xword 0x40e0000004000006
.xword 0xc0e0000004000006
.xword 0x40e000c000000009
.xword 0x40e0000004000000
.xword 0x40e000400000000c
.xword 0xc0e0006000000009
.xword 0x40e0004000000008
.xword 0x40e000000000000a
_t1_sslkey_cwd_array7:
.xword 0x10600c0000000000, 0
.xword 0x106015e000000000, 0
.xword 0x9060110000000000, 0
.xword 0x90601d8000000000, 0
.xword 0x9060228000000000, 0
.xword 0x9060186000000000, 0
.xword 0x90603b8000000000, 0
.xword 0x9060168000000000, 0
.xword 0x1060114000000000, 0
.xword 0x10600b4000000000, 0
.xword 0x106031e000000000, 0
.xword 0x1060162000000000, 0
.xword 0x9060124000000000, 0
.xword 0x106028a000000000, 0
.xword 0x906029a000000000, 0
_t1_aes_key_array:
.xword 0xc21c451c6a0c6ab4
.xword 0x674f3b116ef3357c
.xword 0x0284deb03a917a00
.xword 0x4bd4fb6ee27cf3b2
.xword 0x49ba2e94c2b141e8
.xword 0x1789aa465f78ea01
.xword 0x76a7a62fd908ffea
.xword 0x3ff74b86927dca86
.xword 0x490c952d35d40c92
.xword 0x48a81007d5cfc896
.xword 0x3a4253f59c0c6086
.xword 0x12962aac80e7696a
.xword 0xe8230aa527caa2d9
.xword 0x20f02889064c23dd
.xword 0x5ef434509382ab1b
.xword 0x0b11d3cfd2f1268d
.xword 0x7c0a3411807ff3d1
.xword 0x180a1b6d815c5102
.xword 0xcdaa0e1dd9ffb345
.xword 0x76286745f9a414a8
.xword 0x829ba74ddfbe342f
.xword 0x0163298e5f8cea65
.xword 0xf768ebd6b7a9efc8
.xword 0x3a84fcea6f7825dc
.xword 0xc73a1758b98f6c66
.xword 0xefefb2d19537d601
.xword 0x4546818e15b3eebb
.xword 0x073c7ba36cf7d97e
.xword 0x5996e993470d85be
.xword 0xa16d26dac9612f54
.xword 0x8845a7a8adfd936e
.xword 0x6a67b49b0cb950bf
.xword 0x293a75d15a8bc9cc
.xword 0xc188607ad16359ac
.xword 0xe702a864647274cf
.xword 0x7abfb83e1cd28bfd
.xword 0xfa37c2b94d637733
.xword 0xccfda7fcf24a0a82
.xword 0x31f6edba455e22e3
.xword 0x548b86697429dfa2
.xword 0x109d5c091ec2e9e5
.xword 0xd26957fa32388a6f
.xword 0xd48fa6fac55731b1
.xword 0xe1b8d6737c58d99a
.xword 0x2f19ab5086d5a756
.xword 0xa7fe3fcf93f29713
.xword 0x867b83a1ace1e45b
.xword 0xe7ab99eb066e2001
.xword 0x7d7e00ad5ef8da23
.xword 0x6663b259f7d16460
.xword 0x758948c074e2955c
_t1_aes_iv_array:
.xword 0x68975088dcd2ef89
.xword 0xb62af21499586f28
.xword 0xc10e974d8c519d56
.xword 0xbe5b7c14c7f3083d
.xword 0x80b5cc44773b57ad
.xword 0x5897601486b1fbeb
.xword 0xf8f4c73a1ad1f189
.xword 0x87af62fa54655b61
.xword 0x7606267b944514c3
.xword 0xda3284c3bea8c3a2
.xword 0xce154668bdb6c3ca
.xword 0x766f18f3ffee6f18
.xword 0x307b3f23d80a2143
.xword 0x560547b6f63d6352
.xword 0x239164ccbafe2267
.xword 0xe50a780167436db5
.xword 0x044e1a6cf3595453
.xword 0xa6a6e443a00fd703
.xword 0x8b12b0ec3a82c4c7
.xword 0x787e0d489ab12209
.xword 0x635a595532bb5c25
.xword 0xe78ecd48f40cf92c
.xword 0x36d146fe7cd85b81
.xword 0xc82efdf2bbcc069a
.xword 0xd982906f59dab8c5
.xword 0x5261ddc5cfedba5d
.xword 0x8766a2b36ba7e107
.xword 0x62de77e4c35db3a4
.xword 0x6aac2dcc2b2792cb
.xword 0x99e145665c48077a
.xword 0xab43d86f7e576384
.xword 0x8df45503080b70c8
.xword 0x9ed1b789752af2b9
.xword 0xf7ab0f0b324724fe
.xword 0x32f8096048e87d79
.xword 0x5ef9590ad0de18ca
.xword 0x1cbc912b20f17942
.xword 0x60e2c14ca6584d02
.xword 0xe5b4ee0964c22b60
.xword 0x6f85a8c188999945
.xword 0x7a1158212bb76627
.xword 0x6c676a4d845d91ff
.xword 0xfea82fd4287991ab
.xword 0x5d5a5f5d82ef7381
.xword 0x476270e72e41a5ca
_t1_aes_alignment_array:
.xword 14
.xword 9
.xword 14
.xword 5
.xword 13
.xword 4
.xword 13
.xword 13
.xword 6
.xword 1
.xword 4
.xword 2
.xword 7
.xword 10
.xword 12
.xword 11
.xword 1
.xword 14
.xword 1
.xword 2
.xword 10
.xword 5
.xword 6
.xword 12
.xword 8
.xword 6
.xword 9
.xword 10
.xword 14
.xword 5
.xword 8
.xword 4
.xword 14
.xword 1
.xword 10
.xword 13
.xword 11
.xword 2
.xword 6
.xword 14
.xword 11
.xword 0
.xword 6
.xword 14
.xword 9
.xword 3
.xword 13
.xword 6
.xword 2
.xword 3
.xword 2
.xword 6
.xword 1
.xword 13
.xword 5
.xword 10
.xword 7
.xword 9
.xword 4
.xword 6
.xword 5
.xword 8
.xword 15
.xword 15
.xword 9
.xword 5
.xword 4
.xword 14
.xword 5
.xword 6
.xword 9
.xword 6
.xword 2
.xword 6
.xword 13
.xword 6
.xword 8
.xword 12
.xword 4
.xword 9
.xword 0
.xword 13
.xword 11
.xword 11
.xword 14
.xword 4
.xword 8
.xword 8
.xword 15
.xword 9
.xword 10
.xword 14
.xword 13
.xword 12
.xword 9
.xword 7
.xword 4
.xword 9
.xword 3
.xword 5
.xword 8
.xword 7
.xword 15
.xword 1
.xword 2
_t1_aes_src:
.xword 0x1b21657d441b0803
.xword 0x7ba8f743ab23fc33
.xword 0x86d68c28c4569d7f
.xword 0x3290d9554fbb2687
.xword 0xa2c19a6f5682398f
.xword 0x852c12a59e7ca6e8
.xword 0x4a24adc80f82c856
.xword 0xd91dadc079e9ec36
.xword 0xfe246bb6358f0056
.xword 0x5f7527415f351729
.xword 0x302c38e96806a537
.xword 0x2faa9f4e9aebb27c
.xword 0xde821eeda7a47441
.xword 0xa6e46a88fd89fdec
.xword 0x9f6b5eddd5afd9c7
.xword 0x12a8bad3fa9458ad
.xword 0x41c59e4805181423
.xword 0xc0de4432440d2872
.xword 0x23e93aa009a3562b
.xword 0x7093b27f62db7062
.xword 0x0dc758b37d118b78
.xword 0x2884098745dce067
.xword 0x79473a65033f56e2
.xword 0x7201af2a2a33bcd9
.xword 0x856c8e841f186ba3
.xword 0x142b148f2b6180b6
.xword 0xf0b8ae4abbdb8608
.xword 0x91e62a4a9d4b925b
.xword 0x372ab14c3557cde5
.xword 0x09a87308fc26b56a
.xword 0x13ecfadec7831dba
.xword 0xf653f316acf01c4e
.xword 0x012762027a0ba662
.xword 0x7258a4933fae2432
.xword 0xfb04b576f1bce5af
.xword 0xcfd395a2ea57715d
.xword 0x6ff988305d2b23a2
.xword 0xa3a7c14c2a727f20
.xword 0x3a9f08b9beac929d
.xword 0x169c42b58586a801
.xword 0x0fbdf92ed41dbc40
.xword 0x4a33f1467097f9eb
.xword 0xfdd5bfb9cda2d469
.xword 0xb77a0226cb48ef39
.xword 0xc5408e7948ca70a5
.xword 0x51979ee58f615c05
.xword 0x17f3ae62122ef669
.xword 0xc2a431a476b73244
.xword 0x725c1be7217c76b8
.xword 0xf4fcc345bfd1dddf
.xword 0xb5487bc1d3664e88
.xword 0xb9142b02af636e4d
.xword 0x5e48c365b4c731e0
.xword 0x1d01b44aee6fcd24
.xword 0xd6e562d4c1b15701
.xword 0x1721d0f9e60933fe
.xword 0xf4977c3996336cd5
.xword 0x76ca9e28f1256448
.xword 0x19593c6fbfb84a10
.xword 0xc988e8af1b2eff98
.xword 0xec97d5400f6f77ca
.xword 0xae9718ea463a7c00
.xword 0x613d6e1a22f68365
.xword 0x1c7004306eca8050
.xword 0xdc8201049804e23b
.xword 0x65e8122316e637e1
.xword 0x42ce9ed9d2689f2c
.xword 0xbe30795a0b1a1430
.xword 0xf313c62e679f7547
.xword 0x34d427f72e34199b
.xword 0xaecd9509b5e09021
.xword 0xc3d69c533d768826
.xword 0xaa1bc8ca80407be3
.xword 0x46a6090aba82d26b
.xword 0x34e0be21160ad99d
.xword 0x50cddb21a8d4c09a
.xword 0x2500f448de812f89
.xword 0x8019521ca392c7a8
.xword 0xc80aec4149ff14a6
.xword 0x24457a637fa5a528
.xword 0xfc08cab24803b893
.xword 0xc9355a98d5d93442
.xword 0x9261c40170422048
.xword 0x716fe2c372ad8b68
.xword 0xcb0839f27e87edda
.xword 0x50219896e5f04cb7
.xword 0xb07234980b05fda4
.xword 0xb3d4dab1f7f4ecf4
.xword 0xb78e756bb96e0376
.xword 0x280920909e394efe
.xword 0x7e5f26d59281d1fa
.xword 0xb104843d37d5209b
.xword 0xfe7c8fa23c752794
.xword 0x38fbaf2829ee764f
.xword 0xae08322b0d389b93
.xword 0xc65702157b58171b
.xword 0xab766e88e0deb114
.xword 0xaf247e70b7b478c5
.xword 0x84b26842a13592c1
.xword 0xe59766a1dddd1bf3
.xword 0x75e66e9db95fc99e
.xword 0xdeb8e8cb4e51527b
.xword 0xc3f930a65609d2e3
.xword 0x4adad2ddac8631fc
.xword 0x8acc33e25e77950d
.xword 0xe90a9216e085299a
.xword 0x9130c79054e18ca1
.xword 0x1aaf1cd812c2c520
.xword 0x5a6b43f2677b6be9
.xword 0x928f6c047016359d
.xword 0xd63df2fcf5197709
.xword 0xef98120756ae9216
.xword 0x1bc0643ca037ee06
.xword 0x4f03ec89793a8c29
.xword 0xe79a4e91c270dc6f
.xword 0xce9cce1d7b1d1e12
.xword 0x1196913f6206e43a
.xword 0x86c6535cff1a8da4
.xword 0x88be9e1e007467be
.xword 0xa25a4c0f911dea06
.xword 0x65e739a879603781
.xword 0x7ddc6e52b873ce84
.xword 0x448136bcbeb54aac
.xword 0x3132699d56994bb7
.xword 0x0ebf0905c712ccc7
.xword 0x60bac98b3428fcae
.xword 0x23366e1fb2431f47
.xword 0x8ab13a0b0d80e291
.xword 0x88315fc3dcd53a32
.xword 0x489c9da790d645a3
.xword 0x54f3bdf07713fe98
.xword 0xa65aef4a380b7e27
.xword 0xf06ce8b3299c74de
.xword 0xed16bfa75fb637fb
.xword 0x59f5e55d9a16e8f7
.xword 0xb8026c6fdf647b9d
.xword 0x6431817443ef4b8a
.xword 0xb9cbe0b1fcbab844
.xword 0x08692318fa8168df
.xword 0xb452530e18b189da
.xword 0x660e7310a2b3f9ed
.xword 0x5c504a2bfe059d34
.xword 0xb836629e7917054d
.xword 0x383a9b8a4867f0a9
.xword 0x4100c9e2d9543108
.xword 0xb81e56d8703d421e
.xword 0x334aed78118f6649
.xword 0xa60f25ea4a08d77c
.xword 0xd8edc54deb991232
.xword 0x2e7a69cb6154427c
.xword 0xc48c17ee207150a2
.xword 0x9ab7353f7dbf3416
.xword 0xbda26cd8ec71d402
.xword 0x1ea090fe34536f93
.xword 0x9defa5d5c6b436bc
.xword 0xa17f2fe731a405bc
.xword 0xe5ed8a2019b8610f
.xword 0x5e62d3d1b4b44608
.xword 0x79706d05c90962f5
.xword 0x4ddfd818927e01bc
.xword 0xdd5b3b5d76652e89
.xword 0x959f2812fb370aee
.xword 0x32ac61e60385842b
.xword 0x1834dc3af02cea58
.xword 0xb0dc316c06b43906
.xword 0x15387b74761cbfb0
.xword 0xcefb1e85a68af154
.xword 0x14e38d27b340ffae
.xword 0xa844847d4057670a
.xword 0x29d09634f10a0bdf
.xword 0xf80b264525f62e5e
.xword 0xe06c01821255e238
.xword 0xe19872e8e06e0e71
.xword 0xf02284e2bbff583e
.xword 0x6626c0cc26c3bd4e
.xword 0x4dd76e14fab43fd6
.xword 0xa144a29cfcecc4a3
.xword 0x447d49c7749ecfb1
.xword 0x99712cc4d17826d6
.xword 0xc7da2ccea7cc73c3
.xword 0xc7a156c5c67bb10d
.xword 0x48bc53e671540e38
.xword 0x1875d72b6565b5b0
.xword 0x7f0bed13ffb084fa
.xword 0xb8bca526d68777bc
.xword 0x841e6a48f42e58e6
.xword 0x2d703b86157b3a88
.xword 0xe300b0dc53dbc605
.xword 0xfdd8f50a3fc15205
.xword 0x6454033e90704dac
.xword 0x833ba06f57556310
.xword 0xfc20d24f5a3d827b
.xword 0x6f50643b850ce346
.xword 0xc2d3351fd2021538
.xword 0x849a158d45de5a3d
.xword 0x57c2c21a3d5e8cc9
.xword 0xf0207660b4e1f6f5
.xword 0xa7c5c69a851a08f2
.xword 0x9df3a3db64a9d0c2
.xword 0x93b44856e6390615
.xword 0x6bea894ee55e6d82
.xword 0x22bbe18575867803
.xword 0x708d7d7fa9ee4221
.xword 0xbaddc980dcdad755
.xword 0x4c1c01b8b8e85554
.xword 0x47f5386db2115d52
.xword 0x64cde145ccca2201
.xword 0x62d5bd46198807e0
.xword 0xfb1dfebb952776b4
.xword 0xc5f3e6d73ec54901
.xword 0xebdfba30e912bb23
.xword 0xe5be42963e313c3d
.xword 0x66757d3b895daabe
.xword 0xccf1892d8d40c339
.xword 0x1c8f5907be3277b6
.xword 0x5fe3a561a0bd662f
.xword 0x5ee32d237f123f83
.xword 0x3039c280b88f0751
.xword 0x1964da0351717034
.xword 0x49cb0b21dcf75b92
.xword 0xabac6b62ef811a9d
.xword 0x772756566c8d996b
.xword 0xb6d390c83413d3a5
.xword 0x2b52fc2e3da90740
.xword 0x7d9b910964ee1646
.xword 0x674ca302ff194ea4
.xword 0xc0f7272bba9e0fdf
.xword 0x23ea77a0af9c3292
.xword 0x91d40c753c25c011
.xword 0xc71eba81ccc7baba
.xword 0x6b354ac3a1f54c42
.xword 0x9a971453a385c80c
.xword 0x833f48dc798b4504
.xword 0xbb6f9949be2597b6
.xword 0xacac472a1b68a875
.xword 0xe563a6bf7228d7e3
.xword 0xf94ac11aeb7e5e84
.xword 0x0bd64b27c9095c70
.xword 0x5815c52b9adbc3d9
.xword 0xae8f6f802ac5acdb
.xword 0x6c2bc7d1473d224b
.xword 0xe6f20f6eeb8851a0
.xword 0xb656e983e3283d14
.xword 0x015ed38469762809
.xword 0xc3e530db72387d1d
.xword 0x9f9647a6bcb4a16b
.xword 0x6858fb679977b08f
.xword 0x00a32f7cb43d7af7
.xword 0x60139b0d0556a000
.xword 0xddea17959f1fa2cd
.xword 0xc62d43fc465e3358
.xword 0xe1420f1e28b04aec
.xword 0x7ee305e272de58fc
.xword 0x871919fe80989640
.xword 0x65389f7e6704c4c2
.xword 0x91a264a53eade9a0
.xword 0x80be860fe5e6b7a6
.xword 0xa3d4eb4da4cc2b04
.xword 0xf6c74d79d7789bd4
.xword 0x242380dd6a58bf09
.xword 0xc442e925068b545c
.xword 0x21534e6fa99194e9
.xword 0xb8350e642b12b270
.xword 0xd04fab97142a6d75
.xword 0x4a569f8cac2fde48
.xword 0xfee8da4a72e63775
.xword 0x5173f8affa31bd89
.xword 0x654485cca4703954
.xword 0x09375e008caeba1e
.xword 0x9ffc51007dcf6ac7
.xword 0x81a48f865a384e25
.xword 0xdb7e55796fb3c5fe
.xword 0x289fbf771fe634e9
.xword 0x6072e9cd0e3ada78
.xword 0x985d02ed459d004a
_t1_aes_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_auth_key:
.xword 0x45decd5ee59fd56a
.xword 0x5a3bdc0b495e30cc
.xword 0x27d1601ddab47877
.xword 0xb9bfb990df630352
.xword 0xfa9de1b90de6d4ea
.xword 0xc3b63aba1f4ccc87
.xword 0x9715efffe3675c73
.xword 0x91207eb4cc740d5b
.xword 0x96b158b9208aab81
.xword 0x3a7df9366e47f7ea
.xword 0xa1209d175ac1b869
.xword 0x3fd6ccf7fdaa08a1
.xword 0x29762185fbed4a8c
.xword 0xc805be28d47377e1
.xword 0xaf3ed3754b470d73
.xword 0x929576b371e00c83
.xword 0xe0abed0bec8556c5
.xword 0xa82a1af9d0631cb5
.xword 0xc798a7f845af93fe
.xword 0xe4d0dbaeab6072c1
.xword 0x452d7520cdf6f534
.xword 0xf432b6ee6aaf6f7f
.xword 0x6a18a53ff35658df
_t1_aes_auth_iv:
.xword 0x4c2f638772aa03fc
.xword 0x9108e35f394912a2
.xword 0x37f69684641bb3b7
.xword 0x27714ba49626453f
.xword 0x9c9a8a393665863f
.xword 0xb81362d7f38eaf6d
.xword 0x462f278be4d50eb9
.xword 0xe30dd3dcb7d4e883
.xword 0x2a7492e4618d31bc
.xword 0x39c78c97ea206e85
.xword 0xed2316c3a1597d5e
.xword 0x5ce38379fe41af83
.xword 0xf6d3dda2526ff74e
.xword 0xc75337daa524f574
.xword 0xc7d1b0e7e25edd56
.xword 0x8f2f89e45eca797f
.xword 0xd4a558cac0ccc7e7
.xword 0x5120b6eaecbf58bd
.xword 0xbc45884e4121e851
.xword 0x6c3500834f1829fb
.xword 0x43e781c020fc7ead
.xword 0x70ac20e1070ed162
.xword 0x885c5508fb06d021
_t1_aes_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_key_array:
.xword 0x704f37ef4cedf488
.xword 0xed11c72fffcee4df
.xword 0x9096e6f7df019711
.xword 0x411416c47d997f2a
.xword 0xa67aed2551ce9a43
.xword 0x03838c775fb61813
.xword 0xfa1ca927007be73d
.xword 0x2c400ff795224d51
.xword 0x432572e38a2f757b
.xword 0xbbc9f478f9e148ef
.xword 0x46e77cfa7bcc0b1a
.xword 0x3d859fffbbe0e560
.xword 0xea4efc3f7f23b167
.xword 0x90239360ab9a17bb
.xword 0x08848b06435787fa
.xword 0xe6255e7d3abba44f
.xword 0xda17dd43dd9e2f7e
.xword 0xa32634d3e057f1a0
.xword 0xac39076a7b53ae92
.xword 0x8f4030c600f81f5d
.xword 0x96f01bfbb8191f6b
.xword 0xd55b18a303f930f0
.xword 0x07d9269ceb2f88da
.xword 0x7b40835499a041dd
.xword 0x2e72651db75d506e
.xword 0x02a21bb5c9e26a65
.xword 0x5ebc73c61d2ed785
.xword 0x8e805d1912c5a793
.xword 0x283d0fe3f8cdaf33
.xword 0x640310e0e5e68a1f
.xword 0x1828375f6c2bc2ec
.xword 0x1be9528f53e04fa9
.xword 0x74a4d8bbc484b5f7
.xword 0x0b7c8fc0c17104d7
.xword 0x393013e9ddc2fa22
.xword 0x7bbf74d112c3912a
.xword 0x6c50afa3f96200b5
.xword 0xb0b446fd42560a15
.xword 0xf9cb742d5688b2d0
.xword 0x320052f4d94aca6a
.xword 0xe686f2b2270ef23a
.xword 0x037385967389240b
.xword 0xe387c875d95945ed
.xword 0x9230f8c8e6a9c298
.xword 0xaf1668b5620fa3cc
.xword 0x91119124f8215da3
.xword 0x1c6a10afcc277bf4
.xword 0xecca1b5ecb38a591
.xword 0x0f73e6d1ccb2f848
.xword 0x486d6dae9270a3cf
.xword 0x901368ed8acdc6bb
_t1_des_iv_array:
.xword 0x4e00a788763c224d
.xword 0x39b2c3f4ddde3fd2
.xword 0xac2865a760bfc21d
.xword 0xb503d0c657fe9f50
.xword 0xe8f54ec3ba2dc5a2
.xword 0x550e2b7b0e9ed3ae
.xword 0x1f4ef7243f9f797b
.xword 0x9a2a9a5be33a9ee8
.xword 0x38b5ef5d788e4fa8
.xword 0x810c9b5cd5f30249
.xword 0x3139c26eb2184161
.xword 0xc5b9cc57f507f353
.xword 0x5ab68f20920ffa1d
.xword 0x795c17c6e564ad8c
.xword 0x7febd56b57afe192
.xword 0x72d4e190603c7f69
.xword 0x3f30fbcdfa50cb0a
.xword 0xfe796125c3b39326
.xword 0x357434bdb4a2c2b0
.xword 0x049faff7aa226e99
.xword 0x4278b980676af07a
.xword 0xd5be64e4b5897d95
.xword 0x7729547a5dcb180f
.xword 0xc8a8c27ba758c129
.xword 0x1fd91aac3233accf
.xword 0xc76c5bf94f9bf6be
.xword 0xf9ca9a35b5f10890
.xword 0xd19db685846e123b
.xword 0x56a1e0ef41ac32c9
.xword 0xffec185e225e01f4
.xword 0xd2834e7b2bea556e
.xword 0x7a09ddee7a23cd9f
.xword 0x7541c9ef974fabef
.xword 0xb71d03ed90f56103
.xword 0xe7b7d38e1171cf31
.xword 0xc82129a587e13f17
.xword 0xec6d1ae07c86f0e8
.xword 0x7238d81003404d3e
.xword 0x3abb135e5f06ac74
.xword 0xe9d2e5aae0b9bada
.xword 0x849ceff16eef9e61
.xword 0x5e6417a2a099d994
.xword 0x72e6b1552f7f744c
.xword 0xfb703b926fc37ff2
.xword 0x64def2eaf95f7a09
_t1_des_alignment_array:
.xword 9
.xword 3
.xword 7
.xword 4
.xword 15
.xword 8
.xword 3
.xword 0
.xword 6
.xword 10
.xword 10
.xword 13
.xword 12
.xword 7
.xword 2
.xword 9
.xword 8
.xword 5
.xword 3
.xword 8
.xword 12
.xword 3
.xword 4
.xword 15
.xword 12
.xword 13
.xword 4
.xword 6
.xword 9
.xword 8
.xword 0
.xword 12
.xword 9
.xword 1
.xword 8
.xword 9
.xword 13
.xword 15
.xword 3
.xword 13
.xword 12
.xword 1
.xword 9
.xword 0
.xword 2
.xword 3
.xword 3
.xword 8
.xword 7
.xword 11
.xword 13
.xword 8
.xword 12
.xword 12
.xword 14
.xword 3
.xword 11
.xword 6
.xword 7
.xword 8
.xword 3
.xword 11
.xword 9
.xword 13
.xword 15
.xword 5
.xword 5
.xword 0
.xword 2
.xword 6
.xword 8
.xword 12
.xword 1
.xword 11
.xword 4
.xword 0
.xword 15
.xword 14
.xword 13
.xword 2
.xword 12
.xword 5
.xword 11
.xword 7
.xword 5
.xword 11
.xword 7
.xword 1
.xword 2
.xword 9
.xword 9
.xword 10
.xword 6
.xword 12
.xword 8
.xword 8
.xword 5
.xword 6
.xword 14
.xword 15
.xword 9
.xword 13
.xword 2
.xword 1
.xword 15
_t1_des_src:
.xword 0xb65793a0c770b568
.xword 0xa6307a6f019aeffe
.xword 0x7ef896cbe005f25f
.xword 0xef9f9f74308f4b1e
.xword 0x09987607442c0c4b
.xword 0x389cbb170967ee5a
.xword 0xe94182595c991293
.xword 0x53e01fc31134ddc8
.xword 0x61a0d4133868edb2
.xword 0xa341feb6255a1a43
.xword 0x5247eea9cc67bbd9
.xword 0xdb95570f1b1a8ba4
.xword 0x0364a7e89d96f1d4
.xword 0x06808eb1039f752d
.xword 0xc5748940b27100e2
.xword 0x88d4a4621b66cc7f
.xword 0xb75dc6415569f65f
.xword 0x01d55bcdbe9b6a64
.xword 0xd3d54ad2718dcd21
.xword 0xff8adee272f678e5
.xword 0xeb5bc5bd06951cf6
.xword 0x69c44e869f9d998f
.xword 0x524744f6115e3ebb
.xword 0x77c9e3bffc16423d
.xword 0x67441aa9942ce3ee
.xword 0xe114e6fc1d4fce95
.xword 0x7e13b1cc7c9f46e1
.xword 0x2693e47335fbd159
.xword 0x5baf1016a8854650
.xword 0x7e274bcc1d2fea12
.xword 0x2f31f43e7943ab0b
.xword 0x6a8dd30a2126f224
.xword 0x2a5a259bee1ef836
.xword 0x257c575cc55ee53c
.xword 0xbb4f2fa3bb895608
.xword 0x2d0173f4da0228e7
.xword 0x097eb72fac9b0945
.xword 0x4fc27897892484fc
.xword 0x1f0676f13b92c60d
.xword 0x87465cc279de7c8e
.xword 0xf50566ab11572594
.xword 0xec167c9dd312ee81
.xword 0x5deff79f2cbe7d34
.xword 0x5d2ab98fa8971229
.xword 0x142dd8d588349b34
.xword 0xecc4c936e8f5158b
.xword 0x6f8ec594b2fc6e94
.xword 0x435326bd9217555d
.xword 0x885714bc7f5c6f55
.xword 0x2eff7c932befdfde
.xword 0xece2c5d921e7cb10
.xword 0x4760c34aaad0e9e3
.xword 0xf5bac9810d061b3e
.xword 0xa869deac943bb308
.xword 0xed2fd2c001566e0b
.xword 0x6a1218e15feb92eb
.xword 0x4a3089c61c8b0334
.xword 0xfdf138608669d6e4
.xword 0xea8d961a5a04d03e
.xword 0xb5a2fe92b3db21b7
.xword 0xc058b0cbce673b8d
.xword 0xa14490c8bf8db65f
.xword 0xf92d63b2ca6a40b6
.xword 0xb022d6f9ab7dea62
.xword 0x1905c577ead9ce3f
.xword 0x7d3cd0405baf7a79
.xword 0x7a49e1181a8c7565
.xword 0x184e1a9fddc2c421
.xword 0xe365903ffb4efc66
.xword 0x3057ede426395728
.xword 0x184045d5e7dff1a2
.xword 0x14518da0e1bcc2d9
.xword 0x9194375520cd4d46
.xword 0xd5e74f823f4ce866
.xword 0xd9bcca8385394c74
.xword 0x7ac80028804b1816
.xword 0x2d69a6ff8208a048
.xword 0x26278c41e0694df4
.xword 0x3851e0dc68ac4fdd
.xword 0x8d03a055ef317cea
.xword 0xadf1971ad5cf0913
.xword 0x332eabd0eece8df6
.xword 0x4eb56c67b58c05f1
.xword 0x79e95caed75afcab
.xword 0xe877c9f9a84fffeb
.xword 0x77760c79786451c2
.xword 0x5275f79f9b7195e4
.xword 0xf243360f5cf2b5de
.xword 0x8cf72109386f24e9
.xword 0x985a5802330c7473
.xword 0x852366d8273e6ebb
.xword 0x0e3c6b7edf459a78
.xword 0xe721c2b499a01403
.xword 0x53c2797ea546d136
.xword 0x7139649652add64d
.xword 0x2729717893530da4
.xword 0x4324afa2190b82f5
.xword 0xda1fbc504ec7c493
.xword 0xf77c4bfdc06aecee
.xword 0x3caa5a13637fc72b
.xword 0xb4c1954f31eb984f
.xword 0x7fd724af53ed69cd
.xword 0xe276bd5cb8d931dc
.xword 0xc93262c5047e97c0
.xword 0xa018082f809395cc
.xword 0xaefe9b2f8706c042
.xword 0x84c64110d36905bb
.xword 0x38f06122b0143b96
.xword 0xd4777a0d08d7d411
.xword 0x02de767e68016bd3
.xword 0xaac61d97fe24f724
.xword 0xdb1d1a896a0eb06c
.xword 0x00268c273ef41533
.xword 0x713ebccca58fd955
.xword 0xe69c0947edf5f77a
.xword 0x70fe71ffc321931d
.xword 0x35445e8ce09f21c7
.xword 0x5b9c3857fa455647
.xword 0x79cf39153599e801
.xword 0x43d808ae14f2914b
.xword 0xbc81f249d98cb39c
.xword 0x38098dd37b4fecec
.xword 0xa194935b9378ba0f
.xword 0x432c0d640f530c9a
.xword 0x4b2a896e002a584e
.xword 0xcc920ffa7896084d
.xword 0x56a3e1a8d27a330b
.xword 0x5f11f3dee74911ef
.xword 0x026a77849fc5b469
.xword 0xbe77b489277b88cf
.xword 0x5b684c546a2de08f
.xword 0xb5dfe4f14dfc5680
.xword 0xbcb7b6efb4cdd4ff
.xword 0x2f1161b747b041e1
.xword 0xb1f1a1c400fedbf1
.xword 0x8aa7757bcc472a4f
.xword 0x12d064a59ee02237
.xword 0x6be9759cd29ce5e0
.xword 0xcc82fb76ecc23168
.xword 0x7d9fe91a43a96931
.xword 0xa4111742012a8508
.xword 0xde8fd152e7484ae5
.xword 0x1f686729d305e586
.xword 0x9c8dbe416e8bc81f
.xword 0x3b23d332356db9bd
.xword 0xc8af18a108dbf7f2
.xword 0x9700fe596f7d8af6
.xword 0xdcb61e9a0a8f7308
.xword 0x946da9f7f67096a2
.xword 0xadf57973fa0049a2
.xword 0xafd469785a2debed
.xword 0xc5b860d51bf32843
.xword 0x257ebc3d904eaabe
.xword 0x7618cec4fad78281
.xword 0x156dbb5b34f652df
.xword 0xa811e9fb64bcf395
.xword 0x16f6d54511833eb7
.xword 0x39ac111672be28ac
.xword 0x6d49ac43e8721094
.xword 0xa031b873bcc92a37
.xword 0xb04733f7cd4e9062
.xword 0xeed44e3896ea646f
.xword 0xc775cb5a810bc342
.xword 0x9769118fa8acabf7
.xword 0xd921ee579ce05343
.xword 0x3650ff1a3c942c6f
.xword 0x48a5b08e59276bf3
.xword 0x8b93a67e311eb517
.xword 0x020b8484284f5662
.xword 0x27d1b0836389dad8
.xword 0xbfec1614ab57ef24
.xword 0xd2fd7a0778ea8707
.xword 0x53e6f2a8af825139
.xword 0xa96a922211fc3ba4
.xword 0xe88de3777a2872b4
.xword 0x0763d27afca3a579
.xword 0x27f4cfdc86759c2d
.xword 0x7312f1746cfa781b
.xword 0x656e1cf9cbea3f06
.xword 0xf9aa45f1037c5eed
.xword 0x9cb20adbd64e463c
.xword 0x02b5713dd4e0fedc
.xword 0x3631d41f4ac250c0
.xword 0x40c36f9188041c4e
.xword 0x878711e39e5367fd
.xword 0x9783810e897d0f70
.xword 0xdf01d4594a9941e5
.xword 0xbb186383ea293808
.xword 0x288deaa9bd97fddf
.xword 0xe108ce331b4b7f8a
.xword 0x7507a61e2654a850
.xword 0xd78f3c4cb1e6a8da
.xword 0x165085b9248c23c7
.xword 0x4e049faba5c15c97
.xword 0x0af4d39c9fc58824
.xword 0xea4e54528d12f3f7
.xword 0xadde197cf9dcb6dd
.xword 0x540a875e4a3b0290
.xword 0x9ce713d5be167e35
.xword 0x84db20583eebc7c1
.xword 0x36504ede4a966f19
.xword 0x520bb4c198262d23
.xword 0xa328e008dd46ae0f
.xword 0x877335f2ec3c745b
.xword 0x6f7865b15c1ef94c
.xword 0x6e72b69f5e5717ff
.xword 0x244c3e8c48d27c61
.xword 0x15ee0a08830c6165
.xword 0x337344739320f449
.xword 0x6bfb593fffbba92b
.xword 0x5114ea6dcbf5e77f
.xword 0xdc1235cdbf769406
.xword 0xae6ba5fc274262b6
.xword 0x645acbd9882f3bab
.xword 0xf0a3f9ea7532718a
.xword 0x17b784fd01ca939b
.xword 0x60168493c42cfca7
.xword 0x83783f234a985be9
.xword 0x8333d0a21e289f5c
.xword 0x747b05db050c402d
.xword 0x3c32dcf275845a37
.xword 0x576bff3251068ab6
.xword 0xe20a221431a13e6d
.xword 0x2b60e2e926f7210d
.xword 0x72e52745d25edcc2
.xword 0xb13a5c4490f50b0f
.xword 0x5f9352a3b5b01bba
.xword 0x1e83da7ab5f9ba59
.xword 0xbb525a10723a7773
.xword 0x4ca4bd60bf743809
.xword 0x817d8e827f19ad9d
.xword 0x9bbe6b80f38d43ff
.xword 0x128c44465c766134
.xword 0xbc455b388d5eb9eb
.xword 0xfedec85c439f9f93
.xword 0xdb48214deb83f319
.xword 0x490a3b0bb76acd87
.xword 0xa9d3e94d53d7bdc9
.xword 0x8c566e7848173454
.xword 0xe99cffcd5b3429d5
.xword 0xcc7b6afdada7db6e
.xword 0x14d3748baa40de96
.xword 0x8681d8ef7c2bcc91
.xword 0x55c49ad264cbe2fb
.xword 0x044d21da5ad30519
.xword 0x7a1682a5f8afd34c
.xword 0x469b7d2abb571e4b
.xword 0x6c2e7a436ec502f4
.xword 0xbc1e40371259fad2
.xword 0xe3d65b4c270215c6
.xword 0x882c84b1a0e22e13
.xword 0x5df4c563c8f53d21
.xword 0xa959a73ad559666b
.xword 0x8e606faf4b2094c5
.xword 0x56d4119c7a20f4c4
.xword 0x90446386dddf4c0b
.xword 0x7480764e6642dace
.xword 0xa6b6619bba65351e
.xword 0xf099e7a2b26c5412
.xword 0xb30106e45d9f6fc6
.xword 0x930f5555d609b561
.xword 0x0381e74cb0959cc4
.xword 0xc9ed43975f5f139a
.xword 0x7568b910e9491c2f
.xword 0x331759f412a42d50
.xword 0x479d1bc9ec87b6ef
.xword 0x8f03ec9af46a1b2a
.xword 0xad0e8c499e3a2c9a
.xword 0xd1389fa08699f6f1
.xword 0xcf10e7fd13a8491f
.xword 0x8ff66977b308816a
.xword 0x7426f5ede469619c
.xword 0x6b3fbd2867577f34
.xword 0xef02b9170c72cd8a
.xword 0x6caed7b1fdb2f8a4
_t1_des_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_des_auth_key:
.xword 0xb0a6e9ba5b473a58
.xword 0x1a3bc2615bff418a
.xword 0x48519fedd0edd4ce
.xword 0xdc91aa59ac33e813
.xword 0x50ad0ba484b0bcc5
.xword 0x6775286c213b81f0
.xword 0x407bfba7765aa076
.xword 0x0a8743a00ffd1102
.xword 0x9eaafb0e3fd2dd98
.xword 0xb7e358d55dea7b5b
.xword 0xbcee7a894282366b
.xword 0x28595da65aa0fc63
.xword 0x7ab74f65cc8912b3
.xword 0x5b2ffb9d6ada8873
.xword 0xeaf9497cfa259877
.xword 0x778a41ed88cf2b42
.xword 0x7a5741cbf0278cfb
.xword 0xec8f60732eedf4c0
.xword 0x8ddc191534c7c084
.xword 0x122bdc89649ac1d0
.xword 0xe9a512f6d858d62f
.xword 0x11da3f901c38c866
.xword 0xc0a6be86a95e885d
_t1_des_auth_iv:
.xword 0x51ab48d5aef4e063
.xword 0x6257aeb10bc81a4e
.xword 0x654105b915612da7
.xword 0x3c22b0803da1864e
.xword 0x2b3831a2ad3e6b09
.xword 0xc9de6de8da219fcb
.xword 0xa87a8e78a80cec14
.xword 0xc3705150d3268394
.xword 0x7c3c2b67fc18bb8e
.xword 0x002238a7b32d54ff
.xword 0xd43ea47c906de0e6
.xword 0x4cff7a98b2d64b0a
.xword 0x4b1ebf8f3e6dc873
.xword 0xddee75646f92c268
.xword 0x7c2c88eb81789a05
.xword 0x7d84865c86261976
.xword 0x93b3e24dfb738b51
.xword 0xf25a4c87bc26b562
.xword 0x92eae3e697a47ee7
.xword 0xfa3426a2dae43bd4
.xword 0xbabd456b3e49d6a5
.xword 0x3e986d91eaa37dc5
.xword 0xb84dc4304e78df00
_t1_des_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_key_array:
.xword 0xb39d341bb6499980
.xword 0x77513fc08e517336
.xword 0x7d5882b945592d37
.xword 0x9ca42d9d5b378b18
.xword 0xb2d064101de471d2
.xword 0x70d0442549cab058
.xword 0x18717efb10d8afe2
.xword 0xc3d27cf6abb71581
.xword 0xbe627d4ab9b993dd
.xword 0x7c92ae402e61ed2a
.xword 0xa6bd84f4a477025c
.xword 0x81530b61b573b1e6
.xword 0xcea63b1aafeafaed
.xword 0x4563fa12efd60caa
.xword 0xceb3271aba1fe7ce
.xword 0x7df732520615150d
.xword 0x939c30ce5d3afcb8
.xword 0x8ce50e3f64d8be5b
.xword 0x4b5d9edc45436fe5
.xword 0x475e6081caf547ef
.xword 0x66c148712625562f
.xword 0x7341f3ce29bf3430
.xword 0xc1dee24cf1ea1342
.xword 0xd61f749e9138d0ca
.xword 0xfd10be9b91725c11
.xword 0x5fefab7f08ae1084
.xword 0x9d5c42b5842aeea6
.xword 0x4d22678887f966af
.xword 0x139b9e2b1cfd561e
.xword 0x15ce8e911d77b6f7
.xword 0x8997aa72ee7ddf11
.xword 0x4610a50230b06da8
.xword 0x2001631759a74a37
.xword 0x29b569a6b7b0453f
.xword 0xab07473d10049329
.xword 0x666c883a91ee1c3a
.xword 0x330ac233a0974fd6
.xword 0x2a076a0659bc6885
.xword 0x7acc56403f010339
.xword 0xd04e1624322b15c3
.xword 0x654eec82876eb6c2
.xword 0xc6a6595ae7ac817e
.xword 0xf5914528d0f14886
.xword 0x8d63ec7fde34f66f
.xword 0xf435747b3c1ccdb7
.xword 0x8adbcbcae9f64ab6
.xword 0xdc526424a95e9a2a
.xword 0xf3fefe5c73614602
.xword 0x7d987230c622ae17
.xword 0xdea75edf3b484b38
.xword 0x8a8c223d37570f91
_t1_copy_iv_array:
.xword 0x910cedd6f1d1be5f
.xword 0x9354c7fa07f3de16
.xword 0xad8932e13dd09c1f
.xword 0x4f7ed682b895a46c
.xword 0xf75f5219eb2e49ef
.xword 0x9b11427f5277a145
.xword 0xff9e1450c5ce6357
.xword 0x687c47cbb5d76066
.xword 0x05ec3b09056a8d13
.xword 0x3cbef35fc1c1a40d
.xword 0xe1c4522f397e0ebb
.xword 0x44ca906ed0c655b9
.xword 0x03dea39b43ce726d
.xword 0xac2a146710f41629
.xword 0xcbb85bb12b33ba7f
.xword 0x6a7ae08b0a49b094
.xword 0xae39348bcd861144
.xword 0xadc2da3494b657cb
.xword 0x681947fa15e414c2
.xword 0xfb7f8a5340d71e82
.xword 0xd305f7bb940c1689
.xword 0x199e21142631263e
.xword 0x1dd2d26ba49257ed
.xword 0xb3501f3184775b36
.xword 0x28e0d632dca99fbd
.xword 0x99727ae2a080476a
.xword 0x02e584f04f529377
.xword 0xe4fd9a864d549dfa
.xword 0x994297f702633fde
.xword 0x85ea61ab0f5a5be8
.xword 0xa02f088bf8b0841c
.xword 0xd085fb6ccff053e6
.xword 0x6c9c9dd788d7f10b
.xword 0x1f6137e493096ca4
.xword 0xe8594d35eaf966e6
.xword 0x0b7324296f4a96d0
.xword 0xfb9ff183fd4e8941
.xword 0xfea476e2a56531d4
.xword 0xf892105403fcd9cb
.xword 0x0a816c0a02e0ae78
.xword 0x7ff84c93584edeac
.xword 0x964e83994532fca5
.xword 0x39a17e26d5eac4c3
.xword 0xdf33d563de509024
.xword 0x41750d0ff42563ff
_t1_copy_alignment_array:
.xword 4
.xword 13
.xword 8
.xword 0
.xword 0
.xword 12
.xword 8
.xword 5
.xword 1
.xword 13
.xword 8
.xword 7
.xword 4
.xword 11
.xword 4
.xword 0
.xword 7
.xword 0
.xword 4
.xword 12
.xword 12
.xword 1
.xword 8
.xword 0
.xword 14
.xword 12
.xword 13
.xword 7
.xword 1
.xword 0
.xword 6
.xword 6
.xword 11
.xword 0
.xword 1
.xword 6
.xword 1
.xword 3
.xword 13
.xword 14
.xword 14
.xword 10
.xword 9
.xword 12
.xword 1
.xword 5
.xword 2
.xword 12
.xword 9
.xword 11
.xword 14
.xword 12
.xword 11
.xword 10
.xword 4
.xword 11
.xword 14
.xword 14
.xword 9
.xword 15
.xword 8
.xword 3
.xword 3
.xword 10
.xword 0
.xword 14
.xword 2
.xword 5
.xword 6
.xword 5
.xword 9
.xword 7
.xword 12
.xword 10
.xword 12
.xword 7
.xword 15
.xword 11
.xword 13
.xword 10
.xword 2
.xword 15
.xword 10
.xword 2
.xword 15
.xword 9
.xword 15
.xword 5
.xword 4
.xword 3
.xword 4
.xword 14
.xword 0
.xword 12
.xword 13
.xword 14
.xword 14
.xword 2
.xword 1
.xword 11
.xword 5
.xword 7
.xword 0
.xword 5
.xword 14
_t1_copy_src:
.xword 0x417995dace33864f
.xword 0xdec30d1bc30440a8
.xword 0x5098fbcdf489653d
.xword 0x423975362dafb8f7
.xword 0x8d7d4134a6690014
.xword 0x2b1c44700b790e8f
.xword 0x3ad7258434095191
.xword 0xc3b393eae672c9d1
.xword 0x886f845b451f1108
.xword 0x4ecab6a9f1fea48a
.xword 0xf88f3fff23dfc652
.xword 0x053153f427b85d29
.xword 0xafcde8b003c28aab
.xword 0xafef951ed641d9e0
.xword 0x91e009c7d2923211
.xword 0x1e3568630111bb03
.xword 0x2740e2ebfbcb4cb9
.xword 0x6b73f55ed252ebbf
.xword 0xd1acf9c447f9e994
.xword 0xa7cefda60d8c1476
.xword 0xda4a03f0f852ab82
.xword 0x6f10c3e93b3e0b78
.xword 0xd57c7fadc824c2fc
.xword 0x1d591c3a841d8709
.xword 0x05dd7513f1868c8a
.xword 0x572ee30672e68a12
.xword 0xdd2eb3af565ade40
.xword 0xd6380d1e7a447517
.xword 0xd65b98d1a7c1c9c1
.xword 0xb860f1fc637d0dbd
.xword 0x473f8b3a25d378e8
.xword 0x8f4b38d4b685e300
.xword 0xfd345fa5ba9393e6
.xword 0xe49919d8b6ecccc4
.xword 0xd897be1759313833
.xword 0x022d6db69eb84c4d
.xword 0x3e046e61371f8470
.xword 0x671207948ac924c6
.xword 0x60a6a8e74194422c
.xword 0xf6973f6aec5fe108
.xword 0x6dc58e9e227623ca
.xword 0x7e9aa9c0f491a725
.xword 0x2e0ef761c6731ba6
.xword 0xdad1ce0e770ef3c3
.xword 0xb1625a464f403744
.xword 0x7f3f9b3542d4b2c3
.xword 0x3268c78ce8cf582d
.xword 0x991055644bb4cf9d
.xword 0x96d69e2ba6ed2256
.xword 0xcf25e947b023d087
.xword 0x282e88b48e2e3f52
.xword 0x74c6ee6ee17d81f9
.xword 0xd46b33fab3898f39
.xword 0x673377be104c6ed8
.xword 0x4f7c6ac3467efe9b
.xword 0x09c9709cdb81c07a
.xword 0x963b1dcb26a507df
.xword 0xfc4bb64d676af122
.xword 0x9c6e725ca0a2e9be
.xword 0x74e33d23a02f64c6
.xword 0xb7457351ed1134e4
.xword 0xfdc65216949a8ab9
.xword 0x63544f0e2428a885
.xword 0xff31babad2c51904
.xword 0x7ae27c2ec008f4a2
.xword 0xbe973dc3b1d1febc
.xword 0x2a396d957a5e0dec
.xword 0x369b19afbc9c7e4a
.xword 0x5fc11ee33173cf2e
.xword 0xd897072c23d0f9aa
.xword 0x86dcae49b5c16060
.xword 0x73e2c2ec01c18d68
.xword 0x3a9c214bbe4c5f83
.xword 0xb1b6579ccaffbc11
.xword 0x20b476365ebec205
.xword 0x79d4389b1ca84542
.xword 0x7165600343910916
.xword 0x7cdb649ffdc6821a
.xword 0x27c1d13e0d468a58
.xword 0x268ec9060230e284
.xword 0x49ea7517b54c988f
.xword 0x6764f35408c96e18
.xword 0x779bcda9efbcbfa5
.xword 0xb196298b1c7ed209
.xword 0x8fd03044f5a9f59a
.xword 0xaefbf41b11d45a01
.xword 0x68a30b549d3554be
.xword 0x3b8e6a8dea55d630
.xword 0xa13a9581ffe157c2
.xword 0x6351f9ef7ddbbf8a
.xword 0xd1ac5cb1058f1aa0
.xword 0x63b4374ecbd575ac
.xword 0x506e195d9d0264f6
.xword 0xdb00e19090a62938
.xword 0x04a4d3b5cdd5a815
.xword 0xf49a9829b996141f
.xword 0x06ebaf4c95936166
.xword 0x8c4fe0f798e658fa
.xword 0x1b2c5cd1f47c46ff
.xword 0x8266dd0b7c6761d3
.xword 0x4f842d01b75bb6de
.xword 0xc24b7e1669740565
.xword 0x40e4db784daa387c
.xword 0xdcd252641c8f01ef
.xword 0xcc3904d721be83cf
.xword 0x4a03c73255e337ea
.xword 0x87b0e0255db2cd25
.xword 0x1bf21b9a78892538
.xword 0xb47514d4307bcef7
.xword 0xf977b1c40f9e17fc
.xword 0x7b08c55d8ded4a31
.xword 0x729a74d14bf6794f
.xword 0x55ed65e50633e8e1
.xword 0xbb76c79001627b71
.xword 0xae287e36bb7d0e77
.xword 0x516e8131993ad9e9
.xword 0x53f1c34f4446350c
.xword 0x4592a4a9d8ea78ed
.xword 0x1535a33470dd1cb4
.xword 0x7f8fb6773dbff5af
.xword 0x3585e4cda8563277
.xword 0xe5490335458a2bca
.xword 0xb453b2e68fd4157f
.xword 0x0faebbe503984035
.xword 0xbda46820ff537d35
.xword 0x5d623491ae9a1d66
.xword 0xaae26c14228bcf67
.xword 0xd9c8cabf7287be29
.xword 0x98196c08ea335c83
.xword 0x11e02c8f7f2a27d2
.xword 0x21eed60a0339577c
.xword 0xcef930408cc47b1b
.xword 0xa8a354cc67ff938c
.xword 0xeac57ca51812995e
.xword 0x3b433a1d0bf3f355
.xword 0x629d436a503a9e4d
.xword 0x0b81ae6d22754c70
.xword 0xaf477330af4e8553
.xword 0x312e94b6093fe5da
.xword 0x420e6df21b74a5c3
.xword 0x7902ce2f360ac349
.xword 0xd6393e058fb748f4
.xword 0x710bdba0867c6e7c
.xword 0x5f464b76fe1426c1
.xword 0xe2b616c4b830ec8d
.xword 0xd2f51059613ec124
.xword 0x63c8c4b6fd3d2c06
.xword 0xa1452fd26d608cb0
.xword 0x72a5c0b56a81d95f
.xword 0xe0914dd344d1c1dd
.xword 0xf0da13f17c563ec0
.xword 0x2dce0987009f5053
.xword 0x4e150eb54cf31986
.xword 0xa34b27d5b6ca7ae7
.xword 0x96b0317dc0381950
.xword 0x63d9b469e8b16c25
.xword 0xc7b64a12176f26cb
.xword 0xcbb37f59824a3fcb
.xword 0x6655198ef97946c8
.xword 0x4e4d2741a34c9678
.xword 0x29a0b3ced0d663f0
.xword 0xff9c0969d198ecc8
.xword 0xa657e04a11f01832
.xword 0x2a1ae022a788f4de
.xword 0x243debf5eae6f688
.xword 0xe84217eaffacf52d
.xword 0x644e234ab0a7dd28
.xword 0x3120f93877b1f288
.xword 0xe2fa1297a1fe2330
.xword 0x2e3afd62a8a5f3a5
.xword 0xe42b43bf90ac7e2f
.xword 0xbaa22b0ab02c0a62
.xword 0xe1e6ee80a8ce2d63
.xword 0x0d37f7af8cc558e4
.xword 0xa0fd21112480d3de
.xword 0x4fe222e296603265
.xword 0xbf74342f63db9405
.xword 0x8ea8b2343cb9e05e
.xword 0x3b745f58a093f2f0
.xword 0x4c8310a4f54ff531
.xword 0xaa47ea2d35db8304
.xword 0x338106b14bcd6054
.xword 0x2d51e9bfcef1fbe2
.xword 0x5503cc52e6fb2104
.xword 0x082e46e5645218b4
.xword 0x663700008d0cafd4
.xword 0xdcec0cec301fc3f2
.xword 0x2abcbe21781ffdb5
.xword 0x0c19ce16ad9fa835
.xword 0xd978c4b514a53e72
.xword 0x2b57f44608e7f480
.xword 0x6a1e3b9d1b941b72
.xword 0x0dfb542b73ac1576
.xword 0x304db9a4bdaf3b1e
.xword 0x3d73ca349a948c64
.xword 0xdc96817e099ba461
.xword 0xdbc312a29887fef1
.xword 0x077cfea6dec19a98
.xword 0x921f20f3dbd859f5
.xword 0x1c1b9af24aebf71f
.xword 0x39d45b8f040bbd2b
.xword 0xff2af37c0ee99cd3
.xword 0x731257d96b669641
.xword 0x4b9fe8969520f5f0
.xword 0x7c31cf9bc07fdbc6
.xword 0x446cc56dde16f1ea
.xword 0xf7848a5ee8872b07
.xword 0x0d9fbba58ced1dc1
.xword 0x9fbc6df20ffb6204
.xword 0x530f5ea489e3b855
.xword 0xa3f0509a81964c65
.xword 0x82e7c37dd057fcf4
.xword 0xa1a54c8ac3211c85
.xword 0x497bdbff07b67335
.xword 0x6eda83e30666a98c
.xword 0xafecc183fe7aff53
.xword 0xa0c38255af36a72b
.xword 0xffc705d2250b6e73
.xword 0xc70890339880ee41
.xword 0x21b12a8bb977f338
.xword 0x59b8704ba3d8d316
.xword 0x0f20309b3e3aa3d8
.xword 0x84d93c657aa290d1
.xword 0xca9b092d62acb3c6
.xword 0x12a44eb32c6212e6
.xword 0x33850e149212c7e4
.xword 0xddd8f21639ec9a63
.xword 0xdfd3dc030b093310
.xword 0xd40710f05e393548
.xword 0x98b83e601ebb201b
.xword 0x273f45ab0d202b2f
.xword 0xa0d6acbb29c913ad
.xword 0xfb645d7c5853851a
.xword 0xc16eb9de99c66a31
.xword 0x910c99f7aa13801a
.xword 0x1c9a388781968f45
.xword 0x0b128959f2be14d6
.xword 0x25f9d6a81a5aa7cc
.xword 0x3ebcce2f744e1f70
.xword 0x0047e7743d078295
.xword 0xeedaacef72d19dd1
.xword 0x86bfe616b54ee1f6
.xword 0x9c687ce46435bfc0
.xword 0x23005fdcdb4eef3d
.xword 0xb2217b9568c674af
.xword 0xc95ba0a9d9317b1d
.xword 0x54f1831561be1f00
.xword 0x7b7325330796b378
.xword 0xb5bd9f1dd899b7e7
.xword 0xb5bfbbfa5cbf8f90
.xword 0x1f7f44bcb7fb9ffa
.xword 0xcdc286c4433858d6
.xword 0x8a4e992adee765cd
.xword 0xb2215a0af71b75ed
.xword 0x26b6b2bfe35cdc19
.xword 0x50343b9e13b03d05
.xword 0x4b8e4027b379b7f4
.xword 0x2398508fb7511a3d
.xword 0xe8df3d0c0715da09
.xword 0x82815800f7d19020
.xword 0x8cdaa7bba02b1ffc
.xword 0x92588da469a49a54
.xword 0x3d93f613af536187
.xword 0xe204d1b728013c84
.xword 0x8815eea55888118d
.xword 0xcf0995ac33e14c7b
.xword 0x84e4078204a58084
.xword 0x3ea77051589de720
.xword 0xb216cac85d28aead
.xword 0xf1baef5d0cbba94d
.xword 0x37c1b63676f861c5
.xword 0xc8de4faa98902659
.xword 0x8214674cf0611c36
.xword 0xd9214204e59b9622
.xword 0xda232cf60152abfd
_t1_copy_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_copy_auth_key:
.xword 0x14336416eb78828b
.xword 0x0ed1d7ed93e1e25e
.xword 0x84e346370070f738
.xword 0xd2905ab4748daa83
.xword 0x67e64bcdde7800ea
.xword 0xaeda292e933e1e5a
.xword 0x4425ecf8411414c5
.xword 0xdf8ff1d9f7f83e49
.xword 0xdfa9302405e30a99
.xword 0xd145a5d2e3472eb3
.xword 0x3c6d660af6858c44
.xword 0x8c18be8bdb378b5b
.xword 0x4c7faafad5f9195e
.xword 0xff923c472ad8cfd3
.xword 0x8f88efafa96be92e
.xword 0x3bd8f44698f8101f
.xword 0xad2711c633b34f83
.xword 0x9ae47227b8f5f302
.xword 0x63f64df6f80b95b9
.xword 0xb56e00039ff8c666
.xword 0x124626e66297318c
.xword 0x547e5662f9ee0077
.xword 0x474a50fa3e546803
_t1_copy_auth_iv:
.xword 0x7e10999536af42a8
.xword 0x2d4277d118269fd3
.xword 0xfc99bfcaf318d7ff
.xword 0xfcdfbe9f25397881
.xword 0x632419610052fa26
.xword 0x2a93ef82c50de8c2
.xword 0x0420814f1f06c672
.xword 0x4032181823aee4fd
.xword 0xffa38736fc656428
.xword 0xbe8f6cd0241d3b7a
.xword 0x3754135ffb089020
.xword 0x5e7218406ae97a2d
.xword 0x1f96283981bbd88d
.xword 0xabf7e2f2f35582a7
.xword 0x16f300154ebc0d1f
.xword 0xfa572a06a82b4e80
.xword 0xc43d6e088b5ce856
.xword 0xd192b5f4549b5550
.xword 0x4de3be60b505d85e
.xword 0x8aca1593da2dc049
.xword 0x4199528ea675c492
.xword 0x38c45bcfdebaa32b
.xword 0xdd74d4359a4abb06
_t1_copy_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_key_array:
.xword 0x8f95ee29f80189fd
.xword 0xb4309237af9feb10
.xword 0x5e4f46543cfdd36b
.xword 0x242fbf842a6c0e80
.xword 0xad358159e9ee31ad
.xword 0x7262fb54bb14cf61
.xword 0x9d6da22355c8e78d
.xword 0x12064244a103b367
.xword 0x0b647fa678d1a3a7
.xword 0xeac6e5ba41125fe8
.xword 0xda083bc2b5098792
.xword 0x6cf7ec6e8a636b78
.xword 0x8e33ea4c808d9538
.xword 0x7cc1765e910771d9
.xword 0x289d83fee0f11673
.xword 0x36647de1169e7088
.xword 0xa22865f240ed2201
.xword 0x743c454ccc5720a5
.xword 0x2f3919df5c26cf47
.xword 0x8f1e08d8d00d9ce6
.xword 0xe999b48124f5b0ce
.xword 0x865bc5e6f80885c0
.xword 0xbf7aab3891873fa8
.xword 0x28bcddb719c2943f
.xword 0x6f1113ae58c04acf
.xword 0xdbfafc0f0f7b997f
.xword 0x11d0c54f407c8772
.xword 0xdb29b4084645355a
.xword 0xd9ce48fb19840e2b
.xword 0x3e1edb1f9c6f1cae
.xword 0x44ee2185c019a21d
.xword 0xcbe1955e299b2065
.xword 0xcb05d190cc55393e
.xword 0xdc94ac28bd642c42
.xword 0x45d815f617e233f8
.xword 0xcf23b562ba1f7ea0
.xword 0x80fb01c30f5dc20f
.xword 0x14e9966e96d88b49
.xword 0x5c31a9d76391b1b2
.xword 0xbf5f4521532a9066
.xword 0xc765ded6757bd043
.xword 0xe1fb118ea9a27886
.xword 0x8c365120d8bd7128
.xword 0xe27cfd3bf8b0ff7d
.xword 0xac4f46c271dd03af
.xword 0xc5b44bcecb0d2a48
.xword 0x6d5f0fadcb8cb497
.xword 0xd5b847baee80c121
.xword 0x528cfacf0712bddd
.xword 0x8d43dd6f21b6fbf3
.xword 0x7bf3c10214bf8a37
_t1_crc_iv_array:
.xword 0xb6ac8cb60ab6f7c5
.xword 0x0bc1318516c49ed3
.xword 0x2fcb09784e12e7ec
.xword 0x6e5af9b7a3b7f70c
.xword 0x66a353bfab305a5f
.xword 0x9268d89379c4934b
.xword 0x26800ad956a887d6
.xword 0xa7940e5965c0ac9c
.xword 0xc87a714cb425416f
.xword 0x91fb20c20811e067
.xword 0x246a02d4d4f660f2
.xword 0x7a97af2fc8bee0ce
.xword 0x452365130e23ae0a
.xword 0x7d1c012142f3f3bf
.xword 0xdc7d17960dbf3397
.xword 0x050043833f705640
.xword 0x0bb7c7e40173d136
.xword 0x682b1b5a9ef0d40c
.xword 0xa65312ba07e50d7f
.xword 0x9d871283ca02e112
.xword 0x259494aa01f1eaca
.xword 0x727b7c9e7b60c750
.xword 0xea72b8607ee1faa7
.xword 0xb3eef06ec32fd987
.xword 0x256101e5c48f4de6
.xword 0xd7056b510f7735a6
.xword 0x0fefe159bc97ab29
.xword 0x3bcf4ce5e491aed4
.xword 0x9802b6dc1dd4e9bc
.xword 0xc03359e9bb618f97
.xword 0x9ccb56ff8f108e22
.xword 0x256bdc2fd623b731
.xword 0x61ce5b3c17c4fbf3
.xword 0x299b2961d4d1180c
.xword 0xbd2be2ee33fa4046
.xword 0x5272d09763601515
.xword 0x82d49f01de43ae59
.xword 0x075cd671a51df84a
.xword 0xd9b5d4f0a3c17350
.xword 0x13f2bba6a4cd1b7f
.xword 0xc73fe54cf2421ca3
.xword 0xcb988f62f619918c
.xword 0x9dd5f5d6765bb3d6
.xword 0x21b9a694168a9c31
.xword 0xa4144d9dc8411bf4
_t1_crc_alignment_array:
.xword 11
.xword 8
.xword 8
.xword 6
.xword 6
.xword 14
.xword 12
.xword 9
.xword 7
.xword 5
.xword 2
.xword 9
.xword 15
.xword 10
.xword 14
.xword 1
.xword 3
.xword 1
.xword 2
.xword 9
.xword 9
.xword 8
.xword 15
.xword 12
.xword 7
.xword 4
.xword 7
.xword 10
.xword 7
.xword 14
.xword 5
.xword 0
.xword 3
.xword 4
.xword 5
.xword 10
.xword 3
.xword 7
.xword 12
.xword 6
.xword 5
.xword 1
.xword 2
.xword 0
.xword 8
.xword 0
.xword 1
.xword 5
.xword 7
.xword 15
.xword 14
.xword 1
.xword 9
.xword 7
.xword 0
.xword 0
.xword 2
.xword 10
.xword 5
.xword 6
.xword 3
.xword 7
.xword 10
.xword 9
.xword 7
.xword 6
.xword 7
.xword 4
.xword 7
.xword 15
.xword 1
.xword 4
.xword 0
.xword 2
.xword 11
.xword 10
.xword 3
.xword 3
.xword 9
.xword 13
.xword 8
.xword 4
.xword 14
.xword 0
.xword 6
.xword 15
.xword 14
.xword 0
.xword 8
.xword 1
.xword 12
.xword 3
.xword 12
.xword 9
.xword 12
.xword 7
.xword 15
.xword 14
.xword 15
.xword 12
.xword 12
.xword 14
.xword 8
.xword 13
.xword 4
_t1_crc_src:
.xword 0xce28bb6631041f8d
.xword 0xaa5275465271e2e3
.xword 0x3431304ec98b96d7
.xword 0xba8ec7410e63bd60
.xword 0xe269c45c3d9c2aea
.xword 0x8916d4f0b2eefe14
.xword 0xe93562c954f164cc
.xword 0x83ab074e4df15cdc
.xword 0x5052d8e585388301
.xword 0x69b475bbfa6ba183
.xword 0xaa50598b450450cf
.xword 0x3c15c7641b826103
.xword 0xeead6fd4f564de69
.xword 0x5aeff3f6f7a34160
.xword 0x6f6c8bbbd1028a27
.xword 0xec629e296618e06f
.xword 0x5b8ecf67af1bcad6
.xword 0x71187dd9e9aaa5c5
.xword 0xd81197bb6e4393d5
.xword 0x77899ba5a04a1002
.xword 0xddaa01b82671aa8a
.xword 0x7c685ca9759a2265
.xword 0x48c41fab3e909545
.xword 0x8b29f930682e21c0
.xword 0x7a9c2014651ba786
.xword 0x7cce538d18e52dc7
.xword 0x4eb569ff7b7aedb2
.xword 0xede151f619ac5a0b
.xword 0x0a22b05436e1345e
.xword 0xfb20401bacb7a16d
.xword 0xddd9665aba3da840
.xword 0xbf7c9e3157ef3828
.xword 0x41f24366bf36b18b
.xword 0x33833a36d5fab342
.xword 0x98081b3bf96c8207
.xword 0xc56390e7ccae1ce1
.xword 0xdfe5da891a4ed89a
.xword 0xf934654e710ecdef
.xword 0xd15d03455d0458a2
.xword 0xbfd16bd71ee770b0
.xword 0x9ab549b174730c31
.xword 0x3f4f9dad8ebeea08
.xword 0xdf80e690fac3ca5f
.xword 0xec105ae348f20816
.xword 0xf6152b64a2189b6f
.xword 0x1341c4ed055c6471
.xword 0xe6c9caf7cc7efdf2
.xword 0xbb90822e3fe7cbd7
.xword 0x0c695e6fc0653958
.xword 0x6ebf015fe1bdeba8
.xword 0xd3401905a01995ea
.xword 0x1d60ca6cc8f6612c
.xword 0x54ced6ea22c345c6
.xword 0x5353e4c0756d9f60
.xword 0x49bf88a8087a02fb
.xword 0x5a80cf5fc31df53c
.xword 0xa472397c531342ed
.xword 0x1f83951b43617b86
.xword 0x50891540165149c8
.xword 0xf8c4148ebe3bb621
.xword 0x5f3ea5ecc460aeee
.xword 0x329d28f99dc814a9
.xword 0xcdd58c93083957a3
.xword 0xcdee461277134291
.xword 0xd4957f43239c793a
.xword 0x02f0611372d85b48
.xword 0xb4b7ff37ee75fb9e
.xword 0xb5cbde89bd2b5348
.xword 0xfb186588162e5326
.xword 0xe1887986961eeaea
.xword 0xe4b0edd3a9b96780
.xword 0xf4d3402cad23c507
.xword 0x380e14f6ccd175ce
.xword 0x7c72fd4d191a2bef
.xword 0x58c3b0d0bb6a418f
.xword 0x2f8297db3cb20f55
.xword 0xb3940cbe37fa1060
.xword 0x197c769e151e4ab5
.xword 0x15995c682052c45f
.xword 0x1e22425a6a7bf4e4
.xword 0x38fe0d7f84b39c8a
.xword 0x10797393f761864c
.xword 0xd8e58b52d867aff6
.xword 0x4cdfed61ae0b31fb
.xword 0xb4422b0c8dc0694b
.xword 0x2b450fc16942d088
.xword 0x87262e91638aafc6
.xword 0x1410838fff03e596
.xword 0x3160e6d1ca6160fe
.xword 0xa7063e4df8741598
.xword 0x47b2b40e2b2fc13a
.xword 0xbca4a56ea095fc8d
.xword 0x640a7e0c7dc56225
.xword 0x2f0fd4b82ea5e60d
.xword 0xafdc466a878d3e89
.xword 0x2f359b3389e7a034
.xword 0x64eba257b13d3bbe
.xword 0x41aeaf518063544d
.xword 0x77c6aa71ec513435
.xword 0x61d02b52d3f994c5
.xword 0x3603cba7cf9b8c1b
.xword 0x2efa13ff526e4fe4
.xword 0x3b4538adfa14a3c5
.xword 0x43ab7e7c0eecaf2b
.xword 0xaf3445c7fdf95016
.xword 0x53a85f4628dcec8f
.xword 0x98a5707cff297981
.xword 0xce0826d528b77f23
.xword 0x2429228d019f5ef8
.xword 0x5e1de927890037cc
.xword 0x958afc73f0cfcd04
.xword 0xe340d953610a376b
.xword 0x6a1f90144279e70e
.xword 0x8ea466e9d9453a6a
.xword 0x902721553bdea2b0
.xword 0xb6df0edc0179bb6e
.xword 0xb2e88388418f94c3
.xword 0xee3ba4ae60724783
.xword 0x196c370a583e59f1
.xword 0xe97a377e87f593ef
.xword 0x5efb65f6bd3e203c
.xword 0x6813518704c1a9fd
.xword 0x860db6a228ec760d
.xword 0xd10cae57c78351f8
.xword 0x82a881a28bc9366e
.xword 0x6a8ab32921065ed3
.xword 0x66986d4c20983766
.xword 0x1d9802b2fc2cb1a2
.xword 0x97284a08e63bb7dd
.xword 0xc665a6935dbb385b
.xword 0xbec34d61f476cdfe
.xword 0xc4cb9630e14a4844
.xword 0xcef7591d9e8bbcdc
.xword 0x8ae543fae9dc1ced
.xword 0x74280568f7586365
.xword 0xa9c2902928959759
.xword 0x36bee8a3dc5d434c
.xword 0x7537322e2e9cf7f1
.xword 0x2624f24ae35b6615
.xword 0xd8d418501e7d90d1
.xword 0x995fe1cb50879513
.xword 0x7b49c86d4308636a
.xword 0xc6898094bf3a6c2f
.xword 0x6c23fb8d95f611e6
.xword 0x45f022ad0218b806
.xword 0x276ad36f24d6b56d
.xword 0xd4af0a4f01455203
.xword 0x527922d11c0f540f
.xword 0xeff17094a49f95b9
.xword 0x6c37ef295a47ac0a
.xword 0x6f77e3c74adf7baf
.xword 0x140175967bcd4246
.xword 0x6f997c130f72bb70
.xword 0xc479d9112d998ba0
.xword 0xfeb830e377ea7f5e
.xword 0x18b08ae7b484535b
.xword 0x5f4bcd50df27219c
.xword 0x9ba83c1957d2f287
.xword 0x6cbcadb356a0465e
.xword 0xd09ee34240b85866
.xword 0x8c5816aca62ea047
.xword 0xfb2c2e8fb7688891
.xword 0x564e7da2ee9303f1
.xword 0x2298855659f48135
.xword 0xcfc2fd32983cb26f
.xword 0xa0445f1b3e995b68
.xword 0x7572c6346775d519
.xword 0xd865bfde1ff2687d
.xword 0x583857831caa0b4c
.xword 0xe55cd73fa29cf29e
.xword 0x6b02c28a80069d3f
.xword 0x0ff35cf133187efa
.xword 0xccb11c668c80322a
.xword 0xd84fefe91e79d41d
.xword 0xdee6f40c3f80aceb
.xword 0x8cdda137d45887f4
.xword 0x23fa000368cfa4c5
.xword 0x26cda2e2857e7095
.xword 0xabf8a7bd2f9218f4
.xword 0x566dc1b89141b398
.xword 0xb8496942a7c49627
.xword 0x550c71a9612f633b
.xword 0x85eb1ffdf3fd90c1
.xword 0x23c448465aa293b6
.xword 0x0b0eb23aa080cae6
.xword 0xce6095b20d4b34b2
.xword 0x2139b63a26676849
.xword 0xede08018b1b2df37
.xword 0xed397049c8a9abb4
.xword 0x004e918ff9e2a2d6
.xword 0xda166b369f341b33
.xword 0x9258bed472e0b8f8
.xword 0x8b5165e94e48dc1b
.xword 0xabedc46351834ced
.xword 0x43e5a235a2bc296b
.xword 0xd2164471c7c2f075
.xword 0x95652868fdb8485b
.xword 0x54ebc401716ad73b
.xword 0x77203dc689bf0ec0
.xword 0xcb48da6b20f0ee6a
.xword 0x26aa24bdcfe89352
.xword 0x8468b9f51da75571
.xword 0x2d57312a557fa192
.xword 0xb64e9ee2ae06dbe7
.xword 0xf16f53f813b2937f
.xword 0x30ef147bc42f719e
.xword 0x06a2eff523a147d0
.xword 0xedc14cbae64fb0b9
.xword 0x875561c7bb894162
.xword 0x4789f187f58fcde2
.xword 0x4d1092ed14846045
.xword 0x13a5afa0b17fb0e0
.xword 0xbcb208f170ce5fe8
.xword 0x1ba54f610ad7f8e2
.xword 0xbb07b4fa4ba808ee
.xword 0x35388099cd6741b8
.xword 0x6bfd2e639d3a14ec
.xword 0x361400a831037d33
.xword 0x8746f63e2181fdf2
.xword 0x7ecf40192a910af6
.xword 0xab017a91257d8ac8
.xword 0x91a50675d14e6242
.xword 0xec3f0fae52d9e2cf
.xword 0x778ebf12584ef601
.xword 0x8fa62bff89563d01
.xword 0x22ce61761e374859
.xword 0x1ada4f85f78dc371
.xword 0xf06678a455359377
.xword 0x9cb8dec07e5987cc
.xword 0x7e8ee024a4daa052
.xword 0x0f3fe112fc59e63a
.xword 0xcfeed5ed1d8a733c
.xword 0xf838c73b1e961c20
.xword 0x4440cb55da3e2ab8
.xword 0x3233aa85a7cb5eaa
.xword 0x6cebb00b34e98ebd
.xword 0x62baa158c3757c97
.xword 0xd30e91e195e3f55b
.xword 0x29cce08122d35579
.xword 0xd2ed61da9971bae3
.xword 0x33d3137e542c8f2d
.xword 0x3d56c0ccc1fe56fd
.xword 0x08ec85d17db81940
.xword 0x4a5f1a7326f4785c
.xword 0x2ab09cc7d2f20c7f
.xword 0x020d0e25c3413a8a
.xword 0xfe6128de75e5d790
.xword 0x96e38c40914f619a
.xword 0xc720c1141251792e
.xword 0x03622b012146163f
.xword 0xa9afbf9e2a49c2a4
.xword 0x64e3ae4f279d0f08
.xword 0x8ac39df2134f3be8
.xword 0x1016fb95374fdde7
.xword 0x943e48291f286972
.xword 0x36d4a4a3c2c75dd5
.xword 0x01739e23e5bae6a0
.xword 0xf8a0fd7b368b06e8
.xword 0xd5ea3eb283953f0f
.xword 0xdb55fe03ee9f03df
.xword 0xd83e2ff2285c2a50
.xword 0x6581c35d4274e585
.xword 0x946488b272d4b77f
.xword 0xbe6862fc64b27d29
.xword 0xca37fd0e9dd75616
.xword 0xba0d52020f2d4850
.xword 0x1a0389500a7918bc
.xword 0x21a1fc768247f576
.xword 0xfdb817d5a648b91a
.xword 0x8694e14c55a7dc46
.xword 0x8599c33498e9f64b
.xword 0xc5cc2a1c4ec868f5
.xword 0xce4b2909c9d6e3e5
.xword 0xb36bb9c44a568d43
.xword 0x7fe5f397ce25d513
_t1_crc_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_crc_auth_key:
.xword 0xdd20bad6e028c3ac
.xword 0xc646b32f081b2324
.xword 0x6f03572ebf4b5990
.xword 0x2dc060ca29f6e260
.xword 0x2c743d9cd996b1e1
.xword 0xa8be22416cbb41cc
.xword 0x0a9fc9ef4f6a2f1c
.xword 0xa10cf0d83192a850
.xword 0x8f1d43d8c8796ec9
.xword 0x781ccf8137dcdec7
.xword 0x91e68f817e826b2c
.xword 0xb2d8ca7e2bac3e07
.xword 0x02bc77ada306a14e
.xword 0x8f4cd75b726594d2
.xword 0xe6efae6f609e0e5e
.xword 0x0a588da5497a11c8
.xword 0x96f4e62071c6ca7c
.xword 0x6503f6767f0e5aae
.xword 0x65bbec383bae0692
.xword 0x3eede8bb6f6f62ea
.xword 0x7678302ea189a447
.xword 0xb28bcacf3024f185
.xword 0x055f03c4075da5e8
_t1_crc_auth_iv:
.xword 0xd74faf5bdcbc2f9b
.xword 0x369bfaa914971efe
.xword 0x97abd114ae65804e
.xword 0xe76ee9dd507ffa35
.xword 0x2196f48b1900ea96
.xword 0x4d7f65033ff461ef
.xword 0x9be3691a758f8c11
.xword 0x206e0e15a5a547dc
.xword 0x83f4c348a578c4ac
.xword 0xab0aa241cb3e044f
.xword 0xb34360a259b60029
.xword 0xe059a11739134844
.xword 0x4a7cae2eab47ceda
.xword 0x811e04c1e345b73f
.xword 0x5d9bb498c2f1b1f7
.xword 0x5f81989f07f7450e
.xword 0xb89035454238d251
.xword 0x73fb7b4609682571
.xword 0x2983ef80d664e283
.xword 0x0cd0db398a2e8b49
.xword 0x6c3a887b05945ccb
.xword 0x3ee3018de87f9495
.xword 0xcdca947983145f16
_t1_crc_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_key_array:
.xword 0x842254c63293a8df
.xword 0x806e5858dc330b3a
.xword 0xe8d5ee649f2b1f90
.xword 0x9d9965c51a226a3c
.xword 0xa5805d0124229825
.xword 0xe9b1a8251621d58e
.xword 0x47941fcaf917c7d1
.xword 0x670e5d81261aaeca
.xword 0xb12c3959bbf4b29b
.xword 0x47014ce39456e241
.xword 0xba9af7ce090f940b
.xword 0x676369581a1d975b
.xword 0x997bf7945afece73
.xword 0xc84cb7102b45a47d
.xword 0xbb1c8ce2083e163c
.xword 0x96bff601c5de59a0
.xword 0xc3363f0fbe32105a
.xword 0x23a016dab2abba2b
.xword 0xa05da4d045b20ace
.xword 0x84ea152f1dbd3235
.xword 0xb7b751bbb3f4a854
.xword 0x20ab319151a79e20
.xword 0x07bd92ff1955dc11
.xword 0x4a2a0cef582efabc
.xword 0x2a097d4fc53bcfdc
.xword 0x30b663fd2d14d97e
.xword 0x070035e97ebc8305
.xword 0x04715c95480ede45
.xword 0x3a6d15d01df221dd
.xword 0xb900b16a9c8f4a43
.xword 0x5ca8274869f688a5
.xword 0x900d7961b1b3a39e
.xword 0xb886124f7e4b6a26
.xword 0xde97b7585894f2c8
.xword 0xe30857c0f984f83c
.xword 0xa52b8867b969a1b7
.xword 0x6b87ffaa95303de7
.xword 0x396b5ae2402b12c5
.xword 0x25a0f6a5f562290c
.xword 0x466162b705ea21f2
.xword 0x0c885304f40ed14b
.xword 0xc8f81e807f08a9a3
.xword 0xd08d4b5806ccdbbd
.xword 0xeaf4d9d5aace36cf
.xword 0xaf9b32e63d4912de
.xword 0xb2d8ae128ffb4c1d
.xword 0xb51f1a2741b0e04a
.xword 0x978a55693f9dd0cf
.xword 0x576a3fc6201bec80
.xword 0xddb1e7376e052140
.xword 0xc9c470b927bbbbff
_t1_hash_iv_array:
.xword 0xb712827872068b74
.xword 0x2fe7e1682f3e11a0
.xword 0x899238fb2c964502
.xword 0xe2d454eea013d512
.xword 0x976c44f6f4c46edc
.xword 0x901f8b7164e72b2f
.xword 0x4c73399ca92f9301
.xword 0x7b6544d54156bc30
.xword 0x108fdd548f49ea27
.xword 0xe0562ae852c1b426
.xword 0xed5732ab29d416bd
.xword 0xa3427fa1153a6558
.xword 0x13912512c17052cb
.xword 0x87443fb4a9d15931
.xword 0x98028f83c7df8ce2
.xword 0x44fd2535901e12db
.xword 0xcbd34c9d758aae9f
.xword 0x79616e5a4dc6f51b
.xword 0xa4a9306a0ff57850
.xword 0x07dc21d32103a5c9
.xword 0xbcf26d8c09277126
.xword 0xea3370bedbe1af2b
.xword 0x650b8004378741b8
.xword 0xfbd5485b8776dddb
.xword 0x1517d3d947be2453
.xword 0x74260bec4cbcd0e3
.xword 0x40de0ffedc26b741
.xword 0x48e4bd0ac60c72b3
.xword 0x4f1458f5ee5a548e
.xword 0xb0c7c553050d07fc
.xword 0xc2d9441b74d40365
.xword 0xd0f8396130f70dbc
.xword 0x9e54034a1b502573
.xword 0xd47c61c055ba9710
.xword 0xb7fda07528072455
.xword 0xe59d1ccdbfec09b6
.xword 0xb0f1c5b48a677618
.xword 0x84b954ee33ecbb25
.xword 0x7becae385e04126b
.xword 0xcac4473194c5a347
.xword 0x3cc368eee9504591
.xword 0xdd90adb80f8b62da
.xword 0x69fab22a13dc180f
.xword 0x077f9668abc7c53a
.xword 0x2f5be94cc6070210
_t1_hash_alignment_array:
.xword 10
.xword 4
.xword 8
.xword 3
.xword 0
.xword 13
.xword 14
.xword 9
.xword 4
.xword 7
.xword 10
.xword 7
.xword 13
.xword 5
.xword 5
.xword 8
.xword 9
.xword 9
.xword 9
.xword 3
.xword 10
.xword 2
.xword 11
.xword 0
.xword 13
.xword 7
.xword 8
.xword 0
.xword 15
.xword 2
.xword 4
.xword 5
.xword 1
.xword 4
.xword 4
.xword 5
.xword 7
.xword 6
.xword 14
.xword 10
.xword 15
.xword 10
.xword 5
.xword 4
.xword 4
.xword 13
.xword 2
.xword 13
.xword 13
.xword 12
.xword 8
.xword 6
.xword 14
.xword 5
.xword 7
.xword 15
.xword 11
.xword 14
.xword 10
.xword 5
.xword 12
.xword 2
.xword 7
.xword 15
.xword 4
.xword 11
.xword 1
.xword 9
.xword 6
.xword 8
.xword 5
.xword 14
.xword 2
.xword 3
.xword 3
.xword 1
.xword 8
.xword 9
.xword 2
.xword 2
.xword 11
.xword 14
.xword 7
.xword 12
.xword 8
.xword 2
.xword 14
.xword 4
.xword 15
.xword 12
.xword 5
.xword 6
.xword 10
.xword 11
.xword 6
.xword 0
.xword 7
.xword 2
.xword 9
.xword 5
.xword 12
.xword 9
.xword 5
.xword 11
.xword 11
_t1_hash_src:
.xword 0x04a37d81c0eb6a5e
.xword 0xdb0995796b267307
.xword 0xc8c7764f863ed3b2
.xword 0x89dea9ff81f4f8f5
.xword 0x4698df47f7f9c68b
.xword 0x9ba990cb413ac5a7
.xword 0x3f8ffab054e790aa
.xword 0xda95cc04a4f660d7
.xword 0xcb9e766443ffef98
.xword 0x895461431517904c
.xword 0x826d86d2016e0eef
.xword 0x93eefd95e62195ef
.xword 0xaf94867f61cac6f8
.xword 0xc6ded7b143a81797
.xword 0x734d900b59ce8086
.xword 0xa95dd2ab163b5c15
.xword 0xce50611866c7655d
.xword 0x2c70790a51897b62
.xword 0x1120b83d745e7c99
.xword 0xd3310ec175ee71c5
.xword 0xac9ddeea8e3df1df
.xword 0x50c3e3b8944a1d09
.xword 0x4a50174d99afaefc
.xword 0x00612552d9fd0290
.xword 0x786619451accf8c5
.xword 0x1c358ce56d76fc09
.xword 0x3484c709380e9c56
.xword 0xc84faab861ab1455
.xword 0x8a370de2e813b9f0
.xword 0xe6d154e8d75e0a3b
.xword 0xfbce1223e7d4c5a7
.xword 0x60b32a320ea87e1d
.xword 0x62a2943828889175
.xword 0x160eccb5bab75db1
.xword 0xbbcfaf1ef37797f7
.xword 0xb7085c3fcad7aa2b
.xword 0x50effe0f4760e4ae
.xword 0x9752be46b5ee9af1
.xword 0x83b0630eae730375
.xword 0xd0846041a0fc58b1
.xword 0xc242f3d09215de27
.xword 0x0a9496ce3c73ee49
.xword 0xca018ad9c6337e45
.xword 0xf0f63454750d8c5b
.xword 0x211cd68fa3b8cc4d
.xword 0x8d7060539fe4bcb7
.xword 0x2c9fa70ee581a595
.xword 0x29d73efd1f921747
.xword 0x25735e410c55c1fe
.xword 0xe642a72cccc0f628
.xword 0x5b3b39b09daea2c2
.xword 0xe68d8ddd4ccd35d3
.xword 0xd2285fd1f2884b82
.xword 0x68b0e5fcca7154b4
.xword 0x846d9dacc868ffa9
.xword 0x95c382526c80a2ce
.xword 0x585b8632458e40d6
.xword 0x238f51eb756a91a5
.xword 0xa628a4625071d60a
.xword 0x6661aec798a77550
.xword 0x690cedaa6cee3d37
.xword 0xa0c63b28bbe03aef
.xword 0x3689906e477e7889
.xword 0x97303d6cac2ef667
.xword 0x4afd55826112c8d9
.xword 0x232ea288aa962933
.xword 0x5f4b92847cca71f7
.xword 0xdb9f07bcbf2c9f26
.xword 0x5512424e75e571c7
.xword 0xf8ba6838f1807423
.xword 0x171fb1a3cac12de9
.xword 0x2b860fb299310c9c
.xword 0xa0b905a8e259edaf
.xword 0x49b975a0c70e4a71
.xword 0x001401f6e14023e6
.xword 0x06ba7bc953774f90
.xword 0xeef8d67193929ac2
.xword 0x0d0c5139c362cd46
.xword 0x997f92b0fc19ecf0
.xword 0x323889e20110b0ff
.xword 0xf747ddfe787e31ce
.xword 0x880d037556281200
.xword 0x0d866f718773f5e5
.xword 0xb0f2fc1c45bce2a6
.xword 0x7753e0159c6de413
.xword 0x9086e69bbc6e3994
.xword 0x5e67face04140b6e
.xword 0xaa065dc2ffdc68dc
.xword 0xac4e9d3ebad86f12
.xword 0x09c38d221cea4ded
.xword 0x39e8b39074b8b1d2
.xword 0xed6f9150a50d3003
.xword 0x10df597076c6e9a5
.xword 0x7f6f1ab01a229fbe
.xword 0xbd8b85ec5744505b
.xword 0xa2c5215eaba52970
.xword 0x26a715d404089ade
.xword 0x312fab0d9c32a439
.xword 0x78ce63c010240921
.xword 0x5b6cde16741e2e74
.xword 0x029b5002855e9684
.xword 0x44252d94a680b939
.xword 0xc677335ce3f6f1b6
.xword 0x0daf6981e503ba31
.xword 0xec781a003eb23718
.xword 0x2d90fad16982ecee
.xword 0x239ecf4c42a10d03
.xword 0xb6cf2d68675e246f
.xword 0x498f324dbc706e51
.xword 0xb93f8a89f5b68dda
.xword 0xdd2cf75730b9b51d
.xword 0x56af0e12532eb586
.xword 0x77d77635b4b58af8
.xword 0x118b623469cb4f20
.xword 0x918f9c2d707f5a20
.xword 0xb85794f8257ae550
.xword 0x5f50dd322aba57c0
.xword 0xaf5179ecd1b25764
.xword 0x0118f9b637e5799d
.xword 0x3310f15bbaf39b62
.xword 0xaf50dc497ea5f7a8
.xword 0x1beca73a38d6e44f
.xword 0x578666250a579012
.xword 0xe73b58fed65553a5
.xword 0xda3e86b9293e2c86
.xword 0xec9bf8b403a12440
.xword 0xc219ed467ff2edb8
.xword 0xaf33252d500e76ae
.xword 0xb40e4c990039bcd4
.xword 0x523d4650f9f73f7f
.xword 0x08e0c1581480c0ec
.xword 0x3fe9d856b325fd84
.xword 0x7d4aeb18edc25ee2
.xword 0xa44bec2f44d5308c
.xword 0x1f939c9e1301130e
.xword 0xd428dc4810e36c2b
.xword 0x50558ba3737157f5
.xword 0xd8ddd7ebc4758ac3
.xword 0x66319bf7f728720f
.xword 0xba66c67e56d7d11d
.xword 0x6148290dff4166de
.xword 0x587fdd6bf425d19b
.xword 0xdf053787543e1002
.xword 0x9f016c0a4182f0dd
.xword 0x3ea567a968ae69eb
.xword 0x2b04fc7e4a0d4d2c
.xword 0xb000c7cfb10e1524
.xword 0x0ba56bf4fff496cc
.xword 0x2c94cb22e04a169b
.xword 0x07b0e277b756cb55
.xword 0x250d80995f9b31d1
.xword 0x1e3f2341d350b979
.xword 0x32671028daaff277
.xword 0xf5a205591a45980e
.xword 0x5aaa9578d347d9c9
.xword 0xd10ae9615b810aee
.xword 0xe3c564ac910f3020
.xword 0x46c398a58f893b02
.xword 0x651fd6561a7aedaf
.xword 0x42f0f6bc0cba496c
.xword 0x45335f1ebb3a4fff
.xword 0x99566c6525f3b37f
.xword 0x68074709ea3b0fa7
.xword 0x363b78c339863fd7
.xword 0x96c41b5cc987e635
.xword 0xa3494c6a36595615
.xword 0xdf553415f337ff84
.xword 0x48950097bcc3333d
.xword 0x715586e39c20930e
.xword 0x66737c36af957a6e
.xword 0xed0b99fd4cd635ca
.xword 0x0f9ca75b678924cf
.xword 0x5ea751fd9a372691
.xword 0xf7dc0af0b7ab0979
.xword 0xc2c3832b54001bb8
.xword 0x1261f2381cf10ab7
.xword 0xbd043e20324cd419
.xword 0x0b7e8e5bb5349782
.xword 0x5d6322a2d50e0310
.xword 0x4fa61e7f83318184
.xword 0x3d9ee6cb99b6895a
.xword 0xfd8cffc421d65fd3
.xword 0xd41371519a3f189f
.xword 0x98707f3228a14a68
.xword 0xe5d5c7369913f1bc
.xword 0xfed22ec44eb45f48
.xword 0x0c2b78c6e7bba28f
.xword 0xe8351f631979e3c2
.xword 0x3e6454c29bd0e737
.xword 0xb9f8f86b951fb964
.xword 0xfef56b0a8cebbfb1
.xword 0xf3c12715e50fd031
.xword 0x8a966f6a7278f899
.xword 0x9e21bf6cf67dfe51
.xword 0x9b3e4ed51573791d
.xword 0x3927c88306e2f654
.xword 0xbdb90c2041c87f28
.xword 0x279f17fcad8a6044
.xword 0x2b23f7460e4f0513
.xword 0x1a3921857c79f137
.xword 0x04c9f8c58c78c1a3
.xword 0xda4f754835bac048
.xword 0x861e762fda32d78a
.xword 0x50e04816837e82cb
.xword 0xb2c98b091e88ddfd
.xword 0x2e2fb7d7d2e9e8f7
.xword 0x58e48ceec4840ede
.xword 0x6abbff56ab0e91f0
.xword 0xd2fab13baf73b17d
.xword 0xd4a551f7641dcbda
.xword 0x72248a5077ead93f
.xword 0xfd8cce7d44f68307
.xword 0x93353a57ef73345a
.xword 0xcb78bbb461f8a2ef
.xword 0x04001d85ed999972
.xword 0x41d15de0b40ec5ee
.xword 0x06c20b84485e45b0
.xword 0x66818abfad806f9b
.xword 0x68a1e03677da11a1
.xword 0xb1e123366b07b390
.xword 0xb216c5c93657b393
.xword 0x3e53fb8c99e91e41
.xword 0xeefb81aa6bcb3570
.xword 0x68888e57a8ebd807
.xword 0x381572b3aba46dc1
.xword 0x9e17e3cc9b20d838
.xword 0x5f5d60a70fd07f83
.xword 0xc8df710c4d5802f4
.xword 0x468528556e5b8c08
.xword 0x30217f136c37db5f
.xword 0x75c8e4a8c52edf69
.xword 0x8b896812365a7e53
.xword 0x5c1e61dfc73321a0
.xword 0xe418d2052bc25c74
.xword 0xb35f6ec54c8ade1d
.xword 0xbeb0ce3161396009
.xword 0x4d311bceb0365214
.xword 0x97702c60b7e7affc
.xword 0x9da778e9f4bcaa0b
.xword 0x69328da88c4eb691
.xword 0x32bce090bab1b3c5
.xword 0xd79792e8cc5098bd
.xword 0xf5666305e58f440c
.xword 0xe2e01833ad99afde
.xword 0xe77a7faa9078dd0a
.xword 0x9dba4da0b328fcef
.xword 0x5d45bd9a270601ed
.xword 0xab960e0f13357635
.xword 0x1f77d210454dc50f
.xword 0xcf3792b4e872ea14
.xword 0x613c341ab9e9bb77
.xword 0x445390130f44ba1e
.xword 0x2fc8a9e9f611b3a5
.xword 0x81a586812e23f241
.xword 0x465e21b7e491afa4
.xword 0xdc1096dd55d6d742
.xword 0x7b539d1fa3fae61e
.xword 0x5e1db3e4cb5d8311
.xword 0xe0680c9e23104ede
.xword 0x8ff7c8cdbaf23984
.xword 0x9e4f83fbfef1831a
.xword 0x9a0304389de2da22
.xword 0x34d9bc4e72fe3448
.xword 0xdb62f63384f63b6f
.xword 0xdca293710f265e33
.xword 0x616ddfc7d372f2a9
.xword 0xd8319b4581425ece
.xword 0xad47c296c2832613
.xword 0x24192a4eaa24e2d6
.xword 0x3bd8e2f3f37ae507
.xword 0x921b39ec646cdc60
.xword 0x55d900652ee92d3b
.xword 0x30d40e61368985ae
.xword 0xb1abd64e520a4a4a
.xword 0xa79909446c87a9d4
_t1_hash_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hash_auth_key:
.xword 0x71eeb364edbdaf0b
.xword 0x5572b9776eb338c1
.xword 0x1438dcc9ce1ca8bf
.xword 0x7ddbd0d5aa8f6be5
.xword 0xdcd8344aa3df2af1
.xword 0x0e885f21a4bfc37e
.xword 0xdd80ba74b5235231
.xword 0xadc4a19c3600d42c
.xword 0xd7a187742842c3f1
.xword 0x51ce0d08f8173daf
.xword 0x83f43c26ecbb71c9
.xword 0x1f3c82388131be3a
.xword 0x1b32c06b579e4f90
.xword 0xb7ea61d4845e4717
.xword 0xc70b3d5f618ef007
.xword 0x29846e2907d6bef0
.xword 0xb6c8ecfb22507d92
.xword 0x9acf05d95f8f0e9a
.xword 0x89c9b9473ac8599c
.xword 0x3a823f02fc378c30
.xword 0x32a9e6aed994570c
.xword 0x1f1af0125512c3c0
.xword 0x8a632a088a33e823
_t1_hash_auth_iv:
.xword 0x0788451e32c757e1
.xword 0x6cf8e0eab6450299
.xword 0x23e5e592cd081329
.xword 0x8b49087023968b1e
.xword 0x101a3a349ace7425
.xword 0xeea6ef87ae597802
.xword 0x92408be0ae8d9463
.xword 0xfc0406c0feb1ebd4
.xword 0xd0a141d7797e30f1
.xword 0x571ed632354656b5
.xword 0x5ccfdd3fd49e60e1
.xword 0xad8e31eba6413f75
.xword 0xae0c279058c5b3b6
.xword 0x45930192b2b134bc
.xword 0x30d043770aa4d58f
.xword 0xf67830159d9f5308
.xword 0x1f1983be15157c10
.xword 0xa8c01827bdb20974
.xword 0x0d88cfeda6984ca8
.xword 0xad4ed561e341180f
.xword 0xd0adf91dbf0e291c
.xword 0x9820561f63e8e48a
.xword 0xf92f41f5adbc2c53
_t1_hash_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_key_array:
.xword 0x538eefa6c6aa453b
.xword 0x9df4a18e3be18e73
.xword 0xcb7337a313fe9f40
.xword 0xd45c4335e0b2db22
.xword 0x7933bfc0e6ced8e3
.xword 0xd995d513395b3141
.xword 0x73e00a58bcf5dd62
.xword 0x15615f396730c9ec
.xword 0xf3beac9b138791b2
.xword 0xdcecbc716a324f8b
.xword 0xc97f9a39fe349e6a
.xword 0xe5cdf4b721d8c156
.xword 0x6b22c413c53598ca
.xword 0x60c7e3404403ccd5
.xword 0xde4c18bd67a5cf45
.xword 0x28bb5c652ac7760c
.xword 0xea46d283f43cd9a0
.xword 0xef28d592947e57ea
.xword 0x19d25089e75cbbe7
.xword 0x07230a7bdb1913ea
.xword 0x67abd64a50e48583
.xword 0x8d2472f9bf6536f6
.xword 0xbba37ddb2529a5b1
.xword 0xcb09e200bad7fe63
.xword 0x8a80865f3614fe04
.xword 0x0a5c6407f20ef8fc
.xword 0x970ac917497df4c1
.xword 0x6b7a7fd5717bca51
.xword 0xa256a4947e2607ea
.xword 0x1a9e02dd7446ecfc
.xword 0xc4e9d8404d32eee5
.xword 0x41d3afb033495ca4
.xword 0xb2fe84d9aadca1ab
.xword 0xd0db10033025d783
.xword 0x9a84c936aaa95fbd
.xword 0xde30cad9c8b25151
.xword 0x370021f900462673
.xword 0xad8f995d46b328ff
.xword 0xe7e9ff8d2de962bc
.xword 0x63521959a5b0482b
.xword 0x60de985fde72b2de
.xword 0x432c3c31ede4ec26
.xword 0x068a011b0ca102d3
.xword 0x3d9e0edfdf0244f2
.xword 0xf978624ac7a82b80
.xword 0xdbd24560bbe639fe
.xword 0x054094be436f4a1c
.xword 0x8601b168eb333069
.xword 0x0d5c7cf1d2213610
.xword 0xdc2da3ab396506d0
.xword 0x443dd07453c6c972
_t1_hmac_iv_array:
.xword 0xe86413b7148036ac
.xword 0x24652eb7b5f1219c
.xword 0x89633da5809c7c7b
.xword 0x8420740fcc21c000
.xword 0xc97149b442a37156
.xword 0xd2245f7714b7cf01
.xword 0xc2d7b9d575627603
.xword 0x778eb9a164334e17
.xword 0x6113228a8c1a14f3
.xword 0x3d884d93923aa9a7
.xword 0xc3403522d2f5a1ff
.xword 0xdf54aa34fd244261
.xword 0xa26de4d17eb68e62
.xword 0x950faa4841a312a6
.xword 0xcb016de77f8a5c8f
.xword 0x12019c34024ad107
.xword 0xea80d7dd7d065939
.xword 0x7c9d291bbc023918
.xword 0x2c133c10afc87faa
.xword 0xf5faab464a11d1f3
.xword 0x3f0a287909697745
.xword 0xb0b7e689f1176770
.xword 0xe7698af5e4d9ccd7
.xword 0x56597e28d1f81232
.xword 0xa29bcaf23b03c3f7
.xword 0x8ad7b0f5ab50f9f3
.xword 0x3d56415b0228c956
.xword 0x6d18fd89f887c08c
.xword 0x13e7b434e6eb72f4
.xword 0xe3b949d907e76b71
.xword 0xceff5781bfeadc18
.xword 0x8e05d328eff23aaa
.xword 0xa3829bed6a7da48b
.xword 0xca0e1e8abec65f99
.xword 0xaaaddcec7b73d75e
.xword 0xf2b5975723c7c530
.xword 0x426670eef47448af
.xword 0x908477fcbe132a79
.xword 0x91e3c8ae3ec2ecaa
.xword 0x412047a7f42ecc81
.xword 0x7bec3aa96c426f8a
.xword 0xabfcc47f6d84e3d1
.xword 0x7bccfb70de5c712e
.xword 0xde2584e0a4bbdba4
.xword 0xc922d27460a52132
_t1_hmac_alignment_array:
.xword 15
.xword 1
.xword 4
.xword 8
.xword 5
.xword 11
.xword 6
.xword 14
.xword 5
.xword 13
.xword 0
.xword 3
.xword 1
.xword 14
.xword 7
.xword 0
.xword 12
.xword 14
.xword 4
.xword 9
.xword 4
.xword 10
.xword 8
.xword 11
.xword 7
.xword 5
.xword 5
.xword 9
.xword 2
.xword 11
.xword 6
.xword 5
.xword 7
.xword 12
.xword 2
.xword 10
.xword 15
.xword 11
.xword 15
.xword 14
.xword 5
.xword 14
.xword 9
.xword 7
.xword 7
.xword 11
.xword 12
.xword 3
.xword 7
.xword 3
.xword 3
.xword 8
.xword 8
.xword 10
.xword 12
.xword 10
.xword 0
.xword 11
.xword 12
.xword 9
.xword 10
.xword 2
.xword 14
.xword 11
.xword 10
.xword 3
.xword 5
.xword 0
.xword 2
.xword 0
.xword 2
.xword 8
.xword 11
.xword 11
.xword 6
.xword 3
.xword 8
.xword 14
.xword 3
.xword 2
.xword 14
.xword 2
.xword 0
.xword 3
.xword 7
.xword 13
.xword 4
.xword 10
.xword 14
.xword 7
.xword 4
.xword 0
.xword 2
.xword 1
.xword 0
.xword 1
.xword 2
.xword 15
.xword 4
.xword 2
.xword 6
.xword 8
.xword 10
.xword 14
.xword 2
_t1_hmac_src:
.xword 0xe8ff57abd64e1021
.xword 0xa5957752c1b81109
.xword 0x5ff5a4f9d0182079
.xword 0x1512026a0b53c790
.xword 0x1e161a17a75c7b81
.xword 0xdb2406ad0912cfc4
.xword 0xbf301148ea9935c4
.xword 0x9dc5577eb08945d5
.xword 0x06847f3eb03ba765
.xword 0xcabf498c43c9f6cb
.xword 0x21457535b915f291
.xword 0x694c871d82093926
.xword 0x0feecfbac10a56cb
.xword 0xbcfd90441bbc50ac
.xword 0xad145890b08cb02b
.xword 0x5e7b463c0ca09651
.xword 0x45dd46f2b2cc7a3c
.xword 0xdb1047dcbe5e9ae5
.xword 0x1f41fb8afe62a9ea
.xword 0x0b453d340e651783
.xword 0x9376bb979e449172
.xword 0x8a44afccd8c492b8
.xword 0x60f91e64a5b117ad
.xword 0x4b2a6bfef835e1bc
.xword 0xe89e20864674a2be
.xword 0x00c45215527684d1
.xword 0x67b0f47398353685
.xword 0x4c39541192887965
.xword 0xcd8d9d3835aba2e7
.xword 0x1f616894fd48d8e0
.xword 0x5eb51a1587290977
.xword 0x5a38087db8f1e273
.xword 0xda237e85fc972332
.xword 0x86a4f081f320eaa0
.xword 0xd054262c902412b7
.xword 0x8c9f876730570476
.xword 0xaeb39e5e3312a6c1
.xword 0x419b2b2a4e862aec
.xword 0xe5577a3a4a715ad8
.xword 0x4f08ddf870f23895
.xword 0x54c910359bfc6b88
.xword 0x577f5997fc29d845
.xword 0xf7d07f8e11c6b719
.xword 0x17d00a72ea7bd377
.xword 0x8bd99ff6c75cca3c
.xword 0x292b1f829d994216
.xword 0xcb6d682f3095ce1c
.xword 0x198d3b7d74f18767
.xword 0x55b9c2de8e45c6da
.xword 0x5f05a88f1f74757f
.xword 0xfd1353de1fe5a997
.xword 0xdbe3fa41124997fd
.xword 0x866c117b175ad92d
.xword 0xb297f5434ffe8354
.xword 0x2ff803b878d0c0e5
.xword 0x477cda326f74be54
.xword 0x7be7bf796a8723ab
.xword 0xf5c8479f6a55c308
.xword 0xa1968cd84b8657cd
.xword 0x5bacaa4025cad989
.xword 0x5ed4cd254895fb9c
.xword 0x3f94e49c82f34453
.xword 0xb3000606c085c38b
.xword 0xcd2c66ed02e78fcc
.xword 0x93a585a4646653ee
.xword 0x7ec900a6d3478878
.xword 0x3104b9016046c30c
.xword 0xb292cd3d7d880b60
.xword 0x4f983297f94abfb9
.xword 0xf6544959ae394fe4
.xword 0x7936a76d070d4c03
.xword 0xa527bdfc543be0e2
.xword 0x833bb1f0d5fda104
.xword 0x5451bab18be3ce02
.xword 0x2538ee23a325b7ad
.xword 0x8a39d550c9a99cdf
.xword 0x78d8040a076831b1
.xword 0x6851057b67f92569
.xword 0xb6372c3e4f2fd812
.xword 0xe363fd837fecd821
.xword 0xaefc49ee46870b73
.xword 0xe55e053692a2c86f
.xword 0xb37c0cbf7d87e423
.xword 0x6e9ebebf713c216f
.xword 0x5793fe9f98b4cedb
.xword 0x59619b419335fdff
.xword 0x405a52c8988364d8
.xword 0xce5223035192425d
.xword 0xf0dc762eb902307c
.xword 0x7bf208f5528e54c7
.xword 0xc58dc38811aa8b2e
.xword 0x41ec921d508ae752
.xword 0xb810cb95abc137c3
.xword 0x8819ece39c907e37
.xword 0x5b7f71dea976b508
.xword 0x50709857ba763f76
.xword 0x5ec17ac5ce4893bd
.xword 0xd6e2b56cec9f4a13
.xword 0x31c702ae274b9ae0
.xword 0x8670e1d99fdd3d7a
.xword 0xc6d25f7c6655d3d5
.xword 0xe66c90575ba61ec4
.xword 0x01409a6ef083b690
.xword 0xee7bb096fcaa9619
.xword 0xc8ff5775331c5013
.xword 0xca81e1e3239fabf8
.xword 0x330cd08189e99a72
.xword 0x4c653c8bb80d8e90
.xword 0x17f179195d092f59
.xword 0x9769f33c4e630b30
.xword 0xeb748126260fc70b
.xword 0x61d91a170dfd98a1
.xword 0xdc5104a64b3452c2
.xword 0xe3f2df497b199a33
.xword 0x08f6a21036fc2c01
.xword 0x2bfa3e7d04c82402
.xword 0x87faf8a3de470360
.xword 0xd16f147c2ea9ccfe
.xword 0x4b59bfd1bb02a587
.xword 0xd14f0187a867125f
.xword 0xd601bb729a21e3c9
.xword 0x8e98862a0c7077c4
.xword 0x5eb7bfd77325a14c
.xword 0x89bd04974ffe79da
.xword 0x92e898aa321d2f9c
.xword 0x5bc71456795490f5
.xword 0x42753af77e761102
.xword 0xcd708e327d7fca78
.xword 0x58b46759731d7d28
.xword 0x24a3f2baebff16c4
.xword 0x9d1e17f12512e10c
.xword 0x36541198e1bd4ecf
.xword 0x54a434157b0476f8
.xword 0x8d22c38a1e7083f2
.xword 0x6d6eca53be778388
.xword 0x9c88eddd4d585a82
.xword 0xbe3d808c600ce409
.xword 0x2f5c41ec570f882f
.xword 0x6c08a7c06d069af9
.xword 0x7ff83356af9c577f
.xword 0xe1fc6baf8b5e1e73
.xword 0x14f0dc3b49cbc054
.xword 0x589d408542fd53b7
.xword 0x30afe0eae5e086b3
.xword 0xdf558902d5cdf2e9
.xword 0xf1bc5709ed4c6dd0
.xword 0xe56a9fffecc51bb8
.xword 0xf7c9812c575735f9
.xword 0xfa0475b55675cd18
.xword 0x81e70c597e04db59
.xword 0xddcedfee8133b543
.xword 0x48c36bea527683fb
.xword 0x2b06b1f184a7c8bb
.xword 0x563029482c4e60b7
.xword 0x6234c2ba69b3db9b
.xword 0x30a3e8add7e0e0dd
.xword 0x9b29c83f04dd976a
.xword 0x366ca1519fda1a90
.xword 0x54baef1d2a9a50ff
.xword 0x0297bde755b47768
.xword 0x37a53f15ce2b1946
.xword 0x7e5923f9d98ca02d
.xword 0x2e6718694633cb8d
.xword 0xf8e3dea1c1149510
.xword 0x385c467220c56b31
.xword 0x742a82d01781383b
.xword 0x9fa848ea9287a308
.xword 0x78ca204ee38e0a05
.xword 0xc40c32fde0d4ffde
.xword 0xe45c305cf2b6b12b
.xword 0x2af36fbc6bd1458b
.xword 0xdb7c2bb84e80a206
.xword 0x934c7cb139e256bf
.xword 0x6844157c5f7ea25a
.xword 0x9dac23d4a1a8ae19
.xword 0x0d31be7667e307ff
.xword 0x8ab0e041662bbdbd
.xword 0x2b0018f4ef008bba
.xword 0x49b068ea206e91cc
.xword 0x32a5ec79d53c9b99
.xword 0x717ad765ac7cec19
.xword 0xf259cac8e09e52fc
.xword 0xe0ebe7f4041b086f
.xword 0x304bc50311e1adc2
.xword 0x57b2a2c2f33e8905
.xword 0x9ca596d464cb678e
.xword 0xd5dbafc0f214e51c
.xword 0x3cd677d6b156d1c3
.xword 0x33e2baa0ef83bf33
.xword 0x24d24a29ac65ed4e
.xword 0x978698ad36fe506b
.xword 0x500164094c339a76
.xword 0x369b5fefeb1df38d
.xword 0x66d5744aa46a19bb
.xword 0xf04cd6b1480f0d39
.xword 0x8f8801a3d6e4cceb
.xword 0x0d38a87c720473a9
.xword 0x568321c6293358d8
.xword 0xab0dae4349d2d42d
.xword 0xb9da7b761253444d
.xword 0x450ceb69965d141b
.xword 0x9aedf0eba8b6041e
.xword 0xc2d463862a7c793b
.xword 0x1a590b64779c0061
.xword 0x82b9c9542e83f508
.xword 0xf2189fdce5b6f0f5
.xword 0x20ffe816f421b04a
.xword 0x9211e1576cd233cd
.xword 0xa17a17ff4c327252
.xword 0x2462314cb8ec745d
.xword 0x65b957cd4e7dbdea
.xword 0xded7188a44eab054
.xword 0xc268257210e04b92
.xword 0x360c96afcc08d0f1
.xword 0xcf97b8253230794b
.xword 0xaa386a6435d0c79f
.xword 0x7fb19e3da96b5259
.xword 0x230b05b8f1a74a8c
.xword 0x52788cfc74b602ab
.xword 0x97df7fee8cedec35
.xword 0xfef0cb22ac89797b
.xword 0x434d88f89fe186b0
.xword 0x8f3e980b8708d8f2
.xword 0x00a4c3b0fda4dca9
.xword 0x25fd2e34dec3895f
.xword 0x2a501d3cecf5fca3
.xword 0xda792161b74e24ba
.xword 0xff9ff345ebd4101b
.xword 0x705a637c4f4a2b13
.xword 0x72bbf0479c249981
.xword 0x56d68e2b882fdd01
.xword 0xb37b8f2aefec8531
.xword 0xda9f91aba00275a4
.xword 0xd2d785bcda4a9f05
.xword 0x8a2705dd94e0c744
.xword 0x4f1cdba8250bfa48
.xword 0xd4ea5c3974c17444
.xword 0x5d6c9e4c5265848b
.xword 0xa117be13ae68890e
.xword 0x2b98ad1d403ebf91
.xword 0xde59ff5d55686fe6
.xword 0x732152276ca05a0b
.xword 0x7e777ba2dcd8ac6b
.xword 0x9fe87ca4c24658e4
.xword 0x34eebe9215626555
.xword 0x3266ecf954d0d1ae
.xword 0x07faeba3d3151884
.xword 0x83ce5e0862b36a43
.xword 0x60c4cec49b1c774c
.xword 0xa89cc88ca3eb1031
.xword 0xa3747a533aaeff67
.xword 0x6644ab220d39eac4
.xword 0xb2dfadb50564e73f
.xword 0x57d04b36a53f7e2a
.xword 0xd69fb4653f64b5a3
.xword 0x0dad81199dff8442
.xword 0x8f3e66ac4acc6ab0
.xword 0x724c6799b03be6c0
.xword 0xdc82f0fe654d56a4
.xword 0x63bc0122c47b3da3
.xword 0x204dcf64cbf26b93
.xword 0xbb63c5b8ac370d64
.xword 0x5362b66928792e6e
.xword 0x10733e4733520ab1
.xword 0x0b958f7afa639c28
.xword 0xe85855cf4e5ce4d2
.xword 0x21a43e2b90d264bc
.xword 0x799d36e96a43aa11
.xword 0x1cdea3a829216b92
.xword 0x0c7d4d404c35f51c
.xword 0xfe9a6480cfbc34e6
.xword 0x11bf928e730934fa
.xword 0x58c841a15d2d7565
.xword 0x8912a6c42aa476be
.xword 0xe6c1226e7893714c
_t1_hmac_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_hmac_auth_key:
.xword 0xa8df606f11167141
.xword 0xb9afd29bb0e12cdd
.xword 0xeaf2be3aa885b71c
.xword 0x43d8b5b2c493d7a6
.xword 0x049f4a1ee87ef6c5
.xword 0x98092e574828c15d
.xword 0x8e16f5a94704df97
.xword 0xb707e378ea6cb71e
.xword 0xed961ccff77d400c
.xword 0xdcd6769ed3753fa7
.xword 0x007fc1f208e02a48
.xword 0xbae3e4280f4e1e1a
.xword 0x4358a6d5e4cd515c
.xword 0x6f5ded945e62ee24
.xword 0x7a154f9c49215457
.xword 0x009e0d88ad839729
.xword 0x1dd34e4637fd073a
.xword 0x217e39a7f58a5731
.xword 0xf4d43e80cd99d35f
.xword 0x0fcc54409cd3e1ec
.xword 0x0d371e562903484b
.xword 0xcc10b60ae9dcea2a
.xword 0x6f3f83b51f68522e
_t1_hmac_auth_iv:
.xword 0xdae90c61c22bd3d6
.xword 0x2555cf2de32e7da9
.xword 0x02e28947fcae7e46
.xword 0xd7f3ba2b08fadd98
.xword 0xe1dddebd78cfeb92
.xword 0x2498a6b4927c8587
.xword 0x75175c53691a4023
.xword 0x93fe6fed99ac70d4
.xword 0x55f1ba26fae2d635
.xword 0xa5291b86eaa5efc1
.xword 0x1f12af40e382ab7c
.xword 0x740299c1713fbdd8
.xword 0x3d3f046b05368ab7
.xword 0xb4a0e67b739eb679
.xword 0xa3605ac6d7f1e51e
.xword 0x15c0f464a5926dc3
.xword 0xb2b6523d9051bdd3
.xword 0x05747a4a3bd4b385
.xword 0x75badf1f0801228a
.xword 0xb5bc1d51f0b25801
.xword 0x4c5d4f0e06f71ce0
.xword 0x9570200f94e1dbb3
.xword 0x9a26920ab28bf88e
_t1_hmac_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_key_array:
.xword 0xf759267604330b83
.xword 0xe21e699991791fcd
.xword 0x204cf12dbcc6acf4
.xword 0x890c20b941ccf1a9
.xword 0x0c8a8d43a0f724bc
.xword 0xcedcf61855422b64
.xword 0x0fc967dbebcde194
.xword 0xcacb937da08c3a5b
.xword 0x322b558342efa191
.xword 0x0662f1295300106b
.xword 0xc81c58211337e561
.xword 0x01015847380b2818
.xword 0xb01c5cd070a0e963
.xword 0x5d995a1e43263042
.xword 0x592d99a578baf88f
.xword 0xd60585d93ff8a3a1
.xword 0xfc8976df98822d00
.xword 0x54dd802aac5b1c70
.xword 0x763b5df212c137f6
.xword 0x1aefbc5713380b65
.xword 0x6092619f47ef9ba6
.xword 0x2bc16c7bcdaeea72
.xword 0x4f5727f744650ce3
.xword 0x3ac2c4a6b4af1248
.xword 0x182157efcb370e5a
.xword 0xb33cd25364ffc96f
.xword 0x2be1388c6390148d
.xword 0xeca6bb1c77088b46
.xword 0xf6277444e988690b
.xword 0x456a4affe42dc826
.xword 0x85a45b48f4dfea2b
.xword 0x2e4b8c3a68b6d7bb
.xword 0xf5cc5e869ad83a40
.xword 0x0046a3de0d0772d9
.xword 0xe3cbb8776a15ecf8
.xword 0x75092317b00afdf1
.xword 0x8c956e56b1ec21b9
.xword 0xf39bcf6d31b08ab4
.xword 0x1938bce7d06da466
.xword 0x7be885c953c1c799
.xword 0x9cfb86d51efb8ed7
.xword 0x5742b522c5d37391
.xword 0x2b1c617a195e7101
.xword 0x4639165c6c4b84b7
.xword 0x71071d6d826a2bb1
.xword 0xa2488db7350c30a3
.xword 0x7eb1d06282151653
.xword 0x042ccf3eb144ab09
.xword 0xe93e80d1395ef160
.xword 0xac68208250ee67dc
.xword 0x942395a0c3699e79
_t1_rc4_iv_array:
.xword 0x2a39fe1a700ec6b6
.xword 0x9a516c04f509f01e
.xword 0x79e076b0e8196d44
.xword 0xfb878e39197065ae
.xword 0xc80b9d13e759cf3a
.xword 0x4172e8b9315db80c
.xword 0x5df7e5eac97c49e3
.xword 0x37f248fe8900f36c
.xword 0x6a107d4234ebb71b
.xword 0x6505813589330ce7
.xword 0xdad5748867cee165
.xword 0x7018b2e476411b8f
.xword 0x20fdb1318fe1ac3a
.xword 0x85dbc45cfea9cb8a
.xword 0x7bce8c2297543a22
.xword 0x0d57cb20b52384da
.xword 0x5c2930a255e9d977
.xword 0xeebc8bec13aad64f
.xword 0x3ac66b82895052df
.xword 0xa1edf81fc1f45686
.xword 0x7bd9c150b64cc33a
.xword 0xa4e70a03d74d2f8c
.xword 0x6474f985872ac6af
.xword 0x8e1a130f97394034
.xword 0x1b860464aa9c4a65
.xword 0x1d6cdb3f2568c23b
.xword 0x4e36a6bdcda6827a
.xword 0xcca6fd98cea942d4
.xword 0x31a78cdfb07546a5
.xword 0xc2fdf96702e99791
.xword 0xee1c13e7ab1b7adc
.xword 0x20c1fb7e4d93aae7
.xword 0x5c0bafa7be8cee8f
.xword 0xa844c238ba5f7e0a
.xword 0x3c4d5cd4d6610223
.xword 0xfb35be04dc60f721
.xword 0x5f14c8194e320679
.xword 0x2825c49bd8d1e505
.xword 0x69316a15f54d838a
.xword 0xb70fa421b033d3c1
.xword 0xe03b43054469b38a
.xword 0x0dd70899da3d269d
.xword 0x81be5461ba5a2a47
.xword 0xa40e1279d653f6f2
.xword 0x06fc6b3bb25a96e2
_t1_rc4_alignment_array:
.xword 13
.xword 4
.xword 7
.xword 9
.xword 13
.xword 14
.xword 3
.xword 5
.xword 9
.xword 12
.xword 5
.xword 11
.xword 7
.xword 4
.xword 4
.xword 10
.xword 4
.xword 7
.xword 3
.xword 4
.xword 9
.xword 5
.xword 1
.xword 12
.xword 9
.xword 13
.xword 14
.xword 0
.xword 15
.xword 10
.xword 8
.xword 9
.xword 4
.xword 8
.xword 12
.xword 9
.xword 13
.xword 1
.xword 4
.xword 8
.xword 2
.xword 3
.xword 9
.xword 12
.xword 7
.xword 6
.xword 11
.xword 1
.xword 8
.xword 3
.xword 10
.xword 5
.xword 9
.xword 15
.xword 3
.xword 10
.xword 5
.xword 12
.xword 1
.xword 11
.xword 14
.xword 1
.xword 14
.xword 8
.xword 1
.xword 13
.xword 1
.xword 13
.xword 7
.xword 13
.xword 0
.xword 4
.xword 10
.xword 2
.xword 3
.xword 12
.xword 9
.xword 2
.xword 12
.xword 12
.xword 9
.xword 6
.xword 2
.xword 14
.xword 0
.xword 14
.xword 1
.xword 13
.xword 4
.xword 0
.xword 2
.xword 0
.xword 13
.xword 7
.xword 7
.xword 8
.xword 2
.xword 0
.xword 0
.xword 12
.xword 1
.xword 11
.xword 4
.xword 0
.xword 13
_t1_rc4_src:
.xword 0xd1c28a9e6df05b1b
.xword 0xcc79c1885894745d
.xword 0xa4e4f4e539aa9edb
.xword 0x7da06ef3f6f62883
.xword 0x9915f461ecc5a231
.xword 0xd82c9405126362b8
.xword 0x24872d18834f0b1a
.xword 0x5e9f85fdfd742dd0
.xword 0x34bd3d17fde33066
.xword 0xed20c8d315ef954f
.xword 0x5b8048aae87332a5
.xword 0x81693516b26c26c4
.xword 0x425a1513a724acea
.xword 0x0174061f3c937cdb
.xword 0xc581bbd0527c9f86
.xword 0x256ee4bef035f244
.xword 0x85e94fedfd9fec69
.xword 0x3d516b3679ea8072
.xword 0xfb8d82f7465da71f
.xword 0xd4096a8a148359c3
.xword 0x9f39ba54e0686968
.xword 0xa36fe95801e23b69
.xword 0x546fa44ce14ba0cb
.xword 0x0b9f7ed022dc3144
.xword 0x391e75dbae540943
.xword 0x4540847a50da8e61
.xword 0x544af3859f8ed90e
.xword 0x448ee5f5e19b7f77
.xword 0x084b7ba95e475e14
.xword 0x774256866a0dc762
.xword 0x662d14cd5f47c6b1
.xword 0x6b7aa1657424d596
.xword 0x62fc413115cd7cde
.xword 0xeba44a06005e79c3
.xword 0x615ba0074956bab6
.xword 0x275571bcf54caa44
.xword 0x60877393afce89c7
.xword 0x995791a5400c7248
.xword 0x6967190a7a873ace
.xword 0x9b9b0fa9c584db4b
.xword 0x66a67ae0db3ec622
.xword 0x3886467e4787606f
.xword 0x9ee88412fa44f8c5
.xword 0x7703b1cc70ec59d0
.xword 0xb1dd6aa6f047ad63
.xword 0x8cdc717ff0025060
.xword 0xe0c508de23ad90d9
.xword 0xd08bf1f00e863a6a
.xword 0xcc68fdd2cc16804a
.xword 0x4f3d4b14cc70ae47
.xword 0x351ca3698a50511d
.xword 0xa824aba1681956ec
.xword 0xc5635eaa91f7832a
.xword 0x2478657579988033
.xword 0xf1fd0780be19957e
.xword 0xe68804e3eea4ebf1
.xword 0x773e581a710f384d
.xword 0x005a61a3219bb744
.xword 0x58e94cd976431fef
.xword 0xc3fb830bc0fea895
.xword 0x81e18f74d6c22327
.xword 0xcaa1939c0e89e3c7
.xword 0xe0b83d8294c8bebe
.xword 0xc3a22873e0be7fab
.xword 0x394ca8a0cd8b43d9
.xword 0x8239da89c145e5b2
.xword 0x3d23e24d5178ad1b
.xword 0xd3a35d69393182cf
.xword 0xa2ebf46430e0cdfb
.xword 0x3d52e6536bd469d0
.xword 0xd2226bc8c955c4b4
.xword 0x55f081e92723b70c
.xword 0x1dd8cfca75607738
.xword 0x98309566448b1434
.xword 0xfafeea39f9d38e14
.xword 0xa7d12a162c05aafc
.xword 0xee0082762f5d521b
.xword 0xf4f90e88f149a7ee
.xword 0x1e704e24aab97848
.xword 0x7b8bce37b1a9a43f
.xword 0xbc6fb276b970be01
.xword 0x46b2f18c5d3ab1bf
.xword 0x3661344b955784c2
.xword 0x5c6e44ac2c4c93a1
.xword 0xe1a1224a67f85b1a
.xword 0xb4e7f851ea64dbb2
.xword 0x969327884bf19f9a
.xword 0xefe17d3855921fc8
.xword 0xb2ed3e1529986048
.xword 0x493cbe9cc0f55e94
.xword 0x6b07815dfc51012b
.xword 0xc0f085658a92235f
.xword 0x8c8b468ab496b2fb
.xword 0x6cbe1dfe49ca2623
.xword 0x5c26b6fb28aa6664
.xword 0xd13d75c695dd39f4
.xword 0x2301c3ee479fef57
.xword 0x45350f7be6f27663
.xword 0x343a9d5b27bbec24
.xword 0x510f0a84dc259c5f
.xword 0x96d1e0135ddac0ad
.xword 0xd731e1592d010c10
.xword 0x98d2f1b836d9bb7f
.xword 0xda494ff719d73d6d
.xword 0x4b45e45fc86f63fe
.xword 0x9b567f892f2e0209
.xword 0x69e78f865a88f79f
.xword 0x1269b7c29fb415a4
.xword 0xc7594b30895539b3
.xword 0x7ed280d26196db51
.xword 0x19eea71d2eeab48b
.xword 0xa8fcae1ff4a39943
.xword 0x1b1f90ca51c9d496
.xword 0x0c1fc26429c0d230
.xword 0x43d496bf88928b85
.xword 0x358f12376cb10780
.xword 0x1bf667e4548ab1b4
.xword 0x8ed73b86d369b314
.xword 0x788bb50d53e13934
.xword 0x6501e7c96a7adc98
.xword 0x21e11f98578bb49a
.xword 0x3f7adba289ff65a0
.xword 0x8b19dc044ab0c38d
.xword 0x646e5b72451d9b10
.xword 0x940f54a90477e499
.xword 0xc6af6be2ccd1ffef
.xword 0x6f41dd238bf63204
.xword 0x40ef8340bed6e2db
.xword 0x57f807fa70fe649b
.xword 0x81b4d8df71919c40
.xword 0x32454d485277ef9f
.xword 0xd670a8bdd6b93bf1
.xword 0x91a2c210e910fd16
.xword 0xaf417a1f96929c2c
.xword 0x554d92bb2badebfc
.xword 0x7547a46d878f3dfb
.xword 0xe9920cea327837e5
.xword 0xea6d9022028c3f4c
.xword 0xc77f26d18adfccae
.xword 0x48cbf379959e4c8b
.xword 0x5dbe05fc0d029fed
.xword 0xa0290ff95272dbe3
.xword 0xb0bdc75109106d47
.xword 0xd87e0ad51c6e02f3
.xword 0xa2a8fb66e7906e29
.xword 0xa0983b11b81b10f5
.xword 0x6c1a002b3e1bf9ea
.xword 0x693a5e7e85d8c756
.xword 0x735c09a3d170cb47
.xword 0xcee55b09ebe4ab1c
.xword 0x347062c77b2f3f12
.xword 0xba6a9453da28701b
.xword 0x43e49c9d8ae2c3c9
.xword 0x30485f177444347a
.xword 0x9b019d26ace49d64
.xword 0xa3a04582f9203673
.xword 0x06fbddf6e24502c0
.xword 0x2b3e0f6bf7fb2cc2
.xword 0x970628dbec967b2b
.xword 0x4fd8c20c7135de5d
.xword 0x0887695308a8fca8
.xword 0x9f61beb456036547
.xword 0xb13aa37c40920acc
.xword 0x3e864951867ec174
.xword 0x742c209f919b5a1d
.xword 0x8eb1c8ddc48d3836
.xword 0x05f9f46dd10a023f
.xword 0x8a8f58b73ad7195c
.xword 0xdebe5d3942781f2a
.xword 0xe398803e9d51626a
.xword 0x43b4bfc2fb9f123b
.xword 0xee924a5d9f81a0fb
.xword 0xcb1d8c4d1de95fa5
.xword 0x1a4350bc72dd248c
.xword 0x56f64ff12cde7042
.xword 0x55aebdada3ae8244
.xword 0xf5526f658dcc8b76
.xword 0x8bac819559f2521b
.xword 0xd7cb39f7dbe39cb9
.xword 0xc6dc5e57acb530c2
.xword 0xe2eb33c66fa70a05
.xword 0x52ea441f1621fb9f
.xword 0x49aa1f768a1d4337
.xword 0x2867ce8b64026be0
.xword 0x112ff6aae63cb4ae
.xword 0xe54023ec08ab948a
.xword 0x1ce9d6aa5ac31d1f
.xword 0xf4cfb3a9dde68170
.xword 0x398072b1dfe511aa
.xword 0xb82bf394335a86f7
.xword 0xea8b9457109c0b09
.xword 0x3d8df39e2454b486
.xword 0xf41219adf5aec083
.xword 0x41a09cb3c391f906
.xword 0x88a81f335ccdd1c2
.xword 0xeff2546455f33b6e
.xword 0xd244b21afc31556f
.xword 0xa6dd98ee89dd8c04
.xword 0x19a4743d118e328a
.xword 0x6890981d10dcca7b
.xword 0x42968fbf8e71d48f
.xword 0x88e1aaa96a75d326
.xword 0xbf32e6c4597bfd28
.xword 0xdb6a4cabfadef71e
.xword 0x59d421006f32ea91
.xword 0x29329cdb85c900a2
.xword 0x911f0a15cc9e9bb1
.xword 0x103418e87588fad0
.xword 0x5fd7109ed56e7612
.xword 0xaaccbe071772fc6c
.xword 0xa3fc8df036da62dd
.xword 0x34a68367dd6db52e
.xword 0x3230bb77548a18d5
.xword 0x7bb24f5a2ab69aa2
.xword 0xecda108b4acafe41
.xword 0x939a9045ea50e6c3
.xword 0x853c7fed20353f5e
.xword 0xb7bff2c3573b825f
.xword 0xfd87d87e2f7f958b
.xword 0xe690d9ea32a5dbeb
.xword 0x2de5a3ac87f84272
.xword 0xc1afeaa73d56f806
.xword 0x5c8c15caa206e1a3
.xword 0x24e0387c021cee8f
.xword 0xdb4661cd3ea3061a
.xword 0xc3bbee0f9e998348
.xword 0x1019de9bf35dfd2e
.xword 0x27042ca812dc87e2
.xword 0x28154a0443c2aed9
.xword 0x37b488079880f741
.xword 0x1acf78e2bb1c7531
.xword 0x4c205b0aa3bb9029
.xword 0xf21a223caba0809b
.xword 0x7af6a223ea018f7e
.xword 0x5a5de5e6ece2185e
.xword 0xcbe3e269c9d7303e
.xword 0xd3302fccb78f1606
.xword 0xf7882edc358b8514
.xword 0xf81e4116a4af2b34
.xword 0x81ac74464b6e2800
.xword 0x244682fc5190d996
.xword 0x4c9286929ae51bd9
.xword 0x37637714988cd7dd
.xword 0x330435634beef766
.xword 0x74a59bcefdbe0d35
.xword 0x118895f0adf8a0e6
.xword 0x7a9a4cc4bbdbe838
.xword 0x1cae28ec0dbf16a6
.xword 0xb6ef14521cc167f0
.xword 0x4560256d9a169c90
.xword 0x6056c0031bd37593
.xword 0xd93f339cf728c5dd
.xword 0xb094c5506541d50e
.xword 0x88fe9d218d37f927
.xword 0xb4cc92a2d2709db5
.xword 0x268b8b216a7eb626
.xword 0x95c2c9b2c0f8714b
.xword 0x72f00d8f4ec94b65
.xword 0x8e92eed99c77856a
.xword 0xdc6cdb0e96b8bf0b
.xword 0x59323d069314c459
.xword 0x3b58e21c447768a4
.xword 0xd1d1dea77beb32a0
.xword 0x3280c6854e1fe4d5
.xword 0x69a3afbc546760e6
.xword 0xb2eae4c3dd1689bd
.xword 0xf6688e4bdd0994bb
.xword 0x7d6e135435b57e31
.xword 0x64b53f071fdc1a49
.xword 0x2a63df41e8ee6c8b
.xword 0x0c253c77c15b9988
.xword 0x7c92a6bd98345bcc
.xword 0x1708db3cfa2e4be7
.xword 0xaeb0e2a7ed2dc8ed
.xword 0x769ed3e562faff6a
_t1_rc4_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_rc4_auth_key:
.xword 0x2391d5608a6a831a
.xword 0x50c6064a5f5c293f
.xword 0x329695cc78b1057b
.xword 0x1256d99a3b3c9bb5
.xword 0xbedc087cd2aa9bbf
.xword 0x5298c8cbfb1c097a
.xword 0x4341f60b6b1195df
.xword 0x9e409a7f480e8c56
.xword 0x97874fed8f7568a5
.xword 0x417c5bb92569c499
.xword 0x315e8070c4f034da
.xword 0x93700e0db0b6685e
.xword 0x0c8e703c99381cd3
.xword 0xd50f45da09084b43
.xword 0x52a7cb9e7d47b7b9
.xword 0x953b89ff1396bd84
.xword 0x42bf7968fe769eb9
.xword 0x0be02dd97c65ef88
.xword 0x8c61acdc1f0f1d1c
.xword 0xb5e42c1e7742f43e
.xword 0x0edff8a30683444d
.xword 0xf5f0b72e43cbddd0
.xword 0x821668c2dd13e6d3
_t1_rc4_auth_iv:
.xword 0x78eeb08fad3858cc
.xword 0x7eb9ad9b8deb8c51
.xword 0xd937059079f07d77
.xword 0xa8e0a0be40190cf3
.xword 0xcf4c00c41eda7b29
.xword 0x98502bc000087939
.xword 0xac834651067d5530
.xword 0x910e870d5e38fb47
.xword 0xb19620bf1ff23d7a
.xword 0xd559d8f34544fd9c
.xword 0x000e401475628ca5
.xword 0x128d66f57e827b54
.xword 0x48112f0f9dada2e8
.xword 0x78bac5e9adde6d85
.xword 0x129e1bb9db9b263d
.xword 0x18eefa2c6ec65703
.xword 0x4c59d99ed579f84f
.xword 0x3c33c9fa3f6d92a5
.xword 0x07deed3f5359ff9e
.xword 0xdb93271e5a2185e5
.xword 0x88d83559d3aaf41b
.xword 0x88d0f8159c06556b
.xword 0x495bf97e43b8f94a
_t1_rc4_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_key_array:
.xword 0xb622da30a1853a8c
.xword 0xfe8f30b4c93c6391
.xword 0x2bdcb868c92f72c9
.xword 0x50ced67174daeded
.xword 0x18f30e4e1676f5ed
.xword 0x28874be97be1605c
.xword 0x7e735041c0ba2e46
.xword 0x69285aa84362b2ed
.xword 0xcba2cbe4f733d8a0
.xword 0xbd9f53d7193b4e14
.xword 0x80877c736c367495
.xword 0x7b4acc65133d79cd
.xword 0xb2f786c732e1daa6
.xword 0x29bf696d6eee7dae
.xword 0xe6209c1aa532fe6c
.xword 0x0f5f2350ff937a51
.xword 0xbe969f0e13ea54bc
.xword 0x782a8f5118ab282b
.xword 0xe91c7ffa30560c69
.xword 0xde937572fa6e9d1c
.xword 0xecc5b90dff29755e
.xword 0x0f25e0327ffae8a7
.xword 0x0b632ec1cb9ec012
.xword 0x0c29aa8052fa73d5
.xword 0xe45cfcd901f4eecb
.xword 0xf30d9318cde33758
.xword 0xb7501de3a4b900e6
.xword 0xd6bbd29dc6183738
.xword 0x56642909f973e531
.xword 0xdf38875b11072ca1
.xword 0xace5f04d1277dcc0
.xword 0x83ad64a574ab236f
.xword 0x8bcd6f54b8ef7c5f
.xword 0x37807aac1e9e532b
.xword 0xa6e128f454904f5c
.xword 0x8155d9ec72ba4e5a
.xword 0x897d69bf1f951291
.xword 0x45354bb4a3f26c52
.xword 0xe65d55e75bbdc44c
.xword 0x04b4c01611da67db
.xword 0x371fb11d0980dd6a
.xword 0xf6f917a3f1aa0c05
.xword 0xa1fe3a3ff68e3291
.xword 0x12ab318ae7eb5697
.xword 0x0da8bb5510bdbf6e
.xword 0x750c85a5f81938db
.xword 0xd5d8715ad5730a5a
.xword 0xb17b830ee0cb46d0
.xword 0xf2ff8fc34321b9e2
.xword 0xcbb9ee7486e0a0e4
.xword 0xeff3c3ed71d40057
_t1_sslkey_iv_array:
.xword 0x5fc8592dc80e0c22
.xword 0x5f42278da086ef1a
.xword 0xfabfbe1a9fe7218c
.xword 0xc2b7997beb192771
.xword 0x016cdcc347b31612
.xword 0xd57313ffd86665ce
.xword 0x32aad35b4491b63e
.xword 0x922b2fb53e1c4fc3
.xword 0xd6f6d8bdb820caf4
.xword 0x40979978e8ca3ce9
.xword 0x93858bd408deef1d
.xword 0x77cf78e07f5af1f7
.xword 0x1320353ed8b88fdb
.xword 0xd8b2492b544edfd7
.xword 0x5f71a276e1eaf831
.xword 0xb870699084715996
.xword 0xaa661efd5a5fbadf
.xword 0xb8b1b855971626eb
.xword 0x85c4e5f11f31cc87
.xword 0x7753549337637f9d
.xword 0x407dd587b268bd03
.xword 0xf27b99eac007741b
.xword 0x5c201bee828893b5
.xword 0xa84d233d08b0d453
.xword 0x7b1e508a6b66e888
.xword 0x5705bf35b3f37567
.xword 0x5eaedd2b6fe1ee6f
.xword 0x3743575bd691605b
.xword 0x6d8fed4c17aa983d
.xword 0x98fc4a3e0730dd7d
.xword 0x300c58d6c81bdd4f
.xword 0xb18da18204f513d8
.xword 0x2e38244aacb4485f
.xword 0xdb34ed11ed97a85c
.xword 0x47545abbbde6cc2e
.xword 0x2b631366603f6f75
.xword 0x7861fc53e9be8588
.xword 0xf68543a239191914
.xword 0x65acd74519ab990d
.xword 0xadcfd0c72e45e733
.xword 0xc730acde89bd9ab4
.xword 0x753aa9e0bd8efe60
.xword 0x663b4644234b6d27
.xword 0x1e41cdfc6fb5439a
.xword 0x7a59ecf55680237c
_t1_sslkey_alignment_array:
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
.xword 0
_t1_sslkey_src:
.xword 0x358982670b4adf90
.xword 0x7ac273d5abbf39a6
.xword 0x7a1d1008f49cef84
.xword 0x7dc7f668363aa49d
.xword 0x2cc159084f91a919
.xword 0x7b50704d5647ded7
.xword 0xa2698ff0f313787d
.xword 0x8dc11fee82d24330
.xword 0x206a5cffd5173771
.xword 0x5817e4cfc47c2765
.xword 0x70557f69578fdc3d
.xword 0x08766c9e792c5c56
.xword 0x83a07de50a03ff23
.xword 0x83f08d28f7bc617d
.xword 0xf033c43926910c4c
.xword 0x5c15ada8194bf63c
.xword 0x7b17350f5ab649ea
.xword 0xdadf1becfe1f4369
.xword 0x2feeedb2b3b5d624
.xword 0x1216c53522a93b60
.xword 0x87773b6e11f0d591
.xword 0x844b41067d467163
.xword 0xcc0724d9e4f85440
.xword 0x37450b45102eb0db
.xword 0x287fc36810d009e4
.xword 0x06369a2a9f6fabcd
.xword 0x2d4085b37c20ed67
.xword 0xb73842d708929d35
.xword 0xe8949ffd435add4d
.xword 0x6af5ea6717fb1324
.xword 0x178d106cd4c127ac
.xword 0x0d802738e42aac56
.xword 0xd9fe37f4fe3ee7eb
.xword 0x8d73f51fa2b08a0e
.xword 0x48e4ed498c438821
.xword 0xbfa825b9f378cced
.xword 0x501bb3542c53baf7
.xword 0x02bd755266a1ca46
.xword 0x00639af84ebfe881
.xword 0xa6684d06aeadd9d2
.xword 0x6d7e65ce5c0ca77a
.xword 0xe5f61424e349b0d9
.xword 0x5ba9a100baa4e7ce
.xword 0xa4676343d0454c78
.xword 0x75b8f7565fade856
.xword 0x8c770acb3021be5f
.xword 0x7ec03d26ca68109b
.xword 0x902ed5b99fe5c155
.xword 0xeb058044eca41487
.xword 0x04f7268de5f1914d
.xword 0xd1a3d776e63cd65f
.xword 0x0f781240e37b7cb3
.xword 0x598aa2e48de62c80
.xword 0x6bbb2b54cbc232e8
.xword 0xd84b4f4411356979
.xword 0x4d37d36afa78dcc6
.xword 0x8ae6185e58840fae
.xword 0x366975b1f74cae3c
.xword 0x785ea746c87a1a9f
.xword 0x43a43b2865806e16
.xword 0x22ebd3533bb915a9
.xword 0x36fe96d0b2a47c96
.xword 0x1442ac1ca9174e96
.xword 0xe73a390ad94731fc
.xword 0x8adff494e841a888
.xword 0xb81ecbba8346e96e
.xword 0x0b9a455c77ab618e
.xword 0x3fbd42352cf6948b
.xword 0xac16c43c9628def5
.xword 0x2c59824c8f7c2ee5
.xword 0x2eafd5c330859224
.xword 0x4c16fd403e3fd2fe
.xword 0xaa7058d21a1037b7
.xword 0x89f33de3c2fa75fb
.xword 0x8519808d0864acb2
.xword 0xfb6ebe26483a8b96
.xword 0x434bb6e3a4799426
.xword 0x14bfddd63cb51521
.xword 0xd8b029c88e5d3682
.xword 0x05b4ecbe93b2592a
.xword 0x4c3790cd15173383
.xword 0x7b36f17b2e0962d5
.xword 0xcd16bb27e1829676
.xword 0x1f634d1dbceca85c
.xword 0xfbe87128483be310
.xword 0xf781f3a4f9be21e2
.xword 0x3f773db53beb8f1f
.xword 0xfd3bd342037afe89
.xword 0x045f51bfa4d13b9f
.xword 0x77dfcd6d33e7f68a
.xword 0xe20957764b4fa9e4
.xword 0x0cfba215f39b61db
.xword 0x9d023e745eab7dcb
.xword 0xeb8d7d82d21cdcfc
.xword 0x8fff896bad518340
.xword 0x4d79564ae0dadb85
.xword 0x8669431acd1ce58b
.xword 0x43db974e02fa1ac2
.xword 0xd7413f887a39d54a
.xword 0xff854bc6d98fec10
.xword 0x91a3f38bbf18568a
.xword 0x22f906a9bae06e09
.xword 0x3123e1de33515f27
.xword 0x3ab30d106f8efdc8
.xword 0x1a40149b01b4604c
.xword 0x26fe48e43d94970f
.xword 0x32188d258d8429e3
.xword 0x2bb43a152440cbdd
.xword 0x4480acfb7f96b8a4
.xword 0xc5f1efe619f2c10f
.xword 0x12908e2090e306e7
.xword 0xffafd20a55d5594a
.xword 0xa0b009f937aafd24
.xword 0x56cd4e7b3ba6dae7
.xword 0x1357aa73fbe0489e
.xword 0xfd8374fd63391a12
.xword 0xaa142b8d3925ca97
.xword 0xfd05f45113dac583
.xword 0x6ee410a33b4c3add
.xword 0x29410e6317934351
.xword 0x178551ea278c83f9
.xword 0x1ef5d00e973f66b0
.xword 0x93d55538ecc49d92
.xword 0x10b6c9c93df00eb7
.xword 0xce3e27e7f45885a7
.xword 0xdf0389bb403c2bff
.xword 0x841ded47f4b9339a
.xword 0xbc0f84f45d018e18
.xword 0xf65dde84a0c5ed65
.xword 0xcc0d845bb21b9824
.xword 0xaf048e827ebbab7d
.xword 0xd619129409eda738
.xword 0x223f914aeb6ad0a0
.xword 0x2b1d96c43d0badcf
.xword 0x282846b1ec935b4c
.xword 0xad3d174c2d4c9b95
.xword 0xdd93a3b11ebb8d5c
.xword 0x3c42007645e1b0a2
.xword 0x8d79a369f89c022e
.xword 0x97a1d9d354b11065
.xword 0x46ccc29467c3e4ec
.xword 0x1d7f629020d2d015
.xword 0x4da8632949dbdf2a
.xword 0xd738041ab82a4c00
.xword 0x3f5191d076910128
.xword 0x3a0dddb66e5ea666
.xword 0xba4c54e3056ec1f0
.xword 0x3550111688ca811d
.xword 0xfed7d93c7c911838
.xword 0x80ea6ea85e96e712
.xword 0xe6e2768246ef00c1
.xword 0x83f914b961f9039a
.xword 0xa8546d6110578789
.xword 0xfd2ed1f92d2f5033
.xword 0xe943b115729703ae
.xword 0x3a5b68efe740652c
.xword 0x7d73847a90038572
.xword 0x4b20edb1dcbb7273
.xword 0x74f86d2bd5d5de9a
.xword 0x61fccda0d5e9138d
.xword 0x3d5eb715f6dfb455
.xword 0x7b74c1b7b9d4d118
.xword 0x934ba3a6680cb9e5
.xword 0x69e7d37e499aa2de
.xword 0x3ee1c7073d8addd2
.xword 0x61815c691cc9ec2f
.xword 0x7533b029f4a99f22
.xword 0x132072c3cc6135e4
.xword 0xf1f2ff7f3cd08884
.xword 0x1b3879b83fb176fb
.xword 0xe94ea3657a6bf188
.xword 0x0750785036320c19
.xword 0x4e0a07aacf784459
.xword 0x6b6f3eb2557968b7
.xword 0x76a95c77855ff16f
.xword 0xbe4b21127f757d75
.xword 0x7523e52f8e0a21e0
.xword 0x05fd112bdb277936
.xword 0xd19c2e18bc9dd4a8
.xword 0xd503154f8dd94843
.xword 0xecfe13090241ffa0
.xword 0xce663e965679267c
.xword 0x50b496cd11b39cac
.xword 0x20e71331da00fd09
.xword 0x0949039e81c2551e
.xword 0x8c5c0d664f5d03ac
.xword 0x3141ad3933a95400
.xword 0x65c218276d1e5d3b
.xword 0x5ea50f6a4e564058
.xword 0x0e94bde9e71985dd
.xword 0x3bd58facab2c1314
.xword 0xedbcf7d1ebf02bd6
.xword 0x833c5340f8e7ca4f
.xword 0x017546cc5cdf3796
.xword 0x4a8063d5058cb15f
.xword 0x349526a979154e42
.xword 0x0d6cf9d4bb529997
.xword 0xea217d9c78111091
.xword 0xac0f6a9d281350dd
.xword 0x457bc3ef7291136c
.xword 0x4290773ccc343d1b
.xword 0xe31ab54571426c5f
.xword 0x474e14ffb267b2fa
.xword 0xf00eb8bfc8d6a136
.xword 0xb1753401c9781094
.xword 0x6f9c95b66d6feab9
.xword 0x572630e1540d55de
.xword 0x4d074d255b9c1e64
.xword 0x473dc5943ee04ab9
.xword 0xbadba096ca87e6ce
.xword 0x958524988493643b
.xword 0x5fafb764b2da3bd6
.xword 0x91ebbbfff4eb7ad3
.xword 0x863134759af822ba
.xword 0x40a9823fd6ffb79a
.xword 0xed716ed7eeccd4f6
.xword 0x08cd7335b717287b
.xword 0x243713d854ffab96
.xword 0xcfd36fc7cb28024c
.xword 0xee268884d0c7acbb
.xword 0x24d576e1a3ede03b
.xword 0x13eeb3c38a16aa58
.xword 0x9389cbf4b81e9928
.xword 0x7909899391087b70
.xword 0x6f0142bff3ea4c06
.xword 0x627a6fde870fc7df
.xword 0x26b020bb2833957c
.xword 0x3b4a291a0f6dca3d
.xword 0x1f2160ab94152fe5
.xword 0x1b5a6637ce52a494
.xword 0x66b46d998c77df29
.xword 0x0654e64834fd783c
.xword 0x89c224a3d83c320c
.xword 0xc3ebb62c01ea31b1
.xword 0x803b5e5fe2f07b14
.xword 0xb41e2038d97a2b0a
.xword 0x23aca3043ee31c18
.xword 0x3dd54ff54a366e2a
.xword 0xc7513e7cb090e3f3
.xword 0x902a8b1c4daabe4b
.xword 0x22b17a673f521a19
.xword 0x29564dd072fd7d23
.xword 0xb5a9e3f307379436
.xword 0x3db95d4bfb284e37
.xword 0x74aecfe3276b9a23
.xword 0x9b3f1ae6810e1be2
.xword 0x18508858401a9a48
.xword 0x0fd712553e7ba233
.xword 0x5fddd14504c3f28d
.xword 0x08384e6bfe54f699
.xword 0xe266f5d25a524f87
.xword 0xecca08a7e834c129
.xword 0xb2544920e4688f80
.xword 0xf9d5482814f94828
.xword 0x03b1ca2380eed71a
.xword 0x582aa769d349f5fc
.xword 0x4b6893bd686dfe76
.xword 0x87283f39833c17e4
.xword 0x02a39b80d468819d
.xword 0xa2347872bd213245
.xword 0xee9f1575b2becaa1
.xword 0xc7aff0d5de770fb0
.xword 0xbdb3595599347e3d
.xword 0x2f89fdfb3eb6d0eb
.xword 0x2be8c179b51b57f7
.xword 0x48616b7de56b4f3b
.xword 0x40b33df30c9a9578
.xword 0xeb654dc8de2d8f78
.xword 0xc8603a0d34be454d
.xword 0xe957ca620bdf3e4f
.xword 0x52fb022b2bc26f35
.xword 0x4d805cb8f01a8e26
.xword 0xca6e6894ba6b4514
.xword 0x886d0591bff13c19
.xword 0xfec21712194c0c09
_t1_sslkey_dest:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_sslkey_auth_key:
.xword 0x38a2f6f2f4778e0f
.xword 0xb34f22bab0d77ec9
.xword 0x05b23b7e4cf80d48
.xword 0xa8165a2470f694b8
.xword 0x6f671a22b2dd6fd6
.xword 0xd0b3b7f68f697ad9
.xword 0x629bbaa6bbae4acf
.xword 0x9f753895d5d61a7a
.xword 0xc91f1208ef178e84
.xword 0xd0f2e3a14cdac7a1
.xword 0xed41b670f5d22185
.xword 0x30a8a6ffab1c1c8b
.xword 0xdce06f3be2a60cd8
.xword 0x930e1c8043966c6b
.xword 0xfcb3fa43162c0509
.xword 0xd3463136bc910213
.xword 0x8dcbf6444462bb2a
.xword 0xa8f7928cbc6c8aad
.xword 0x67143ca3cb85eb2e
.xword 0xc4cb4d4f41474111
.xword 0x680a1d2a434209ac
.xword 0x0e7a9f82be539aa1
.xword 0x6f948788236306e1
_t1_sslkey_auth_iv:
.xword 0x9bd9f4cbd88065b5
.xword 0xd84aaafeabca2177
.xword 0x8d3ddec374c2080e
.xword 0x3d67a1b872620833
.xword 0xe5b4771757032db2
.xword 0x3f4b2e646cbe7588
.xword 0x000585ceee955521
.xword 0x57a092d61a42369c
.xword 0xde605f4e9eb18914
.xword 0xc0d494cf0cb2429f
.xword 0x493caea3d539511c
.xword 0x3639c5142b99038f
.xword 0xffb35c343d8791d4
.xword 0x88cab1fa57834a63
.xword 0x9fa6f950c5a6920c
.xword 0xe709c49998079d65
.xword 0xa228c29cef27d218
.xword 0x7c04174f023195a9
.xword 0x5bbef58bd7c1204b
.xword 0x56e86b5fd8e97713
.xword 0xb634e990cfb4fe2c
.xword 0x6e1a35ed1466a210
.xword 0x47e81ead3f07e41b
_t1_sslkey_fas_result:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
_t1_aes_toc:
.xword _t1_aes_cwd_array
.xword _t1_aes_src
.xword _t1_aes_auth_key
.xword _t1_aes_auth_iv
.xword _t1_aes_fas_result
.xword _t1_aes_key_array
.xword _t1_aes_iv_array
.xword _t1_aes_dest
.xword _t1_aes_alignment_array
_t1_des_toc:
.xword _t1_des_cwd_array
.xword _t1_des_src
.xword _t1_des_auth_key
.xword _t1_des_auth_iv
.xword _t1_des_fas_result
.xword _t1_des_key_array
.xword _t1_des_iv_array
.xword _t1_des_dest
.xword _t1_des_alignment_array
_t1_copy_toc:
.xword _t1_copy_cwd_array
.xword _t1_copy_src
.xword _t1_copy_auth_key
.xword _t1_copy_auth_iv
.xword _t1_copy_fas_result
.xword _t1_copy_key_array
.xword _t1_copy_iv_array
.xword _t1_copy_dest
.xword _t1_copy_alignment_array
_t1_crc_toc:
.xword _t1_crc_cwd_array
.xword _t1_crc_src
.xword _t1_crc_auth_key
.xword _t1_crc_auth_iv
.xword _t1_crc_fas_result
.xword _t1_crc_key_array
.xword _t1_crc_iv_array
.xword _t1_crc_dest
.xword _t1_crc_alignment_array
_t1_hash_toc:
.xword _t1_hash_cwd_array
.xword _t1_hash_src
.xword _t1_hash_auth_key
.xword _t1_hash_auth_iv
.xword _t1_hash_fas_result
.xword _t1_hash_key_array
.xword _t1_hash_iv_array
.xword _t1_hash_dest
.xword _t1_hash_alignment_array
_t1_hmac_toc:
.xword _t1_hmac_cwd_array
.xword _t1_hmac_src
.xword _t1_hmac_auth_key
.xword _t1_hmac_auth_iv
.xword _t1_hmac_fas_result
.xword _t1_hmac_key_array
.xword _t1_hmac_iv_array
.xword _t1_hmac_dest
.xword _t1_hmac_alignment_array
_t1_rc4_toc:
.xword _t1_rc4_cwd_array
.xword _t1_rc4_src
.xword _t1_rc4_auth_key
.xword _t1_rc4_auth_iv
.xword _t1_rc4_fas_result
.xword _t1_rc4_key_array
.xword _t1_rc4_iv_array
.xword _t1_rc4_dest
.xword _t1_rc4_alignment_array
_t1_sslkey_toc:
.xword _t1_sslkey_cwd_array
.xword _t1_sslkey_src
.xword _t1_sslkey_auth_key
.xword _t1_sslkey_auth_iv
.xword _t1_sslkey_fas_result
.xword _t1_sslkey_key_array
.xword _t1_sslkey_iv_array
.xword _t1_sslkey_dest
.xword _t1_sslkey_alignment_array
_t1_table_of_context7:
.xword _t1_aes_toc
.xword _t1_des_toc
.xword _t1_copy_toc
.xword _t1_crc_toc
.xword _t1_hash_toc
.xword _t1_hmac_toc
.xword _t1_rc4_toc
.xword _t1_sslkey_toc
!# CWQ data area, set aside 512 CW's worth
!# 512*8*8 = 32KB
.align 32*1024
_t1_cwq_base7:
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.xword 0xAAAAAAAAAAAAAAA
.align 32*1024
_t1_cwq_last7:
SECTION .T_MAX_DATA DATA_VA=0x10000000
attr_data {
Name = .T_MAX_DATA
hypervisor
}
.data
_t2_user_data_start:
_t2_scratch_area:
.align 8
.global _t2_ma_operands
_t2_ma_operands:
.xword 0xdc2d7e0dda0d2b1c
.xword 0x432c6d7f6453dfad
.xword 0xa845e69b29c80b0f
.xword 0xec83ca3b36ebb70c
.xword 0x5d14c3b38434e54e
.xword 0x65228e95936775cc
.xword 0xa3407deef6822060
.xword 0x885f00490b7e1aee
.xword 0x1f3443ed053754c2
.xword 0xc753482d9b24aaaf
.xword 0x1e4e43d1d13460ac
.xword 0x5b47a2a438d24eb2
.xword 0xd71c6314fb7ad8f7
.xword 0xb903309d64e790d4
.xword 0x411840aeac3187ca
.xword 0x777e9932b2543f76
.xword 0xa735367870f36f1a
.xword 0x74a58bf0b22c30c4
.xword 0x8d305e6b7709a923
.xword 0xc258239aba577e4e
.xword 0xa46f8f7ccb9f3435
.xword 0xe943043a6222bf54
.xword 0x05d2c064f8dabdca
.xword 0x2435b896eb5c1b3d
.xword 0x0e7b9b4b768177b1
.xword 0x9a9e7d26ad03f48d
.xword 0x8dc5b6fc380f634c
.xword 0xe135e84ddc2c4b53
.xword 0xd0a5b6d59a7993dd
.xword 0x911e9db3b5756fff
.xword 0x33ba8e798034d4dc
.xword 0x59acebd1d48ef13a
.xword 0x9f593b183947c583
.xword 0x18063358295a26ed
.xword 0xcf4948e41e49429b
.xword 0xd62caa06ca17e6e7
.xword 0x5f3006739f078ebc
.xword 0x30fa224504f7239a
.xword 0xce4fa769d1060c2c
.xword 0x626c68c2b964f33a
.xword 0xe044001558cc9cf7
.xword 0x758dc46abf454216
.xword 0xf1b6ce2b134f7679
.xword 0xef7bcb69bdb409e4
.xword 0x3f630dfd306c01a8
.xword 0x21208948f0ff1529
.xword 0xd264c55994817454
.xword 0x114ec8dd63faa344
.xword 0x0cd30944e032d7b6
.xword 0x3e8fa3d90806219b
.xword 0x0484b948041fe4ad
.xword 0xde8d1287e279adab
.xword 0x3fb74453e2a42a83
.xword 0xadf4a9eca925135a
.xword 0xc0cf85c86753868e
.xword 0xcab6084ba8d3e705
.xword 0x54f5d8dd2925b7f8
.xword 0x87c0aa8b509688ad
.xword 0xc5021a6d588a5036
.xword 0xcbcff33db46d715e
.xword 0x82ccf60b6892603d
.xword 0xa63db66204926729
.xword 0xe928e2ad39cd1741
.xword 0xdaf44b5ef2a6d615
.xword 0xd1621247e64d0268
.xword 0x3405fafa0df30cf7
.xword 0x00f7522d0bcc1546
.xword 0xefb02b11e2279c60
.xword 0x114b5e477ff04d88
.xword 0x7c6c0aaa993d2e76
.xword 0x35bc68872e5f1dac
.xword 0xc86691dc3201883c
.xword 0x2313fd1039eb96e1
.xword 0x18025f34c5f271ea
.xword 0xf874a72a428dff9f
.xword 0x1c0a4137de5a2c56
.xword 0x5c794186917a3d90
.xword 0xb83574d20f65217f
.xword 0x13ef90dae20c7ff5
.xword 0x33f284b813f84ac7
.xword 0xdd6cbe41f3f90809
.xword 0x5984126a03ae6dc6
.xword 0xa7de68bd6f69cb98
.xword 0xe0270b594c7523d2
.xword 0x908726eda78ba9ef
.xword 0xbd04cf4204045c99
.xword 0x904e871678755593
.xword 0xa218bfc2bf661945
.xword 0x8812ddea83b78885
.xword 0x1bb9adbb3532e213
.xword 0x7baa9b6d8ca7fb34
.xword 0x919816603e688d1f
.xword 0xb584de36f85e6efc
.xword 0x501fbd6635a41281
.xword 0x763278a556cb3dd0
.xword 0x852733525f1daf3f
.xword 0x4caa1346752368f0
.xword 0x2931bf9616a6c473
.xword 0xa5a82c6f3b108bbb
.xword 0x520e1b945015bcb4
.xword 0x176bb9803701a96b
.xword 0x13cd336c5ae74fa2
.xword 0x13d5ba117d105fff
.xword 0x49cd543d7fefca6e
.xword 0xfb845cb62266b449
.xword 0x7207b2a96675ef8e
.xword 0x911ae91b2dd60636
.xword 0xa1eded4b6d4d8799
.xword 0x195c49e2e73175c0
.xword 0x2133c3e9fdea9ea7
.xword 0x1d0cf41af4ae3503
.xword 0x2247d98d1e05f713
.xword 0xc43d6e7c62d42a06
.xword 0xc1df5421999afbe8
.xword 0x1464754e28c38c68
.xword 0xad5c4b44fe05e709
.xword 0x37da59fb21006bce
.xword 0x33c9922ff44ba6b4
.xword 0xe47191f7f3f7ae27
.xword 0xe865eb188c738f17
.xword 0x6fe68b26e8015c7f
.xword 0x76a77d6b8d387bd7
.xword 0x47b31b32b211f1ee
.xword 0x583b4c64048b8e3b
.xword 0x374500b16df3e342
.xword 0xc186f499a07af069
.xword 0x0f70d8a61b13817e
.xword 0x2cee10e116aa2c77
.xword 0xa3f7ef1934c7a2d0
.xword 0x5072bb32c6a3edf0
.xword 0x5bf2ac985e7941c6
.xword 0x287587d9b6131d3a
.xword 0x699f270f8aa985c5
.xword 0x80e73d5fd306cf50
.xword 0x6b3cc34da298a5a4
.xword 0x730e21519e4f6776
.xword 0x3283533f35f8d3b6
.xword 0xf2e2c7b5feb8df8b
.xword 0x4b73ea354ca699e6
.xword 0x4bce8782594f9911
.xword 0xc96eeaab1551ec29
.xword 0x262415d2fec75db8
.xword 0xfd86548a18f3ace0
.xword 0x92384b119bff8501
.xword 0xeea1cfc0dd5473cd
.xword 0x01df8684b6b437cd
.xword 0x52dced4ac42125a9
.xword 0x31a7fa52c2361be2
.xword 0x71d4e4f163b015ed
.xword 0x6de1be9ff0016def
.xword 0xd9b2323ab54c2c9d
.xword 0xf3f6436c8ef5b655
.xword 0xe14fa2ed8d2abd27
.xword 0xc2cd4cba0be9cb0d
.xword 0xe7c36b76b4e96bfb
.xword 0x6feabc3bcfe05a11
.xword 0x853f72adf6091455
.xword 0xdc69ecdcebe2605a
.xword 0xddc4b6238484b6d7
.xword 0x5134258c7a8e20cc
.xword 0x6ac59f471130e0f6
.xword 0x11e4484cc4a4f054
.xword 0x013d038117a24973
.xword 0xb9a88c8833843b64
.align 8
.global _t2_ma_operations
_t2_ma_operations:
.xword 0
.xword 0x00020098 | (0 << 18) !ma_ctl_Ld (0)
.xword 0
.xword 0x00020175 | (0 << 18) !ma_ctl_St (0)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (0)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (0)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (0)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (0)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (0)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (0)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (0)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (0)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (0)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (0)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (0)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (0)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (0)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (0)
.xword 0
.xword 0x0002008c | (0 << 18) !ma_ctl_Ld (1)
.xword 0
.xword 0x0002014d | (0 << 18) !ma_ctl_St (1)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (1)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (1)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (1)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (1)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (1)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (1)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (1)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (1)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (1)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (1)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (1)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (1)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (1)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (1)
.xword 0
.xword 0x00020095 | (0 << 18) !ma_ctl_Ld (2)
.xword 0
.xword 0x0002014d | (0 << 18) !ma_ctl_St (2)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (2)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (2)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (2)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (2)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (2)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (2)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (2)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (2)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (2)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (2)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (2)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (2)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (2)
.xword 0
.xword 0x0002110f | (0 << 18) !ma_ctl_StParity (2)
.xword 0
.xword 0x0002008d | (0 << 18) !ma_ctl_Ld (3)
.xword 0
.xword 0x00020150 | (0 << 18) !ma_ctl_St (3)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (3)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (3)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (3)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (3)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (3)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (3)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (3)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (3)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (3)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (3)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (3)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (3)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (3)
.xword 0
.xword 0x00021103 | (0 << 18) !ma_ctl_StParity (3)
.xword 0
.xword 0x00020042 | (0 << 18) !ma_ctl_Ld (4)
.xword 0
.xword 0x00020128 | (0 << 18) !ma_ctl_St (4)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (4)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (4)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (4)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (4)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (4)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (4)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (4)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (4)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (4)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (4)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (4)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (4)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (4)
.xword 0
.xword 0x00021118 | (0 << 18) !ma_ctl_StParity (4)
.xword 0
.xword 0x0002008d | (0 << 18) !ma_ctl_Ld (5)
.xword 0
.xword 0x00020142 | (0 << 18) !ma_ctl_St (5)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (5)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (5)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (5)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (5)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (5)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (5)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (5)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (5)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (5)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (5)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (5)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (5)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (5)
.xword 0
.xword 0x00021100 | (0 << 18) !ma_ctl_StParity (5)
.xword 0
.xword 0x00020040 | (0 << 18) !ma_ctl_Ld (6)
.xword 0
.xword 0x00020174 | (0 << 18) !ma_ctl_St (6)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (6)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (6)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (6)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (6)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (6)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (6)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (6)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (6)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (6)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (6)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (6)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (6)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (6)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (6)
.xword 0
.xword 0x00020079 | (0 << 18) !ma_ctl_Ld (7)
.xword 0
.xword 0x00020160 | (0 << 18) !ma_ctl_St (7)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (7)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (7)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (7)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (7)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (7)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (7)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (7)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (7)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (7)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (7)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (7)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (7)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (7)
.xword 0
.xword 0x0002110b | (0 << 18) !ma_ctl_StParity (7)
.xword 0
.xword 0x00020096 | (0 << 18) !ma_ctl_Ld (8)
.xword 0
.xword 0x0002017e | (0 << 18) !ma_ctl_St (8)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (8)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (8)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (8)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (8)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (8)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (8)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (8)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (8)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (8)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (8)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (8)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (8)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (8)
.xword 0
.xword 0x00021101 | (0 << 18) !ma_ctl_StParity (8)
.xword 0
.xword 0x00020061 | (0 << 18) !ma_ctl_Ld (9)
.xword 0
.xword 0x00020185 | (0 << 18) !ma_ctl_St (9)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (9)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (9)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (9)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (9)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (9)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (9)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (9)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (9)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (9)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (9)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (9)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (9)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (9)
.xword 0
.xword 0x00021106 | (0 << 18) !ma_ctl_StParity (9)
.xword 0
.xword 0x00020048 | (0 << 18) !ma_ctl_Ld (10)
.xword 0
.xword 0x00020123 | (0 << 18) !ma_ctl_St (10)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (10)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (10)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (10)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (10)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (10)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (10)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (10)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (10)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (10)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (10)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (10)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (10)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (10)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (10)
.xword 0
.xword 0x0002009c | (0 << 18) !ma_ctl_Ld (11)
.xword 0
.xword 0x00020139 | (0 << 18) !ma_ctl_St (11)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (11)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (11)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (11)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (11)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (11)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (11)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (11)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (11)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (11)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (11)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (11)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (11)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (11)
.xword 0
.xword 0x00021108 | (0 << 18) !ma_ctl_StParity (11)
.xword 0
.xword 0x00020045 | (0 << 18) !ma_ctl_Ld (12)
.xword 0
.xword 0x0002014b | (0 << 18) !ma_ctl_St (12)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (12)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (12)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (12)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (12)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (12)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (12)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (12)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (12)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (12)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (12)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (12)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (12)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (12)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (12)
.xword 0
.xword 0x0002004a | (0 << 18) !ma_ctl_Ld (13)
.xword 0
.xword 0x00020190 | (0 << 18) !ma_ctl_St (13)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (13)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (13)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (13)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (13)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (13)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (13)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (13)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (13)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (13)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (13)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (13)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (13)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (13)
.xword 0
.xword 0x0002110b | (0 << 18) !ma_ctl_StParity (13)
.xword 0
.xword 0x00020050 | (0 << 18) !ma_ctl_Ld (14)
.xword 0
.xword 0x00020124 | (0 << 18) !ma_ctl_St (14)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (14)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (14)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (14)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (14)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (14)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (14)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (14)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (14)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (14)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (14)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (14)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (14)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (14)
.xword 0
.xword 0x00021109 | (0 << 18) !ma_ctl_StParity (14)
.xword 0
.xword 0x0002009d | (0 << 18) !ma_ctl_Ld (15)
.xword 0
.xword 0x0002013a | (0 << 18) !ma_ctl_St (15)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (15)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (15)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (15)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (15)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (15)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (15)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (15)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (15)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (15)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (15)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (15)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (15)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (15)
.xword 0
.xword 0x00021116 | (0 << 18) !ma_ctl_StParity (15)
.xword 0
.xword 0x0002009e | (0 << 18) !ma_ctl_Ld (16)
.xword 0
.xword 0x00020146 | (0 << 18) !ma_ctl_St (16)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (16)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (16)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (16)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (16)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (16)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (16)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (16)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (16)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (16)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (16)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (16)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (16)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (16)
.xword 0
.xword 0x00021114 | (0 << 18) !ma_ctl_StParity (16)
.xword 0
.xword 0x00020046 | (0 << 18) !ma_ctl_Ld (17)
.xword 0
.xword 0x00020146 | (0 << 18) !ma_ctl_St (17)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (17)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (17)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (17)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (17)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (17)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (17)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (17)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (17)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (17)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (17)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (17)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (17)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (17)
.xword 0
.xword 0x00021101 | (0 << 18) !ma_ctl_StParity (17)
.xword 0
.xword 0x0002002f | (0 << 18) !ma_ctl_Ld (18)
.xword 0
.xword 0x00020144 | (0 << 18) !ma_ctl_St (18)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (18)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (18)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (18)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (18)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (18)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (18)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (18)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (18)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (18)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (18)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (18)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (18)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (18)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (18)
.xword 0
.xword 0x00020086 | (0 << 18) !ma_ctl_Ld (19)
.xword 0
.xword 0x0002015e | (0 << 18) !ma_ctl_St (19)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (19)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (19)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (19)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (19)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (19)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (19)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (19)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (19)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (19)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (19)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (19)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (19)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (19)
.xword 0
.xword 0x00021112 | (0 << 18) !ma_ctl_StParity (19)
.xword 0
.xword 0x00020086 | (0 << 18) !ma_ctl_Ld (20)
.xword 0
.xword 0x00020183 | (0 << 18) !ma_ctl_St (20)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (20)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (20)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (20)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (20)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (20)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (20)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (20)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (20)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (20)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (20)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (20)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (20)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (20)
.xword 0
.xword 0x00021114 | (0 << 18) !ma_ctl_StParity (20)
.xword 0
.xword 0x0002003c | (0 << 18) !ma_ctl_Ld (21)
.xword 0
.xword 0x00020151 | (0 << 18) !ma_ctl_St (21)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (21)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (21)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (21)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (21)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (21)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (21)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (21)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (21)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (21)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (21)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (21)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (21)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (21)
.xword 0
.xword 0x00021108 | (0 << 18) !ma_ctl_StParity (21)
.xword 0
.xword 0x00020044 | (0 << 18) !ma_ctl_Ld (22)
.xword 0
.xword 0x00020183 | (0 << 18) !ma_ctl_St (22)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (22)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (22)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (22)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (22)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (22)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (22)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (22)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (22)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (22)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (22)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (22)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (22)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (22)
.xword 0
.xword 0x0002111e | (0 << 18) !ma_ctl_StParity (22)
.xword 0
.xword 0x00020097 | (0 << 18) !ma_ctl_Ld (23)
.xword 0
.xword 0x00020130 | (0 << 18) !ma_ctl_St (23)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (23)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (23)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (23)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (23)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (23)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (23)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (23)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (23)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (23)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (23)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (23)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (23)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (23)
.xword 0
.xword 0x00021117 | (0 << 18) !ma_ctl_StParity (23)
.xword 0
.xword 0x00020020 | (0 << 18) !ma_ctl_Ld (24)
.xword 0
.xword 0x00020147 | (0 << 18) !ma_ctl_St (24)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (24)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (24)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (24)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (24)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (24)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (24)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (24)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (24)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (24)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (24)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (24)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (24)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (24)
.xword 0
.xword 0x00021114 | (0 << 18) !ma_ctl_StParity (24)
.xword 0
.xword 0x0002002c | (0 << 18) !ma_ctl_Ld (25)
.xword 0
.xword 0x00020156 | (0 << 18) !ma_ctl_St (25)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (25)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (25)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (25)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (25)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (25)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (25)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (25)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (25)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (25)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (25)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (25)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (25)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (25)
.xword 0
.xword 0x00021105 | (0 << 18) !ma_ctl_StParity (25)
.xword 0
.xword 0x0002009b | (0 << 18) !ma_ctl_Ld (26)
.xword 0
.xword 0x00020130 | (0 << 18) !ma_ctl_St (26)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (26)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (26)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (26)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (26)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (26)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (26)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (26)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (26)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (26)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (26)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (26)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (26)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (26)
.xword 0
.xword 0x00021117 | (0 << 18) !ma_ctl_StParity (26)
.align 8
.global _t2_ma_results
_t2_ma_results:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
SECTION ._t2_T_MAX_DATA1 DATA_VA=269484032
attr_data {
Name = ._t2_T_MAX_DATA1
hypervisor
}
.data
_t2_user_data_start:
_t2_scratch_area:
.align 8
.global _t2_ma_operands1
_t2_ma_operands1:
.xword 0xfc8485f257a7274a
.xword 0x08145f82f34c41a1
.xword 0x8bdc35886d2989c2
.xword 0xa61455c5f70238cc
.xword 0xef30ae22afe94143
.xword 0x7f65700b57ed2fe5
.xword 0xa8a1515992c74fcb
.xword 0xec39038855df3fe7
.xword 0xcccb7029b4ba2b61
.xword 0xa651c861cd6d9477
.xword 0x616762bb8c1463cc
.xword 0x3462a6b238e24030
.xword 0x79562f4dc1772b8f
.xword 0x35a27b6de28fae83
.xword 0x93cb9547bfd24fc3
.xword 0xfb87fd30b32cc02d
.xword 0xb1455717aeff1691
.xword 0x698c694b04964e13
.xword 0xfee0d349126ee90b
.xword 0x4d1d0dcb0b2e3185
.xword 0x0c003c943cc205f5
.xword 0x19d796f1fc3de614
.xword 0x45b9da2f15d28128
.xword 0x82153f007f2220bd
.xword 0x886d94e1f4cc5324
.xword 0x402267ccb1747395
.xword 0x9b1201baf50b9fc1
.xword 0x1371c323b8bcfb06
.xword 0xdbbc7ebb0f4790f6
.xword 0xf02f9342e957207c
.xword 0x77305dfdf2a7fb7c
.xword 0x4169445e6389a697
.xword 0x657c67967f44db87
.xword 0x1975085c21eeac5f
.xword 0x0cddfc2cb3807bd2
.xword 0x2f13f9352f417622
.xword 0xe0d188dcf3566772
.xword 0xf696d8f7b646702b
.xword 0xf5d26dbcc8e16363
.xword 0xc79e935f3888b1de
.xword 0xd6ad7c4dbcda7030
.xword 0x1906b1561d2589b2
.xword 0x5607e6b2a0ff1cd7
.xword 0xd3f75bb24538bb55
.xword 0x67892fa1ba9ff579
.xword 0x8069518c2046864f
.xword 0x6142f237b8af7518
.xword 0x051f4788a0b63356
.xword 0x8fb31287af1c051f
.xword 0xc375841682604482
.xword 0x5562e7db2f3cc8d1
.xword 0x455e74d11568053c
.xword 0xbaabf522194a3573
.xword 0x1d53f34765fc3957
.xword 0x55461b17971a20a3
.xword 0x7c34cb50f5ffcc75
.xword 0xb733c9ad3ed68de8
.xword 0xce136555265222a4
.xword 0xcb2a168417c43e56
.xword 0xf9d5d2123d6dd81e
.xword 0x722e625830ab19cc
.xword 0x2293108dc4d2dea0
.xword 0x9c13301c9a66c985
.xword 0xd20166fc8f429540
.xword 0x760a7b9b56a95c89
.xword 0xc63605e4725d0a5b
.xword 0x553ded6ec33ffdb3
.xword 0xa4334cd427dd645c
.xword 0x91fc06a0f90cd56b
.xword 0xbece1bdbd24d75c7
.xword 0x96551da95f661cb8
.xword 0xf7fd736d7ccb8457
.xword 0xefd84584d0c8a017
.xword 0x3fd025a1c0c99344
.xword 0xa8a2be51fbd1b52d
.xword 0x71e6e124f8ed6e8f
.xword 0x1dd24307e68269df
.xword 0x214838e3ae86b3c7
.xword 0x2f784edc92488265
.xword 0xb1c2d81b7faef768
.xword 0xe49f6c93f5b260b8
.xword 0xf3e452e8d7cb3cb6
.xword 0x9553a63fd55df0b0
.xword 0x23ac2d0fe70e9d07
.xword 0x9ee37b145162398b
.xword 0x6d3a52a960be5b06
.xword 0x67e3f5f0feb7f336
.xword 0x88ba89407ed9d385
.xword 0xd95ac5d8a264b532
.xword 0xc551ba888020d558
.xword 0xe8e8900c7f93f4c8
.xword 0x9b6b4a54f261afdf
.xword 0x7302034b07aea0ca
.xword 0x3f469f18df495c72
.xword 0xfd6da84ec06c2eec
.xword 0xdfacf4dbe2de4585
.xword 0xe08f74dd83ad4a14
.xword 0x3e2ab840692fa741
.xword 0x08ab696c970b389e
.xword 0xeb105f9cd88d0912
.xword 0x8aea370a5a02fdd0
.xword 0xaecdc8f513f8944d
.xword 0x663c2f581f997531
.xword 0xf5dd7b87be55c3de
.xword 0x1c5049b42f24c01c
.xword 0x2d1b9fb9dee31048
.xword 0x4eee76becc87a863
.xword 0x9c6a1906da011d3c
.xword 0x40c6469347012358
.xword 0x23627dfb77ced37b
.xword 0x3792a18496bb613b
.xword 0x54611cdf0bc8c2b3
.xword 0x9c259790a0ff1c35
.xword 0x8cd6c06dcfa3eb5d
.xword 0x17004337af839904
.xword 0x8202cd08bf53f4ce
.xword 0xf0ace76ceaf40b02
.xword 0xc3d1a10c9cebc3eb
.xword 0x4dddfe25277620ed
.xword 0x2ccd119914e92091
.xword 0x0e87671d71031fd9
.xword 0x7018a8eab80d229a
.xword 0xa9ccf41ff29e9b93
.xword 0x1c48607a37270b4f
.xword 0x5cadcfd8a2377fd6
.xword 0x0ee72a44f42df071
.xword 0xe36819fcc7851ce8
.xword 0xb398e2f117ffef3a
.xword 0x079b51c54884b884
.xword 0x58a16a8c32e9eae2
.xword 0x2aa1edcf58290a11
.xword 0x40315e45922f1730
.xword 0x9733a970587407d2
.xword 0xfc8710a6e596c44b
.xword 0x403b6770cdfe1ab6
.xword 0x8048158d15e6a987
.xword 0x140f21e108a84899
.xword 0x4571f5092fec7145
.xword 0xd37cdcad636440a6
.xword 0x8d0e7b3614f50c5e
.xword 0xb35f7644d811335c
.xword 0x758e443727682905
.xword 0xe79c5dbc07695be7
.xword 0xc243160b08036328
.xword 0x042ee51a0c01cbb5
.xword 0xe64f88939302ee2f
.xword 0xaf5d71367ba3656f
.xword 0x0653fbb6d91cc8a5
.xword 0x24bccc064013bca2
.xword 0x84484df4df9575e1
.xword 0xa830e555d256e382
.xword 0xc7f7092db27520db
.xword 0x80885da3ab7d1e9a
.xword 0x8b617a4241da88d6
.xword 0xa82c7cbdc07adeec
.xword 0x1a1762a9025fae71
.xword 0x5bffa0a91934df53
.xword 0xeaab2c26418f0f61
.xword 0x4f408d23059fc23d
.xword 0x7684e09b4148c937
.xword 0xd20a65fe40876234
.xword 0x13a29116cc789885
.xword 0xb537cf651379774f
.xword 0x041c8c20f1cf452e
.align 8
.global _t2_ma_operations1
_t2_ma_operations1:
.xword 0
.xword 0x00020058 | (0 << 18) !ma_ctl_Ld (0)
.xword 0
.xword 0x00020149 | (0 << 18) !ma_ctl_St (0)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (0)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (0)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (0)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (0)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (0)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (0)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (0)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (0)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (0)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (0)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (0)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (0)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (0)
.xword 0
.xword 0x00021115 | (0 << 18) !ma_ctl_StParity (0)
.xword 0
.xword 0x0002002e | (0 << 18) !ma_ctl_Ld (1)
.xword 0
.xword 0x00020124 | (0 << 18) !ma_ctl_St (1)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (1)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (1)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (1)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (1)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (1)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (1)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (1)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (1)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (1)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (1)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (1)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (1)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (1)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (1)
.xword 0
.xword 0x00020079 | (0 << 18) !ma_ctl_Ld (2)
.xword 0
.xword 0x00020139 | (0 << 18) !ma_ctl_St (2)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (2)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (2)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (2)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (2)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (2)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (2)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (2)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (2)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (2)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (2)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (2)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (2)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (2)
.xword 0
.xword 0x00021105 | (0 << 18) !ma_ctl_StParity (2)
.xword 0
.xword 0x00020070 | (0 << 18) !ma_ctl_Ld (3)
.xword 0
.xword 0x0002013f | (0 << 18) !ma_ctl_St (3)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (3)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (3)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (3)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (3)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (3)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (3)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (3)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (3)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (3)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (3)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (3)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (3)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (3)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (3)
.xword 0
.xword 0x0002006c | (0 << 18) !ma_ctl_Ld (4)
.xword 0
.xword 0x00020191 | (0 << 18) !ma_ctl_St (4)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (4)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (4)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (4)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (4)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (4)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (4)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (4)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (4)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (4)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (4)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (4)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (4)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (4)
.xword 0
.xword 0x0002110c | (0 << 18) !ma_ctl_StParity (4)
.xword 0
.xword 0x0002002f | (0 << 18) !ma_ctl_Ld (5)
.xword 0
.xword 0x00020182 | (0 << 18) !ma_ctl_St (5)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (5)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (5)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (5)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (5)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (5)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (5)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (5)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (5)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (5)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (5)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (5)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (5)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (5)
.xword 0
.xword 0x0002110c | (0 << 18) !ma_ctl_StParity (5)
.xword 0
.xword 0x0002009a | (0 << 18) !ma_ctl_Ld (6)
.xword 0
.xword 0x0002013b | (0 << 18) !ma_ctl_St (6)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (6)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (6)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (6)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (6)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (6)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (6)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (6)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (6)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (6)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (6)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (6)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (6)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (6)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (6)
.xword 0
.xword 0x00020090 | (0 << 18) !ma_ctl_Ld (7)
.xword 0
.xword 0x0002012e | (0 << 18) !ma_ctl_St (7)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (7)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (7)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (7)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (7)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (7)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (7)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (7)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (7)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (7)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (7)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (7)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (7)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (7)
.xword 0
.xword 0x00021104 | (0 << 18) !ma_ctl_StParity (7)
.xword 0
.xword 0x0002008b | (0 << 18) !ma_ctl_Ld (8)
.xword 0
.xword 0x00020144 | (0 << 18) !ma_ctl_St (8)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (8)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (8)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (8)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (8)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (8)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (8)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (8)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (8)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (8)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (8)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (8)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (8)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (8)
.xword 0
.xword 0x0002110c | (0 << 18) !ma_ctl_StParity (8)
.xword 0
.xword 0x00020071 | (0 << 18) !ma_ctl_Ld (9)
.xword 0
.xword 0x0002018a | (0 << 18) !ma_ctl_St (9)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (9)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (9)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (9)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (9)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (9)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (9)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (9)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (9)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (9)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (9)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (9)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (9)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (9)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (9)
.xword 0
.xword 0x00020054 | (0 << 18) !ma_ctl_Ld (10)
.xword 0
.xword 0x00020132 | (0 << 18) !ma_ctl_St (10)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (10)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (10)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (10)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (10)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (10)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (10)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (10)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (10)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (10)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (10)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (10)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (10)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (10)
.xword 0
.xword 0x00021103 | (0 << 18) !ma_ctl_StParity (10)
.xword 0
.xword 0x00020021 | (0 << 18) !ma_ctl_Ld (11)
.xword 0
.xword 0x00020184 | (0 << 18) !ma_ctl_St (11)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (11)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (11)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (11)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (11)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (11)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (11)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (11)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (11)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (11)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (11)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (11)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (11)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (11)
.xword 0
.xword 0x0002110e | (0 << 18) !ma_ctl_StParity (11)
.xword 0
.xword 0x00020038 | (0 << 18) !ma_ctl_Ld (12)
.xword 0
.xword 0x00020142 | (0 << 18) !ma_ctl_St (12)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (12)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (12)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (12)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (12)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (12)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (12)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (12)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (12)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (12)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (12)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (12)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (12)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (12)
.xword 0
.xword 0x00021118 | (0 << 18) !ma_ctl_StParity (12)
.xword 0
.xword 0x0002006c | (0 << 18) !ma_ctl_Ld (13)
.xword 0
.xword 0x00020161 | (0 << 18) !ma_ctl_St (13)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (13)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (13)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (13)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (13)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (13)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (13)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (13)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (13)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (13)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (13)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (13)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (13)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (13)
.xword 0
.xword 0x0002110b | (0 << 18) !ma_ctl_StParity (13)
.xword 0
.xword 0x0002002f | (0 << 18) !ma_ctl_Ld (14)
.xword 0
.xword 0x00020139 | (0 << 18) !ma_ctl_St (14)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (14)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (14)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (14)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (14)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (14)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (14)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (14)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (14)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (14)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (14)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (14)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (14)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (14)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (14)
.xword 0
.xword 0x00020028 | (0 << 18) !ma_ctl_Ld (15)
.xword 0
.xword 0x00020143 | (0 << 18) !ma_ctl_St (15)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (15)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (15)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (15)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (15)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (15)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (15)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (15)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (15)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (15)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (15)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (15)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (15)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (15)
.xword 0
.xword 0x00021118 | (0 << 18) !ma_ctl_StParity (15)
.xword 0
.xword 0x00020060 | (0 << 18) !ma_ctl_Ld (16)
.xword 0
.xword 0x0002012b | (0 << 18) !ma_ctl_St (16)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (16)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (16)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (16)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (16)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (16)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (16)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (16)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (16)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (16)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (16)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (16)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (16)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (16)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (16)
.xword 0
.xword 0x0002007a | (0 << 18) !ma_ctl_Ld (17)
.xword 0
.xword 0x0002012a | (0 << 18) !ma_ctl_St (17)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (17)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (17)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (17)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (17)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (17)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (17)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (17)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (17)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (17)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (17)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (17)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (17)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (17)
.xword 0
.xword 0x00021116 | (0 << 18) !ma_ctl_StParity (17)
.xword 0
.xword 0x0002007e | (0 << 18) !ma_ctl_Ld (18)
.xword 0
.xword 0x00020135 | (0 << 18) !ma_ctl_St (18)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (18)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (18)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (18)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (18)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (18)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (18)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (18)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (18)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (18)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (18)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (18)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (18)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (18)
.xword 0
.xword 0x00021117 | (0 << 18) !ma_ctl_StParity (18)
.xword 0
.xword 0x00020036 | (0 << 18) !ma_ctl_Ld (19)
.xword 0
.xword 0x00020141 | (0 << 18) !ma_ctl_St (19)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (19)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (19)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (19)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (19)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (19)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (19)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (19)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (19)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (19)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (19)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (19)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (19)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (19)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (19)
.xword 0
.xword 0x0002006a | (0 << 18) !ma_ctl_Ld (20)
.xword 0
.xword 0x0002018b | (0 << 18) !ma_ctl_St (20)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (20)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (20)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (20)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (20)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (20)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (20)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (20)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (20)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (20)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (20)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (20)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (20)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (20)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (20)
.xword 0
.xword 0x00020074 | (0 << 18) !ma_ctl_Ld (21)
.xword 0
.xword 0x00020147 | (0 << 18) !ma_ctl_St (21)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (21)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (21)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (21)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (21)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (21)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (21)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (21)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (21)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (21)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (21)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (21)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (21)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (21)
.xword 0
.xword 0x00021117 | (0 << 18) !ma_ctl_StParity (21)
.xword 0
.xword 0x0002008a | (0 << 18) !ma_ctl_Ld (22)
.xword 0
.xword 0x00020124 | (0 << 18) !ma_ctl_St (22)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (22)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (22)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (22)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (22)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (22)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (22)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (22)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (22)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (22)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (22)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (22)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (22)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (22)
.xword 0
.xword 0x0002111a | (0 << 18) !ma_ctl_StParity (22)
.xword 0
.xword 0x0002002e | (0 << 18) !ma_ctl_Ld (23)
.xword 0
.xword 0x00020146 | (0 << 18) !ma_ctl_St (23)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (23)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (23)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (23)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (23)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (23)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (23)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (23)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (23)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (23)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (23)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (23)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (23)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (23)
.xword 0
.xword 0x00021109 | (0 << 18) !ma_ctl_StParity (23)
.xword 0
.xword 0x00020057 | (0 << 18) !ma_ctl_Ld (24)
.xword 0
.xword 0x0002015f | (0 << 18) !ma_ctl_St (24)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (24)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (24)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (24)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (24)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (24)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (24)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (24)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (24)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (24)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (24)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (24)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (24)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (24)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (24)
.xword 0
.xword 0x0002007b | (0 << 18) !ma_ctl_Ld (25)
.xword 0
.xword 0x00020129 | (0 << 18) !ma_ctl_St (25)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (25)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (25)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (25)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (25)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (25)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (25)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (25)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (25)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (25)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (25)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (25)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (25)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (25)
.xword 0
.xword 0x0002111a | (0 << 18) !ma_ctl_StParity (25)
.xword 0
.xword 0x0002008d | (0 << 18) !ma_ctl_Ld (26)
.xword 0
.xword 0x0002016b | (0 << 18) !ma_ctl_St (26)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (26)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (26)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (26)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (26)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (26)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (26)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (26)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (26)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (26)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (26)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (26)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (26)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (26)
.xword 0
.xword 0x00021103 | (0 << 18) !ma_ctl_StParity (26)
.align 8
.global _t2_ma_results1
_t2_ma_results1:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
SECTION ._t2_T_MAX_DATA2 DATA_VA=270532608
attr_data {
Name = ._t2_T_MAX_DATA2
hypervisor
}
.data
_t2_user_data_start:
_t2_scratch_area:
.align 8
.global _t2_ma_operands2
_t2_ma_operands2:
.xword 0xc364a00ea285d6bc
.xword 0x0c3ce2580efe4e8b
.xword 0x56fbac38999ed5c3
.xword 0xa7bcb26a48bc717a
.xword 0x6d6999efb605163d
.xword 0x1c5321464682b8c8
.xword 0x67dd27e83f4ef364
.xword 0xcf5c8881f16b5554
.xword 0xb20d65411deb6a1e
.xword 0x06e30493fdda9c89
.xword 0x9fe5a6916a107c45
.xword 0xdbeb7d5c9369530c
.xword 0x9dc1f0046b62c537
.xword 0xaeda6d2ac172bf3c
.xword 0xffb043685d29e213
.xword 0xa5481e20988ac837
.xword 0x771ddb331ecf6988
.xword 0x7c15c8f341310400
.xword 0xaa01d40e0550c835
.xword 0x2ebd6acf95007d07
.xword 0xcfd6d53cddfa9010
.xword 0x2757dfec8239633e
.xword 0xa5e09ffd90bd5d93
.xword 0xaadbfe54ccf7b450
.xword 0x6cd095875d43d9f3
.xword 0x11d650237480ed73
.xword 0x6dd067770be0dabf
.xword 0x4c8d13ebddf17ed1
.xword 0xb198803511727150
.xword 0xf169f6ef890597b5
.xword 0x59cc3cb79059e6c5
.xword 0x3caf635217553840
.xword 0x0061cd7ba626a300
.xword 0x0753fd8237a148c5
.xword 0x9df874de6b6ee1f9
.xword 0x12c9d4269927b49a
.xword 0x732a9f4085833cd6
.xword 0xef6ba12603671e4e
.xword 0xa688746d93a4cd3f
.xword 0xd281d49b3fe19c97
.xword 0x87f6b0834b03f83b
.xword 0x0fd6d137dabbe0cf
.xword 0xdde0e508148c7748
.xword 0xc42d073c66adf976
.xword 0x4c7abeff48aed180
.xword 0x84e012104a3ca02f
.xword 0x377d61496c5df0b2
.xword 0x6e20efbedbe2d1ef
.xword 0xe4d8c5cff29c66de
.xword 0x1d9feaae3cf0625b
.xword 0x94bc85b687199dfe
.xword 0xd5a85e7b3648d313
.xword 0x325de2587cb9cd2a
.xword 0xd1a5cca42380b4bb
.xword 0xd2f3545bbb9eb0ed
.xword 0x93d668d5e3d7005a
.xword 0x51d5da87ed4c03eb
.xword 0x53dc0c0486234878
.xword 0xfe59de7d42baf235
.xword 0x5d2de14812ed903a
.xword 0x6a47ab8323f59b1d
.xword 0x2cb360b4390e95d9
.xword 0x6baec06252615559
.xword 0x6f21a6af490660f1
.xword 0x39343166cf452d52
.xword 0xd6ca6282ccfcbc10
.xword 0xbff543cbeaaaa0dc
.xword 0x52cc5976a9fc2eab
.xword 0x624ba8326f2f6dd4
.xword 0x50c9364ae6e1c1ed
.xword 0x2d86d99cc648f380
.xword 0x1a0611c5ff96a6db
.xword 0xdb9d4b750ea1e600
.xword 0xbf66beb05b9ec4b5
.xword 0x2900c4d31efe26fa
.xword 0x11e45e063397e59f
.xword 0xa559b975c9c12b56
.xword 0x1d264113555f21e3
.xword 0x768c6269a8e8d846
.xword 0xe3f32248c8a21af7
.xword 0x8919c21dd4065055
.xword 0x3d80fe222c84054a
.xword 0xbfd806840287a33c
.xword 0x98aefdc3141df210
.xword 0xa67ba57583757e03
.xword 0x7697a10c8e4d6399
.xword 0xb6c2f41125f798b5
.xword 0xa7ceec907c6a8556
.xword 0x1cee543490c6fc20
.xword 0x87fd9fb8e8285426
.xword 0x5c704f3f2a76c409
.xword 0xefb5e1efa3c26f95
.xword 0x047047fe3a8f803c
.xword 0x8fe4723fbd9b8574
.xword 0xd89cbacb1c310648
.xword 0xe4d97ec6eae75c72
.xword 0xa6192c4aa7af78f9
.xword 0x05bda22f3c7ea201
.xword 0xb8a11eeb22ede5a7
.xword 0x48a4750dba7b3169
.xword 0xb51a7330aa9b8636
.xword 0x35b97bd1fe350464
.xword 0xfa7c326aebc39bd7
.xword 0x06f5608286afd858
.xword 0x7db20a8e26e1ded2
.xword 0x962a464b0c9c2d97
.xword 0xb5918b2474553773
.xword 0xe6c98efb361de711
.xword 0x72db99bc400d71ff
.xword 0xb8ba1af9d8623033
.xword 0x8cea62819be1901e
.xword 0x101ad792cc388576
.xword 0xf675c027c71315ea
.xword 0x4087b160977e1f2a
.xword 0x71b2d12c69268d82
.xword 0x2d473d286d03e124
.xword 0x07c4443c7d87bea3
.xword 0x2ef4887d3b484c0f
.xword 0xc0178d99b4fdce3f
.xword 0x8ca0df0f1652ce2d
.xword 0x5819e32833bfb30f
.xword 0x0cea2302072e2928
.xword 0xb5cd3c3470403e70
.xword 0xa8c9f09ef457c821
.xword 0x77f40a71331ccd5e
.xword 0x6472a45af0fadce2
.xword 0xcfbb7b71743580c8
.xword 0xf32e575d3fd29a78
.xword 0x03c3e79524bb26c2
.xword 0xc45723f27667584e
.xword 0x366395c7c298e3d5
.xword 0x3b692e0984a53c6a
.xword 0xb6f225c5b067003d
.xword 0x97bd0598a103320a
.xword 0x75b82fa84a192b3b
.xword 0xdbd952ae44b79894
.xword 0x75c169ed1fe32acc
.xword 0xdc71513eade5870d
.xword 0xd637be3b6fbed63f
.xword 0xfd1e15e8e210f7db
.xword 0x1e4932fb11a222b5
.xword 0xd225d8155e63b2c5
.xword 0x0517362bd0fdb14c
.xword 0xbd4cee4e3a920251
.xword 0x92132421a9cc0f7a
.xword 0xb1b4be17ec982ea4
.xword 0xb55c8434538fae3b
.xword 0x41965bc05875cf7c
.xword 0xfeb3a79847e1241f
.xword 0xab42bac7a2b73c07
.xword 0xf4e6d5f44d5767f3
.xword 0x8b69a01ca4befaff
.xword 0x79e456e10a11c821
.xword 0x21f61bb4ae9896e3
.xword 0xb37bd36f0346ee8c
.xword 0x2c6d6fc67738266a
.xword 0x150ffa259048dd29
.xword 0x2d5284c7d8fa151b
.xword 0x36d5d2940fc0575f
.xword 0x5690075efe98f45a
.xword 0xdbcf483337c436a9
.xword 0x335de2b24e0ee76c
.xword 0xeea6694f7c768af3
.xword 0xfcadc6692e14cb4a
.align 8
.global _t2_ma_operations2
_t2_ma_operations2:
.xword 0
.xword 0x0002006a | (0 << 18) !ma_ctl_Ld (0)
.xword 0
.xword 0x00020127 | (0 << 18) !ma_ctl_St (0)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (0)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (0)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (0)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (0)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (0)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (0)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (0)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (0)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (0)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (0)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (0)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (0)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (0)
.xword 0
.xword 0x00021116 | (0 << 18) !ma_ctl_StParity (0)
.xword 0
.xword 0x00020088 | (0 << 18) !ma_ctl_Ld (1)
.xword 0
.xword 0x0002014a | (0 << 18) !ma_ctl_St (1)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (1)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (1)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (1)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (1)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (1)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (1)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (1)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (1)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (1)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (1)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (1)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (1)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (1)
.xword 0
.xword 0x0002111e | (0 << 18) !ma_ctl_StParity (1)
.xword 0
.xword 0x00020065 | (0 << 18) !ma_ctl_Ld (2)
.xword 0
.xword 0x00020142 | (0 << 18) !ma_ctl_St (2)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (2)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (2)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (2)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (2)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (2)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (2)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (2)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (2)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (2)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (2)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (2)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (2)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (2)
.xword 0
.xword 0x00021102 | (0 << 18) !ma_ctl_StParity (2)
.xword 0
.xword 0x0002009d | (0 << 18) !ma_ctl_Ld (3)
.xword 0
.xword 0x00020162 | (0 << 18) !ma_ctl_St (3)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (3)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (3)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (3)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (3)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (3)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (3)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (3)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (3)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (3)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (3)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (3)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (3)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (3)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (3)
.xword 0
.xword 0x00020092 | (0 << 18) !ma_ctl_Ld (4)
.xword 0
.xword 0x00020161 | (0 << 18) !ma_ctl_St (4)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (4)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (4)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (4)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (4)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (4)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (4)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (4)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (4)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (4)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (4)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (4)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (4)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (4)
.xword 0
.xword 0x00021102 | (0 << 18) !ma_ctl_StParity (4)
.xword 0
.xword 0x00020023 | (0 << 18) !ma_ctl_Ld (5)
.xword 0
.xword 0x0002014c | (0 << 18) !ma_ctl_St (5)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (5)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (5)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (5)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (5)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (5)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (5)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (5)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (5)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (5)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (5)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (5)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (5)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (5)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (5)
.xword 0
.xword 0x00020090 | (0 << 18) !ma_ctl_Ld (6)
.xword 0
.xword 0x00020169 | (0 << 18) !ma_ctl_St (6)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (6)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (6)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (6)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (6)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (6)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (6)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (6)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (6)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (6)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (6)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (6)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (6)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (6)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (6)
.xword 0
.xword 0x0002002e | (0 << 18) !ma_ctl_Ld (7)
.xword 0
.xword 0x00020154 | (0 << 18) !ma_ctl_St (7)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (7)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (7)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (7)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (7)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (7)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (7)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (7)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (7)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (7)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (7)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (7)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (7)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (7)
.xword 0
.xword 0x00021114 | (0 << 18) !ma_ctl_StParity (7)
.xword 0
.xword 0x00020081 | (0 << 18) !ma_ctl_Ld (8)
.xword 0
.xword 0x00020149 | (0 << 18) !ma_ctl_St (8)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (8)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (8)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (8)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (8)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (8)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (8)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (8)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (8)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (8)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (8)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (8)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (8)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (8)
.xword 0
.xword 0x00021110 | (0 << 18) !ma_ctl_StParity (8)
.xword 0
.xword 0x00020039 | (0 << 18) !ma_ctl_Ld (9)
.xword 0
.xword 0x0002016b | (0 << 18) !ma_ctl_St (9)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (9)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (9)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (9)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (9)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (9)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (9)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (9)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (9)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (9)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (9)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (9)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (9)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (9)
.xword 0
.xword 0x0002111a | (0 << 18) !ma_ctl_StParity (9)
.xword 0
.xword 0x00020072 | (0 << 18) !ma_ctl_Ld (10)
.xword 0
.xword 0x0002016a | (0 << 18) !ma_ctl_St (10)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (10)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (10)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (10)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (10)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (10)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (10)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (10)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (10)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (10)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (10)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (10)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (10)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (10)
.xword 0
.xword 0x00021103 | (0 << 18) !ma_ctl_StParity (10)
.xword 0
.xword 0x00020063 | (0 << 18) !ma_ctl_Ld (11)
.xword 0
.xword 0x0002016e | (0 << 18) !ma_ctl_St (11)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (11)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (11)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (11)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (11)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (11)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (11)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (11)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (11)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (11)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (11)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (11)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (11)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (11)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (11)
.xword 0
.xword 0x00020057 | (0 << 18) !ma_ctl_Ld (12)
.xword 0
.xword 0x00020161 | (0 << 18) !ma_ctl_St (12)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (12)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (12)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (12)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (12)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (12)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (12)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (12)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (12)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (12)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (12)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (12)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (12)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (12)
.xword 0
.xword 0x00021104 | (0 << 18) !ma_ctl_StParity (12)
.xword 0
.xword 0x00020060 | (0 << 18) !ma_ctl_Ld (13)
.xword 0
.xword 0x00020128 | (0 << 18) !ma_ctl_St (13)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (13)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (13)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (13)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (13)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (13)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (13)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (13)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (13)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (13)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (13)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (13)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (13)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (13)
.xword 0
.xword 0x0002111b | (0 << 18) !ma_ctl_StParity (13)
.xword 0
.xword 0x00020033 | (0 << 18) !ma_ctl_Ld (14)
.xword 0
.xword 0x00020160 | (0 << 18) !ma_ctl_St (14)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (14)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (14)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (14)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (14)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (14)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (14)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (14)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (14)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (14)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (14)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (14)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (14)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (14)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (14)
.xword 0
.xword 0x00020072 | (0 << 18) !ma_ctl_Ld (15)
.xword 0
.xword 0x00020122 | (0 << 18) !ma_ctl_St (15)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (15)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (15)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (15)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (15)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (15)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (15)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (15)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (15)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (15)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (15)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (15)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (15)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (15)
.xword 0
.xword 0x0002110c | (0 << 18) !ma_ctl_StParity (15)
.xword 0
.xword 0x0002003b | (0 << 18) !ma_ctl_Ld (16)
.xword 0
.xword 0x00020172 | (0 << 18) !ma_ctl_St (16)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (16)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (16)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (16)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (16)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (16)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (16)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (16)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (16)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (16)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (16)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (16)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (16)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (16)
.xword 0
.xword 0x00021117 | (0 << 18) !ma_ctl_StParity (16)
.xword 0
.xword 0x00020033 | (0 << 18) !ma_ctl_Ld (17)
.xword 0
.xword 0x0002015e | (0 << 18) !ma_ctl_St (17)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (17)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (17)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (17)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (17)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (17)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (17)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (17)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (17)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (17)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (17)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (17)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (17)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (17)
.xword 0
.xword 0x00021118 | (0 << 18) !ma_ctl_StParity (17)
.xword 0
.xword 0x00020065 | (0 << 18) !ma_ctl_Ld (18)
.xword 0
.xword 0x00020171 | (0 << 18) !ma_ctl_St (18)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (18)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (18)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (18)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (18)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (18)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (18)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (18)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (18)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (18)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (18)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (18)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (18)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (18)
.xword 0
.xword 0x0002110a | (0 << 18) !ma_ctl_StParity (18)
.xword 0
.xword 0x00020055 | (0 << 18) !ma_ctl_Ld (19)
.xword 0
.xword 0x0002014b | (0 << 18) !ma_ctl_St (19)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (19)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (19)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (19)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (19)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (19)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (19)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (19)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (19)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (19)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (19)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (19)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (19)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (19)
.xword 0
.xword 0x00021103 | (0 << 18) !ma_ctl_StParity (19)
.xword 0
.xword 0x00020081 | (0 << 18) !ma_ctl_Ld (20)
.xword 0
.xword 0x00020188 | (0 << 18) !ma_ctl_St (20)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (20)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (20)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (20)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (20)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (20)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (20)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (20)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (20)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (20)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (20)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (20)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (20)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (20)
.xword 0
.xword 0x00021111 | (0 << 18) !ma_ctl_StParity (20)
.xword 0
.xword 0x00020098 | (0 << 18) !ma_ctl_Ld (21)
.xword 0
.xword 0x00020173 | (0 << 18) !ma_ctl_St (21)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (21)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (21)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (21)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (21)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (21)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (21)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (21)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (21)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (21)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (21)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (21)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (21)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (21)
.xword 0
.xword 0x0002110b | (0 << 18) !ma_ctl_StParity (21)
.xword 0
.xword 0x0002003a | (0 << 18) !ma_ctl_Ld (22)
.xword 0
.xword 0x00020154 | (0 << 18) !ma_ctl_St (22)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (22)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (22)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (22)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (22)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (22)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (22)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (22)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (22)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (22)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (22)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (22)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (22)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (22)
.xword 0
.xword 0x00021118 | (0 << 18) !ma_ctl_StParity (22)
.xword 0
.xword 0x0002008a | (0 << 18) !ma_ctl_Ld (23)
.xword 0
.xword 0x00020141 | (0 << 18) !ma_ctl_St (23)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (23)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (23)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (23)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (23)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (23)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (23)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (23)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (23)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (23)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (23)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (23)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (23)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (23)
.xword 0
.xword 0x00021104 | (0 << 18) !ma_ctl_StParity (23)
.xword 0
.xword 0x0002009b | (0 << 18) !ma_ctl_Ld (24)
.xword 0
.xword 0x00020157 | (0 << 18) !ma_ctl_St (24)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (24)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (24)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (24)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (24)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (24)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (24)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (24)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (24)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (24)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (24)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (24)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (24)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (24)
.xword 0
.xword 0x0002111e | (0 << 18) !ma_ctl_StParity (24)
.xword 0
.xword 0x00020026 | (0 << 18) !ma_ctl_Ld (25)
.xword 0
.xword 0x00020122 | (0 << 18) !ma_ctl_St (25)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (25)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (25)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (25)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (25)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (25)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (25)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (25)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (25)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (25)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (25)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (25)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (25)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (25)
.xword 0
.xword 0x00021117 | (0 << 18) !ma_ctl_StParity (25)
.xword 0
.xword 0x00020024 | (0 << 18) !ma_ctl_Ld (26)
.xword 0
.xword 0x0002012e | (0 << 18) !ma_ctl_St (26)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (26)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (26)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (26)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (26)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (26)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (26)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (26)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (26)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (26)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (26)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (26)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (26)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (26)
.xword 0
.xword 0x00021111 | (0 << 18) !ma_ctl_StParity (26)
.align 8
.global _t2_ma_results2
_t2_ma_results2:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
SECTION ._t2_T_MAX_DATA3 DATA_VA=271581184
attr_data {
Name = ._t2_T_MAX_DATA3
hypervisor
}
.data
_t2_user_data_start:
_t2_scratch_area:
.align 8
.global _t2_ma_operands3
_t2_ma_operands3:
.xword 0xe3963f4c54699205
.xword 0xa15dd8f79f3ce9d9
.xword 0x34d29f9dc377e50f
.xword 0x42e2520873eba880
.xword 0xb0bceea6d0ee8278
.xword 0x139720755f72f8ac
.xword 0x07337ec3d162a1b9
.xword 0x0e6589438c5855c8
.xword 0x868c649161a9d5cc
.xword 0xe27873994098aa5b
.xword 0x9ab35cf28c5bdc84
.xword 0x68fcf51629ab6255
.xword 0xdf6c3bccddea96f1
.xword 0x7123e757898d9ded
.xword 0x6406673f0fca6eb2
.xword 0x9bb3be1a2c8b7242
.xword 0xa76f0dbcbe9459d8
.xword 0xe105598b9846d9d0
.xword 0x660ca89d79b8e08b
.xword 0xe8644bedc588ade2
.xword 0x1959420ffcb72474
.xword 0xbb3c2dac58b79f59
.xword 0x151440651eeccf36
.xword 0x246d9e06d8629fd7
.xword 0xbf8e46c9337c8e68
.xword 0x0ab4516789f895fb
.xword 0xc46ca3513d016a3e
.xword 0xfaa56f848c594383
.xword 0x7f262f1d9819a678
.xword 0xf9e26dd8bc839d9c
.xword 0x1d3b7892920818b5
.xword 0x5b0678dc08923e9a
.xword 0xe275900b312bba5e
.xword 0x1c261d46af98b91c
.xword 0x5975952c2e107b5b
.xword 0xa27a9089a42cbd3d
.xword 0xcbced73a74b77a8d
.xword 0x94a652a1dac8a82e
.xword 0x90b378f4c40a2866
.xword 0xf5bcc29eb9d65de1
.xword 0xa21da4a3fc37bb88
.xword 0x335f6eb978229d40
.xword 0xe00acacce1cc7e2c
.xword 0xebc523266a34d239
.xword 0x08f1618c78962484
.xword 0x98df85f24cf66083
.xword 0x0143f96edaf77cdc
.xword 0x58a579b912c57b7b
.xword 0x7e8899d28f171579
.xword 0xa5f7e0cd368793fa
.xword 0xc6e0fd23af87144e
.xword 0xefc957fb61fc5c7e
.xword 0xcb13084e8ac5d3c0
.xword 0x430006066dac3b35
.xword 0x70c436bea0ecadfa
.xword 0x492cacf5e99bb7ab
.xword 0x24a139c8f4fec005
.xword 0x0599db2484b92093
.xword 0xa65aa167b82aadf7
.xword 0xad29aed137c5b882
.xword 0xb6071ffecf5cefb1
.xword 0x3c175afd116f2d81
.xword 0x3b5db9ac1431e4d2
.xword 0x72153d6ff850f241
.xword 0xa3a9f8d3ea60fe74
.xword 0xac25b473cc9bf059
.xword 0xa587719fa619e8a4
.xword 0x434cc41e285edfc4
.xword 0x74da5e712e33cd6b
.xword 0x838b2c1efb59fe73
.xword 0xcb08d94434cb06a4
.xword 0x17c3efd339f1f0a7
.xword 0xef18e5191abc52c5
.xword 0x7a53736d891fb50c
.xword 0x49b48d20c58bbed4
.xword 0x410240848cf670c0
.xword 0x7942abb0e666d08b
.xword 0xd689fccc55e9d5ba
.xword 0x997e14b61983044d
.xword 0x6ce75ef86961aa80
.xword 0x153f02e69a82a6de
.xword 0x435e218919ea6e7f
.xword 0xc282c74278620829
.xword 0x68484d4553d16729
.xword 0x29abf0dbe0f7734c
.xword 0xb38d7ccd1e2ece92
.xword 0x1bfcf8cf4869471e
.xword 0xb7a3f5c8f9a34d85
.xword 0x7d3db559aec8288b
.xword 0xd828158241d2bd3a
.xword 0xb731e4e2f8c900e0
.xword 0xc932241c9276d540
.xword 0xd179ab51a8d44585
.xword 0x3d9081f18826fd48
.xword 0xd7770e9c74ce9b8e
.xword 0x34057ddd0abc7082
.xword 0x44d938224d1895bc
.xword 0xbae9cb349bcbd8ec
.xword 0x88a422585ea09977
.xword 0x5e712071b3f2644f
.xword 0xf854328dc4b6b127
.xword 0x4110f2ded79e964a
.xword 0x08d149fcf85535be
.xword 0x758729dcd01ad6b9
.xword 0x74bc8ae060b10593
.xword 0x722a33e3bc190399
.xword 0xd186ca3355ee39ae
.xword 0x39cb7187dedc0830
.xword 0x00c18c4f454feae9
.xword 0xa9ec570d54c17d0a
.xword 0x89484ff5dc436840
.xword 0x09f36ee8af18a719
.xword 0x6faddcb58004b49d
.xword 0x721d7ef7b3428d5d
.xword 0xd4d275fb76e28b25
.xword 0xa3da9136e6a8340b
.xword 0xc4f4229d5b8efb56
.xword 0xb2a5c2e8486c811b
.xword 0xe284362e2e412ac2
.xword 0x6726cd0f9815da92
.xword 0x3ca2a3f20e5e3ff8
.xword 0xf5e7fd12086e6c0b
.xword 0x80e5259bc9ec380b
.xword 0x0a993084920815b5
.xword 0x31869c47e80b96cb
.xword 0x4eb0eb0407b48820
.xword 0x9efc4074405f31ab
.xword 0x8fe32087e83529b1
.xword 0x4ae5392b3354016d
.xword 0x6a6290388c81f773
.xword 0x98653cf1efaa90e8
.xword 0x882ae8920e027ce4
.xword 0x58fd4f603c14bef7
.xword 0x4d7dd5519040cfb3
.xword 0x4bb17614bcda7a7c
.xword 0x830b58479b5cad9a
.xword 0x7335d29d4ddc166b
.xword 0x9f8d442088c325a7
.xword 0xccae9c6dcdca9fab
.xword 0xfdaa6c304682f74b
.xword 0x21016dcd0eb600c3
.xword 0x289b14106236ff0a
.xword 0xf6d5c9791e1cc69e
.xword 0x55b0170862c2a7b4
.xword 0xf7ab68aa5c584cbc
.xword 0xcc9d6fdc6e7e1c51
.xword 0x5709b5b874171ec2
.xword 0x9d53f456e1081b3e
.xword 0x29f2a8bc43f3e0e3
.xword 0xa4ded629fb1c0faa
.xword 0x452a60a4c4ac55a9
.xword 0x328a61ea13c8e1b4
.xword 0x186d6875e8e0f57f
.xword 0x78bb4b20d80def24
.xword 0xf703c9c573b62a8a
.xword 0x2ea502f78b5c02d1
.xword 0xfe982f56e8d1a213
.xword 0xae093ec6ab73ffe3
.xword 0x83f152a67d32163f
.xword 0xbb4de0412ef25f79
.xword 0x5c79c3697b76991c
.xword 0x7c88154d224e0b9d
.xword 0x351eee4f9769495e
.xword 0xfd66c9c613c8251f
.align 8
.global _t2_ma_operations3
_t2_ma_operations3:
.xword 0
.xword 0x0002002f | (0 << 18) !ma_ctl_Ld (0)
.xword 0
.xword 0x00020128 | (0 << 18) !ma_ctl_St (0)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (0)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (0)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (0)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (0)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (0)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (0)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (0)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (0)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (0)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (0)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (0)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (0)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (0)
.xword 0
.xword 0x00021104 | (0 << 18) !ma_ctl_StParity (0)
.xword 0
.xword 0x0002004e | (0 << 18) !ma_ctl_Ld (1)
.xword 0
.xword 0x0002014d | (0 << 18) !ma_ctl_St (1)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (1)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (1)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (1)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (1)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (1)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (1)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (1)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (1)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (1)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (1)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (1)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (1)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (1)
.xword 0
.xword 0x00021105 | (0 << 18) !ma_ctl_StParity (1)
.xword 0
.xword 0x0002004d | (0 << 18) !ma_ctl_Ld (2)
.xword 0
.xword 0x00020171 | (0 << 18) !ma_ctl_St (2)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (2)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (2)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (2)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (2)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (2)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (2)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (2)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (2)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (2)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (2)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (2)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (2)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (2)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (2)
.xword 0
.xword 0x0002005f | (0 << 18) !ma_ctl_Ld (3)
.xword 0
.xword 0x00020128 | (0 << 18) !ma_ctl_St (3)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (3)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (3)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (3)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (3)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (3)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (3)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (3)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (3)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (3)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (3)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (3)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (3)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (3)
.xword 0
.xword 0x00021104 | (0 << 18) !ma_ctl_StParity (3)
.xword 0
.xword 0x00020064 | (0 << 18) !ma_ctl_Ld (4)
.xword 0
.xword 0x00020178 | (0 << 18) !ma_ctl_St (4)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (4)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (4)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (4)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (4)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (4)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (4)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (4)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (4)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (4)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (4)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (4)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (4)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (4)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (4)
.xword 0
.xword 0x0002006d | (0 << 18) !ma_ctl_Ld (5)
.xword 0
.xword 0x0002018f | (0 << 18) !ma_ctl_St (5)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (5)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (5)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (5)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (5)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (5)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (5)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (5)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (5)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (5)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (5)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (5)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (5)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (5)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (5)
.xword 0
.xword 0x0002007d | (0 << 18) !ma_ctl_Ld (6)
.xword 0
.xword 0x0002018a | (0 << 18) !ma_ctl_St (6)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (6)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (6)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (6)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (6)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (6)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (6)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (6)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (6)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (6)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (6)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (6)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (6)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (6)
.xword 0
.xword 0x00021116 | (0 << 18) !ma_ctl_StParity (6)
.xword 0
.xword 0x0002002a | (0 << 18) !ma_ctl_Ld (7)
.xword 0
.xword 0x00020125 | (0 << 18) !ma_ctl_St (7)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (7)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (7)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (7)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (7)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (7)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (7)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (7)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (7)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (7)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (7)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (7)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (7)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (7)
.xword 0
.xword 0x00021102 | (0 << 18) !ma_ctl_StParity (7)
.xword 0
.xword 0x00020097 | (0 << 18) !ma_ctl_Ld (8)
.xword 0
.xword 0x0002014f | (0 << 18) !ma_ctl_St (8)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (8)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (8)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (8)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (8)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (8)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (8)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (8)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (8)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (8)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (8)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (8)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (8)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (8)
.xword 0
.xword 0x00021115 | (0 << 18) !ma_ctl_StParity (8)
.xword 0
.xword 0x00020098 | (0 << 18) !ma_ctl_Ld (9)
.xword 0
.xword 0x00020142 | (0 << 18) !ma_ctl_St (9)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (9)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (9)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (9)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (9)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (9)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (9)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (9)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (9)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (9)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (9)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (9)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (9)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (9)
.xword 0
.xword 0x00021106 | (0 << 18) !ma_ctl_StParity (9)
.xword 0
.xword 0x00020060 | (0 << 18) !ma_ctl_Ld (10)
.xword 0
.xword 0x0002016f | (0 << 18) !ma_ctl_St (10)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (10)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (10)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (10)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (10)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (10)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (10)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (10)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (10)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (10)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (10)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (10)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (10)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (10)
.xword 0
.xword 0x00021117 | (0 << 18) !ma_ctl_StParity (10)
.xword 0
.xword 0x00020052 | (0 << 18) !ma_ctl_Ld (11)
.xword 0
.xword 0x00020144 | (0 << 18) !ma_ctl_St (11)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (11)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (11)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (11)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (11)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (11)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (11)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (11)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (11)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (11)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (11)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (11)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (11)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (11)
.xword 0
.xword 0x00021103 | (0 << 18) !ma_ctl_StParity (11)
.xword 0
.xword 0x00020038 | (0 << 18) !ma_ctl_Ld (12)
.xword 0
.xword 0x0002011f | (0 << 18) !ma_ctl_St (12)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (12)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (12)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (12)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (12)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (12)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (12)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (12)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (12)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (12)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (12)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (12)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (12)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (12)
.xword 0
.xword 0x00021101 | (0 << 18) !ma_ctl_StParity (12)
.xword 0
.xword 0x00020084 | (0 << 18) !ma_ctl_Ld (13)
.xword 0
.xword 0x00020161 | (0 << 18) !ma_ctl_St (13)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (13)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (13)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (13)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (13)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (13)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (13)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (13)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (13)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (13)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (13)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (13)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (13)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (13)
.xword 0
.xword 0x0002110f | (0 << 18) !ma_ctl_StParity (13)
.xword 0
.xword 0x00020026 | (0 << 18) !ma_ctl_Ld (14)
.xword 0
.xword 0x00020123 | (0 << 18) !ma_ctl_St (14)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (14)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (14)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (14)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (14)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (14)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (14)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (14)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (14)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (14)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (14)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (14)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (14)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (14)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (14)
.xword 0
.xword 0x0002002c | (0 << 18) !ma_ctl_Ld (15)
.xword 0
.xword 0x00020132 | (0 << 18) !ma_ctl_St (15)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (15)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (15)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (15)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (15)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (15)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (15)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (15)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (15)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (15)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (15)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (15)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (15)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (15)
.xword 0
.xword 0x00021114 | (0 << 18) !ma_ctl_StParity (15)
.xword 0
.xword 0x00020095 | (0 << 18) !ma_ctl_Ld (16)
.xword 0
.xword 0x00020137 | (0 << 18) !ma_ctl_St (16)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (16)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (16)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (16)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (16)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (16)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (16)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (16)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (16)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (16)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (16)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (16)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (16)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (16)
.xword 0
.xword 0x00021100 | (0 << 18) !ma_ctl_StParity (16)
.xword 0
.xword 0x00020037 | (0 << 18) !ma_ctl_Ld (17)
.xword 0
.xword 0x0002018b | (0 << 18) !ma_ctl_St (17)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (17)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (17)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (17)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (17)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (17)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (17)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (17)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (17)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (17)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (17)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (17)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (17)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (17)
.xword 0
.xword 0x00021102 | (0 << 18) !ma_ctl_StParity (17)
.xword 0
.xword 0x00020026 | (0 << 18) !ma_ctl_Ld (18)
.xword 0
.xword 0x00020128 | (0 << 18) !ma_ctl_St (18)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (18)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (18)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (18)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (18)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (18)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (18)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (18)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (18)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (18)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (18)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (18)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (18)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (18)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (18)
.xword 0
.xword 0x0002002e | (0 << 18) !ma_ctl_Ld (19)
.xword 0
.xword 0x0002016e | (0 << 18) !ma_ctl_St (19)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (19)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (19)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (19)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (19)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (19)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (19)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (19)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (19)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (19)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (19)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (19)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (19)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (19)
.xword 0
.xword 0x0002110c | (0 << 18) !ma_ctl_StParity (19)
.xword 0
.xword 0x00020048 | (0 << 18) !ma_ctl_Ld (20)
.xword 0
.xword 0x00020159 | (0 << 18) !ma_ctl_St (20)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (20)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (20)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (20)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (20)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (20)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (20)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (20)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (20)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (20)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (20)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (20)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (20)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (20)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (20)
.xword 0
.xword 0x00020063 | (0 << 18) !ma_ctl_Ld (21)
.xword 0
.xword 0x00020150 | (0 << 18) !ma_ctl_St (21)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (21)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (21)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (21)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (21)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (21)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (21)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (21)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (21)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (21)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (21)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (21)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (21)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (21)
.xword 0
.xword 0x0002111a | (0 << 18) !ma_ctl_StParity (21)
.xword 0
.xword 0x00020064 | (0 << 18) !ma_ctl_Ld (22)
.xword 0
.xword 0x00020139 | (0 << 18) !ma_ctl_St (22)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (22)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (22)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (22)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (22)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (22)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (22)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (22)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (22)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (22)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (22)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (22)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (22)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (22)
.xword 0
.xword 0x0002110e | (0 << 18) !ma_ctl_StParity (22)
.xword 0
.xword 0x00020075 | (0 << 18) !ma_ctl_Ld (23)
.xword 0
.xword 0x0002013b | (0 << 18) !ma_ctl_St (23)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (23)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (23)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (23)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (23)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (23)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (23)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (23)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (23)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (23)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (23)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (23)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (23)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (23)
.xword 0
.xword 0x00021118 | (0 << 18) !ma_ctl_StParity (23)
.xword 0
.xword 0x0002008f | (0 << 18) !ma_ctl_Ld (24)
.xword 0
.xword 0x0002012b | (0 << 18) !ma_ctl_St (24)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (24)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (24)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (24)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (24)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (24)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (24)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (24)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (24)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (24)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (24)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (24)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (24)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (24)
.xword 0
.xword 0x00021108 | (0 << 18) !ma_ctl_StParity (24)
.xword 0
.xword 0x00020065 | (0 << 18) !ma_ctl_Ld (25)
.xword 0
.xword 0x00020188 | (0 << 18) !ma_ctl_St (25)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (25)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (25)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (25)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (25)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (25)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (25)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (25)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (25)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (25)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (25)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (25)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (25)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (25)
.xword 0
.xword 0x0002110f | (0 << 18) !ma_ctl_StParity (25)
.xword 0
.xword 0x0002009f | (0 << 18) !ma_ctl_Ld (26)
.xword 0
.xword 0x00020153 | (0 << 18) !ma_ctl_St (26)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (26)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (26)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (26)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (26)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (26)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (26)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (26)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (26)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (26)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (26)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (26)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (26)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (26)
.xword 0
.xword 0x00021101 | (0 << 18) !ma_ctl_StParity (26)
.align 8
.global _t2_ma_results3
_t2_ma_results3:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
SECTION ._t2_T_MAX_DATA4 DATA_VA=272629760
attr_data {
Name = ._t2_T_MAX_DATA4
hypervisor
}
.data
_t2_user_data_start:
_t2_scratch_area:
.align 8
.global _t2_ma_operands4
_t2_ma_operands4:
.xword 0x59212d0c27d917a5
.xword 0x50d8ffa885958105
.xword 0x23c51e8bbc7ed492
.xword 0xe98e0416e3594b3e
.xword 0x72e528a2fe9e07ef
.xword 0xe7d76442b962fe50
.xword 0xa4435f3b965f33a5
.xword 0xfe3df07edefbdf3b
.xword 0xbcb46b801c8a79d8
.xword 0x09ebcfbfe6813107
.xword 0x723aebc7f06b1637
.xword 0x9f83a339c974d0e9
.xword 0xc0ecbd928d3f3554
.xword 0xc2b8e339f0a8c62f
.xword 0x2d6462901b469f8c
.xword 0x3111bc124c91d2ce
.xword 0xd42745be534003dd
.xword 0x9a938e971bde98ea
.xword 0xa65d18b59cf13cab
.xword 0xc33b73d1333df316
.xword 0xeacb62f84209dba0
.xword 0xdefd1c281679ef30
.xword 0x3d9767c46231128a
.xword 0x4f5b936af9afe634
.xword 0x6c0f8db23c16b118
.xword 0x96154184d84a2365
.xword 0x2a586a1802a416e4
.xword 0xedfc1c5a99b4af52
.xword 0x7b8092d01b50d26c
.xword 0xe683df8e9a982d6b
.xword 0x7aa800951037c897
.xword 0x95ab894828eccf70
.xword 0x1fd042036d179b3f
.xword 0xa508c2ddbfcd6b88
.xword 0x96d8c66b745106b4
.xword 0xde94d71c212ec471
.xword 0x4a89b57b3156627a
.xword 0xdde8c97b5ab75b8c
.xword 0x02ea662ad0f46f7d
.xword 0x0d9b2e7611c07da9
.xword 0x87a8707ff8d813aa
.xword 0x7591ee2dff3cd2dd
.xword 0x1bdadda93a5e98dd
.xword 0x1c7391e03ca02882
.xword 0x48b99a7aabff758e
.xword 0xea6a51e6bc7c9baa
.xword 0xa97ec617071e4b93
.xword 0x067914ca6733c6cd
.xword 0xf44d88538d6e6b67
.xword 0xcf39c25976c5b43d
.xword 0x9a8a365b409111c3
.xword 0x8da5e6ab702ca03a
.xword 0x1a9c463bbb8f4ffe
.xword 0xd3140622c40f3721
.xword 0x2cd2c9993df27683
.xword 0x5798891f946ecced
.xword 0x0cd79bc6a8b0bb17
.xword 0x12a2d4514149bd04
.xword 0x46040beac3a4271f
.xword 0x3769867a5f15be89
.xword 0x05984eff9cefd199
.xword 0x7f7b87b27f9e6b7b
.xword 0xf3e16d4748cbe78d
.xword 0x7a4bab88db6f805d
.xword 0xc1480956e8694fe3
.xword 0x654d78bc4d189ca2
.xword 0x7f6fb92c548e99cc
.xword 0x703c4e599da4f0c3
.xword 0x538b0f228b6850db
.xword 0xb17e22e85d9b9465
.xword 0x9e312176546efbf8
.xword 0x5598f1af272008f1
.xword 0xe7fb9055904346a0
.xword 0x8944de8896604ebf
.xword 0x2293e209f4b7ecb6
.xword 0x3008a5ec5b31a551
.xword 0x589761115457d13d
.xword 0x65c912ef2967aaea
.xword 0x2a2e75886ea88a60
.xword 0xf72f4daea7abc268
.xword 0x24bf8e9e39e2760c
.xword 0xb23ce22681ae3522
.xword 0xb5e41f5fad1cc901
.xword 0x88c73bef5a3591cc
.xword 0x1e5161f9853aee9a
.xword 0x9698449adc8a9916
.xword 0x3089c0fcbe3e1258
.xword 0x9ae56a3fc271e887
.xword 0x889d404c7c4746a7
.xword 0x1be3c4eaf283e857
.xword 0x93786c453a21fd33
.xword 0xabe1e3fff505c77c
.xword 0xa375df7643376709
.xword 0x163f4a66e3dae493
.xword 0xf707d597766ce871
.xword 0x5f0454c999ae8215
.xword 0x5e8c16b951bd3b47
.xword 0x7b05d31d70bc6ef1
.xword 0x4f3fea93b47aa0aa
.xword 0x23fe9c91007f4fe9
.xword 0x17a21c97a174d190
.xword 0x33dbf385a6e06412
.xword 0x70d20f277011aecc
.xword 0x4ddbe93c224d16b6
.xword 0x0187cabb8ad9469d
.xword 0xa97d5ced14831530
.xword 0x8de85d5dace36da0
.xword 0x678eaff47225f9bb
.xword 0x387ec91a520b1372
.xword 0x85892411521bc837
.xword 0xedb1431683fe39b3
.xword 0x7641358a3e22bbb1
.xword 0xb7fc8862dd0fa1dd
.xword 0x191637fae8119120
.xword 0x640b94d44b9f3de5
.xword 0x005454eaff3cca32
.xword 0x690270dfa3d575ce
.xword 0xf4aee526ecae93cb
.xword 0x0b2d5926fb83667c
.xword 0x5a444a5da0dce400
.xword 0xd3b2c81589526fa1
.xword 0xa1c1ad269f8391f3
.xword 0xf02205e19302a1a0
.xword 0xf5db27e4ae9caff2
.xword 0x00d5314762ad0246
.xword 0x659548565d52b135
.xword 0xe155046fff61fe3f
.xword 0xd8b83353883ca4fa
.xword 0xcc49b2427c7c6ffb
.xword 0x62f7e9cfa4bbdbea
.xword 0xa255dbb8d1e09200
.xword 0x1828dc96b29c478c
.xword 0xda72fcc7dfdb2f63
.xword 0xb9b59fe47e8ce3aa
.xword 0xbee5ff937deb640c
.xword 0x7d7bfa21b949fb59
.xword 0x8093704d3f38846e
.xword 0x0da4ac1163df4828
.xword 0x60bf99e6f8f1ffa6
.xword 0xf27537453de991c7
.xword 0xfd71ea4db720ef26
.xword 0x8ebce7cd0cf25475
.xword 0xdbfdea74f4318e41
.xword 0xb5a94407dd86d1bb
.xword 0x55ea32937b8ae9d2
.xword 0xf7d852d40539084a
.xword 0x9294138c2003c00e
.xword 0xb63764fca12c2249
.xword 0xeb6616d869db42bf
.xword 0x758eae3073cf10f9
.xword 0x9a8cebe145923c11
.xword 0x47759e336e45b951
.xword 0xf0b571af9d887365
.xword 0x546d50d942918258
.xword 0x6bdd6a6c9615bf3f
.xword 0xc423cb4c6ac700c9
.xword 0xc0b670253e935dea
.xword 0x14201b8dc0ecdffb
.xword 0x368c2a2643665c5f
.xword 0x92b6cc923db61a85
.xword 0x55e6a28ea8d72285
.xword 0xcb306732a0ee8c27
.xword 0x2129ebf0e031c453
.xword 0xc0e6cbbf1941db33
.align 8
.global _t2_ma_operations4
_t2_ma_operations4:
.xword 0
.xword 0x00020024 | (0 << 18) !ma_ctl_Ld (0)
.xword 0
.xword 0x00020150 | (0 << 18) !ma_ctl_St (0)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (0)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (0)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (0)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (0)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (0)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (0)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (0)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (0)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (0)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (0)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (0)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (0)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (0)
.xword 0
.xword 0x00021115 | (0 << 18) !ma_ctl_StParity (0)
.xword 0
.xword 0x00020076 | (0 << 18) !ma_ctl_Ld (1)
.xword 0
.xword 0x0002015f | (0 << 18) !ma_ctl_St (1)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (1)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (1)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (1)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (1)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (1)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (1)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (1)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (1)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (1)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (1)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (1)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (1)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (1)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (1)
.xword 0
.xword 0x0002007d | (0 << 18) !ma_ctl_Ld (2)
.xword 0
.xword 0x0002013c | (0 << 18) !ma_ctl_St (2)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (2)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (2)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (2)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (2)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (2)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (2)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (2)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (2)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (2)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (2)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (2)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (2)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (2)
.xword 0
.xword 0x0002110b | (0 << 18) !ma_ctl_StParity (2)
.xword 0
.xword 0x0002004d | (0 << 18) !ma_ctl_Ld (3)
.xword 0
.xword 0x0002017b | (0 << 18) !ma_ctl_St (3)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (3)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (3)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (3)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (3)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (3)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (3)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (3)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (3)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (3)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (3)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (3)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (3)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (3)
.xword 0
.xword 0x00021100 | (0 << 18) !ma_ctl_StParity (3)
.xword 0
.xword 0x00020073 | (0 << 18) !ma_ctl_Ld (4)
.xword 0
.xword 0x00020130 | (0 << 18) !ma_ctl_St (4)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (4)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (4)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (4)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (4)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (4)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (4)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (4)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (4)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (4)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (4)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (4)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (4)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (4)
.xword 0
.xword 0x00021101 | (0 << 18) !ma_ctl_StParity (4)
.xword 0
.xword 0x0002008d | (0 << 18) !ma_ctl_Ld (5)
.xword 0
.xword 0x00020162 | (0 << 18) !ma_ctl_St (5)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (5)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (5)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (5)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (5)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (5)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (5)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (5)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (5)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (5)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (5)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (5)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (5)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (5)
.xword 0
.xword 0x00021111 | (0 << 18) !ma_ctl_StParity (5)
.xword 0
.xword 0x0002002a | (0 << 18) !ma_ctl_Ld (6)
.xword 0
.xword 0x0002016a | (0 << 18) !ma_ctl_St (6)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (6)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (6)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (6)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (6)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (6)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (6)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (6)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (6)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (6)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (6)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (6)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (6)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (6)
.xword 0
.xword 0x0002111b | (0 << 18) !ma_ctl_StParity (6)
.xword 0
.xword 0x0002007d | (0 << 18) !ma_ctl_Ld (7)
.xword 0
.xword 0x0002014b | (0 << 18) !ma_ctl_St (7)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (7)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (7)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (7)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (7)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (7)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (7)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (7)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (7)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (7)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (7)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (7)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (7)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (7)
.xword 0
.xword 0x00021114 | (0 << 18) !ma_ctl_StParity (7)
.xword 0
.xword 0x00020044 | (0 << 18) !ma_ctl_Ld (8)
.xword 0
.xword 0x00020132 | (0 << 18) !ma_ctl_St (8)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (8)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (8)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (8)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (8)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (8)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (8)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (8)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (8)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (8)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (8)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (8)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (8)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (8)
.xword 0
.xword 0x00021118 | (0 << 18) !ma_ctl_StParity (8)
.xword 0
.xword 0x0002002d | (0 << 18) !ma_ctl_Ld (9)
.xword 0
.xword 0x00020141 | (0 << 18) !ma_ctl_St (9)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (9)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (9)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (9)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (9)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (9)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (9)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (9)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (9)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (9)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (9)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (9)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (9)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (9)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (9)
.xword 0
.xword 0x00020083 | (0 << 18) !ma_ctl_Ld (10)
.xword 0
.xword 0x0002015b | (0 << 18) !ma_ctl_St (10)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (10)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (10)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (10)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (10)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (10)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (10)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (10)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (10)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (10)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (10)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (10)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (10)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (10)
.xword 0
.xword 0x00021108 | (0 << 18) !ma_ctl_StParity (10)
.xword 0
.xword 0x0002003a | (0 << 18) !ma_ctl_Ld (11)
.xword 0
.xword 0x00020137 | (0 << 18) !ma_ctl_St (11)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (11)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (11)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (11)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (11)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (11)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (11)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (11)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (11)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (11)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (11)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (11)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (11)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (11)
.xword 0
.xword 0x00021104 | (0 << 18) !ma_ctl_StParity (11)
.xword 0
.xword 0x00020020 | (0 << 18) !ma_ctl_Ld (12)
.xword 0
.xword 0x0002014b | (0 << 18) !ma_ctl_St (12)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (12)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (12)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (12)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (12)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (12)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (12)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (12)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (12)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (12)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (12)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (12)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (12)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (12)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (12)
.xword 0
.xword 0x00020062 | (0 << 18) !ma_ctl_Ld (13)
.xword 0
.xword 0x0002015d | (0 << 18) !ma_ctl_St (13)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (13)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (13)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (13)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (13)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (13)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (13)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (13)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (13)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (13)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (13)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (13)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (13)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (13)
.xword 0
.xword 0x0002110f | (0 << 18) !ma_ctl_StParity (13)
.xword 0
.xword 0x00020036 | (0 << 18) !ma_ctl_Ld (14)
.xword 0
.xword 0x00020136 | (0 << 18) !ma_ctl_St (14)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (14)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (14)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (14)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (14)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (14)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (14)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (14)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (14)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (14)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (14)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (14)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (14)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (14)
.xword 0
.xword 0x00021102 | (0 << 18) !ma_ctl_StParity (14)
.xword 0
.xword 0x0002009f | (0 << 18) !ma_ctl_Ld (15)
.xword 0
.xword 0x0002011f | (0 << 18) !ma_ctl_St (15)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (15)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (15)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (15)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (15)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (15)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (15)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (15)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (15)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (15)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (15)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (15)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (15)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (15)
.xword 0
.xword 0x00021108 | (0 << 18) !ma_ctl_StParity (15)
.xword 0
.xword 0x0002008c | (0 << 18) !ma_ctl_Ld (16)
.xword 0
.xword 0x00020132 | (0 << 18) !ma_ctl_St (16)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (16)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (16)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (16)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (16)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (16)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (16)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (16)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (16)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (16)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (16)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (16)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (16)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (16)
.xword 0
.xword 0x00021119 | (0 << 18) !ma_ctl_StParity (16)
.xword 0
.xword 0x00020063 | (0 << 18) !ma_ctl_Ld (17)
.xword 0
.xword 0x0002018b | (0 << 18) !ma_ctl_St (17)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (17)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (17)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (17)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (17)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (17)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (17)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (17)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (17)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (17)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (17)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (17)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (17)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (17)
.xword 0
.xword 0x00021117 | (0 << 18) !ma_ctl_StParity (17)
.xword 0
.xword 0x00020041 | (0 << 18) !ma_ctl_Ld (18)
.xword 0
.xword 0x00020134 | (0 << 18) !ma_ctl_St (18)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (18)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (18)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (18)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (18)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (18)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (18)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (18)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (18)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (18)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (18)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (18)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (18)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (18)
.xword 0
.xword 0x00021108 | (0 << 18) !ma_ctl_StParity (18)
.xword 0
.xword 0x0002007e | (0 << 18) !ma_ctl_Ld (19)
.xword 0
.xword 0x0002011e | (0 << 18) !ma_ctl_St (19)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (19)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (19)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (19)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (19)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (19)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (19)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (19)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (19)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (19)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (19)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (19)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (19)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (19)
.xword 0
.xword 0x0002111d | (0 << 18) !ma_ctl_StParity (19)
.xword 0
.xword 0x0002007a | (0 << 18) !ma_ctl_Ld (20)
.xword 0
.xword 0x00020134 | (0 << 18) !ma_ctl_St (20)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (20)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (20)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (20)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (20)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (20)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (20)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (20)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (20)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (20)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (20)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (20)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (20)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (20)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (20)
.xword 0
.xword 0x00020026 | (0 << 18) !ma_ctl_Ld (21)
.xword 0
.xword 0x0002017d | (0 << 18) !ma_ctl_St (21)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (21)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (21)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (21)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (21)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (21)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (21)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (21)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (21)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (21)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (21)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (21)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (21)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (21)
.xword 0
.xword 0x00021100 | (0 << 18) !ma_ctl_StParity (21)
.xword 0
.xword 0x0002005b | (0 << 18) !ma_ctl_Ld (22)
.xword 0
.xword 0x00020146 | (0 << 18) !ma_ctl_St (22)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (22)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (22)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (22)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (22)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (22)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (22)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (22)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (22)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (22)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (22)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (22)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (22)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (22)
.xword 0
.xword 0x0002110c | (0 << 18) !ma_ctl_StParity (22)
.xword 0
.xword 0x00020045 | (0 << 18) !ma_ctl_Ld (23)
.xword 0
.xword 0x00020147 | (0 << 18) !ma_ctl_St (23)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (23)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (23)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (23)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (23)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (23)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (23)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (23)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (23)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (23)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (23)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (23)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (23)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (23)
.xword 0
.xword 0x00021109 | (0 << 18) !ma_ctl_StParity (23)
.xword 0
.xword 0x00020050 | (0 << 18) !ma_ctl_Ld (24)
.xword 0
.xword 0x0002018a | (0 << 18) !ma_ctl_St (24)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (24)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (24)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (24)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (24)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (24)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (24)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (24)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (24)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (24)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (24)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (24)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (24)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (24)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (24)
.xword 0
.xword 0x00020094 | (0 << 18) !ma_ctl_Ld (25)
.xword 0
.xword 0x00020188 | (0 << 18) !ma_ctl_St (25)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (25)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (25)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (25)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (25)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (25)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (25)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (25)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (25)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (25)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (25)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (25)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (25)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (25)
.xword 0
.xword 0x00021119 | (0 << 18) !ma_ctl_StParity (25)
.xword 0
.xword 0x00020093 | (0 << 18) !ma_ctl_Ld (26)
.xword 0
.xword 0x00020169 | (0 << 18) !ma_ctl_St (26)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (26)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (26)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (26)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (26)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (26)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (26)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (26)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (26)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (26)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (26)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (26)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (26)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (26)
.xword 0
.xword 0x00021104 | (0 << 18) !ma_ctl_StParity (26)
.align 8
.global _t2_ma_results4
_t2_ma_results4:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
SECTION ._t2_T_MAX_DATA5 DATA_VA=273678336
attr_data {
Name = ._t2_T_MAX_DATA5
hypervisor
}
.data
_t2_user_data_start:
_t2_scratch_area:
.align 8
.global _t2_ma_operands5
_t2_ma_operands5:
.xword 0xb57cdf769d6f2fc1
.xword 0xb4a73a4170042669
.xword 0xfc22a651997a7284
.xword 0x665d26f540aedca3
.xword 0xe3d2ec30bc47e780
.xword 0x233b616459cea115
.xword 0x797d8be612b736f9
.xword 0x6af877fd9eaa88bb
.xword 0x9a26ad05ec63177e
.xword 0x9ac8833e058bca4e
.xword 0xf1243b05417247c0
.xword 0x2f9a9075040074c1
.xword 0x359d52f347f79a63
.xword 0xc5c8d438da7de609
.xword 0xe9116ebd5b21bf3e
.xword 0xdacf41c2db77d94d
.xword 0xcb56c9487cd90448
.xword 0xf4ffee8bc9d7cc1e
.xword 0xb092e27aadd22ffd
.xword 0xd88396bbb6e86f83
.xword 0xe32b1a9f56096d3c
.xword 0x2a6e94017e223caf
.xword 0xb2f232fbde0a7238
.xword 0x6c1f9647a5214cd5
.xword 0xcddaa7bfe05adbbc
.xword 0x7963edf32212077d
.xword 0x010601c3223681bd
.xword 0xae45f8faad33ca0b
.xword 0x6ced3258478f9f5c
.xword 0xff125e072bf57e31
.xword 0x60ad5aefca8fa460
.xword 0xb942cf35f0489a12
.xword 0x284aa15e1603bee4
.xword 0x1ab950621bc9b721
.xword 0xd3d7f22a5132a552
.xword 0x657b5b53a710b3d0
.xword 0x8f712b5ad47510c0
.xword 0x407516d940b34df6
.xword 0x2c11a4fc34566a65
.xword 0x80ef20282f673e32
.xword 0x4a81cc6667d9eabd
.xword 0x5bc492714399426b
.xword 0xf756e05d3ef670f5
.xword 0x3dfc40fede4e1cf3
.xword 0x5390b33793527940
.xword 0x784fc5a3a61dc90c
.xword 0xc02f4d3545532207
.xword 0xf049a4f8b41a0ca7
.xword 0x99ed47aa0847cc47
.xword 0x9fe1f099cf9b328b
.xword 0x84a2b2a461ffbd59
.xword 0xf496773303726efc
.xword 0xf0e31ac9b07eeaf9
.xword 0xd705542f6bf59640
.xword 0x66b9ae39f72b9bd4
.xword 0x57fa3d7f2f6aca64
.xword 0x13f52724d375fd64
.xword 0xe323908dfed9f98e
.xword 0x4a4a34882d3061dc
.xword 0xa82cd4fc3603a3c1
.xword 0x7722d423d6d856f3
.xword 0xdbd8d096b0bc6ea0
.xword 0x842a0d6575fd99fe
.xword 0x5611aa34b177483e
.xword 0x883860616a970104
.xword 0x7baa4db164db9c6a
.xword 0x450704ca5cfe16ee
.xword 0x8e1b45595aa611fc
.xword 0xf02ee3971db25d53
.xword 0x784525765fbd08a5
.xword 0x38f44da96ecc7ded
.xword 0x3a15ce03ef86ccae
.xword 0x64124dc9e4ba4151
.xword 0x60d8ba4ef872a40d
.xword 0xfa9634506659f7f8
.xword 0xee47444c26385258
.xword 0x3c0978fd731b8836
.xword 0xbaa0663ce7eb1baa
.xword 0xfe0f627ad07e10b3
.xword 0x6b23cd566e1cd141
.xword 0xe70ebbeebbf76cd7
.xword 0xa3ca9c48a47f27ea
.xword 0x3add725801050a95
.xword 0x90d1a7c618aacc7b
.xword 0xa1793a1eee5ca6ff
.xword 0x8d74b0937feff14d
.xword 0x94b2cbf5020e755f
.xword 0x74d9ebef96aea72c
.xword 0xf419d5d6f96abb9c
.xword 0x4fefabf77665947b
.xword 0x251bc4caa8e5ff6f
.xword 0xdb6cf2b95f64b4fb
.xword 0x49859b514a47b9f9
.xword 0xb4f03c29ebb15332
.xword 0xee18441980946d06
.xword 0xbd5d600fd96ee31d
.xword 0xc47387da5c1437ad
.xword 0x255ce1bc58a7c84b
.xword 0xe39aaac1dfafee39
.xword 0xd187f0791cc13478
.xword 0x49e709eedf5eeea3
.xword 0x9f945806b2cf562f
.xword 0x291258dbb0ee159a
.xword 0x2d42801e6b164cc8
.xword 0x6b11e936f9696eee
.xword 0xa4cc593878338844
.xword 0xb60219d9052109be
.xword 0x1099fda41b740bd6
.xword 0xe9f6cbc57100cbd4
.xword 0x37397098cfe10d64
.xword 0xfb03c954dcccf973
.xword 0x94a216849a2720dc
.xword 0x20db373d2d28b1fd
.xword 0x65decf2fb59098e2
.xword 0x0d046f1fc315aabb
.xword 0x9dc84bee22df4fec
.xword 0x29e14123f3a0b6fa
.xword 0xadb53b9900b926fd
.xword 0x1f2c2198d44d19d8
.xword 0x1c3aca7ac579d313
.xword 0x363035f2cdcb81be
.xword 0x3498526c048972c8
.xword 0x8dc0252ddf8ac55b
.xword 0x95f721e1e4b7aae9
.xword 0x475c9f248539ddc4
.xword 0xa7557bf474861f45
.xword 0x78757d0b2d250ab1
.xword 0x450dee27a607f99d
.xword 0x0cdc0c2e91dcb523
.xword 0x317286265a7cd159
.xword 0x7b6c90d986784516
.xword 0xbb5f840eaaf7900f
.xword 0x1ae39feaf1642d56
.xword 0x03bba68456b545eb
.xword 0xcc8739599d30bb51
.xword 0x0f477a6f87f64fe5
.xword 0x6c54442d75bed076
.xword 0x12c6786234496026
.xword 0x613c400ec04cbc6f
.xword 0x596639a56bb7d989
.xword 0x4afb2d135b407f12
.xword 0xb77df119f135ca19
.xword 0x2a5da38878e52539
.xword 0x228ce07f6ca6520d
.xword 0xf0f013a3511ae4bb
.xword 0x68fc19bc1f10c330
.xword 0xf62f0a88fa221499
.xword 0x5a262bb764036826
.xword 0x5b135704df69aa93
.xword 0x34ab17045d3f2f9e
.xword 0x19411341dee574d1
.xword 0xef0c0750aa6585b5
.xword 0xe93ce1ed03225fab
.xword 0xf19d7a778afcd11e
.xword 0x18a23779cc8137eb
.xword 0x1dfd186a272fd171
.xword 0xd489125166c8b681
.xword 0x96d705e1a5911782
.xword 0x0e30284d75223b2b
.xword 0x240fa2f0235bd7dd
.xword 0xe279cb43bfe16e57
.xword 0xb0d792230beb042e
.xword 0xb6e1351968192ed7
.xword 0x9cdee77f0d941081
.align 8
.global _t2_ma_operations5
_t2_ma_operations5:
.xword 0
.xword 0x00020042 | (0 << 18) !ma_ctl_Ld (0)
.xword 0
.xword 0x00020153 | (0 << 18) !ma_ctl_St (0)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (0)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (0)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (0)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (0)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (0)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (0)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (0)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (0)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (0)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (0)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (0)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (0)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (0)
.xword 0
.xword 0x0002110e | (0 << 18) !ma_ctl_StParity (0)
.xword 0
.xword 0x00020036 | (0 << 18) !ma_ctl_Ld (1)
.xword 0
.xword 0x00020152 | (0 << 18) !ma_ctl_St (1)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (1)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (1)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (1)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (1)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (1)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (1)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (1)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (1)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (1)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (1)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (1)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (1)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (1)
.xword 0
.xword 0x00021103 | (0 << 18) !ma_ctl_StParity (1)
.xword 0
.xword 0x00020038 | (0 << 18) !ma_ctl_Ld (2)
.xword 0
.xword 0x0002016e | (0 << 18) !ma_ctl_St (2)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (2)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (2)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (2)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (2)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (2)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (2)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (2)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (2)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (2)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (2)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (2)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (2)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (2)
.xword 0
.xword 0x00021106 | (0 << 18) !ma_ctl_StParity (2)
.xword 0
.xword 0x0002009a | (0 << 18) !ma_ctl_Ld (3)
.xword 0
.xword 0x00020146 | (0 << 18) !ma_ctl_St (3)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (3)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (3)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (3)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (3)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (3)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (3)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (3)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (3)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (3)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (3)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (3)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (3)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (3)
.xword 0
.xword 0x00021112 | (0 << 18) !ma_ctl_StParity (3)
.xword 0
.xword 0x0002008e | (0 << 18) !ma_ctl_Ld (4)
.xword 0
.xword 0x0002017c | (0 << 18) !ma_ctl_St (4)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (4)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (4)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (4)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (4)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (4)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (4)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (4)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (4)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (4)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (4)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (4)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (4)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (4)
.xword 0
.xword 0x00021109 | (0 << 18) !ma_ctl_StParity (4)
.xword 0
.xword 0x0002008b | (0 << 18) !ma_ctl_Ld (5)
.xword 0
.xword 0x00020191 | (0 << 18) !ma_ctl_St (5)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (5)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (5)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (5)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (5)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (5)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (5)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (5)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (5)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (5)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (5)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (5)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (5)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (5)
.xword 0
.xword 0x00021102 | (0 << 18) !ma_ctl_StParity (5)
.xword 0
.xword 0x00020067 | (0 << 18) !ma_ctl_Ld (6)
.xword 0
.xword 0x00020156 | (0 << 18) !ma_ctl_St (6)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (6)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (6)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (6)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (6)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (6)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (6)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (6)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (6)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (6)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (6)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (6)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (6)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (6)
.xword 0
.xword 0x00021111 | (0 << 18) !ma_ctl_StParity (6)
.xword 0
.xword 0x0002004e | (0 << 18) !ma_ctl_Ld (7)
.xword 0
.xword 0x00020185 | (0 << 18) !ma_ctl_St (7)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (7)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (7)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (7)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (7)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (7)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (7)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (7)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (7)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (7)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (7)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (7)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (7)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (7)
.xword 0
.xword 0x00021105 | (0 << 18) !ma_ctl_StParity (7)
.xword 0
.xword 0x00020061 | (0 << 18) !ma_ctl_Ld (8)
.xword 0
.xword 0x0002017a | (0 << 18) !ma_ctl_St (8)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (8)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (8)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (8)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (8)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (8)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (8)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (8)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (8)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (8)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (8)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (8)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (8)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (8)
.xword 0
.xword 0x00021103 | (0 << 18) !ma_ctl_StParity (8)
.xword 0
.xword 0x0002002e | (0 << 18) !ma_ctl_Ld (9)
.xword 0
.xword 0x0002018d | (0 << 18) !ma_ctl_St (9)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (9)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (9)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (9)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (9)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (9)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (9)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (9)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (9)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (9)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (9)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (9)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (9)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (9)
.xword 0
.xword 0x00021115 | (0 << 18) !ma_ctl_StParity (9)
.xword 0
.xword 0x00020032 | (0 << 18) !ma_ctl_Ld (10)
.xword 0
.xword 0x00020144 | (0 << 18) !ma_ctl_St (10)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (10)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (10)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (10)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (10)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (10)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (10)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (10)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (10)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (10)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (10)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (10)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (10)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (10)
.xword 0
.xword 0x0002111a | (0 << 18) !ma_ctl_StParity (10)
.xword 0
.xword 0x00020064 | (0 << 18) !ma_ctl_Ld (11)
.xword 0
.xword 0x00020174 | (0 << 18) !ma_ctl_St (11)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (11)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (11)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (11)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (11)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (11)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (11)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (11)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (11)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (11)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (11)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (11)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (11)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (11)
.xword 0
.xword 0x0002111e | (0 << 18) !ma_ctl_StParity (11)
.xword 0
.xword 0x00020080 | (0 << 18) !ma_ctl_Ld (12)
.xword 0
.xword 0x0002018b | (0 << 18) !ma_ctl_St (12)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (12)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (12)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (12)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (12)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (12)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (12)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (12)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (12)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (12)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (12)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (12)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (12)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (12)
.xword 0
.xword 0x00021118 | (0 << 18) !ma_ctl_StParity (12)
.xword 0
.xword 0x00020067 | (0 << 18) !ma_ctl_Ld (13)
.xword 0
.xword 0x00020166 | (0 << 18) !ma_ctl_St (13)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (13)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (13)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (13)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (13)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (13)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (13)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (13)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (13)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (13)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (13)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (13)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (13)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (13)
.xword 0
.xword 0x0002111d | (0 << 18) !ma_ctl_StParity (13)
.xword 0
.xword 0x00020050 | (0 << 18) !ma_ctl_Ld (14)
.xword 0
.xword 0x00020140 | (0 << 18) !ma_ctl_St (14)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (14)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (14)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (14)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (14)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (14)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (14)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (14)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (14)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (14)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (14)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (14)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (14)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (14)
.xword 0
.xword 0x00021100 | (0 << 18) !ma_ctl_StParity (14)
.xword 0
.xword 0x0002004e | (0 << 18) !ma_ctl_Ld (15)
.xword 0
.xword 0x0002015c | (0 << 18) !ma_ctl_St (15)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (15)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (15)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (15)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (15)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (15)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (15)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (15)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (15)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (15)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (15)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (15)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (15)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (15)
.xword 0
.xword 0x00021109 | (0 << 18) !ma_ctl_StParity (15)
.xword 0
.xword 0x0002008e | (0 << 18) !ma_ctl_Ld (16)
.xword 0
.xword 0x0002016c | (0 << 18) !ma_ctl_St (16)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (16)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (16)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (16)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (16)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (16)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (16)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (16)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (16)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (16)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (16)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (16)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (16)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (16)
.xword 0
.xword 0x00021106 | (0 << 18) !ma_ctl_StParity (16)
.xword 0
.xword 0x00020063 | (0 << 18) !ma_ctl_Ld (17)
.xword 0
.xword 0x00020186 | (0 << 18) !ma_ctl_St (17)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (17)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (17)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (17)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (17)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (17)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (17)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (17)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (17)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (17)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (17)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (17)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (17)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (17)
.xword 0
.xword 0x00021117 | (0 << 18) !ma_ctl_StParity (17)
.xword 0
.xword 0x0002005a | (0 << 18) !ma_ctl_Ld (18)
.xword 0
.xword 0x0002018e | (0 << 18) !ma_ctl_St (18)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (18)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (18)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (18)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (18)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (18)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (18)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (18)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (18)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (18)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (18)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (18)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (18)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (18)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (18)
.xword 0
.xword 0x00020074 | (0 << 18) !ma_ctl_Ld (19)
.xword 0
.xword 0x00020191 | (0 << 18) !ma_ctl_St (19)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (19)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (19)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (19)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (19)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (19)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (19)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (19)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (19)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (19)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (19)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (19)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (19)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (19)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (19)
.xword 0
.xword 0x00020032 | (0 << 18) !ma_ctl_Ld (20)
.xword 0
.xword 0x00020144 | (0 << 18) !ma_ctl_St (20)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (20)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (20)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (20)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (20)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (20)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (20)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (20)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (20)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (20)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (20)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (20)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (20)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (20)
.xword 0
.xword 0x00021118 | (0 << 18) !ma_ctl_StParity (20)
.xword 0
.xword 0x0002008f | (0 << 18) !ma_ctl_Ld (21)
.xword 0
.xword 0x0002015a | (0 << 18) !ma_ctl_St (21)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (21)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (21)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (21)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (21)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (21)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (21)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (21)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (21)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (21)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (21)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (21)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (21)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (21)
.xword 0
.xword 0x0002111d | (0 << 18) !ma_ctl_StParity (21)
.xword 0
.xword 0x0002007c | (0 << 18) !ma_ctl_Ld (22)
.xword 0
.xword 0x00020166 | (0 << 18) !ma_ctl_St (22)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (22)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (22)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (22)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (22)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (22)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (22)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (22)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (22)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (22)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (22)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (22)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (22)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (22)
.xword 0
.xword 0x00021104 | (0 << 18) !ma_ctl_StParity (22)
.xword 0
.xword 0x00020075 | (0 << 18) !ma_ctl_Ld (23)
.xword 0
.xword 0x0002018a | (0 << 18) !ma_ctl_St (23)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (23)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (23)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (23)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (23)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (23)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (23)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (23)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (23)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (23)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (23)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (23)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (23)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (23)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (23)
.xword 0
.xword 0x0002004d | (0 << 18) !ma_ctl_Ld (24)
.xword 0
.xword 0x00020153 | (0 << 18) !ma_ctl_St (24)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (24)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (24)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (24)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (24)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (24)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (24)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (24)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (24)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (24)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (24)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (24)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (24)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (24)
.xword 0
.xword 0x00021115 | (0 << 18) !ma_ctl_StParity (24)
.xword 0
.xword 0x0002007f | (0 << 18) !ma_ctl_Ld (25)
.xword 0
.xword 0x00020155 | (0 << 18) !ma_ctl_St (25)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (25)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (25)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (25)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (25)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (25)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (25)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (25)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (25)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (25)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (25)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (25)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (25)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (25)
.xword 0
.xword 0x0002110f | (0 << 18) !ma_ctl_StParity (25)
.xword 0
.xword 0x00020088 | (0 << 18) !ma_ctl_Ld (26)
.xword 0
.xword 0x00020132 | (0 << 18) !ma_ctl_St (26)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (26)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (26)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (26)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (26)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (26)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (26)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (26)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (26)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (26)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (26)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (26)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (26)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (26)
.xword 0
.xword 0x00021106 | (0 << 18) !ma_ctl_StParity (26)
.align 8
.global _t2_ma_results5
_t2_ma_results5:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
SECTION ._t2_T_MAX_DATA6 DATA_VA=274726912
attr_data {
Name = ._t2_T_MAX_DATA6
hypervisor
}
.data
_t2_user_data_start:
_t2_scratch_area:
.align 8
.global _t2_ma_operands6
_t2_ma_operands6:
.xword 0x9d060af97d1c6099
.xword 0xa202e309b5a2a1f2
.xword 0x040e200dc2e5994a
.xword 0xa3024b8e1f17ea67
.xword 0x7e281269a4b384dd
.xword 0x17c7e23b8deacc2d
.xword 0x39845f78e101abe4
.xword 0x5fb31ee30797d1cb
.xword 0xe9343de4cf109067
.xword 0x91b067f2339873d0
.xword 0xd9332ec72876a8ca
.xword 0x7fa72a3fa31e2f8c
.xword 0xaf36b1019597291b
.xword 0xf66f7c7316fd7fea
.xword 0x38d9dc0045dac253
.xword 0xd63ad2c2e5bae688
.xword 0x9cfd4e2f037bb6b2
.xword 0x1d388985216c442f
.xword 0xbb3b36efc92789ae
.xword 0xb71f8f7348fd034b
.xword 0xac96e1cd769af11b
.xword 0xf4b685cd7c5a7f16
.xword 0x07b0706d702c66b6
.xword 0xb029d135041d60ce
.xword 0x8ba521d10f6c03a5
.xword 0x22d4433fef83b99a
.xword 0x4d403572ee2a9fdd
.xword 0x2e81bf0707acce9c
.xword 0xc9255d04afba5944
.xword 0x9e2fe0b5738a5242
.xword 0x6f7c728ac7d480eb
.xword 0x607b95865bd581da
.xword 0x053b708df0dec3e6
.xword 0x1997e18bec88a4d3
.xword 0xe1c29c8b9299f1b8
.xword 0x4eadcd421eda44b5
.xword 0x6da0a0bfde4e32e8
.xword 0xb0bdb4cd903fdc63
.xword 0x613b8caf6ed5b3aa
.xword 0x8f2f856c8d6f0d9e
.xword 0xc0bf17ab8e73626f
.xword 0x80c48ebf9c82f7b2
.xword 0x06dd5812da5268eb
.xword 0x0b188a97973d82cf
.xword 0x30caee875ac5361b
.xword 0x59cf2829ca75c7ff
.xword 0x14335fb38bda5087
.xword 0x1ee91cf531038c42
.xword 0x6bc6255722d504ae
.xword 0x244bdd458de0e7e2
.xword 0x22036d5b879b585f
.xword 0x8b919b7f5cd2a22a
.xword 0xa7c5a41089a5cafc
.xword 0x2100fb33b79ba009
.xword 0xa6e656d54f43eb6e
.xword 0xac09f6e727c3a21d
.xword 0xddf4beb3038e5212
.xword 0xb6774ef83094f91b
.xword 0xd1432f64109bdb94
.xword 0xb819b2d76adc2c86
.xword 0x0033788da25ee9b3
.xword 0x706e05820c73cd70
.xword 0x44849c6a27bb5299
.xword 0xd9fb22923a2fa52b
.xword 0x203bce97426ab821
.xword 0x35dc5612173a6db0
.xword 0xe1ec7ce9f956f64e
.xword 0x68034a34af5deead
.xword 0x291091bd3832eb10
.xword 0x64c631f433489618
.xword 0x5f773c542e09b7be
.xword 0x81869e592716a539
.xword 0xf7cc7a9c2918ff37
.xword 0xc8ee31a45018eac6
.xword 0xa511c90075f44717
.xword 0x6cc80fcdc047ba17
.xword 0xae31c39adfd7493e
.xword 0x2b9dc1f9fbbbc17f
.xword 0x06f6f00b19519f21
.xword 0x04e521db0dc5de76
.xword 0xc7cc764fffb56870
.xword 0x85f6e8cb07eb3458
.xword 0x997b0c4180e09532
.xword 0x1c832b2b41f5564d
.xword 0xa9ed8c4d045612c4
.xword 0xe7905b1aca2c9e87
.xword 0x7f8fb3708ab246b5
.xword 0xacafc9a9428ae88b
.xword 0x3dd3d6551cf7eb7e
.xword 0xa1ba9966bd11668c
.xword 0x408f1c1e5cb8df2c
.xword 0x0730387066ca45b8
.xword 0x35cb47d2b5556c54
.xword 0x20bd334484fd78af
.xword 0x30dbdf0c94fa4294
.xword 0xd4ebe64673119eec
.xword 0x7593f6946d3f3ed6
.xword 0x7878b030e408fb5a
.xword 0x3fe6b3f34ed96284
.xword 0x2b61e6f081fec531
.xword 0x43112c5ccbb99924
.xword 0x173e4ef382e0ad17
.xword 0x5413bc3199109ab2
.xword 0xc41a7a870a0be986
.xword 0x1cb56f96107d3632
.xword 0x242d19c8c2cd40bc
.xword 0x2f4f79c516579ead
.xword 0x606d707004c96277
.xword 0x2a740e0166c40613
.xword 0x986b0b3a5c80f76d
.xword 0x419d6c6772a0ef86
.xword 0xa6a4d5e07e343f91
.xword 0x66a5a333575c68b5
.xword 0x98806cf3dff15493
.xword 0x86b8b7a6b632d0b7
.xword 0x38a86b1a28fff9f7
.xword 0xc9353ef20ec9183a
.xword 0x018374bb0d8fea8e
.xword 0x4c18f751e2c06e14
.xword 0x215b72f58d4d07aa
.xword 0xf333ee68bda4bb15
.xword 0xb7385e329ba4378f
.xword 0x0b6a33cec5ce5693
.xword 0x9e09d45918dc4af2
.xword 0xced3f947b265f117
.xword 0xa13d1ab76920ae73
.xword 0x4b88a9965dfafc3f
.xword 0x3e122d7abb395217
.xword 0x4d487e97c1121e7d
.xword 0xbdcbfce69bbf7e23
.xword 0x4ac05c703006d965
.xword 0x2faa13d867c24170
.xword 0x857387b5c22d693b
.xword 0xe914ab09f907dfbc
.xword 0xb44af7ee2873f110
.xword 0xcd0c7d1995bc1c68
.xword 0xf56e4b4ba9e26073
.xword 0x6932eb0881171ed3
.xword 0x125853802e2f821d
.xword 0xe9965607e3a1078d
.xword 0x2067e800af0a4370
.xword 0xf5c25055d555ae26
.xword 0xf0cdccc40b32f8e9
.xword 0x810e879f6abc701f
.xword 0x37dfe8afdae95dda
.xword 0x712c67c275f7329e
.xword 0xc8cdc8a63663ae72
.xword 0xe1bdbc1e31474c3f
.xword 0x8a519dbe172f8f9a
.xword 0x4820bfdb3418d317
.xword 0xacadc794a0555eb9
.xword 0x3e071d26ab6a9e1f
.xword 0x125c87b5dbe7a5fc
.xword 0x184aafed80ed7908
.xword 0x214d385127e09fc8
.xword 0xd7057b73a60ca988
.xword 0x62d847a676e0b529
.xword 0xa135a969785b3313
.xword 0xfca7bc5e38a16632
.xword 0x7177f06115b33680
.xword 0x976c8a5bf77f6b33
.xword 0xe8ae5e52a23f4def
.xword 0x411f30d07a7c5e3d
.xword 0x773bcbdbfe7b6777
.align 8
.global _t2_ma_operations6
_t2_ma_operations6:
.xword 0
.xword 0x0002003c | (0 << 18) !ma_ctl_Ld (0)
.xword 0
.xword 0x0002014e | (0 << 18) !ma_ctl_St (0)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (0)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (0)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (0)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (0)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (0)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (0)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (0)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (0)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (0)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (0)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (0)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (0)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (0)
.xword 0
.xword 0x0002110c | (0 << 18) !ma_ctl_StParity (0)
.xword 0
.xword 0x00020024 | (0 << 18) !ma_ctl_Ld (1)
.xword 0
.xword 0x00020191 | (0 << 18) !ma_ctl_St (1)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (1)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (1)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (1)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (1)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (1)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (1)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (1)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (1)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (1)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (1)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (1)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (1)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (1)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (1)
.xword 0
.xword 0x00020080 | (0 << 18) !ma_ctl_Ld (2)
.xword 0
.xword 0x00020136 | (0 << 18) !ma_ctl_St (2)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (2)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (2)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (2)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (2)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (2)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (2)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (2)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (2)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (2)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (2)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (2)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (2)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (2)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (2)
.xword 0
.xword 0x00020064 | (0 << 18) !ma_ctl_Ld (3)
.xword 0
.xword 0x00020188 | (0 << 18) !ma_ctl_St (3)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (3)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (3)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (3)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (3)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (3)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (3)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (3)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (3)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (3)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (3)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (3)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (3)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (3)
.xword 0
.xword 0x0002110b | (0 << 18) !ma_ctl_StParity (3)
.xword 0
.xword 0x00020031 | (0 << 18) !ma_ctl_Ld (4)
.xword 0
.xword 0x00020181 | (0 << 18) !ma_ctl_St (4)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (4)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (4)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (4)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (4)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (4)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (4)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (4)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (4)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (4)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (4)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (4)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (4)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (4)
.xword 0
.xword 0x0002110a | (0 << 18) !ma_ctl_StParity (4)
.xword 0
.xword 0x00020025 | (0 << 18) !ma_ctl_Ld (5)
.xword 0
.xword 0x00020187 | (0 << 18) !ma_ctl_St (5)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (5)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (5)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (5)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (5)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (5)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (5)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (5)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (5)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (5)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (5)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (5)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (5)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (5)
.xword 0
.xword 0x00021104 | (0 << 18) !ma_ctl_StParity (5)
.xword 0
.xword 0x00020099 | (0 << 18) !ma_ctl_Ld (6)
.xword 0
.xword 0x00020128 | (0 << 18) !ma_ctl_St (6)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (6)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (6)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (6)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (6)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (6)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (6)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (6)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (6)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (6)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (6)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (6)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (6)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (6)
.xword 0
.xword 0x00021106 | (0 << 18) !ma_ctl_StParity (6)
.xword 0
.xword 0x00020042 | (0 << 18) !ma_ctl_Ld (7)
.xword 0
.xword 0x00020184 | (0 << 18) !ma_ctl_St (7)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (7)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (7)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (7)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (7)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (7)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (7)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (7)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (7)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (7)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (7)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (7)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (7)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (7)
.xword 0
.xword 0x00021102 | (0 << 18) !ma_ctl_StParity (7)
.xword 0
.xword 0x00020082 | (0 << 18) !ma_ctl_Ld (8)
.xword 0
.xword 0x0002012d | (0 << 18) !ma_ctl_St (8)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (8)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (8)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (8)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (8)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (8)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (8)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (8)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (8)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (8)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (8)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (8)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (8)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (8)
.xword 0
.xword 0x0002111d | (0 << 18) !ma_ctl_StParity (8)
.xword 0
.xword 0x0002004f | (0 << 18) !ma_ctl_Ld (9)
.xword 0
.xword 0x00020152 | (0 << 18) !ma_ctl_St (9)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (9)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (9)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (9)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (9)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (9)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (9)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (9)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (9)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (9)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (9)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (9)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (9)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (9)
.xword 0
.xword 0x00021116 | (0 << 18) !ma_ctl_StParity (9)
.xword 0
.xword 0x00020098 | (0 << 18) !ma_ctl_Ld (10)
.xword 0
.xword 0x00020174 | (0 << 18) !ma_ctl_St (10)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (10)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (10)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (10)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (10)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (10)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (10)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (10)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (10)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (10)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (10)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (10)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (10)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (10)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (10)
.xword 0
.xword 0x00020068 | (0 << 18) !ma_ctl_Ld (11)
.xword 0
.xword 0x00020136 | (0 << 18) !ma_ctl_St (11)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (11)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (11)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (11)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (11)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (11)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (11)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (11)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (11)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (11)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (11)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (11)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (11)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (11)
.xword 0
.xword 0x0002111e | (0 << 18) !ma_ctl_StParity (11)
.xword 0
.xword 0x00020061 | (0 << 18) !ma_ctl_Ld (12)
.xword 0
.xword 0x00020152 | (0 << 18) !ma_ctl_St (12)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (12)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (12)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (12)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (12)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (12)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (12)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (12)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (12)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (12)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (12)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (12)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (12)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (12)
.xword 0
.xword 0x00021111 | (0 << 18) !ma_ctl_StParity (12)
.xword 0
.xword 0x0002007b | (0 << 18) !ma_ctl_Ld (13)
.xword 0
.xword 0x00020120 | (0 << 18) !ma_ctl_St (13)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (13)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (13)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (13)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (13)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (13)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (13)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (13)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (13)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (13)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (13)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (13)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (13)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (13)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (13)
.xword 0
.xword 0x00020059 | (0 << 18) !ma_ctl_Ld (14)
.xword 0
.xword 0x0002017c | (0 << 18) !ma_ctl_St (14)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (14)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (14)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (14)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (14)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (14)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (14)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (14)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (14)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (14)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (14)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (14)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (14)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (14)
.xword 0
.xword 0x0002110c | (0 << 18) !ma_ctl_StParity (14)
.xword 0
.xword 0x0002004e | (0 << 18) !ma_ctl_Ld (15)
.xword 0
.xword 0x00020180 | (0 << 18) !ma_ctl_St (15)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (15)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (15)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (15)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (15)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (15)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (15)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (15)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (15)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (15)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (15)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (15)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (15)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (15)
.xword 0
.xword 0x00021109 | (0 << 18) !ma_ctl_StParity (15)
.xword 0
.xword 0x00020086 | (0 << 18) !ma_ctl_Ld (16)
.xword 0
.xword 0x00020160 | (0 << 18) !ma_ctl_St (16)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (16)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (16)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (16)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (16)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (16)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (16)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (16)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (16)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (16)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (16)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (16)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (16)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (16)
.xword 0
.xword 0x00021104 | (0 << 18) !ma_ctl_StParity (16)
.xword 0
.xword 0x0002005d | (0 << 18) !ma_ctl_Ld (17)
.xword 0
.xword 0x00020131 | (0 << 18) !ma_ctl_St (17)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (17)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (17)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (17)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (17)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (17)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (17)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (17)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (17)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (17)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (17)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (17)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (17)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (17)
.xword 0
.xword 0x0002110f | (0 << 18) !ma_ctl_StParity (17)
.xword 0
.xword 0x00020031 | (0 << 18) !ma_ctl_Ld (18)
.xword 0
.xword 0x00020176 | (0 << 18) !ma_ctl_St (18)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (18)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (18)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (18)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (18)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (18)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (18)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (18)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (18)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (18)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (18)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (18)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (18)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (18)
.xword 0
.xword 0x0002111d | (0 << 18) !ma_ctl_StParity (18)
.xword 0
.xword 0x00020093 | (0 << 18) !ma_ctl_Ld (19)
.xword 0
.xword 0x0002014b | (0 << 18) !ma_ctl_St (19)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (19)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (19)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (19)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (19)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (19)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (19)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (19)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (19)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (19)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (19)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (19)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (19)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (19)
.xword 0
.xword 0x0002111e | (0 << 18) !ma_ctl_StParity (19)
.xword 0
.xword 0x00020044 | (0 << 18) !ma_ctl_Ld (20)
.xword 0
.xword 0x00020161 | (0 << 18) !ma_ctl_St (20)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (20)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (20)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (20)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (20)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (20)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (20)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (20)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (20)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (20)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (20)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (20)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (20)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (20)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (20)
.xword 0
.xword 0x00020029 | (0 << 18) !ma_ctl_Ld (21)
.xword 0
.xword 0x00020189 | (0 << 18) !ma_ctl_St (21)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (21)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (21)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (21)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (21)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (21)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (21)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (21)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (21)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (21)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (21)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (21)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (21)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (21)
.xword 0
.xword 0x00021109 | (0 << 18) !ma_ctl_StParity (21)
.xword 0
.xword 0x00020080 | (0 << 18) !ma_ctl_Ld (22)
.xword 0
.xword 0x00020178 | (0 << 18) !ma_ctl_St (22)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (22)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (22)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (22)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (22)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (22)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (22)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (22)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (22)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (22)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (22)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (22)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (22)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (22)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (22)
.xword 0
.xword 0x00020039 | (0 << 18) !ma_ctl_Ld (23)
.xword 0
.xword 0x00020162 | (0 << 18) !ma_ctl_St (23)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (23)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (23)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (23)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (23)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (23)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (23)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (23)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (23)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (23)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (23)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (23)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (23)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (23)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (23)
.xword 0
.xword 0x0002005b | (0 << 18) !ma_ctl_Ld (24)
.xword 0
.xword 0x00020162 | (0 << 18) !ma_ctl_St (24)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (24)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (24)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (24)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (24)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (24)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (24)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (24)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (24)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (24)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (24)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (24)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (24)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (24)
.xword 0
.xword 0x00021118 | (0 << 18) !ma_ctl_StParity (24)
.xword 0
.xword 0x00020051 | (0 << 18) !ma_ctl_Ld (25)
.xword 0
.xword 0x0002013a | (0 << 18) !ma_ctl_St (25)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (25)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (25)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (25)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (25)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (25)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (25)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (25)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (25)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (25)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (25)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (25)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (25)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (25)
.xword 0
.xword 0x00021114 | (0 << 18) !ma_ctl_StParity (25)
.xword 0
.xword 0x0002007b | (0 << 18) !ma_ctl_Ld (26)
.xword 0
.xword 0x00020145 | (0 << 18) !ma_ctl_St (26)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (26)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (26)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (26)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (26)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (26)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (26)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (26)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (26)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (26)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (26)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (26)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (26)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (26)
.xword 0
.xword 0x00021114 | (0 << 18) !ma_ctl_StParity (26)
.align 8
.global _t2_ma_results6
_t2_ma_results6:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
SECTION ._t2_T_MAX_DATA7 DATA_VA=275775488
attr_data {
Name = ._t2_T_MAX_DATA7
hypervisor
}
.data
_t2_user_data_start:
_t2_scratch_area:
.align 8
.global _t2_ma_operands7
_t2_ma_operands7:
.xword 0xebf4599404315c64
.xword 0xaf848d782eb26a41
.xword 0x4d0e8cc31cd07719
.xword 0x20c306a9e1f12a05
.xword 0xfc493015c5be099e
.xword 0xae114600eb4c8b2f
.xword 0x6dd5ff347c3f60e7
.xword 0x965751fecbb5a7b1
.xword 0xccf325b69fbc1b46
.xword 0xad9a02de3ba42df7
.xword 0xd81cf53a0dba8fb0
.xword 0x1f21f4085653be01
.xword 0x4e1b8a6b80b1370e
.xword 0x2be91b601ce3604a
.xword 0x8f6b8221520214e9
.xword 0x30bc77025757b94e
.xword 0x01c4cb991595e512
.xword 0x17d7ee643e724ec8
.xword 0xc525aec5c0645c2f
.xword 0xb0f0c653ffc7781a
.xword 0x0d6010db71548173
.xword 0x4276e20acbc6a714
.xword 0x2b2afa5013f582c1
.xword 0xce8601db66e288d9
.xword 0xd3bb8e91e8a554b8
.xword 0x5d6620ad33643f43
.xword 0x7720eb8018b0f19a
.xword 0xf105760c9dcbcc3a
.xword 0xdf5e1750341f9117
.xword 0x8cce2ca549a10d55
.xword 0x8e12d68ba8e335db
.xword 0x8c9b67b01ea3056d
.xword 0x9ffcce67931e1e3f
.xword 0x0e969684f4bffdd8
.xword 0xd1887fb84b61fe2e
.xword 0x9544537612e4b21b
.xword 0xf2557d67dc82d928
.xword 0x7412e604fe0e62d4
.xword 0x7dd6cc52a929e6a2
.xword 0x5b96575931516cf3
.xword 0x91eb1864a65e58d6
.xword 0x21facea3158ecb8d
.xword 0x00841fb49fe6028b
.xword 0x608b25e46fc90c34
.xword 0x49d5f4e898d4d1a6
.xword 0xebbed0f3d427b86c
.xword 0xc7b10179c8674781
.xword 0x80f550dab08078ea
.xword 0x992f8b8a37d27cf2
.xword 0xe56194b4800e3a62
.xword 0x30274a19d614ed2d
.xword 0xc08ca4443eb7f53f
.xword 0xfde59c7bc4650da3
.xword 0x70eaf72bd3017ad2
.xword 0xc5954754c64ca050
.xword 0xd4a270bc300e5533
.xword 0x02f7ccf0e96f53f6
.xword 0x0abb2eb8d38bf004
.xword 0x373969db637fc96d
.xword 0x2b3ba4bc19b521f4
.xword 0x17e821d974f8fbdc
.xword 0x59723cf36f6b4125
.xword 0x7688cfe8a2f4cb5a
.xword 0x87a1240facef0c4e
.xword 0x4b734c766a418bb4
.xword 0x5a5d8c4039260bd0
.xword 0xe1043b5d41577cd8
.xword 0x4e72c4177ac764c8
.xword 0x6b723ec65b444206
.xword 0xeff0fe8a63036c46
.xword 0x48e55350a0f2712f
.xword 0x8861527f58edb7db
.xword 0x2b222488d0986d3d
.xword 0xb5736fc6cfcf0b04
.xword 0x5773d7a7d9392210
.xword 0xf75c714a1ffa3d68
.xword 0x759f41f21605d96f
.xword 0xa66ec403ed4e60e5
.xword 0x1d29d4f7002566bd
.xword 0xcf9d70233bc34b6e
.xword 0x6f32ea872c61fe89
.xword 0xc050863f892b1275
.xword 0xcd40f4e2fb1c1227
.xword 0x632b6c3ac193785e
.xword 0x3b3182cf860a09f4
.xword 0xd0d5119e04cab21b
.xword 0x3beb65cec2fcff1b
.xword 0x735b5c3b082dc721
.xword 0x0860effad8fc7506
.xword 0x8ba3979ba462ef0d
.xword 0xba42e1478d0b6f96
.xword 0x150b90117abc010c
.xword 0x80190797f757719d
.xword 0x2dc50354e8a9e3f8
.xword 0x547b7a4ab8a4c988
.xword 0xe99ce97fc9bc307d
.xword 0xaf7aa4a3e917fc00
.xword 0x57e924921f6a0fec
.xword 0x53513579480e9384
.xword 0xc5a6d3b42bfde77b
.xword 0x3162cd14e4453f2f
.xword 0x1e5a0baa657237d1
.xword 0xef0a94842fc68cfb
.xword 0xea0babeab38fafdd
.xword 0x141df5280a5e3512
.xword 0xed62241afe239d95
.xword 0x20d83895f75e86dd
.xword 0x48edc5144277cffe
.xword 0xcfca15b665e97390
.xword 0x538e4fe31ce6358c
.xword 0x5024f020cc4858df
.xword 0xeef871cc1956b8cd
.xword 0xc0caf2854b06d438
.xword 0x3b35f97dd8fc1903
.xword 0xf1f60dc648d94e2a
.xword 0xc40eca56d4f7daf8
.xword 0xd8bc6bac5bdf1608
.xword 0x131792fc3990e7f1
.xword 0x5bc173cab3b307d8
.xword 0xd788cda0b224c31b
.xword 0xaa903dd684028dce
.xword 0x82887ae1bac284cd
.xword 0xb9644fe48b20749c
.xword 0x9e8f457187500885
.xword 0x3a1fecda8b70c86d
.xword 0x8f83ae8e92d09e2f
.xword 0xc1b75a117eaa8d9d
.xword 0x425343138cbbf38d
.xword 0x7784b2d8e0b7fc57
.xword 0x9374bc6b14260914
.xword 0xa27c9a6c8ac1a70c
.xword 0x4353591f27530696
.xword 0xe3f214ce5d0d228e
.xword 0xce0ff0abdb84c56a
.xword 0x3eecdcc56e87e8fb
.xword 0x530dfe3f73d315fe
.xword 0x57fbbb7b9f4ef68d
.xword 0xfb162a5cf66e759f
.xword 0x28fa596ad1983f1f
.xword 0xa6534d7cb4afc2b4
.xword 0x4358728288e1edb3
.xword 0x14dd8f9871ac12b4
.xword 0x79c2752f9affbb8a
.xword 0x97ce6907d6aa4f8d
.xword 0x994650da3321fb3b
.xword 0x965d9ece2fcf18ee
.xword 0x9d8d24d284cacd45
.xword 0x4ae48c4d35f7fa09
.xword 0x39c43a0ce4380ff0
.xword 0x640a8a9ff0775202
.xword 0x4c300a747646a225
.xword 0x75743ce8f20317d4
.xword 0xd8f184297c185eee
.xword 0x48929bd72dc41d80
.xword 0x77abb26a7c753b5a
.xword 0x9f67e6b9776d3271
.xword 0x947ce41759a8f687
.xword 0x93c8edc3b144d14c
.xword 0xca17fbda78402141
.xword 0x983b34d96d8a37c6
.xword 0x963f7d732ee054d5
.xword 0xf4c86a239a9dbcd7
.xword 0x628d4b50154b21db
.xword 0x9320625f74e0910c
.align 8
.global _t2_ma_operations7
_t2_ma_operations7:
.xword 0
.xword 0x0002004c | (0 << 18) !ma_ctl_Ld (0)
.xword 0
.xword 0x00020155 | (0 << 18) !ma_ctl_St (0)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (0)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (0)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (0)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (0)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (0)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (0)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (0)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (0)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (0)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (0)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (0)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (0)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (0)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (0)
.xword 0
.xword 0x00021101 | (0 << 18) !ma_ctl_StParity (0)
.xword 0
.xword 0x00020051 | (0 << 18) !ma_ctl_Ld (1)
.xword 0
.xword 0x00020129 | (0 << 18) !ma_ctl_St (1)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (1)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (1)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (1)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (1)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (1)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (1)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (1)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (1)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (1)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (1)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (1)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (1)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (1)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (1)
.xword 0
.xword 0x00021119 | (0 << 18) !ma_ctl_StParity (1)
.xword 0
.xword 0x0002006f | (0 << 18) !ma_ctl_Ld (2)
.xword 0
.xword 0x0002013b | (0 << 18) !ma_ctl_St (2)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (2)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (2)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (2)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (2)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (2)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (2)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (2)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (2)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (2)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (2)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (2)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (2)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (2)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (2)
.xword 0
.xword 0x00021105 | (0 << 18) !ma_ctl_StParity (2)
.xword 0
.xword 0x00020074 | (0 << 18) !ma_ctl_Ld (3)
.xword 0
.xword 0x00020157 | (0 << 18) !ma_ctl_St (3)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (3)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (3)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (3)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (3)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (3)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (3)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (3)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (3)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (3)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (3)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (3)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (3)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (3)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (3)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (3)
.xword 0
.xword 0x00020032 | (0 << 18) !ma_ctl_Ld (4)
.xword 0
.xword 0x0002016a | (0 << 18) !ma_ctl_St (4)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (4)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (4)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (4)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (4)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (4)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (4)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (4)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (4)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (4)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (4)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (4)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (4)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (4)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (4)
.xword 0
.xword 0x0002111a | (0 << 18) !ma_ctl_StParity (4)
.xword 0
.xword 0x0002004a | (0 << 18) !ma_ctl_Ld (5)
.xword 0
.xword 0x00020159 | (0 << 18) !ma_ctl_St (5)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (5)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (5)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (5)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (5)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (5)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (5)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (5)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (5)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (5)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (5)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (5)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (5)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (5)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (5)
.xword 0
.xword 0x00021103 | (0 << 18) !ma_ctl_StParity (5)
.xword 0
.xword 0x0002008a | (0 << 18) !ma_ctl_Ld (6)
.xword 0
.xword 0x00020176 | (0 << 18) !ma_ctl_St (6)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (6)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (6)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (6)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (6)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (6)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (6)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (6)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (6)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (6)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (6)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (6)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (6)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (6)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (6)
.xword 0
.xword 0x0002111d | (0 << 18) !ma_ctl_StParity (6)
.xword 0
.xword 0x0002003e | (0 << 18) !ma_ctl_Ld (7)
.xword 0
.xword 0x0002018d | (0 << 18) !ma_ctl_St (7)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (7)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (7)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (7)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (7)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (7)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (7)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (7)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (7)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (7)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (7)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (7)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (7)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (7)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (7)
.xword 0
.xword 0x00021109 | (0 << 18) !ma_ctl_StParity (7)
.xword 0
.xword 0x00020080 | (0 << 18) !ma_ctl_Ld (8)
.xword 0
.xword 0x00020143 | (0 << 18) !ma_ctl_St (8)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (8)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (8)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (8)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (8)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (8)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (8)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (8)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (8)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (8)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (8)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (8)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (8)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (8)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (8)
.xword 0
.xword 0x00021100 | (0 << 18) !ma_ctl_StParity (8)
.xword 0
.xword 0x00020033 | (0 << 18) !ma_ctl_Ld (9)
.xword 0
.xword 0x00020158 | (0 << 18) !ma_ctl_St (9)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (9)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (9)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (9)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (9)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (9)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (9)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (9)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (9)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (9)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (9)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (9)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (9)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (9)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (9)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (9)
.xword 0
.xword 0x00020020 | (0 << 18) !ma_ctl_Ld (10)
.xword 0
.xword 0x00020173 | (0 << 18) !ma_ctl_St (10)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (10)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (10)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (10)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (10)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (10)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (10)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (10)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (10)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (10)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (10)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (10)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (10)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (10)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (10)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (10)
.xword 0
.xword 0x00020036 | (0 << 18) !ma_ctl_Ld (11)
.xword 0
.xword 0x00020176 | (0 << 18) !ma_ctl_St (11)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (11)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (11)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (11)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (11)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (11)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (11)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (11)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (11)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (11)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (11)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (11)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (11)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (11)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (11)
.xword 0
.xword 0x0002111d | (0 << 18) !ma_ctl_StParity (11)
.xword 0
.xword 0x00020044 | (0 << 18) !ma_ctl_Ld (12)
.xword 0
.xword 0x0002014b | (0 << 18) !ma_ctl_St (12)
.xword 0x80604020
.xword 0x00020201 | (0 << 18) !ma_ctl_ModMul (12)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (12)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (12)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (12)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (12)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (12)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (12)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (12)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (12)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (12)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (12)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (12)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (12)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (12)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (12)
.xword 0
.xword 0x00020071 | (0 << 18) !ma_ctl_Ld (13)
.xword 0
.xword 0x0002014b | (0 << 18) !ma_ctl_St (13)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (13)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (13)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (13)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (13)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (13)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (13)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (13)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (13)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (13)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (13)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (13)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (13)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (13)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (13)
.xword 0
.xword 0x0002110a | (0 << 18) !ma_ctl_StParity (13)
.xword 0
.xword 0x0002008a | (0 << 18) !ma_ctl_Ld (14)
.xword 0
.xword 0x00020165 | (0 << 18) !ma_ctl_St (14)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (14)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (14)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (14)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (14)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (14)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (14)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (14)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (14)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (14)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (14)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (14)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (14)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (14)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (14)
.xword 0
.xword 0x00021108 | (0 << 18) !ma_ctl_StParity (14)
.xword 0
.xword 0x0002008b | (0 << 18) !ma_ctl_Ld (15)
.xword 0
.xword 0x00020129 | (0 << 18) !ma_ctl_St (15)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (15)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (15)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (15)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (15)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (15)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (15)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (15)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (15)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (15)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (15)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (15)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (15)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (15)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (15)
.xword 0
.xword 0x0002111e | (0 << 18) !ma_ctl_StParity (15)
.xword 0
.xword 0x0002002b | (0 << 18) !ma_ctl_Ld (16)
.xword 0
.xword 0x0002017b | (0 << 18) !ma_ctl_St (16)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (16)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (16)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (16)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (16)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (16)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (16)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (16)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (16)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (16)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (16)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (16)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (16)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (16)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (16)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (16)
.xword 0
.xword 0x0002005b | (0 << 18) !ma_ctl_Ld (17)
.xword 0
.xword 0x00020153 | (0 << 18) !ma_ctl_St (17)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (17)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (17)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (17)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (17)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (17)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (17)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (17)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (17)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (17)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (17)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (17)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (17)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (17)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (17)
.xword 0
.xword 0x0002110e | (0 << 18) !ma_ctl_StParity (17)
.xword 0
.xword 0x0002003c | (0 << 18) !ma_ctl_Ld (18)
.xword 0
.xword 0x00020152 | (0 << 18) !ma_ctl_St (18)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (18)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (18)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (18)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (18)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (18)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (18)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (18)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (18)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (18)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (18)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (18)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (18)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (18)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (18)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (18)
.xword 0
.xword 0x0002008d | (0 << 18) !ma_ctl_Ld (19)
.xword 0
.xword 0x00020177 | (0 << 18) !ma_ctl_St (19)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (19)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (19)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (19)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (19)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (19)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (19)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (19)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (19)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (19)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (19)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (19)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (19)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (19)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (19)
.xword 0
.xword 0x0002110d | (0 << 18) !ma_ctl_StParity (19)
.xword 0
.xword 0x00020022 | (0 << 18) !ma_ctl_Ld (20)
.xword 0
.xword 0x0002017e | (0 << 18) !ma_ctl_St (20)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (20)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (20)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (20)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (20)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (20)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (20)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (20)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (20)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (20)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (20)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (20)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (20)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (20)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (20)
.xword 0
.xword 0x00021100 | (0 << 18) !ma_ctl_StParity (20)
.xword 0
.xword 0x0002008a | (0 << 18) !ma_ctl_Ld (21)
.xword 0
.xword 0x00020163 | (0 << 18) !ma_ctl_St (21)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (21)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (21)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (21)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (21)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (21)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (21)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (21)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (21)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (21)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (21)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (21)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (21)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (21)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (21)
.xword 0
.xword 0x00021107 | (0 << 18) !ma_ctl_StParity (21)
.xword 0
.xword 0x00020025 | (0 << 18) !ma_ctl_Ld (22)
.xword 0
.xword 0x0002013d | (0 << 18) !ma_ctl_St (22)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (22)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (22)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (22)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (22)
.xword 0x78285050
.xword 0x00020602 | (0 << 18) !ma_ctl_gf2m_ModSq (22)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (22)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (22)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (22)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (22)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x7766000000554422
.xword 0x00020c01 | (0 << 18) !ma_ctl_gfp_ptDbl (22)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (22)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (22)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (22)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (22)
.xword 0
.xword 0x00021112 | (0 << 18) !ma_ctl_StParity (22)
.xword 0
.xword 0x00020046 | (0 << 18) !ma_ctl_Ld (23)
.xword 0
.xword 0x0002015f | (0 << 18) !ma_ctl_St (23)
.xword 0x80604020
.xword 0x00020203 | (0 << 18) !ma_ctl_ModMul (23)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (23)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (23)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (23)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (23)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (23)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (23)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (23)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (23)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (23)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (23)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (23)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (23)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (23)
.xword 0
.xword 0x0002111d | (0 << 18) !ma_ctl_StParity (23)
.xword 0
.xword 0x00020020 | (0 << 18) !ma_ctl_Ld (24)
.xword 0
.xword 0x0002012f | (0 << 18) !ma_ctl_St (24)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (24)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (24)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (24)
.xword 0x6a35
.xword 0x00020502 | (0 << 18) !ma_ctl_gf2m_ModAdd (24)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (24)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (24)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (24)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (24)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (24)
.xword 0x64500000003c0000
.xword 0x00020b02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (24)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (24)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (24)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (24)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (24)
.xword 0
.xword 0x00021104 | (0 << 18) !ma_ctl_StParity (24)
.xword 0
.xword 0x0002005a | (0 << 18) !ma_ctl_Ld (25)
.xword 0
.xword 0x0002013d | (0 << 18) !ma_ctl_St (25)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (25)
.xword 0x356a
.xword 0x00020302 | (0 << 18) !ma_ctl_ModRed (25)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (25)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (25)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (25)
.xword 0x80604020
.xword 0x00020701 | (0 << 18) !ma_ctl_gf2m_ModMul (25)
.xword 0x00287850
.xword 0x00020801 | (0 << 18) !ma_ctl_ModSub (25)
.xword 0x00287850
.xword 0x00020902 | (0 << 18) !ma_ctl_ModAdd (25)
.xword 0x78285050
.xword 0x00020a01 | (0 << 18) !ma_ctl_ModSq (25)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (25)
.xword 0x706200000054462a
.xword 0x00020d02 | (0 << 18) !ma_ctl_gfp_ptAdd (25)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (25)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (25)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (25)
.xword 0
.xword 0x00021113 | (0 << 18) !ma_ctl_StParity (25)
.xword 0
.xword 0x00020052 | (0 << 18) !ma_ctl_Ld (26)
.xword 0
.xword 0x00020141 | (0 << 18) !ma_ctl_St (26)
.xword 0x80604020
.xword 0x00020202 | (0 << 18) !ma_ctl_ModMul (26)
.xword 0x356a
.xword 0x00020301 | (0 << 18) !ma_ctl_ModRed (26)
.xword 0x0000006000408020
.xword 0x00020401 | (0 << 18) !ma_ctl_ModExp (26)
.xword 0x6a35
.xword 0x00020501 | (0 << 18) !ma_ctl_gf2m_ModAdd (26)
.xword 0x78285050
.xword 0x00020601 | (0 << 18) !ma_ctl_gf2m_ModSq (26)
.xword 0x80604020
.xword 0x00020702 | (0 << 18) !ma_ctl_gf2m_ModMul (26)
.xword 0x00287850
.xword 0x00020802 | (0 << 18) !ma_ctl_ModSub (26)
.xword 0x00287850
.xword 0x00020901 | (0 << 18) !ma_ctl_ModAdd (26)
.xword 0x78285050
.xword 0x00020a02 | (0 << 18) !ma_ctl_ModSq (26)
.xword 0x64500000003c0000
.xword 0x00020b01 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x7766000000554422
.xword 0x00020c02 | (0 << 18) !ma_ctl_gfp_ptDbl (26)
.xword 0x706200000054462a
.xword 0x00020d01 | (0 << 18) !ma_ctl_gfp_ptAdd (26)
.xword 0x784d005800423721
.xword 0x00020e01 | (0 << 18) !ma_ctl_gfp_ptMul (26)
.xword 0x804d006000504020
.xword 0x00020f01 | (0 << 18) !ma_ctl_gf2m_ptMul (26)
.xword 0x0000006000408020
.xword 0x00021001 | (0 << 18) !ma_ctl_gf2m_ModExp (26)
.xword 0
.xword 0x0002111c | (0 << 18) !ma_ctl_StParity (26)
.align 8
.global _t2_ma_results7
_t2_ma_results7:
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
.xword 0xDEADBEEFDEADBEEF
SECTION .MyHTRAPS_0 TEXT_VA = 0x0000000000280000, DATA_VA = 0x00000000002c0000
attr_text {
Name = .MyHTRAPS_0,
RA = 0x0000000000280000,
PA = ra2pa(0x0000000000280000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_E = 1,
TTE_P = 1,
TTE_W = 0,
TTE_X = 0
}
attr_data {
Name = .MyHTRAPS_0,
RA = 0x00000000002c0000,
PA = ra2pa(0x00000000002c0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0
}
.text
#include "htraps.s"
#include "tlu_htraps_ext.s"
SECTION .MyHTRAPS_1 TEXT_VA = 0x00000000002a0000, DATA_VA = 0x00000000002e0000
attr_text {
Name = .MyHTRAPS_1,
RA = 0x00000000002a0000,
PA = ra2pa(0x00000000002a0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_E = 1,
TTE_P = 1,
TTE_W = 0,
TTE_X = 0
}
attr_data {
Name = .MyHTRAPS_1,
RA = 0x00000000002e0000,
PA = ra2pa(0x00000000002e0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0
}
.text
#include "htraps.s"
#include "tlu_htraps_ext.s"
SECTION .MyHTRAPS_2 TEXT_VA = 0x0000000200280000, DATA_VA = 0x00000002002c0000
attr_text {
Name = .MyHTRAPS_2,
RA = 0x0000000200280000,
PA = ra2pa(0x0000000200280000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_E = 1,
TTE_P = 1,
TTE_W = 0,
TTE_X = 0
}
attr_data {
Name = .MyHTRAPS_2,
RA = 0x00000002002c0000,
PA = ra2pa(0x00000002002c0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0
}
.text
#include "htraps.s"
#include "tlu_htraps_ext.s"
SECTION .MyHTRAPS_3 TEXT_VA = 0x00000002002a0000, DATA_VA = 0x00000002002e0000
attr_text {
Name = .MyHTRAPS_3,
RA = 0x00000002002a0000,
PA = ra2pa(0x00000002002a0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_E = 1,
TTE_P = 1,
TTE_W = 0,
TTE_X = 0
}
attr_data {
Name = .MyHTRAPS_3,
RA = 0x00000002002e0000,
PA = ra2pa(0x00000002002e0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0
}
.text
#include "htraps.s"
#include "tlu_htraps_ext.s"
SECTION .MyTRAPS_0 TEXT_VA = 0x0000000000380000, DATA_VA = 0x00000000003c0000
attr_text {
Name = .MyTRAPS_0,
RA = 0x0000000000380000,
PA = ra2pa(0x0000000000380000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 1,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_E = 1,
TTE_P = 0,
TTE_W = 0,
TTE_X = 0
}
attr_data {
Name = .MyTRAPS_0,
RA = 0x00000000003c0000,
PA = ra2pa(0x00000000003c0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 1,
TTE_W = 1
}
#include "traps.s"
SECTION .MyTRAPS_1 TEXT_VA = 0x00000000003a0000, DATA_VA = 0x00000000003e0000
attr_text {
Name = .MyTRAPS_1,
RA = 0x00000000003a0000,
PA = ra2pa(0x00000000003a0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 1,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0,
TTE_X = 1
}
attr_data {
Name = .MyTRAPS_1,
RA = 0x00000000003e0000,
PA = ra2pa(0x00000000003e0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 1,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 1,
TTE_W = 1
}
#include "traps.s"
SECTION .MyTRAPS_2 TEXT_VA = 0x0000000400380000, DATA_VA = 0x00000004003c0000
attr_text {
Name = .MyTRAPS_2,
RA = 0x0000000400380000,
PA = ra2pa(0x0000000400380000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 1,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 1,
TTE_W = 1,
TTE_X = 0
}
attr_data {
Name = .MyTRAPS_2,
RA = 0x00000004003c0000,
PA = ra2pa(0x00000004003c0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0
}
#include "traps.s"
SECTION .MyTRAPS_3 TEXT_VA = 0x00000004003a0000, DATA_VA = 0x00000004003e0000
attr_text {
Name = .MyTRAPS_3,
RA = 0x00000004003a0000,
PA = ra2pa(0x00000004003a0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 1,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_E = 0,
TTE_P = 0,
TTE_W = 1,
TTE_X = 1
}
attr_data {
Name = .MyTRAPS_3,
RA = 0x00000004003e0000,
PA = ra2pa(0x00000004003e0000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0,
TTE_V = 1,
TTE_Size = PART0_Z_PAGE_SIZE_3,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0
}
#include "traps.s"
SECTION .MyDATA_0 TEXT_VA = 0x00000000e0140000, DATA_VA = 0x0000000060140000
attr_text {
Name = .MyDATA_0,
RA = 0x0000000170100000,
PA = ra2pa(0x0000000170100000,0),
part_0_ctx_zero_tsb_config_0,
part_0_ctx_nonzero_tsb_config_0,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 3,
TTE_NFO = 1,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_E = 0,
TTE_P = 0,
TTE_W = 1
}
attr_data {
Name = .MyDATA_0,
RA = 0x0000000170100000,
PA = ra2pa(0x0000000170100000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 1,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0
}
attr_data {
Name = .MyDATA_0,
RA = 0x0000000170100000,
PA = ra2pa(0x0000000170100000,0),
part_0_ctx_nonzero_tsb_config_2,
TTE_G = 1,
TTE_Context = SCONTEXT,
TTE_V = 1,
TTE_Size = 5,
TTE_NFO = 1,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_E = 0,
TTE_P = 0,
TTE_W = 1,
tsbonly
}
attr_data {
Name = .MyDATA_0,
hypervisor
}
attr_text {
Name = .MyDATA_0,
hypervisor
}
.data
.xword 0xe8dd86ad3ef2dfaf
.xword 0x5ad0c5777f990683
.xword 0x91a4cbfbca3dae6d
.xword 0x0dd4cc45362e5a35
.xword 0x69ab81c591912720
.xword 0xdbd5b10ffb129c28
.xword 0x0171d272c15b5966
.xword 0x10a74ca3eeb132b8
.xword 0x17cb2419a0744cdb
.xword 0xd0dbb9bcfc7f839e
.xword 0xd8552e700e16e2a3
.xword 0x6d27e0b61cbe2331
.xword 0x8a36fad9a7950b69
.xword 0x1febbb91618f2e61
.xword 0x92898e3296d2fe6c
.xword 0xedf5c0f31faf0e18
.xword 0x33772311a977f2dc
.xword 0x81077191b9b78ce5
.xword 0xb7e9244018007495
.xword 0xbcf787d8b10d3ae4
.xword 0x9df696b41b9ded03
.xword 0x35283f6df8f7f800
.xword 0x100b8ee55226978e
.xword 0x4aaf9d050772f128
.xword 0xb4e2e4fa6d6f1108
.xword 0x66cdeb581a2395d5
.xword 0xd025896f6e689910
.xword 0x06f70f719feda093
.xword 0xf0dcab8dadedbcdf
.xword 0x5e1f9840b5694d22
.xword 0x374ea41b38709506
.xword 0x0db6b60ba51f6a4b
SECTION .MyDATA_1 TEXT_VA = 0x00000000e0340000, DATA_VA = 0x0000000060340000
attr_text {
Name = .MyDATA_1,
RA = 0x0000000170300000,
PA = ra2pa(0x0000000170300000,0),
part_0_ctx_zero_tsb_config_0,
part_0_ctx_nonzero_tsb_config_0,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 1,
TTE_NFO = 1,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 1,
TTE_P = 0,
TTE_W = 0
}
attr_data {
Name = .MyDATA_1,
RA = 0x0000000170300000,
PA = ra2pa(0x0000000170300000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 5,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_E = 1,
TTE_P = 0,
TTE_W = 0
}
attr_data {
Name = .MyDATA_1,
RA = 0x0000000170300000,
PA = ra2pa(0x0000000170300000,0),
part_0_ctx_nonzero_tsb_config_2,
TTE_G = 1,
TTE_Context = SCONTEXT,
TTE_V = 1,
TTE_Size = 3,
TTE_NFO = 1,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0,
tsbonly
}
attr_data {
Name = .MyDATA_1,
hypervisor
}
attr_text {
Name = .MyDATA_1,
hypervisor
}
.data
.xword 0x4ec01da6d4e6a25f
.xword 0x15e1d04bd1841185
.xword 0xd820bfe23eae1759
.xword 0x6146ae20310f6b62
.xword 0x4395cc43bb5687f1
.xword 0xa4c166cee7d465ec
.xword 0xa8e7caf0450b8bb5
.xword 0x6c74faee3a0f74cb
.xword 0x1cbeabbe6dec1787
.xword 0x537531492f63f1e5
.xword 0x93fcb1f87ca253b9
.xword 0x389573e0eaa85d7e
.xword 0x19baf839bade1e45
.xword 0x8898ece20cc5aa57
.xword 0x06f91ac640d5b43c
.xword 0xbef43841a8c4c6fd
.xword 0x74847f7c8cb69a38
.xword 0x772fab6e4a42a5f1
.xword 0xda77d2e2f74dd29e
.xword 0x42c13707bfc556e5
.xword 0xbb331a9a8a92c081
.xword 0xfc80cb81b0a965dc
.xword 0x7905f91296b40711
.xword 0xfb0ad9e11c4592a7
.xword 0xe711e082adf4f06f
.xword 0xa119d3e89d55b0c4
.xword 0xe41ef2a2c3aa8172
.xword 0xad6535333d7b97df
.xword 0x975e259965bd894c
.xword 0x0d570c455570969f
.xword 0x99f535d9e7b78101
.xword 0xfa03255108a5dcac
SECTION .MyDATA_2 TEXT_VA = 0x00000000e0540000, DATA_VA = 0x0000000060540000
attr_text {
Name = .MyDATA_2,
RA = 0x0000000170500000,
PA = ra2pa(0x0000000170500000,0),
part_0_ctx_zero_tsb_config_0,
part_0_ctx_nonzero_tsb_config_0,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 5,
TTE_NFO = 1,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 1,
TTE_P = 0,
TTE_W = 1
}
attr_data {
Name = .MyDATA_2,
RA = 0x0000000170500000,
PA = ra2pa(0x0000000170500000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 5,
TTE_NFO = 1,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 1,
TTE_P = 0,
TTE_W = 1
}
attr_data {
Name = .MyDATA_2,
RA = 0x0000000170500000,
PA = ra2pa(0x0000000170500000,0),
part_0_ctx_nonzero_tsb_config_2,
TTE_G = 1,
TTE_Context = SCONTEXT,
TTE_V = 1,
TTE_Size = 3,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 0,
TTE_W = 1,
tsbonly
}
attr_data {
Name = .MyDATA_2,
hypervisor
}
attr_text {
Name = .MyDATA_2,
hypervisor
}
.data
.xword 0x97225fbe074261c8
.xword 0x2940e1288dafa4ad
.xword 0x38b94a9bec34969e
.xword 0xd8293e9b6cf5c952
.xword 0xfe8a4779bf8026c5
.xword 0x68c5814124b7508c
.xword 0xac3c2bef7cae0015
.xword 0x9b2f7bb25b5e7c3d
.xword 0x739d5845b70cc5ec
.xword 0x4721fcb44f82e534
.xword 0x46a59c841b4430c7
.xword 0xe420099cca114ac1
.xword 0x439eafdd1207d354
.xword 0xc9d1a57b56f73e90
.xword 0xa5b1a99fcbf4cb9c
.xword 0x9dc52135e08c541e
.xword 0x02b0f61f00b6278c
.xword 0x18bdea39e8970a7e
.xword 0xb2d03a83b1d15ee6
.xword 0x13a841220c676b35
.xword 0x20203cc754f71f66
.xword 0x1c6b501a457b7cd0
.xword 0x209c514e9a498ac0
.xword 0xc6236f2b50162156
.xword 0xd49eb3f0e1b847cf
.xword 0x1b600386dc9eaa79
.xword 0x32218dfdfbb22bb6
.xword 0x64475054b5424b36
.xword 0xd398d419902115f2
.xword 0x0d9ab034ea66779c
.xword 0x8e8678c364c03aec
.xword 0x84b232c9d3ca2a87
SECTION .MyDATA_3 TEXT_VA = 0x00000000e0740000, DATA_VA = 0x0000000060740000
attr_text {
Name = .MyDATA_3,
RA = 0x0000000170700000,
PA = ra2pa(0x0000000170700000,0),
part_0_ctx_zero_tsb_config_0,
part_0_ctx_nonzero_tsb_config_0,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 5,
TTE_NFO = 1,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_E = 1,
TTE_P = 1,
TTE_W = 0
}
attr_data {
Name = .MyDATA_3,
RA = 0x0000000170700000,
PA = ra2pa(0x0000000170700000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 5,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0
}
attr_data {
Name = .MyDATA_3,
RA = 0x0000000170700000,
PA = ra2pa(0x0000000170700000,0),
part_0_ctx_nonzero_tsb_config_2,
TTE_G = 1,
TTE_Context = SCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 1,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0,
tsbonly
}
attr_data {
Name = .MyDATA_3,
hypervisor
}
attr_text {
Name = .MyDATA_3,
hypervisor
}
.data
.xword 0x5d16b223d9fbd46a
.xword 0x1c9445a57b2934fa
.xword 0x2c4397ced3cdbd77
.xword 0x8aa2de68b19e7ce0
.xword 0xcc031910e5fbded8
.xword 0xbf549328333dd1c3
.xword 0x2b0692701f63d509
.xword 0x035260c8ffde247a
.xword 0xae126244bf18bd96
.xword 0xf67d35dbe81d6316
.xword 0x213e3bbab09cb5ca
.xword 0xd1836022a8e96517
.xword 0xc9429e179a61393f
.xword 0xd711c095eea54366
.xword 0x348ccc935840c360
.xword 0x68f34965bb396bcd
.xword 0x1eedb3c10c96adbe
.xword 0xe4c80c124798f7ff
.xword 0x8c5c28606f39e87b
.xword 0xfbe261d9cbd4b8a8
.xword 0x31593e669fbc53ca
.xword 0x63f4f6263014e14f
.xword 0x12f4e40aaae54df5
.xword 0x3b51aa0ab4f71b50
.xword 0x4dce74e9e280368b
.xword 0x9ffd400b619f8fc9
.xword 0x402cf482b08f9e34
.xword 0xd1dda0eb59edff4d
.xword 0xcd9dc43db260e2c9
.xword 0xebaec5fe59454556
.xword 0xcadaeefd548bf655
.xword 0xfc59b98a64504ce1
SECTION .MyTEXT_0 TEXT_VA = 0x00000000e0200000
attr_text {
Name = .MyTEXT_0,
RA = 0x00000000e0200000,
PA = ra2pa(0x00000000e0200000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_EP = 1,
TTE_E = 1,
TTE_P = 1,
TTE_W = 1
}
.text
nuff_said_0:
.word 0xe0bfc2c0 ! 1: STDA_R stda %r16, [%r31 + %r0] 0x16
.word 0xe19fdb20 ! 1: LDDFA_R ldda [%r31, %r0], %f16
mov HIGHVA_HIGHNUM, %r11
sllx %r11, 32, %r11
or %r27, %r11, %r27
jmpl %r27+8, %r0
jmpl %r27+8, %r0
jmpl %r27+8, %r0
jmpl %r27+8, %r0
.word 0x87afca40 ! 1: FCMPd fcmpd %fcc<n>, %f62, %f0
.word 0xe09fdb20 ! 1: LDDA_R ldda [%r31, %r0] 0xd9, %r16
SECTION .MyTEXT_1 TEXT_VA = 0x00000000e0a00000
attr_text {
Name = .MyTEXT_1,
RA = 0x00000000e0a00000,
PA = ra2pa(0x00000000e0a00000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 5,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_EP = 1,
TTE_E = 1,
TTE_P = 1,
TTE_W = 0
}
.text
nuff_said_1:
.word 0xa1a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f16
.word 0xa1b7c480 ! 1: FCMPLE32 fcmple32 %d62, %d0, %r16
mov HIGHVA_HIGHNUM, %r11
sllx %r11, 32, %r11
or %r27, %r11, %r27
jmpl %r27+8, %r0
jmpl %r27+8, %r0
jmpl %r27+8, %r0
jmpl %r27+8, %r0
.word 0x87afca40 ! 1: FCMPd fcmpd %fcc<n>, %f62, %f0
.word 0xe1bfdc00 ! 1: STDFA_R stda %f16, [%r0, %r31]
SECTION .MyTEXT_2 TEXT_VA = 0x00000000e1200000
attr_text {
Name = .MyTEXT_2,
RA = 0x00000000e1200000,
PA = ra2pa(0x00000000e1200000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 5,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_EP = 0,
TTE_E = 1,
TTE_P = 0,
TTE_W = 1
}
.text
nuff_said_2:
.word 0xc0bfdb20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xd9
.word 0xe1bfdb20 ! 1: STDFA_R stda %f16, [%r0, %r31]
mov HIGHVA_HIGHNUM, %r11
sllx %r11, 32, %r11
or %r27, %r11, %r27
jmpl %r27+8, %r0
jmpl %r27+8, %r0
jmpl %r27+8, %r0
jmpl %r27+8, %r0
.word 0xe0bfdb40 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xda
.word 0xa1b7c480 ! 1: FCMPLE32 fcmple32 %d62, %d0, %r16
SECTION .MyTEXT_3 TEXT_VA = 0x00000000e1a00000
attr_text {
Name = .MyTEXT_3,
RA = 0x00000000e1a00000,
PA = ra2pa(0x00000000e1a00000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 3,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_EP = 0,
TTE_E = 0,
TTE_P = 1,
TTE_W = 0
}
.text
nuff_said_3:
.word 0xc0bfdf20 ! 1: STDA_R stda %r0, [%r31 + %r0] 0xf9
.word 0xa1b7c480 ! 1: FCMPLE32 fcmple32 %d62, %d0, %r16
mov HIGHVA_HIGHNUM, %r11
sllx %r11, 32, %r11
or %r27, %r11, %r27
jmpl %r27+8, %r0
jmpl %r27+8, %r0
jmpl %r27+8, %r0
jmpl %r27+8, %r0
.word 0xa1a7c9c0 ! 1: FDIVd fdivd %f62, %f0, %f16
.word 0x81b7c480 ! 1: FCMPLE32 fcmple32 %d62, %d0, %r0
SECTION .VaHOLE_0 TEXT_VA = 0x00007fffffffe000
attr_text {
Name = .VaHOLE_0,
RA = 0x00000000ffffe000,
PA = ra2pa(0x00000000ffffe000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_E = 1,
TTE_P = 0,
TTE_W = 0,
TTE_X = 1
}
.text
.global vahole_target0
.text
.global vahole_target1
.text
.global vahole_target2
.text
.global vahole_target3
nop
.align 4096
nop
.align 2048
nop
.align 1024
nop
.align 512
nop
.align 256
nop
.align 128
nop
.align 64
nop
nop
.align 16
nop;nop;nop
vahole_target0: nop;nop
vahole_target1: nop
vahole_target2: nop;nop;nop
vahole_target3: nop;nop;nop
SECTION .VaHOLEL_0 TEXT_VA = 0x00000000ffffe000
attr_text {
Name = .VaHOLEL_0,
RA = 0x00000000ffffe000,
PA = ra2pa(0x00000000ffffe000,0),
part_0_ctx_zero_tsb_config_0,
part_0_ctx_nonzero_tsb_config_0,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 1,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_E = 0,
TTE_P = 0,
TTE_W = 0,
TTE_X = 1,
tsbonly
}
.text
nop
SECTION .ZERO_0 TEXT_VA = 0x0000000000000000
attr_text {
Name = .ZERO_0,
RA = 0x0000000000000000,
PA = ra2pa(0x0000000000000000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = 0x44,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 0,
TTE_W = 1,
TTE_X = 1
}
.text
nop
mov HIGHVA_HIGHNUM, %r11
sllx %r11, 32, %r11
or %r27, %r11, %r27
jmpl %r27+8, %r0
nop
jmpl %r27+8, %r0
nop
Power_On_Reset:
setx HRedmode_Reset_Handler, %g1, %g2
jmp %g2
nop
.align 32
Watchdog_Reset:
setx wdog_red_ext, %g1, %g2
jmp %g2
nop
.align 32
External_Reset:
My_External_Reset
.align 32
Software_Initiated_Reset:
setx Software_Reset_Handler, %g1, %g2
jmp %g2
nop
.align 32
.global ZRED_Mode_Other_Reset
ZRED_Mode_Other_Reset:
! IF TL=6, shift stack by one ..
rdpr %tl, %l1
cmp %l1, 6
be start_tsa_shift
nop
continue_red_other:
mov 0x1f, %l1
stxa %l1, [%g0] ASI_LSU_CTL_REG
rdpr %tt, %l1
rdhpr %htstate, %l2
and %l2, 0x4, %l2 ! If previously in hpriv mode, go to hpriv
brnz,a %l2, red_goto_handler
rdhpr %htba, %l2
srlx %l1, 7, %l2 ! Send priv sw traps to priv mode ..
cmp %l2, 0x2 ! 0x2 = priv sw trap, 0x3=hpriv sw trap ..
be,a red_goto_handler
rdpr %tba, %l2
rdhpr %htba, %l2
red_goto_handler:
sllx %l1, 5, %l1
add %l1, %l2, %l2
rdhpr %htstate, %l1
andn %l1, 0x20, %l1
wrhpr %g0, %l1, %htstate
rdhpr %hpstate, %l1
jmp %l2
wrhpr %l1, 0x20, %hpstate
nop
wdog_red_ext:
! Shift stack down by 1 ...
rdpr %tl, %l1
cmp %l1, 6
bl wdog_end
start_tsa_shift:
mov 0x2, %l2
tsa_shift:
wrpr %l2, %tl
rdpr %tt, %l3
rdpr %tpc, %l4
rdpr %tnpc, %l5
rdpr %tstate, %l6
rdhpr %htstate, %l7
dec %l2
wrpr %l2, %tl
wrpr %l3, %tt
wrpr %l4, %tpc
wrpr %l5, %tnpc
wrpr %l6, %tstate
wrhpr %l7, %htstate
add %l2, 2, %l2
cmp %l2, %l1
ble tsa_shift
nop
tsa_shift_done:
dec %l1
wrpr %l1, %tl
wdog_end:
! If TT != 2, then goto trap handler
rdpr %tt, %l1
cmp %l1, 0x2
bne continue_red_other
nop
! else done
mov 0x1f, %l1
stxa %l1, [%g0] ASI_LSU_CTL_REG
done
SECTION .VaHOLE_PA_0 TEXT_VA = 0x000000ffffffe000
attr_text {
Name = .VAHOLE_PA_0,
hypervisor
}
nop
.align 4096
nop
.align 2048
nop
.align 1024
nop
.align 512
nop
.align 256
nop
.align 128
nop
.align 64
nop
nop
.align 16
nop;nop;nop
nop
nop
jmpl %r27+8, %r0
nop
nop
nop
jmpl %r27+8, %r0
nop
SECTION .MASKEDHOLE_0 TEXT_VA = 0x0000000100000000
attr_text {
Name = .MASKEDHOLE_0,
RA = 0x0000000000000000,
PA = ra2pa(0x0000000000000000,0),
part_0_ctx_zero_tsb_config_3,
part_0_ctx_nonzero_tsb_config_3,
TTE_G = 1,
TTE_Context = 0x44,
TTE_V = 1,
TTE_Size = 1,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_E = 0,
TTE_P = 0,
TTE_W = 0,
TTE_X = 1,
tsbonly
}
attr_text {
Name = .MASKEDHOLE_0,
hypervisor
}
mov HIGHVA_HIGHNUM, %r11
sllx %r11, 32, %r11
or %r27, %r11, %r27
return %r27+8
nop
SECTION .MyFRZ_0 TEXT_VA = 0x000000003cb00000
attr_text {
Name = .MyFRZ_0,
RA = 0x000000003cb00000,
PA = ra2pa(0x000000003cb00000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 1,
TTE_EP = 0,
TTE_E = 1,
TTE_P = 1,
TTE_W = 0
}
.text
.global last_in_frz_1_0
nop
.align 4096
nop
.align 2048
nop
.align 1024
nop
.align 512
nop
.align 256
nop
.align 128
nop
.align 64
nop
.align 16
nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
last_in_frz_1_0:
.word 0xc1e7df00 ! 1: CASA_I casa [%r31] 0xf8, %r0, %r0
SECTION .MyFRZ_1 TEXT_VA = 0x000000003cb40000
attr_text {
Name = .MyFRZ_1,
RA = 0x000000003cb40000,
PA = ra2pa(0x000000003cb40000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_EP = 0,
TTE_E = 1,
TTE_P = 0,
TTE_W = 1
}
.text
.global last_in_frz_1_1
nop
.align 4096
nop
.align 2048
nop
.align 1024
nop
.align 512
nop
.align 256
nop
.align 128
nop
.align 64
nop
.align 16
nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
last_in_frz_1_1:
.word 0xc097db40 ! 1: LDUHA_R lduha [%r31, %r0] 0xda, %r0
SECTION .MyFRZ_2 TEXT_VA = 0x000000003cb80000
attr_text {
Name = .MyFRZ_2,
RA = 0x000000003cb80000,
PA = ra2pa(0x000000003cb80000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_EP = 1,
TTE_E = 0,
TTE_P = 1,
TTE_W = 1
}
.text
.global last_in_frz_1_2
nop
.align 4096
nop
.align 2048
nop
.align 1024
nop
.align 512
nop
.align 256
nop
.align 128
nop
.align 64
nop
.align 16
nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
last_in_frz_1_2:
.word 0xa1b7c480 ! 1: FCMPLE32 fcmple32 %d62, %d0, %r16
SECTION .MyFRZ_3 TEXT_VA = 0x000000003cbc0000
attr_text {
Name = .MyFRZ_3,
RA = 0x000000003cbc0000,
PA = ra2pa(0x000000003cbc0000,0),
part_0_ctx_zero_tsb_config_1,
part_0_ctx_nonzero_tsb_config_1,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_EP = 1,
TTE_E = 0,
TTE_P = 0,
TTE_W = 1
}
.text
.global last_in_frz_1_3
nop
.align 4096
nop
.align 2048
nop
.align 1024
nop
.align 512
nop
.align 256
nop
.align 128
nop
.align 64
nop
.align 16
nop; nop; ;nop; nop; nop; nop; nop; nop; nop; nop; nop
last_in_frz_1_3:
.word 0xe1bfdc40 ! 1: STDFA_R stda %f16, [%r0, %r31]
SECTION .MyFRZn_0 TEXT_VA = 0x000000003cb02000
attr_text {
Name = .MyFRZn_0,
RA = 0x000000003cb02000,
PA = ra2pa(0x000000003cb02000,0),
part_0_ctx_zero_tsb_config_2,
part_0_ctx_nonzero_tsb_config_2,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 0,
TTE_IE = 1,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 1,
TTE_EP = 1,
TTE_E = 1,
TTE_P = 0,
TTE_W = 0
}
nop
nop
return %r27+8
.word 0xe0bfdb20 ! 1: STDA_R stda %r16, [%r31 + %r0] 0xd9
SECTION .MyFRZn_1 TEXT_VA = 0x000000003cb42000
attr_text {
Name = .MyFRZn_1,
RA = 0x000000003cb42000,
PA = ra2pa(0x000000003cb42000,0),
part_0_ctx_zero_tsb_config_2,
part_0_ctx_nonzero_tsb_config_2,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 1,
TTE_CV = 0,
TTE_EP = 1,
TTE_E = 0,
TTE_P = 0,
TTE_W = 0
}
nop
nop
return %r27+8
.word 0xe1bfdc40 ! 1: STDFA_R stda %f16, [%r0, %r31]
SECTION .MyFRZn_2 TEXT_VA = 0x000000003cb82000
attr_text {
Name = .MyFRZn_2,
RA = 0x000000003cb82000,
PA = ra2pa(0x000000003cb82000,0),
part_0_ctx_zero_tsb_config_2,
part_0_ctx_nonzero_tsb_config_2,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_EP = 1,
TTE_E = 1,
TTE_P = 0,
TTE_W = 1
}
nop
nop
return %r27+8
.word 0xe1bfda60 ! 1: STDFA_R stda %f16, [%r0, %r31]
SECTION .MyFRZn_3 TEXT_VA = 0x000000003cbc2000
attr_text {
Name = .MyFRZn_3,
RA = 0x000000003cbc2000,
PA = ra2pa(0x000000003cbc2000,0),
part_0_ctx_zero_tsb_config_2,
part_0_ctx_nonzero_tsb_config_2,
TTE_G = 1,
TTE_Context = PCONTEXT,
TTE_V = 1,
TTE_Size = 0,
TTE_NFO = 0,
TTE_IE = 0,
TTE_Soft2 = 0,
TTE_Diag = 0,
TTE_Soft = 0,
TTE_L = 0,
TTE_CP = 0,
TTE_CV = 0,
TTE_EP = 1,
TTE_E = 1,
TTE_P = 0,
TTE_W = 1
}
nop
nop
return %r27+8
.word 0xe19fda00 ! 1: LDDFA_R ldda [%r31, %r0], %f16
#if 0
#endif