last minute changes for passing proc ptr