Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / dmu / rtl / dmu_imu_eqs_csr.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: dmu_imu_eqs_csr.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35module dmu_imu_eqs_csr
36 (
37 clk,
38 csrbus_addr,
39 csrbus_wr_data,
40 csrbus_wr,
41 csrbus_valid,
42 csrbus_mapped,
43 csrbus_done,
44 csrbus_read_data,
45 rst_l,
46 csrbus_src_bus,
47 csrbus_acc_vio,
48 instance_id,
49 ext_wr,
50 eq_base_address_address_hw_read,
51 eq_ctrl_set_enoverr_ext_wr_data,
52 eq_ctrl_set_en_ext_wr_data,
53 eq_ctrl_set_ext_select_0,
54 eq_ctrl_set_ext_select_1,
55 eq_ctrl_set_ext_select_2,
56 eq_ctrl_set_ext_select_3,
57 eq_ctrl_set_ext_select_4,
58 eq_ctrl_set_ext_select_5,
59 eq_ctrl_set_ext_select_6,
60 eq_ctrl_set_ext_select_7,
61 eq_ctrl_set_ext_select_8,
62 eq_ctrl_set_ext_select_9,
63 eq_ctrl_set_ext_select_10,
64 eq_ctrl_set_ext_select_11,
65 eq_ctrl_set_ext_select_12,
66 eq_ctrl_set_ext_select_13,
67 eq_ctrl_set_ext_select_14,
68 eq_ctrl_set_ext_select_15,
69 eq_ctrl_set_ext_select_16,
70 eq_ctrl_set_ext_select_17,
71 eq_ctrl_set_ext_select_18,
72 eq_ctrl_set_ext_select_19,
73 eq_ctrl_set_ext_select_20,
74 eq_ctrl_set_ext_select_21,
75 eq_ctrl_set_ext_select_22,
76 eq_ctrl_set_ext_select_23,
77 eq_ctrl_set_ext_select_24,
78 eq_ctrl_set_ext_select_25,
79 eq_ctrl_set_ext_select_26,
80 eq_ctrl_set_ext_select_27,
81 eq_ctrl_set_ext_select_28,
82 eq_ctrl_set_ext_select_29,
83 eq_ctrl_set_ext_select_30,
84 eq_ctrl_set_ext_select_31,
85 eq_ctrl_set_ext_select_32,
86 eq_ctrl_set_ext_select_33,
87 eq_ctrl_set_ext_select_34,
88 eq_ctrl_set_ext_select_35,
89 eq_ctrl_clr_coverr_ext_wr_data,
90 eq_ctrl_clr_e2i_ext_wr_data,
91 eq_ctrl_clr_dis_ext_wr_data,
92 eq_ctrl_clr_ext_select_0,
93 eq_ctrl_clr_ext_select_1,
94 eq_ctrl_clr_ext_select_2,
95 eq_ctrl_clr_ext_select_3,
96 eq_ctrl_clr_ext_select_4,
97 eq_ctrl_clr_ext_select_5,
98 eq_ctrl_clr_ext_select_6,
99 eq_ctrl_clr_ext_select_7,
100 eq_ctrl_clr_ext_select_8,
101 eq_ctrl_clr_ext_select_9,
102 eq_ctrl_clr_ext_select_10,
103 eq_ctrl_clr_ext_select_11,
104 eq_ctrl_clr_ext_select_12,
105 eq_ctrl_clr_ext_select_13,
106 eq_ctrl_clr_ext_select_14,
107 eq_ctrl_clr_ext_select_15,
108 eq_ctrl_clr_ext_select_16,
109 eq_ctrl_clr_ext_select_17,
110 eq_ctrl_clr_ext_select_18,
111 eq_ctrl_clr_ext_select_19,
112 eq_ctrl_clr_ext_select_20,
113 eq_ctrl_clr_ext_select_21,
114 eq_ctrl_clr_ext_select_22,
115 eq_ctrl_clr_ext_select_23,
116 eq_ctrl_clr_ext_select_24,
117 eq_ctrl_clr_ext_select_25,
118 eq_ctrl_clr_ext_select_26,
119 eq_ctrl_clr_ext_select_27,
120 eq_ctrl_clr_ext_select_28,
121 eq_ctrl_clr_ext_select_29,
122 eq_ctrl_clr_ext_select_30,
123 eq_ctrl_clr_ext_select_31,
124 eq_ctrl_clr_ext_select_32,
125 eq_ctrl_clr_ext_select_33,
126 eq_ctrl_clr_ext_select_34,
127 eq_ctrl_clr_ext_select_35,
128 eq_state_state_ext_read_data_0,
129 eq_state_state_ext_read_data_1,
130 eq_state_state_ext_read_data_2,
131 eq_state_state_ext_read_data_3,
132 eq_state_state_ext_read_data_4,
133 eq_state_state_ext_read_data_5,
134 eq_state_state_ext_read_data_6,
135 eq_state_state_ext_read_data_7,
136 eq_state_state_ext_read_data_8,
137 eq_state_state_ext_read_data_9,
138 eq_state_state_ext_read_data_10,
139 eq_state_state_ext_read_data_11,
140 eq_state_state_ext_read_data_12,
141 eq_state_state_ext_read_data_13,
142 eq_state_state_ext_read_data_14,
143 eq_state_state_ext_read_data_15,
144 eq_state_state_ext_read_data_16,
145 eq_state_state_ext_read_data_17,
146 eq_state_state_ext_read_data_18,
147 eq_state_state_ext_read_data_19,
148 eq_state_state_ext_read_data_20,
149 eq_state_state_ext_read_data_21,
150 eq_state_state_ext_read_data_22,
151 eq_state_state_ext_read_data_23,
152 eq_state_state_ext_read_data_24,
153 eq_state_state_ext_read_data_25,
154 eq_state_state_ext_read_data_26,
155 eq_state_state_ext_read_data_27,
156 eq_state_state_ext_read_data_28,
157 eq_state_state_ext_read_data_29,
158 eq_state_state_ext_read_data_30,
159 eq_state_state_ext_read_data_31,
160 eq_state_state_ext_read_data_32,
161 eq_state_state_ext_read_data_33,
162 eq_state_state_ext_read_data_34,
163 eq_state_state_ext_read_data_35,
164 eq_tail_overr_hw_ld_0,
165 eq_tail_overr_hw_ld_1,
166 eq_tail_overr_hw_ld_2,
167 eq_tail_overr_hw_ld_3,
168 eq_tail_overr_hw_ld_4,
169 eq_tail_overr_hw_ld_5,
170 eq_tail_overr_hw_ld_6,
171 eq_tail_overr_hw_ld_7,
172 eq_tail_overr_hw_ld_8,
173 eq_tail_overr_hw_ld_9,
174 eq_tail_overr_hw_ld_10,
175 eq_tail_overr_hw_ld_11,
176 eq_tail_overr_hw_ld_12,
177 eq_tail_overr_hw_ld_13,
178 eq_tail_overr_hw_ld_14,
179 eq_tail_overr_hw_ld_15,
180 eq_tail_overr_hw_ld_16,
181 eq_tail_overr_hw_ld_17,
182 eq_tail_overr_hw_ld_18,
183 eq_tail_overr_hw_ld_19,
184 eq_tail_overr_hw_ld_20,
185 eq_tail_overr_hw_ld_21,
186 eq_tail_overr_hw_ld_22,
187 eq_tail_overr_hw_ld_23,
188 eq_tail_overr_hw_ld_24,
189 eq_tail_overr_hw_ld_25,
190 eq_tail_overr_hw_ld_26,
191 eq_tail_overr_hw_ld_27,
192 eq_tail_overr_hw_ld_28,
193 eq_tail_overr_hw_ld_29,
194 eq_tail_overr_hw_ld_30,
195 eq_tail_overr_hw_ld_31,
196 eq_tail_overr_hw_ld_32,
197 eq_tail_overr_hw_ld_33,
198 eq_tail_overr_hw_ld_34,
199 eq_tail_overr_hw_ld_35,
200 eq_tail_overr_hw_write_0,
201 eq_tail_overr_hw_write_1,
202 eq_tail_overr_hw_write_2,
203 eq_tail_overr_hw_write_3,
204 eq_tail_overr_hw_write_4,
205 eq_tail_overr_hw_write_5,
206 eq_tail_overr_hw_write_6,
207 eq_tail_overr_hw_write_7,
208 eq_tail_overr_hw_write_8,
209 eq_tail_overr_hw_write_9,
210 eq_tail_overr_hw_write_10,
211 eq_tail_overr_hw_write_11,
212 eq_tail_overr_hw_write_12,
213 eq_tail_overr_hw_write_13,
214 eq_tail_overr_hw_write_14,
215 eq_tail_overr_hw_write_15,
216 eq_tail_overr_hw_write_16,
217 eq_tail_overr_hw_write_17,
218 eq_tail_overr_hw_write_18,
219 eq_tail_overr_hw_write_19,
220 eq_tail_overr_hw_write_20,
221 eq_tail_overr_hw_write_21,
222 eq_tail_overr_hw_write_22,
223 eq_tail_overr_hw_write_23,
224 eq_tail_overr_hw_write_24,
225 eq_tail_overr_hw_write_25,
226 eq_tail_overr_hw_write_26,
227 eq_tail_overr_hw_write_27,
228 eq_tail_overr_hw_write_28,
229 eq_tail_overr_hw_write_29,
230 eq_tail_overr_hw_write_30,
231 eq_tail_overr_hw_write_31,
232 eq_tail_overr_hw_write_32,
233 eq_tail_overr_hw_write_33,
234 eq_tail_overr_hw_write_34,
235 eq_tail_overr_hw_write_35,
236 eq_tail_tail_hw_ld_0,
237 eq_tail_tail_hw_ld_1,
238 eq_tail_tail_hw_ld_2,
239 eq_tail_tail_hw_ld_3,
240 eq_tail_tail_hw_ld_4,
241 eq_tail_tail_hw_ld_5,
242 eq_tail_tail_hw_ld_6,
243 eq_tail_tail_hw_ld_7,
244 eq_tail_tail_hw_ld_8,
245 eq_tail_tail_hw_ld_9,
246 eq_tail_tail_hw_ld_10,
247 eq_tail_tail_hw_ld_11,
248 eq_tail_tail_hw_ld_12,
249 eq_tail_tail_hw_ld_13,
250 eq_tail_tail_hw_ld_14,
251 eq_tail_tail_hw_ld_15,
252 eq_tail_tail_hw_ld_16,
253 eq_tail_tail_hw_ld_17,
254 eq_tail_tail_hw_ld_18,
255 eq_tail_tail_hw_ld_19,
256 eq_tail_tail_hw_ld_20,
257 eq_tail_tail_hw_ld_21,
258 eq_tail_tail_hw_ld_22,
259 eq_tail_tail_hw_ld_23,
260 eq_tail_tail_hw_ld_24,
261 eq_tail_tail_hw_ld_25,
262 eq_tail_tail_hw_ld_26,
263 eq_tail_tail_hw_ld_27,
264 eq_tail_tail_hw_ld_28,
265 eq_tail_tail_hw_ld_29,
266 eq_tail_tail_hw_ld_30,
267 eq_tail_tail_hw_ld_31,
268 eq_tail_tail_hw_ld_32,
269 eq_tail_tail_hw_ld_33,
270 eq_tail_tail_hw_ld_34,
271 eq_tail_tail_hw_ld_35,
272 eq_tail_tail_hw_write_0,
273 eq_tail_tail_hw_write_1,
274 eq_tail_tail_hw_write_2,
275 eq_tail_tail_hw_write_3,
276 eq_tail_tail_hw_write_4,
277 eq_tail_tail_hw_write_5,
278 eq_tail_tail_hw_write_6,
279 eq_tail_tail_hw_write_7,
280 eq_tail_tail_hw_write_8,
281 eq_tail_tail_hw_write_9,
282 eq_tail_tail_hw_write_10,
283 eq_tail_tail_hw_write_11,
284 eq_tail_tail_hw_write_12,
285 eq_tail_tail_hw_write_13,
286 eq_tail_tail_hw_write_14,
287 eq_tail_tail_hw_write_15,
288 eq_tail_tail_hw_write_16,
289 eq_tail_tail_hw_write_17,
290 eq_tail_tail_hw_write_18,
291 eq_tail_tail_hw_write_19,
292 eq_tail_tail_hw_write_20,
293 eq_tail_tail_hw_write_21,
294 eq_tail_tail_hw_write_22,
295 eq_tail_tail_hw_write_23,
296 eq_tail_tail_hw_write_24,
297 eq_tail_tail_hw_write_25,
298 eq_tail_tail_hw_write_26,
299 eq_tail_tail_hw_write_27,
300 eq_tail_tail_hw_write_28,
301 eq_tail_tail_hw_write_29,
302 eq_tail_tail_hw_write_30,
303 eq_tail_tail_hw_write_31,
304 eq_tail_tail_hw_write_32,
305 eq_tail_tail_hw_write_33,
306 eq_tail_tail_hw_write_34,
307 eq_tail_tail_hw_write_35,
308 eq_tail_tail_hw_read_0,
309 eq_tail_tail_hw_read_1,
310 eq_tail_tail_hw_read_2,
311 eq_tail_tail_hw_read_3,
312 eq_tail_tail_hw_read_4,
313 eq_tail_tail_hw_read_5,
314 eq_tail_tail_hw_read_6,
315 eq_tail_tail_hw_read_7,
316 eq_tail_tail_hw_read_8,
317 eq_tail_tail_hw_read_9,
318 eq_tail_tail_hw_read_10,
319 eq_tail_tail_hw_read_11,
320 eq_tail_tail_hw_read_12,
321 eq_tail_tail_hw_read_13,
322 eq_tail_tail_hw_read_14,
323 eq_tail_tail_hw_read_15,
324 eq_tail_tail_hw_read_16,
325 eq_tail_tail_hw_read_17,
326 eq_tail_tail_hw_read_18,
327 eq_tail_tail_hw_read_19,
328 eq_tail_tail_hw_read_20,
329 eq_tail_tail_hw_read_21,
330 eq_tail_tail_hw_read_22,
331 eq_tail_tail_hw_read_23,
332 eq_tail_tail_hw_read_24,
333 eq_tail_tail_hw_read_25,
334 eq_tail_tail_hw_read_26,
335 eq_tail_tail_hw_read_27,
336 eq_tail_tail_hw_read_28,
337 eq_tail_tail_hw_read_29,
338 eq_tail_tail_hw_read_30,
339 eq_tail_tail_hw_read_31,
340 eq_tail_tail_hw_read_32,
341 eq_tail_tail_hw_read_33,
342 eq_tail_tail_hw_read_34,
343 eq_tail_tail_hw_read_35,
344 eq_head_head_hw_read_0,
345 eq_head_head_hw_read_1,
346 eq_head_head_hw_read_2,
347 eq_head_head_hw_read_3,
348 eq_head_head_hw_read_4,
349 eq_head_head_hw_read_5,
350 eq_head_head_hw_read_6,
351 eq_head_head_hw_read_7,
352 eq_head_head_hw_read_8,
353 eq_head_head_hw_read_9,
354 eq_head_head_hw_read_10,
355 eq_head_head_hw_read_11,
356 eq_head_head_hw_read_12,
357 eq_head_head_hw_read_13,
358 eq_head_head_hw_read_14,
359 eq_head_head_hw_read_15,
360 eq_head_head_hw_read_16,
361 eq_head_head_hw_read_17,
362 eq_head_head_hw_read_18,
363 eq_head_head_hw_read_19,
364 eq_head_head_hw_read_20,
365 eq_head_head_hw_read_21,
366 eq_head_head_hw_read_22,
367 eq_head_head_hw_read_23,
368 eq_head_head_hw_read_24,
369 eq_head_head_hw_read_25,
370 eq_head_head_hw_read_26,
371 eq_head_head_hw_read_27,
372 eq_head_head_hw_read_28,
373 eq_head_head_hw_read_29,
374 eq_head_head_hw_read_30,
375 eq_head_head_hw_read_31,
376 eq_head_head_hw_read_32,
377 eq_head_head_hw_read_33,
378 eq_head_head_hw_read_34,
379 eq_head_head_hw_read_35
380 );
381
382//====================================================
383// Polarity declarations
384//====================================================
385input clk; // Clock signal
386input [`FIRE_CSRBUS_ADDR_WIDTH-1:0] csrbus_addr; // Address bus
387input [`FIRE_CSRBUS_DATA_WIDTH-1:0] csrbus_wr_data; // SW write data
388input csrbus_wr; // Read/Write signal
389input csrbus_valid; // Valid address
390output csrbus_mapped; // Address is mapped
391output csrbus_done; // Operation is done
392output [`FIRE_CSRBUS_DATA_WIDTH-1:0] csrbus_read_data; // SW read data bus
393input rst_l; // Reset signal
394input [1:0] csrbus_src_bus; // Source bus
395output csrbus_acc_vio; // Violation signal
396input instance_id; // Instance ID
397output ext_wr; // When one, csr operation is a write. When zero, operation is a
398 // read.
399output [`FIRE_DLC_IMU_EQS_CSR_EQ_BASE_ADDRESS_ADDRESS_INT_SLC] eq_base_address_address_hw_read;
400 // This signal provides the current value of eq_base_address_address.
401output eq_ctrl_set_enoverr_ext_wr_data; // Provides SW write data for external
402 // register "eq_ctrl_set", field
403 // "enoverr"
404output eq_ctrl_set_en_ext_wr_data; // Provides SW write data for external
405 // register "eq_ctrl_set", field "en"
406output eq_ctrl_set_ext_select_0; // When set, register eq_ctrl_set is selected.
407 // This signal is a pulse.
408output eq_ctrl_set_ext_select_1; // When set, register eq_ctrl_set is selected.
409 // This signal is a pulse.
410output eq_ctrl_set_ext_select_2; // When set, register eq_ctrl_set is selected.
411 // This signal is a pulse.
412output eq_ctrl_set_ext_select_3; // When set, register eq_ctrl_set is selected.
413 // This signal is a pulse.
414output eq_ctrl_set_ext_select_4; // When set, register eq_ctrl_set is selected.
415 // This signal is a pulse.
416output eq_ctrl_set_ext_select_5; // When set, register eq_ctrl_set is selected.
417 // This signal is a pulse.
418output eq_ctrl_set_ext_select_6; // When set, register eq_ctrl_set is selected.
419 // This signal is a pulse.
420output eq_ctrl_set_ext_select_7; // When set, register eq_ctrl_set is selected.
421 // This signal is a pulse.
422output eq_ctrl_set_ext_select_8; // When set, register eq_ctrl_set is selected.
423 // This signal is a pulse.
424output eq_ctrl_set_ext_select_9; // When set, register eq_ctrl_set is selected.
425 // This signal is a pulse.
426output eq_ctrl_set_ext_select_10; // When set, register eq_ctrl_set is
427 // selected. This signal is a pulse.
428output eq_ctrl_set_ext_select_11; // When set, register eq_ctrl_set is
429 // selected. This signal is a pulse.
430output eq_ctrl_set_ext_select_12; // When set, register eq_ctrl_set is
431 // selected. This signal is a pulse.
432output eq_ctrl_set_ext_select_13; // When set, register eq_ctrl_set is
433 // selected. This signal is a pulse.
434output eq_ctrl_set_ext_select_14; // When set, register eq_ctrl_set is
435 // selected. This signal is a pulse.
436output eq_ctrl_set_ext_select_15; // When set, register eq_ctrl_set is
437 // selected. This signal is a pulse.
438output eq_ctrl_set_ext_select_16; // When set, register eq_ctrl_set is
439 // selected. This signal is a pulse.
440output eq_ctrl_set_ext_select_17; // When set, register eq_ctrl_set is
441 // selected. This signal is a pulse.
442output eq_ctrl_set_ext_select_18; // When set, register eq_ctrl_set is
443 // selected. This signal is a pulse.
444output eq_ctrl_set_ext_select_19; // When set, register eq_ctrl_set is
445 // selected. This signal is a pulse.
446output eq_ctrl_set_ext_select_20; // When set, register eq_ctrl_set is
447 // selected. This signal is a pulse.
448output eq_ctrl_set_ext_select_21; // When set, register eq_ctrl_set is
449 // selected. This signal is a pulse.
450output eq_ctrl_set_ext_select_22; // When set, register eq_ctrl_set is
451 // selected. This signal is a pulse.
452output eq_ctrl_set_ext_select_23; // When set, register eq_ctrl_set is
453 // selected. This signal is a pulse.
454output eq_ctrl_set_ext_select_24; // When set, register eq_ctrl_set is
455 // selected. This signal is a pulse.
456output eq_ctrl_set_ext_select_25; // When set, register eq_ctrl_set is
457 // selected. This signal is a pulse.
458output eq_ctrl_set_ext_select_26; // When set, register eq_ctrl_set is
459 // selected. This signal is a pulse.
460output eq_ctrl_set_ext_select_27; // When set, register eq_ctrl_set is
461 // selected. This signal is a pulse.
462output eq_ctrl_set_ext_select_28; // When set, register eq_ctrl_set is
463 // selected. This signal is a pulse.
464output eq_ctrl_set_ext_select_29; // When set, register eq_ctrl_set is
465 // selected. This signal is a pulse.
466output eq_ctrl_set_ext_select_30; // When set, register eq_ctrl_set is
467 // selected. This signal is a pulse.
468output eq_ctrl_set_ext_select_31; // When set, register eq_ctrl_set is
469 // selected. This signal is a pulse.
470output eq_ctrl_set_ext_select_32; // When set, register eq_ctrl_set is
471 // selected. This signal is a pulse.
472output eq_ctrl_set_ext_select_33; // When set, register eq_ctrl_set is
473 // selected. This signal is a pulse.
474output eq_ctrl_set_ext_select_34; // When set, register eq_ctrl_set is
475 // selected. This signal is a pulse.
476output eq_ctrl_set_ext_select_35; // When set, register eq_ctrl_set is
477 // selected. This signal is a pulse.
478output eq_ctrl_clr_coverr_ext_wr_data; // Provides SW write data for external
479 // register "eq_ctrl_clr", field
480 // "coverr"
481output eq_ctrl_clr_e2i_ext_wr_data; // Provides SW write data for external
482 // register "eq_ctrl_clr", field "e2i"
483output eq_ctrl_clr_dis_ext_wr_data; // Provides SW write data for external
484 // register "eq_ctrl_clr", field "dis"
485output eq_ctrl_clr_ext_select_0; // When set, register eq_ctrl_clr is selected.
486 // This signal is a pulse.
487output eq_ctrl_clr_ext_select_1; // When set, register eq_ctrl_clr is selected.
488 // This signal is a pulse.
489output eq_ctrl_clr_ext_select_2; // When set, register eq_ctrl_clr is selected.
490 // This signal is a pulse.
491output eq_ctrl_clr_ext_select_3; // When set, register eq_ctrl_clr is selected.
492 // This signal is a pulse.
493output eq_ctrl_clr_ext_select_4; // When set, register eq_ctrl_clr is selected.
494 // This signal is a pulse.
495output eq_ctrl_clr_ext_select_5; // When set, register eq_ctrl_clr is selected.
496 // This signal is a pulse.
497output eq_ctrl_clr_ext_select_6; // When set, register eq_ctrl_clr is selected.
498 // This signal is a pulse.
499output eq_ctrl_clr_ext_select_7; // When set, register eq_ctrl_clr is selected.
500 // This signal is a pulse.
501output eq_ctrl_clr_ext_select_8; // When set, register eq_ctrl_clr is selected.
502 // This signal is a pulse.
503output eq_ctrl_clr_ext_select_9; // When set, register eq_ctrl_clr is selected.
504 // This signal is a pulse.
505output eq_ctrl_clr_ext_select_10; // When set, register eq_ctrl_clr is
506 // selected. This signal is a pulse.
507output eq_ctrl_clr_ext_select_11; // When set, register eq_ctrl_clr is
508 // selected. This signal is a pulse.
509output eq_ctrl_clr_ext_select_12; // When set, register eq_ctrl_clr is
510 // selected. This signal is a pulse.
511output eq_ctrl_clr_ext_select_13; // When set, register eq_ctrl_clr is
512 // selected. This signal is a pulse.
513output eq_ctrl_clr_ext_select_14; // When set, register eq_ctrl_clr is
514 // selected. This signal is a pulse.
515output eq_ctrl_clr_ext_select_15; // When set, register eq_ctrl_clr is
516 // selected. This signal is a pulse.
517output eq_ctrl_clr_ext_select_16; // When set, register eq_ctrl_clr is
518 // selected. This signal is a pulse.
519output eq_ctrl_clr_ext_select_17; // When set, register eq_ctrl_clr is
520 // selected. This signal is a pulse.
521output eq_ctrl_clr_ext_select_18; // When set, register eq_ctrl_clr is
522 // selected. This signal is a pulse.
523output eq_ctrl_clr_ext_select_19; // When set, register eq_ctrl_clr is
524 // selected. This signal is a pulse.
525output eq_ctrl_clr_ext_select_20; // When set, register eq_ctrl_clr is
526 // selected. This signal is a pulse.
527output eq_ctrl_clr_ext_select_21; // When set, register eq_ctrl_clr is
528 // selected. This signal is a pulse.
529output eq_ctrl_clr_ext_select_22; // When set, register eq_ctrl_clr is
530 // selected. This signal is a pulse.
531output eq_ctrl_clr_ext_select_23; // When set, register eq_ctrl_clr is
532 // selected. This signal is a pulse.
533output eq_ctrl_clr_ext_select_24; // When set, register eq_ctrl_clr is
534 // selected. This signal is a pulse.
535output eq_ctrl_clr_ext_select_25; // When set, register eq_ctrl_clr is
536 // selected. This signal is a pulse.
537output eq_ctrl_clr_ext_select_26; // When set, register eq_ctrl_clr is
538 // selected. This signal is a pulse.
539output eq_ctrl_clr_ext_select_27; // When set, register eq_ctrl_clr is
540 // selected. This signal is a pulse.
541output eq_ctrl_clr_ext_select_28; // When set, register eq_ctrl_clr is
542 // selected. This signal is a pulse.
543output eq_ctrl_clr_ext_select_29; // When set, register eq_ctrl_clr is
544 // selected. This signal is a pulse.
545output eq_ctrl_clr_ext_select_30; // When set, register eq_ctrl_clr is
546 // selected. This signal is a pulse.
547output eq_ctrl_clr_ext_select_31; // When set, register eq_ctrl_clr is
548 // selected. This signal is a pulse.
549output eq_ctrl_clr_ext_select_32; // When set, register eq_ctrl_clr is
550 // selected. This signal is a pulse.
551output eq_ctrl_clr_ext_select_33; // When set, register eq_ctrl_clr is
552 // selected. This signal is a pulse.
553output eq_ctrl_clr_ext_select_34; // When set, register eq_ctrl_clr is
554 // selected. This signal is a pulse.
555output eq_ctrl_clr_ext_select_35; // When set, register eq_ctrl_clr is
556 // selected. This signal is a pulse.
557input [2:0] eq_state_state_ext_read_data_0; // Ext read data (decode)
558input [2:0] eq_state_state_ext_read_data_1; // Ext read data (decode)
559input [2:0] eq_state_state_ext_read_data_2; // Ext read data (decode)
560input [2:0] eq_state_state_ext_read_data_3; // Ext read data (decode)
561input [2:0] eq_state_state_ext_read_data_4; // Ext read data (decode)
562input [2:0] eq_state_state_ext_read_data_5; // Ext read data (decode)
563input [2:0] eq_state_state_ext_read_data_6; // Ext read data (decode)
564input [2:0] eq_state_state_ext_read_data_7; // Ext read data (decode)
565input [2:0] eq_state_state_ext_read_data_8; // Ext read data (decode)
566input [2:0] eq_state_state_ext_read_data_9; // Ext read data (decode)
567input [2:0] eq_state_state_ext_read_data_10; // Ext read data (decode)
568input [2:0] eq_state_state_ext_read_data_11; // Ext read data (decode)
569input [2:0] eq_state_state_ext_read_data_12; // Ext read data (decode)
570input [2:0] eq_state_state_ext_read_data_13; // Ext read data (decode)
571input [2:0] eq_state_state_ext_read_data_14; // Ext read data (decode)
572input [2:0] eq_state_state_ext_read_data_15; // Ext read data (decode)
573input [2:0] eq_state_state_ext_read_data_16; // Ext read data (decode)
574input [2:0] eq_state_state_ext_read_data_17; // Ext read data (decode)
575input [2:0] eq_state_state_ext_read_data_18; // Ext read data (decode)
576input [2:0] eq_state_state_ext_read_data_19; // Ext read data (decode)
577input [2:0] eq_state_state_ext_read_data_20; // Ext read data (decode)
578input [2:0] eq_state_state_ext_read_data_21; // Ext read data (decode)
579input [2:0] eq_state_state_ext_read_data_22; // Ext read data (decode)
580input [2:0] eq_state_state_ext_read_data_23; // Ext read data (decode)
581input [2:0] eq_state_state_ext_read_data_24; // Ext read data (decode)
582input [2:0] eq_state_state_ext_read_data_25; // Ext read data (decode)
583input [2:0] eq_state_state_ext_read_data_26; // Ext read data (decode)
584input [2:0] eq_state_state_ext_read_data_27; // Ext read data (decode)
585input [2:0] eq_state_state_ext_read_data_28; // Ext read data (decode)
586input [2:0] eq_state_state_ext_read_data_29; // Ext read data (decode)
587input [2:0] eq_state_state_ext_read_data_30; // Ext read data (decode)
588input [2:0] eq_state_state_ext_read_data_31; // Ext read data (decode)
589input [2:0] eq_state_state_ext_read_data_32; // Ext read data (decode)
590input [2:0] eq_state_state_ext_read_data_33; // Ext read data (decode)
591input [2:0] eq_state_state_ext_read_data_34; // Ext read data (decode)
592input [2:0] eq_state_state_ext_read_data_35; // Ext read data (decode)
593input eq_tail_overr_hw_ld_0; // Hardware load enable for eq_tail_overr. When
594 // set, <hw write signal> will be loaded into
595 // eq_tail.
596input eq_tail_overr_hw_ld_1; // Hardware load enable for eq_tail_overr. When
597 // set, <hw write signal> will be loaded into
598 // eq_tail.
599input eq_tail_overr_hw_ld_2; // Hardware load enable for eq_tail_overr. When
600 // set, <hw write signal> will be loaded into
601 // eq_tail.
602input eq_tail_overr_hw_ld_3; // Hardware load enable for eq_tail_overr. When
603 // set, <hw write signal> will be loaded into
604 // eq_tail.
605input eq_tail_overr_hw_ld_4; // Hardware load enable for eq_tail_overr. When
606 // set, <hw write signal> will be loaded into
607 // eq_tail.
608input eq_tail_overr_hw_ld_5; // Hardware load enable for eq_tail_overr. When
609 // set, <hw write signal> will be loaded into
610 // eq_tail.
611input eq_tail_overr_hw_ld_6; // Hardware load enable for eq_tail_overr. When
612 // set, <hw write signal> will be loaded into
613 // eq_tail.
614input eq_tail_overr_hw_ld_7; // Hardware load enable for eq_tail_overr. When
615 // set, <hw write signal> will be loaded into
616 // eq_tail.
617input eq_tail_overr_hw_ld_8; // Hardware load enable for eq_tail_overr. When
618 // set, <hw write signal> will be loaded into
619 // eq_tail.
620input eq_tail_overr_hw_ld_9; // Hardware load enable for eq_tail_overr. When
621 // set, <hw write signal> will be loaded into
622 // eq_tail.
623input eq_tail_overr_hw_ld_10; // Hardware load enable for eq_tail_overr. When
624 // set, <hw write signal> will be loaded into
625 // eq_tail.
626input eq_tail_overr_hw_ld_11; // Hardware load enable for eq_tail_overr. When
627 // set, <hw write signal> will be loaded into
628 // eq_tail.
629input eq_tail_overr_hw_ld_12; // Hardware load enable for eq_tail_overr. When
630 // set, <hw write signal> will be loaded into
631 // eq_tail.
632input eq_tail_overr_hw_ld_13; // Hardware load enable for eq_tail_overr. When
633 // set, <hw write signal> will be loaded into
634 // eq_tail.
635input eq_tail_overr_hw_ld_14; // Hardware load enable for eq_tail_overr. When
636 // set, <hw write signal> will be loaded into
637 // eq_tail.
638input eq_tail_overr_hw_ld_15; // Hardware load enable for eq_tail_overr. When
639 // set, <hw write signal> will be loaded into
640 // eq_tail.
641input eq_tail_overr_hw_ld_16; // Hardware load enable for eq_tail_overr. When
642 // set, <hw write signal> will be loaded into
643 // eq_tail.
644input eq_tail_overr_hw_ld_17; // Hardware load enable for eq_tail_overr. When
645 // set, <hw write signal> will be loaded into
646 // eq_tail.
647input eq_tail_overr_hw_ld_18; // Hardware load enable for eq_tail_overr. When
648 // set, <hw write signal> will be loaded into
649 // eq_tail.
650input eq_tail_overr_hw_ld_19; // Hardware load enable for eq_tail_overr. When
651 // set, <hw write signal> will be loaded into
652 // eq_tail.
653input eq_tail_overr_hw_ld_20; // Hardware load enable for eq_tail_overr. When
654 // set, <hw write signal> will be loaded into
655 // eq_tail.
656input eq_tail_overr_hw_ld_21; // Hardware load enable for eq_tail_overr. When
657 // set, <hw write signal> will be loaded into
658 // eq_tail.
659input eq_tail_overr_hw_ld_22; // Hardware load enable for eq_tail_overr. When
660 // set, <hw write signal> will be loaded into
661 // eq_tail.
662input eq_tail_overr_hw_ld_23; // Hardware load enable for eq_tail_overr. When
663 // set, <hw write signal> will be loaded into
664 // eq_tail.
665input eq_tail_overr_hw_ld_24; // Hardware load enable for eq_tail_overr. When
666 // set, <hw write signal> will be loaded into
667 // eq_tail.
668input eq_tail_overr_hw_ld_25; // Hardware load enable for eq_tail_overr. When
669 // set, <hw write signal> will be loaded into
670 // eq_tail.
671input eq_tail_overr_hw_ld_26; // Hardware load enable for eq_tail_overr. When
672 // set, <hw write signal> will be loaded into
673 // eq_tail.
674input eq_tail_overr_hw_ld_27; // Hardware load enable for eq_tail_overr. When
675 // set, <hw write signal> will be loaded into
676 // eq_tail.
677input eq_tail_overr_hw_ld_28; // Hardware load enable for eq_tail_overr. When
678 // set, <hw write signal> will be loaded into
679 // eq_tail.
680input eq_tail_overr_hw_ld_29; // Hardware load enable for eq_tail_overr. When
681 // set, <hw write signal> will be loaded into
682 // eq_tail.
683input eq_tail_overr_hw_ld_30; // Hardware load enable for eq_tail_overr. When
684 // set, <hw write signal> will be loaded into
685 // eq_tail.
686input eq_tail_overr_hw_ld_31; // Hardware load enable for eq_tail_overr. When
687 // set, <hw write signal> will be loaded into
688 // eq_tail.
689input eq_tail_overr_hw_ld_32; // Hardware load enable for eq_tail_overr. When
690 // set, <hw write signal> will be loaded into
691 // eq_tail.
692input eq_tail_overr_hw_ld_33; // Hardware load enable for eq_tail_overr. When
693 // set, <hw write signal> will be loaded into
694 // eq_tail.
695input eq_tail_overr_hw_ld_34; // Hardware load enable for eq_tail_overr. When
696 // set, <hw write signal> will be loaded into
697 // eq_tail.
698input eq_tail_overr_hw_ld_35; // Hardware load enable for eq_tail_overr. When
699 // set, <hw write signal> will be loaded into
700 // eq_tail.
701input eq_tail_overr_hw_write_0; // data bus for hw loading of eq_tail_overr.
702input eq_tail_overr_hw_write_1; // data bus for hw loading of eq_tail_overr.
703input eq_tail_overr_hw_write_2; // data bus for hw loading of eq_tail_overr.
704input eq_tail_overr_hw_write_3; // data bus for hw loading of eq_tail_overr.
705input eq_tail_overr_hw_write_4; // data bus for hw loading of eq_tail_overr.
706input eq_tail_overr_hw_write_5; // data bus for hw loading of eq_tail_overr.
707input eq_tail_overr_hw_write_6; // data bus for hw loading of eq_tail_overr.
708input eq_tail_overr_hw_write_7; // data bus for hw loading of eq_tail_overr.
709input eq_tail_overr_hw_write_8; // data bus for hw loading of eq_tail_overr.
710input eq_tail_overr_hw_write_9; // data bus for hw loading of eq_tail_overr.
711input eq_tail_overr_hw_write_10; // data bus for hw loading of eq_tail_overr.
712input eq_tail_overr_hw_write_11; // data bus for hw loading of eq_tail_overr.
713input eq_tail_overr_hw_write_12; // data bus for hw loading of eq_tail_overr.
714input eq_tail_overr_hw_write_13; // data bus for hw loading of eq_tail_overr.
715input eq_tail_overr_hw_write_14; // data bus for hw loading of eq_tail_overr.
716input eq_tail_overr_hw_write_15; // data bus for hw loading of eq_tail_overr.
717input eq_tail_overr_hw_write_16; // data bus for hw loading of eq_tail_overr.
718input eq_tail_overr_hw_write_17; // data bus for hw loading of eq_tail_overr.
719input eq_tail_overr_hw_write_18; // data bus for hw loading of eq_tail_overr.
720input eq_tail_overr_hw_write_19; // data bus for hw loading of eq_tail_overr.
721input eq_tail_overr_hw_write_20; // data bus for hw loading of eq_tail_overr.
722input eq_tail_overr_hw_write_21; // data bus for hw loading of eq_tail_overr.
723input eq_tail_overr_hw_write_22; // data bus for hw loading of eq_tail_overr.
724input eq_tail_overr_hw_write_23; // data bus for hw loading of eq_tail_overr.
725input eq_tail_overr_hw_write_24; // data bus for hw loading of eq_tail_overr.
726input eq_tail_overr_hw_write_25; // data bus for hw loading of eq_tail_overr.
727input eq_tail_overr_hw_write_26; // data bus for hw loading of eq_tail_overr.
728input eq_tail_overr_hw_write_27; // data bus for hw loading of eq_tail_overr.
729input eq_tail_overr_hw_write_28; // data bus for hw loading of eq_tail_overr.
730input eq_tail_overr_hw_write_29; // data bus for hw loading of eq_tail_overr.
731input eq_tail_overr_hw_write_30; // data bus for hw loading of eq_tail_overr.
732input eq_tail_overr_hw_write_31; // data bus for hw loading of eq_tail_overr.
733input eq_tail_overr_hw_write_32; // data bus for hw loading of eq_tail_overr.
734input eq_tail_overr_hw_write_33; // data bus for hw loading of eq_tail_overr.
735input eq_tail_overr_hw_write_34; // data bus for hw loading of eq_tail_overr.
736input eq_tail_overr_hw_write_35; // data bus for hw loading of eq_tail_overr.
737input eq_tail_tail_hw_ld_0; // Hardware load enable for eq_tail_tail. When
738 // set, <hw write signal> will be loaded into
739 // eq_tail.
740input eq_tail_tail_hw_ld_1; // Hardware load enable for eq_tail_tail. When
741 // set, <hw write signal> will be loaded into
742 // eq_tail.
743input eq_tail_tail_hw_ld_2; // Hardware load enable for eq_tail_tail. When
744 // set, <hw write signal> will be loaded into
745 // eq_tail.
746input eq_tail_tail_hw_ld_3; // Hardware load enable for eq_tail_tail. When
747 // set, <hw write signal> will be loaded into
748 // eq_tail.
749input eq_tail_tail_hw_ld_4; // Hardware load enable for eq_tail_tail. When
750 // set, <hw write signal> will be loaded into
751 // eq_tail.
752input eq_tail_tail_hw_ld_5; // Hardware load enable for eq_tail_tail. When
753 // set, <hw write signal> will be loaded into
754 // eq_tail.
755input eq_tail_tail_hw_ld_6; // Hardware load enable for eq_tail_tail. When
756 // set, <hw write signal> will be loaded into
757 // eq_tail.
758input eq_tail_tail_hw_ld_7; // Hardware load enable for eq_tail_tail. When
759 // set, <hw write signal> will be loaded into
760 // eq_tail.
761input eq_tail_tail_hw_ld_8; // Hardware load enable for eq_tail_tail. When
762 // set, <hw write signal> will be loaded into
763 // eq_tail.
764input eq_tail_tail_hw_ld_9; // Hardware load enable for eq_tail_tail. When
765 // set, <hw write signal> will be loaded into
766 // eq_tail.
767input eq_tail_tail_hw_ld_10; // Hardware load enable for eq_tail_tail. When
768 // set, <hw write signal> will be loaded into
769 // eq_tail.
770input eq_tail_tail_hw_ld_11; // Hardware load enable for eq_tail_tail. When
771 // set, <hw write signal> will be loaded into
772 // eq_tail.
773input eq_tail_tail_hw_ld_12; // Hardware load enable for eq_tail_tail. When
774 // set, <hw write signal> will be loaded into
775 // eq_tail.
776input eq_tail_tail_hw_ld_13; // Hardware load enable for eq_tail_tail. When
777 // set, <hw write signal> will be loaded into
778 // eq_tail.
779input eq_tail_tail_hw_ld_14; // Hardware load enable for eq_tail_tail. When
780 // set, <hw write signal> will be loaded into
781 // eq_tail.
782input eq_tail_tail_hw_ld_15; // Hardware load enable for eq_tail_tail. When
783 // set, <hw write signal> will be loaded into
784 // eq_tail.
785input eq_tail_tail_hw_ld_16; // Hardware load enable for eq_tail_tail. When
786 // set, <hw write signal> will be loaded into
787 // eq_tail.
788input eq_tail_tail_hw_ld_17; // Hardware load enable for eq_tail_tail. When
789 // set, <hw write signal> will be loaded into
790 // eq_tail.
791input eq_tail_tail_hw_ld_18; // Hardware load enable for eq_tail_tail. When
792 // set, <hw write signal> will be loaded into
793 // eq_tail.
794input eq_tail_tail_hw_ld_19; // Hardware load enable for eq_tail_tail. When
795 // set, <hw write signal> will be loaded into
796 // eq_tail.
797input eq_tail_tail_hw_ld_20; // Hardware load enable for eq_tail_tail. When
798 // set, <hw write signal> will be loaded into
799 // eq_tail.
800input eq_tail_tail_hw_ld_21; // Hardware load enable for eq_tail_tail. When
801 // set, <hw write signal> will be loaded into
802 // eq_tail.
803input eq_tail_tail_hw_ld_22; // Hardware load enable for eq_tail_tail. When
804 // set, <hw write signal> will be loaded into
805 // eq_tail.
806input eq_tail_tail_hw_ld_23; // Hardware load enable for eq_tail_tail. When
807 // set, <hw write signal> will be loaded into
808 // eq_tail.
809input eq_tail_tail_hw_ld_24; // Hardware load enable for eq_tail_tail. When
810 // set, <hw write signal> will be loaded into
811 // eq_tail.
812input eq_tail_tail_hw_ld_25; // Hardware load enable for eq_tail_tail. When
813 // set, <hw write signal> will be loaded into
814 // eq_tail.
815input eq_tail_tail_hw_ld_26; // Hardware load enable for eq_tail_tail. When
816 // set, <hw write signal> will be loaded into
817 // eq_tail.
818input eq_tail_tail_hw_ld_27; // Hardware load enable for eq_tail_tail. When
819 // set, <hw write signal> will be loaded into
820 // eq_tail.
821input eq_tail_tail_hw_ld_28; // Hardware load enable for eq_tail_tail. When
822 // set, <hw write signal> will be loaded into
823 // eq_tail.
824input eq_tail_tail_hw_ld_29; // Hardware load enable for eq_tail_tail. When
825 // set, <hw write signal> will be loaded into
826 // eq_tail.
827input eq_tail_tail_hw_ld_30; // Hardware load enable for eq_tail_tail. When
828 // set, <hw write signal> will be loaded into
829 // eq_tail.
830input eq_tail_tail_hw_ld_31; // Hardware load enable for eq_tail_tail. When
831 // set, <hw write signal> will be loaded into
832 // eq_tail.
833input eq_tail_tail_hw_ld_32; // Hardware load enable for eq_tail_tail. When
834 // set, <hw write signal> will be loaded into
835 // eq_tail.
836input eq_tail_tail_hw_ld_33; // Hardware load enable for eq_tail_tail. When
837 // set, <hw write signal> will be loaded into
838 // eq_tail.
839input eq_tail_tail_hw_ld_34; // Hardware load enable for eq_tail_tail. When
840 // set, <hw write signal> will be loaded into
841 // eq_tail.
842input eq_tail_tail_hw_ld_35; // Hardware load enable for eq_tail_tail. When
843 // set, <hw write signal> will be loaded into
844 // eq_tail.
845input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_0;
846 // data bus for hw loading of eq_tail_tail.
847input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_1;
848 // data bus for hw loading of eq_tail_tail.
849input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_2;
850 // data bus for hw loading of eq_tail_tail.
851input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_3;
852 // data bus for hw loading of eq_tail_tail.
853input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_4;
854 // data bus for hw loading of eq_tail_tail.
855input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_5;
856 // data bus for hw loading of eq_tail_tail.
857input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_6;
858 // data bus for hw loading of eq_tail_tail.
859input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_7;
860 // data bus for hw loading of eq_tail_tail.
861input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_8;
862 // data bus for hw loading of eq_tail_tail.
863input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_9;
864 // data bus for hw loading of eq_tail_tail.
865input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_10;
866 // data bus for hw loading of eq_tail_tail.
867input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_11;
868 // data bus for hw loading of eq_tail_tail.
869input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_12;
870 // data bus for hw loading of eq_tail_tail.
871input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_13;
872 // data bus for hw loading of eq_tail_tail.
873input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_14;
874 // data bus for hw loading of eq_tail_tail.
875input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_15;
876 // data bus for hw loading of eq_tail_tail.
877input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_16;
878 // data bus for hw loading of eq_tail_tail.
879input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_17;
880 // data bus for hw loading of eq_tail_tail.
881input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_18;
882 // data bus for hw loading of eq_tail_tail.
883input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_19;
884 // data bus for hw loading of eq_tail_tail.
885input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_20;
886 // data bus for hw loading of eq_tail_tail.
887input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_21;
888 // data bus for hw loading of eq_tail_tail.
889input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_22;
890 // data bus for hw loading of eq_tail_tail.
891input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_23;
892 // data bus for hw loading of eq_tail_tail.
893input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_24;
894 // data bus for hw loading of eq_tail_tail.
895input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_25;
896 // data bus for hw loading of eq_tail_tail.
897input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_26;
898 // data bus for hw loading of eq_tail_tail.
899input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_27;
900 // data bus for hw loading of eq_tail_tail.
901input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_28;
902 // data bus for hw loading of eq_tail_tail.
903input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_29;
904 // data bus for hw loading of eq_tail_tail.
905input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_30;
906 // data bus for hw loading of eq_tail_tail.
907input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_31;
908 // data bus for hw loading of eq_tail_tail.
909input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_32;
910 // data bus for hw loading of eq_tail_tail.
911input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_33;
912 // data bus for hw loading of eq_tail_tail.
913input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_34;
914 // data bus for hw loading of eq_tail_tail.
915input [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_35;
916 // data bus for hw loading of eq_tail_tail.
917output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_0;
918 // This signal provides the current value of eq_tail_tail.
919output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_1;
920 // This signal provides the current value of eq_tail_tail.
921output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_2;
922 // This signal provides the current value of eq_tail_tail.
923output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_3;
924 // This signal provides the current value of eq_tail_tail.
925output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_4;
926 // This signal provides the current value of eq_tail_tail.
927output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_5;
928 // This signal provides the current value of eq_tail_tail.
929output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_6;
930 // This signal provides the current value of eq_tail_tail.
931output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_7;
932 // This signal provides the current value of eq_tail_tail.
933output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_8;
934 // This signal provides the current value of eq_tail_tail.
935output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_9;
936 // This signal provides the current value of eq_tail_tail.
937output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_10;
938 // This signal provides the current value of eq_tail_tail.
939output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_11;
940 // This signal provides the current value of eq_tail_tail.
941output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_12;
942 // This signal provides the current value of eq_tail_tail.
943output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_13;
944 // This signal provides the current value of eq_tail_tail.
945output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_14;
946 // This signal provides the current value of eq_tail_tail.
947output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_15;
948 // This signal provides the current value of eq_tail_tail.
949output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_16;
950 // This signal provides the current value of eq_tail_tail.
951output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_17;
952 // This signal provides the current value of eq_tail_tail.
953output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_18;
954 // This signal provides the current value of eq_tail_tail.
955output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_19;
956 // This signal provides the current value of eq_tail_tail.
957output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_20;
958 // This signal provides the current value of eq_tail_tail.
959output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_21;
960 // This signal provides the current value of eq_tail_tail.
961output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_22;
962 // This signal provides the current value of eq_tail_tail.
963output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_23;
964 // This signal provides the current value of eq_tail_tail.
965output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_24;
966 // This signal provides the current value of eq_tail_tail.
967output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_25;
968 // This signal provides the current value of eq_tail_tail.
969output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_26;
970 // This signal provides the current value of eq_tail_tail.
971output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_27;
972 // This signal provides the current value of eq_tail_tail.
973output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_28;
974 // This signal provides the current value of eq_tail_tail.
975output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_29;
976 // This signal provides the current value of eq_tail_tail.
977output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_30;
978 // This signal provides the current value of eq_tail_tail.
979output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_31;
980 // This signal provides the current value of eq_tail_tail.
981output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_32;
982 // This signal provides the current value of eq_tail_tail.
983output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_33;
984 // This signal provides the current value of eq_tail_tail.
985output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_34;
986 // This signal provides the current value of eq_tail_tail.
987output [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_35;
988 // This signal provides the current value of eq_tail_tail.
989output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_0;
990 // This signal provides the current value of eq_head_head.
991output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_1;
992 // This signal provides the current value of eq_head_head.
993output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_2;
994 // This signal provides the current value of eq_head_head.
995output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_3;
996 // This signal provides the current value of eq_head_head.
997output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_4;
998 // This signal provides the current value of eq_head_head.
999output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_5;
1000 // This signal provides the current value of eq_head_head.
1001output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_6;
1002 // This signal provides the current value of eq_head_head.
1003output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_7;
1004 // This signal provides the current value of eq_head_head.
1005output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_8;
1006 // This signal provides the current value of eq_head_head.
1007output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_9;
1008 // This signal provides the current value of eq_head_head.
1009output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_10;
1010 // This signal provides the current value of eq_head_head.
1011output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_11;
1012 // This signal provides the current value of eq_head_head.
1013output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_12;
1014 // This signal provides the current value of eq_head_head.
1015output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_13;
1016 // This signal provides the current value of eq_head_head.
1017output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_14;
1018 // This signal provides the current value of eq_head_head.
1019output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_15;
1020 // This signal provides the current value of eq_head_head.
1021output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_16;
1022 // This signal provides the current value of eq_head_head.
1023output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_17;
1024 // This signal provides the current value of eq_head_head.
1025output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_18;
1026 // This signal provides the current value of eq_head_head.
1027output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_19;
1028 // This signal provides the current value of eq_head_head.
1029output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_20;
1030 // This signal provides the current value of eq_head_head.
1031output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_21;
1032 // This signal provides the current value of eq_head_head.
1033output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_22;
1034 // This signal provides the current value of eq_head_head.
1035output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_23;
1036 // This signal provides the current value of eq_head_head.
1037output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_24;
1038 // This signal provides the current value of eq_head_head.
1039output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_25;
1040 // This signal provides the current value of eq_head_head.
1041output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_26;
1042 // This signal provides the current value of eq_head_head.
1043output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_27;
1044 // This signal provides the current value of eq_head_head.
1045output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_28;
1046 // This signal provides the current value of eq_head_head.
1047output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_29;
1048 // This signal provides the current value of eq_head_head.
1049output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_30;
1050 // This signal provides the current value of eq_head_head.
1051output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_31;
1052 // This signal provides the current value of eq_head_head.
1053output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_32;
1054 // This signal provides the current value of eq_head_head.
1055output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_33;
1056 // This signal provides the current value of eq_head_head.
1057output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_34;
1058 // This signal provides the current value of eq_head_head.
1059output [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_35;
1060 // This signal provides the current value of eq_head_head.
1061
1062//====================================================
1063// Type declarations
1064//====================================================
1065wire clk; // Clock signal
1066wire [`FIRE_CSRBUS_ADDR_WIDTH-1:0] csrbus_addr; // Address bus
1067wire [`FIRE_CSRBUS_DATA_WIDTH-1:0] csrbus_wr_data; // SW write data
1068wire csrbus_wr; // Read/Write signal
1069wire csrbus_valid; // Valid address
1070wire csrbus_mapped; // Address is mapped
1071wire csrbus_done; // Operation is done
1072wire [`FIRE_CSRBUS_DATA_WIDTH-1:0] csrbus_read_data; // SW read data bus
1073wire rst_l; // Reset signal
1074wire [1:0] csrbus_src_bus; // Source bus
1075wire csrbus_acc_vio; // Violation signal
1076wire instance_id; // Instance ID
1077wire ext_wr; // When one, csr operation is a write. When zero, operation is a
1078 // read.
1079wire [`FIRE_DLC_IMU_EQS_CSR_EQ_BASE_ADDRESS_ADDRESS_INT_SLC] eq_base_address_address_hw_read;
1080 // This signal provides the current value of eq_base_address_address.
1081wire eq_ctrl_set_enoverr_ext_wr_data; // Provides SW write data for external
1082 // register "eq_ctrl_set", field
1083 // "enoverr"
1084wire eq_ctrl_set_en_ext_wr_data; // Provides SW write data for external
1085 // register "eq_ctrl_set", field "en"
1086wire eq_ctrl_set_ext_select_0; // When set, register eq_ctrl_set is selected.
1087 // This signal is a pulse.
1088wire eq_ctrl_set_ext_select_1; // When set, register eq_ctrl_set is selected.
1089 // This signal is a pulse.
1090wire eq_ctrl_set_ext_select_2; // When set, register eq_ctrl_set is selected.
1091 // This signal is a pulse.
1092wire eq_ctrl_set_ext_select_3; // When set, register eq_ctrl_set is selected.
1093 // This signal is a pulse.
1094wire eq_ctrl_set_ext_select_4; // When set, register eq_ctrl_set is selected.
1095 // This signal is a pulse.
1096wire eq_ctrl_set_ext_select_5; // When set, register eq_ctrl_set is selected.
1097 // This signal is a pulse.
1098wire eq_ctrl_set_ext_select_6; // When set, register eq_ctrl_set is selected.
1099 // This signal is a pulse.
1100wire eq_ctrl_set_ext_select_7; // When set, register eq_ctrl_set is selected.
1101 // This signal is a pulse.
1102wire eq_ctrl_set_ext_select_8; // When set, register eq_ctrl_set is selected.
1103 // This signal is a pulse.
1104wire eq_ctrl_set_ext_select_9; // When set, register eq_ctrl_set is selected.
1105 // This signal is a pulse.
1106wire eq_ctrl_set_ext_select_10; // When set, register eq_ctrl_set is selected.
1107 // This signal is a pulse.
1108wire eq_ctrl_set_ext_select_11; // When set, register eq_ctrl_set is selected.
1109 // This signal is a pulse.
1110wire eq_ctrl_set_ext_select_12; // When set, register eq_ctrl_set is selected.
1111 // This signal is a pulse.
1112wire eq_ctrl_set_ext_select_13; // When set, register eq_ctrl_set is selected.
1113 // This signal is a pulse.
1114wire eq_ctrl_set_ext_select_14; // When set, register eq_ctrl_set is selected.
1115 // This signal is a pulse.
1116wire eq_ctrl_set_ext_select_15; // When set, register eq_ctrl_set is selected.
1117 // This signal is a pulse.
1118wire eq_ctrl_set_ext_select_16; // When set, register eq_ctrl_set is selected.
1119 // This signal is a pulse.
1120wire eq_ctrl_set_ext_select_17; // When set, register eq_ctrl_set is selected.
1121 // This signal is a pulse.
1122wire eq_ctrl_set_ext_select_18; // When set, register eq_ctrl_set is selected.
1123 // This signal is a pulse.
1124wire eq_ctrl_set_ext_select_19; // When set, register eq_ctrl_set is selected.
1125 // This signal is a pulse.
1126wire eq_ctrl_set_ext_select_20; // When set, register eq_ctrl_set is selected.
1127 // This signal is a pulse.
1128wire eq_ctrl_set_ext_select_21; // When set, register eq_ctrl_set is selected.
1129 // This signal is a pulse.
1130wire eq_ctrl_set_ext_select_22; // When set, register eq_ctrl_set is selected.
1131 // This signal is a pulse.
1132wire eq_ctrl_set_ext_select_23; // When set, register eq_ctrl_set is selected.
1133 // This signal is a pulse.
1134wire eq_ctrl_set_ext_select_24; // When set, register eq_ctrl_set is selected.
1135 // This signal is a pulse.
1136wire eq_ctrl_set_ext_select_25; // When set, register eq_ctrl_set is selected.
1137 // This signal is a pulse.
1138wire eq_ctrl_set_ext_select_26; // When set, register eq_ctrl_set is selected.
1139 // This signal is a pulse.
1140wire eq_ctrl_set_ext_select_27; // When set, register eq_ctrl_set is selected.
1141 // This signal is a pulse.
1142wire eq_ctrl_set_ext_select_28; // When set, register eq_ctrl_set is selected.
1143 // This signal is a pulse.
1144wire eq_ctrl_set_ext_select_29; // When set, register eq_ctrl_set is selected.
1145 // This signal is a pulse.
1146wire eq_ctrl_set_ext_select_30; // When set, register eq_ctrl_set is selected.
1147 // This signal is a pulse.
1148wire eq_ctrl_set_ext_select_31; // When set, register eq_ctrl_set is selected.
1149 // This signal is a pulse.
1150wire eq_ctrl_set_ext_select_32; // When set, register eq_ctrl_set is selected.
1151 // This signal is a pulse.
1152wire eq_ctrl_set_ext_select_33; // When set, register eq_ctrl_set is selected.
1153 // This signal is a pulse.
1154wire eq_ctrl_set_ext_select_34; // When set, register eq_ctrl_set is selected.
1155 // This signal is a pulse.
1156wire eq_ctrl_set_ext_select_35; // When set, register eq_ctrl_set is selected.
1157 // This signal is a pulse.
1158wire eq_ctrl_clr_coverr_ext_wr_data; // Provides SW write data for external
1159 // register "eq_ctrl_clr", field "coverr"
1160wire eq_ctrl_clr_e2i_ext_wr_data; // Provides SW write data for external
1161 // register "eq_ctrl_clr", field "e2i"
1162wire eq_ctrl_clr_dis_ext_wr_data; // Provides SW write data for external
1163 // register "eq_ctrl_clr", field "dis"
1164wire eq_ctrl_clr_ext_select_0; // When set, register eq_ctrl_clr is selected.
1165 // This signal is a pulse.
1166wire eq_ctrl_clr_ext_select_1; // When set, register eq_ctrl_clr is selected.
1167 // This signal is a pulse.
1168wire eq_ctrl_clr_ext_select_2; // When set, register eq_ctrl_clr is selected.
1169 // This signal is a pulse.
1170wire eq_ctrl_clr_ext_select_3; // When set, register eq_ctrl_clr is selected.
1171 // This signal is a pulse.
1172wire eq_ctrl_clr_ext_select_4; // When set, register eq_ctrl_clr is selected.
1173 // This signal is a pulse.
1174wire eq_ctrl_clr_ext_select_5; // When set, register eq_ctrl_clr is selected.
1175 // This signal is a pulse.
1176wire eq_ctrl_clr_ext_select_6; // When set, register eq_ctrl_clr is selected.
1177 // This signal is a pulse.
1178wire eq_ctrl_clr_ext_select_7; // When set, register eq_ctrl_clr is selected.
1179 // This signal is a pulse.
1180wire eq_ctrl_clr_ext_select_8; // When set, register eq_ctrl_clr is selected.
1181 // This signal is a pulse.
1182wire eq_ctrl_clr_ext_select_9; // When set, register eq_ctrl_clr is selected.
1183 // This signal is a pulse.
1184wire eq_ctrl_clr_ext_select_10; // When set, register eq_ctrl_clr is selected.
1185 // This signal is a pulse.
1186wire eq_ctrl_clr_ext_select_11; // When set, register eq_ctrl_clr is selected.
1187 // This signal is a pulse.
1188wire eq_ctrl_clr_ext_select_12; // When set, register eq_ctrl_clr is selected.
1189 // This signal is a pulse.
1190wire eq_ctrl_clr_ext_select_13; // When set, register eq_ctrl_clr is selected.
1191 // This signal is a pulse.
1192wire eq_ctrl_clr_ext_select_14; // When set, register eq_ctrl_clr is selected.
1193 // This signal is a pulse.
1194wire eq_ctrl_clr_ext_select_15; // When set, register eq_ctrl_clr is selected.
1195 // This signal is a pulse.
1196wire eq_ctrl_clr_ext_select_16; // When set, register eq_ctrl_clr is selected.
1197 // This signal is a pulse.
1198wire eq_ctrl_clr_ext_select_17; // When set, register eq_ctrl_clr is selected.
1199 // This signal is a pulse.
1200wire eq_ctrl_clr_ext_select_18; // When set, register eq_ctrl_clr is selected.
1201 // This signal is a pulse.
1202wire eq_ctrl_clr_ext_select_19; // When set, register eq_ctrl_clr is selected.
1203 // This signal is a pulse.
1204wire eq_ctrl_clr_ext_select_20; // When set, register eq_ctrl_clr is selected.
1205 // This signal is a pulse.
1206wire eq_ctrl_clr_ext_select_21; // When set, register eq_ctrl_clr is selected.
1207 // This signal is a pulse.
1208wire eq_ctrl_clr_ext_select_22; // When set, register eq_ctrl_clr is selected.
1209 // This signal is a pulse.
1210wire eq_ctrl_clr_ext_select_23; // When set, register eq_ctrl_clr is selected.
1211 // This signal is a pulse.
1212wire eq_ctrl_clr_ext_select_24; // When set, register eq_ctrl_clr is selected.
1213 // This signal is a pulse.
1214wire eq_ctrl_clr_ext_select_25; // When set, register eq_ctrl_clr is selected.
1215 // This signal is a pulse.
1216wire eq_ctrl_clr_ext_select_26; // When set, register eq_ctrl_clr is selected.
1217 // This signal is a pulse.
1218wire eq_ctrl_clr_ext_select_27; // When set, register eq_ctrl_clr is selected.
1219 // This signal is a pulse.
1220wire eq_ctrl_clr_ext_select_28; // When set, register eq_ctrl_clr is selected.
1221 // This signal is a pulse.
1222wire eq_ctrl_clr_ext_select_29; // When set, register eq_ctrl_clr is selected.
1223 // This signal is a pulse.
1224wire eq_ctrl_clr_ext_select_30; // When set, register eq_ctrl_clr is selected.
1225 // This signal is a pulse.
1226wire eq_ctrl_clr_ext_select_31; // When set, register eq_ctrl_clr is selected.
1227 // This signal is a pulse.
1228wire eq_ctrl_clr_ext_select_32; // When set, register eq_ctrl_clr is selected.
1229 // This signal is a pulse.
1230wire eq_ctrl_clr_ext_select_33; // When set, register eq_ctrl_clr is selected.
1231 // This signal is a pulse.
1232wire eq_ctrl_clr_ext_select_34; // When set, register eq_ctrl_clr is selected.
1233 // This signal is a pulse.
1234wire eq_ctrl_clr_ext_select_35; // When set, register eq_ctrl_clr is selected.
1235 // This signal is a pulse.
1236wire [2:0] eq_state_state_ext_read_data_0; // Ext read data (decode)
1237wire [2:0] eq_state_state_ext_read_data_1; // Ext read data (decode)
1238wire [2:0] eq_state_state_ext_read_data_2; // Ext read data (decode)
1239wire [2:0] eq_state_state_ext_read_data_3; // Ext read data (decode)
1240wire [2:0] eq_state_state_ext_read_data_4; // Ext read data (decode)
1241wire [2:0] eq_state_state_ext_read_data_5; // Ext read data (decode)
1242wire [2:0] eq_state_state_ext_read_data_6; // Ext read data (decode)
1243wire [2:0] eq_state_state_ext_read_data_7; // Ext read data (decode)
1244wire [2:0] eq_state_state_ext_read_data_8; // Ext read data (decode)
1245wire [2:0] eq_state_state_ext_read_data_9; // Ext read data (decode)
1246wire [2:0] eq_state_state_ext_read_data_10; // Ext read data (decode)
1247wire [2:0] eq_state_state_ext_read_data_11; // Ext read data (decode)
1248wire [2:0] eq_state_state_ext_read_data_12; // Ext read data (decode)
1249wire [2:0] eq_state_state_ext_read_data_13; // Ext read data (decode)
1250wire [2:0] eq_state_state_ext_read_data_14; // Ext read data (decode)
1251wire [2:0] eq_state_state_ext_read_data_15; // Ext read data (decode)
1252wire [2:0] eq_state_state_ext_read_data_16; // Ext read data (decode)
1253wire [2:0] eq_state_state_ext_read_data_17; // Ext read data (decode)
1254wire [2:0] eq_state_state_ext_read_data_18; // Ext read data (decode)
1255wire [2:0] eq_state_state_ext_read_data_19; // Ext read data (decode)
1256wire [2:0] eq_state_state_ext_read_data_20; // Ext read data (decode)
1257wire [2:0] eq_state_state_ext_read_data_21; // Ext read data (decode)
1258wire [2:0] eq_state_state_ext_read_data_22; // Ext read data (decode)
1259wire [2:0] eq_state_state_ext_read_data_23; // Ext read data (decode)
1260wire [2:0] eq_state_state_ext_read_data_24; // Ext read data (decode)
1261wire [2:0] eq_state_state_ext_read_data_25; // Ext read data (decode)
1262wire [2:0] eq_state_state_ext_read_data_26; // Ext read data (decode)
1263wire [2:0] eq_state_state_ext_read_data_27; // Ext read data (decode)
1264wire [2:0] eq_state_state_ext_read_data_28; // Ext read data (decode)
1265wire [2:0] eq_state_state_ext_read_data_29; // Ext read data (decode)
1266wire [2:0] eq_state_state_ext_read_data_30; // Ext read data (decode)
1267wire [2:0] eq_state_state_ext_read_data_31; // Ext read data (decode)
1268wire [2:0] eq_state_state_ext_read_data_32; // Ext read data (decode)
1269wire [2:0] eq_state_state_ext_read_data_33; // Ext read data (decode)
1270wire [2:0] eq_state_state_ext_read_data_34; // Ext read data (decode)
1271wire [2:0] eq_state_state_ext_read_data_35; // Ext read data (decode)
1272wire eq_tail_overr_hw_ld_0; // Hardware load enable for eq_tail_overr. When
1273 // set, <hw write signal> will be loaded into
1274 // eq_tail.
1275wire eq_tail_overr_hw_ld_1; // Hardware load enable for eq_tail_overr. When
1276 // set, <hw write signal> will be loaded into
1277 // eq_tail.
1278wire eq_tail_overr_hw_ld_2; // Hardware load enable for eq_tail_overr. When
1279 // set, <hw write signal> will be loaded into
1280 // eq_tail.
1281wire eq_tail_overr_hw_ld_3; // Hardware load enable for eq_tail_overr. When
1282 // set, <hw write signal> will be loaded into
1283 // eq_tail.
1284wire eq_tail_overr_hw_ld_4; // Hardware load enable for eq_tail_overr. When
1285 // set, <hw write signal> will be loaded into
1286 // eq_tail.
1287wire eq_tail_overr_hw_ld_5; // Hardware load enable for eq_tail_overr. When
1288 // set, <hw write signal> will be loaded into
1289 // eq_tail.
1290wire eq_tail_overr_hw_ld_6; // Hardware load enable for eq_tail_overr. When
1291 // set, <hw write signal> will be loaded into
1292 // eq_tail.
1293wire eq_tail_overr_hw_ld_7; // Hardware load enable for eq_tail_overr. When
1294 // set, <hw write signal> will be loaded into
1295 // eq_tail.
1296wire eq_tail_overr_hw_ld_8; // Hardware load enable for eq_tail_overr. When
1297 // set, <hw write signal> will be loaded into
1298 // eq_tail.
1299wire eq_tail_overr_hw_ld_9; // Hardware load enable for eq_tail_overr. When
1300 // set, <hw write signal> will be loaded into
1301 // eq_tail.
1302wire eq_tail_overr_hw_ld_10; // Hardware load enable for eq_tail_overr. When
1303 // set, <hw write signal> will be loaded into
1304 // eq_tail.
1305wire eq_tail_overr_hw_ld_11; // Hardware load enable for eq_tail_overr. When
1306 // set, <hw write signal> will be loaded into
1307 // eq_tail.
1308wire eq_tail_overr_hw_ld_12; // Hardware load enable for eq_tail_overr. When
1309 // set, <hw write signal> will be loaded into
1310 // eq_tail.
1311wire eq_tail_overr_hw_ld_13; // Hardware load enable for eq_tail_overr. When
1312 // set, <hw write signal> will be loaded into
1313 // eq_tail.
1314wire eq_tail_overr_hw_ld_14; // Hardware load enable for eq_tail_overr. When
1315 // set, <hw write signal> will be loaded into
1316 // eq_tail.
1317wire eq_tail_overr_hw_ld_15; // Hardware load enable for eq_tail_overr. When
1318 // set, <hw write signal> will be loaded into
1319 // eq_tail.
1320wire eq_tail_overr_hw_ld_16; // Hardware load enable for eq_tail_overr. When
1321 // set, <hw write signal> will be loaded into
1322 // eq_tail.
1323wire eq_tail_overr_hw_ld_17; // Hardware load enable for eq_tail_overr. When
1324 // set, <hw write signal> will be loaded into
1325 // eq_tail.
1326wire eq_tail_overr_hw_ld_18; // Hardware load enable for eq_tail_overr. When
1327 // set, <hw write signal> will be loaded into
1328 // eq_tail.
1329wire eq_tail_overr_hw_ld_19; // Hardware load enable for eq_tail_overr. When
1330 // set, <hw write signal> will be loaded into
1331 // eq_tail.
1332wire eq_tail_overr_hw_ld_20; // Hardware load enable for eq_tail_overr. When
1333 // set, <hw write signal> will be loaded into
1334 // eq_tail.
1335wire eq_tail_overr_hw_ld_21; // Hardware load enable for eq_tail_overr. When
1336 // set, <hw write signal> will be loaded into
1337 // eq_tail.
1338wire eq_tail_overr_hw_ld_22; // Hardware load enable for eq_tail_overr. When
1339 // set, <hw write signal> will be loaded into
1340 // eq_tail.
1341wire eq_tail_overr_hw_ld_23; // Hardware load enable for eq_tail_overr. When
1342 // set, <hw write signal> will be loaded into
1343 // eq_tail.
1344wire eq_tail_overr_hw_ld_24; // Hardware load enable for eq_tail_overr. When
1345 // set, <hw write signal> will be loaded into
1346 // eq_tail.
1347wire eq_tail_overr_hw_ld_25; // Hardware load enable for eq_tail_overr. When
1348 // set, <hw write signal> will be loaded into
1349 // eq_tail.
1350wire eq_tail_overr_hw_ld_26; // Hardware load enable for eq_tail_overr. When
1351 // set, <hw write signal> will be loaded into
1352 // eq_tail.
1353wire eq_tail_overr_hw_ld_27; // Hardware load enable for eq_tail_overr. When
1354 // set, <hw write signal> will be loaded into
1355 // eq_tail.
1356wire eq_tail_overr_hw_ld_28; // Hardware load enable for eq_tail_overr. When
1357 // set, <hw write signal> will be loaded into
1358 // eq_tail.
1359wire eq_tail_overr_hw_ld_29; // Hardware load enable for eq_tail_overr. When
1360 // set, <hw write signal> will be loaded into
1361 // eq_tail.
1362wire eq_tail_overr_hw_ld_30; // Hardware load enable for eq_tail_overr. When
1363 // set, <hw write signal> will be loaded into
1364 // eq_tail.
1365wire eq_tail_overr_hw_ld_31; // Hardware load enable for eq_tail_overr. When
1366 // set, <hw write signal> will be loaded into
1367 // eq_tail.
1368wire eq_tail_overr_hw_ld_32; // Hardware load enable for eq_tail_overr. When
1369 // set, <hw write signal> will be loaded into
1370 // eq_tail.
1371wire eq_tail_overr_hw_ld_33; // Hardware load enable for eq_tail_overr. When
1372 // set, <hw write signal> will be loaded into
1373 // eq_tail.
1374wire eq_tail_overr_hw_ld_34; // Hardware load enable for eq_tail_overr. When
1375 // set, <hw write signal> will be loaded into
1376 // eq_tail.
1377wire eq_tail_overr_hw_ld_35; // Hardware load enable for eq_tail_overr. When
1378 // set, <hw write signal> will be loaded into
1379 // eq_tail.
1380wire eq_tail_overr_hw_write_0; // data bus for hw loading of eq_tail_overr.
1381wire eq_tail_overr_hw_write_1; // data bus for hw loading of eq_tail_overr.
1382wire eq_tail_overr_hw_write_2; // data bus for hw loading of eq_tail_overr.
1383wire eq_tail_overr_hw_write_3; // data bus for hw loading of eq_tail_overr.
1384wire eq_tail_overr_hw_write_4; // data bus for hw loading of eq_tail_overr.
1385wire eq_tail_overr_hw_write_5; // data bus for hw loading of eq_tail_overr.
1386wire eq_tail_overr_hw_write_6; // data bus for hw loading of eq_tail_overr.
1387wire eq_tail_overr_hw_write_7; // data bus for hw loading of eq_tail_overr.
1388wire eq_tail_overr_hw_write_8; // data bus for hw loading of eq_tail_overr.
1389wire eq_tail_overr_hw_write_9; // data bus for hw loading of eq_tail_overr.
1390wire eq_tail_overr_hw_write_10; // data bus for hw loading of eq_tail_overr.
1391wire eq_tail_overr_hw_write_11; // data bus for hw loading of eq_tail_overr.
1392wire eq_tail_overr_hw_write_12; // data bus for hw loading of eq_tail_overr.
1393wire eq_tail_overr_hw_write_13; // data bus for hw loading of eq_tail_overr.
1394wire eq_tail_overr_hw_write_14; // data bus for hw loading of eq_tail_overr.
1395wire eq_tail_overr_hw_write_15; // data bus for hw loading of eq_tail_overr.
1396wire eq_tail_overr_hw_write_16; // data bus for hw loading of eq_tail_overr.
1397wire eq_tail_overr_hw_write_17; // data bus for hw loading of eq_tail_overr.
1398wire eq_tail_overr_hw_write_18; // data bus for hw loading of eq_tail_overr.
1399wire eq_tail_overr_hw_write_19; // data bus for hw loading of eq_tail_overr.
1400wire eq_tail_overr_hw_write_20; // data bus for hw loading of eq_tail_overr.
1401wire eq_tail_overr_hw_write_21; // data bus for hw loading of eq_tail_overr.
1402wire eq_tail_overr_hw_write_22; // data bus for hw loading of eq_tail_overr.
1403wire eq_tail_overr_hw_write_23; // data bus for hw loading of eq_tail_overr.
1404wire eq_tail_overr_hw_write_24; // data bus for hw loading of eq_tail_overr.
1405wire eq_tail_overr_hw_write_25; // data bus for hw loading of eq_tail_overr.
1406wire eq_tail_overr_hw_write_26; // data bus for hw loading of eq_tail_overr.
1407wire eq_tail_overr_hw_write_27; // data bus for hw loading of eq_tail_overr.
1408wire eq_tail_overr_hw_write_28; // data bus for hw loading of eq_tail_overr.
1409wire eq_tail_overr_hw_write_29; // data bus for hw loading of eq_tail_overr.
1410wire eq_tail_overr_hw_write_30; // data bus for hw loading of eq_tail_overr.
1411wire eq_tail_overr_hw_write_31; // data bus for hw loading of eq_tail_overr.
1412wire eq_tail_overr_hw_write_32; // data bus for hw loading of eq_tail_overr.
1413wire eq_tail_overr_hw_write_33; // data bus for hw loading of eq_tail_overr.
1414wire eq_tail_overr_hw_write_34; // data bus for hw loading of eq_tail_overr.
1415wire eq_tail_overr_hw_write_35; // data bus for hw loading of eq_tail_overr.
1416wire eq_tail_tail_hw_ld_0; // Hardware load enable for eq_tail_tail. When set,
1417 // <hw write signal> will be loaded into eq_tail.
1418wire eq_tail_tail_hw_ld_1; // Hardware load enable for eq_tail_tail. When set,
1419 // <hw write signal> will be loaded into eq_tail.
1420wire eq_tail_tail_hw_ld_2; // Hardware load enable for eq_tail_tail. When set,
1421 // <hw write signal> will be loaded into eq_tail.
1422wire eq_tail_tail_hw_ld_3; // Hardware load enable for eq_tail_tail. When set,
1423 // <hw write signal> will be loaded into eq_tail.
1424wire eq_tail_tail_hw_ld_4; // Hardware load enable for eq_tail_tail. When set,
1425 // <hw write signal> will be loaded into eq_tail.
1426wire eq_tail_tail_hw_ld_5; // Hardware load enable for eq_tail_tail. When set,
1427 // <hw write signal> will be loaded into eq_tail.
1428wire eq_tail_tail_hw_ld_6; // Hardware load enable for eq_tail_tail. When set,
1429 // <hw write signal> will be loaded into eq_tail.
1430wire eq_tail_tail_hw_ld_7; // Hardware load enable for eq_tail_tail. When set,
1431 // <hw write signal> will be loaded into eq_tail.
1432wire eq_tail_tail_hw_ld_8; // Hardware load enable for eq_tail_tail. When set,
1433 // <hw write signal> will be loaded into eq_tail.
1434wire eq_tail_tail_hw_ld_9; // Hardware load enable for eq_tail_tail. When set,
1435 // <hw write signal> will be loaded into eq_tail.
1436wire eq_tail_tail_hw_ld_10; // Hardware load enable for eq_tail_tail. When set,
1437 // <hw write signal> will be loaded into eq_tail.
1438wire eq_tail_tail_hw_ld_11; // Hardware load enable for eq_tail_tail. When set,
1439 // <hw write signal> will be loaded into eq_tail.
1440wire eq_tail_tail_hw_ld_12; // Hardware load enable for eq_tail_tail. When set,
1441 // <hw write signal> will be loaded into eq_tail.
1442wire eq_tail_tail_hw_ld_13; // Hardware load enable for eq_tail_tail. When set,
1443 // <hw write signal> will be loaded into eq_tail.
1444wire eq_tail_tail_hw_ld_14; // Hardware load enable for eq_tail_tail. When set,
1445 // <hw write signal> will be loaded into eq_tail.
1446wire eq_tail_tail_hw_ld_15; // Hardware load enable for eq_tail_tail. When set,
1447 // <hw write signal> will be loaded into eq_tail.
1448wire eq_tail_tail_hw_ld_16; // Hardware load enable for eq_tail_tail. When set,
1449 // <hw write signal> will be loaded into eq_tail.
1450wire eq_tail_tail_hw_ld_17; // Hardware load enable for eq_tail_tail. When set,
1451 // <hw write signal> will be loaded into eq_tail.
1452wire eq_tail_tail_hw_ld_18; // Hardware load enable for eq_tail_tail. When set,
1453 // <hw write signal> will be loaded into eq_tail.
1454wire eq_tail_tail_hw_ld_19; // Hardware load enable for eq_tail_tail. When set,
1455 // <hw write signal> will be loaded into eq_tail.
1456wire eq_tail_tail_hw_ld_20; // Hardware load enable for eq_tail_tail. When set,
1457 // <hw write signal> will be loaded into eq_tail.
1458wire eq_tail_tail_hw_ld_21; // Hardware load enable for eq_tail_tail. When set,
1459 // <hw write signal> will be loaded into eq_tail.
1460wire eq_tail_tail_hw_ld_22; // Hardware load enable for eq_tail_tail. When set,
1461 // <hw write signal> will be loaded into eq_tail.
1462wire eq_tail_tail_hw_ld_23; // Hardware load enable for eq_tail_tail. When set,
1463 // <hw write signal> will be loaded into eq_tail.
1464wire eq_tail_tail_hw_ld_24; // Hardware load enable for eq_tail_tail. When set,
1465 // <hw write signal> will be loaded into eq_tail.
1466wire eq_tail_tail_hw_ld_25; // Hardware load enable for eq_tail_tail. When set,
1467 // <hw write signal> will be loaded into eq_tail.
1468wire eq_tail_tail_hw_ld_26; // Hardware load enable for eq_tail_tail. When set,
1469 // <hw write signal> will be loaded into eq_tail.
1470wire eq_tail_tail_hw_ld_27; // Hardware load enable for eq_tail_tail. When set,
1471 // <hw write signal> will be loaded into eq_tail.
1472wire eq_tail_tail_hw_ld_28; // Hardware load enable for eq_tail_tail. When set,
1473 // <hw write signal> will be loaded into eq_tail.
1474wire eq_tail_tail_hw_ld_29; // Hardware load enable for eq_tail_tail. When set,
1475 // <hw write signal> will be loaded into eq_tail.
1476wire eq_tail_tail_hw_ld_30; // Hardware load enable for eq_tail_tail. When set,
1477 // <hw write signal> will be loaded into eq_tail.
1478wire eq_tail_tail_hw_ld_31; // Hardware load enable for eq_tail_tail. When set,
1479 // <hw write signal> will be loaded into eq_tail.
1480wire eq_tail_tail_hw_ld_32; // Hardware load enable for eq_tail_tail. When set,
1481 // <hw write signal> will be loaded into eq_tail.
1482wire eq_tail_tail_hw_ld_33; // Hardware load enable for eq_tail_tail. When set,
1483 // <hw write signal> will be loaded into eq_tail.
1484wire eq_tail_tail_hw_ld_34; // Hardware load enable for eq_tail_tail. When set,
1485 // <hw write signal> will be loaded into eq_tail.
1486wire eq_tail_tail_hw_ld_35; // Hardware load enable for eq_tail_tail. When set,
1487 // <hw write signal> will be loaded into eq_tail.
1488wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_0;
1489 // data bus for hw loading of eq_tail_tail.
1490wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_1;
1491 // data bus for hw loading of eq_tail_tail.
1492wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_2;
1493 // data bus for hw loading of eq_tail_tail.
1494wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_3;
1495 // data bus for hw loading of eq_tail_tail.
1496wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_4;
1497 // data bus for hw loading of eq_tail_tail.
1498wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_5;
1499 // data bus for hw loading of eq_tail_tail.
1500wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_6;
1501 // data bus for hw loading of eq_tail_tail.
1502wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_7;
1503 // data bus for hw loading of eq_tail_tail.
1504wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_8;
1505 // data bus for hw loading of eq_tail_tail.
1506wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_9;
1507 // data bus for hw loading of eq_tail_tail.
1508wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_10;
1509 // data bus for hw loading of eq_tail_tail.
1510wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_11;
1511 // data bus for hw loading of eq_tail_tail.
1512wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_12;
1513 // data bus for hw loading of eq_tail_tail.
1514wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_13;
1515 // data bus for hw loading of eq_tail_tail.
1516wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_14;
1517 // data bus for hw loading of eq_tail_tail.
1518wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_15;
1519 // data bus for hw loading of eq_tail_tail.
1520wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_16;
1521 // data bus for hw loading of eq_tail_tail.
1522wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_17;
1523 // data bus for hw loading of eq_tail_tail.
1524wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_18;
1525 // data bus for hw loading of eq_tail_tail.
1526wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_19;
1527 // data bus for hw loading of eq_tail_tail.
1528wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_20;
1529 // data bus for hw loading of eq_tail_tail.
1530wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_21;
1531 // data bus for hw loading of eq_tail_tail.
1532wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_22;
1533 // data bus for hw loading of eq_tail_tail.
1534wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_23;
1535 // data bus for hw loading of eq_tail_tail.
1536wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_24;
1537 // data bus for hw loading of eq_tail_tail.
1538wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_25;
1539 // data bus for hw loading of eq_tail_tail.
1540wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_26;
1541 // data bus for hw loading of eq_tail_tail.
1542wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_27;
1543 // data bus for hw loading of eq_tail_tail.
1544wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_28;
1545 // data bus for hw loading of eq_tail_tail.
1546wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_29;
1547 // data bus for hw loading of eq_tail_tail.
1548wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_30;
1549 // data bus for hw loading of eq_tail_tail.
1550wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_31;
1551 // data bus for hw loading of eq_tail_tail.
1552wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_32;
1553 // data bus for hw loading of eq_tail_tail.
1554wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_33;
1555 // data bus for hw loading of eq_tail_tail.
1556wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_34;
1557 // data bus for hw loading of eq_tail_tail.
1558wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_write_35;
1559 // data bus for hw loading of eq_tail_tail.
1560wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_0;
1561 // This signal provides the current value of eq_tail_tail.
1562wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_1;
1563 // This signal provides the current value of eq_tail_tail.
1564wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_2;
1565 // This signal provides the current value of eq_tail_tail.
1566wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_3;
1567 // This signal provides the current value of eq_tail_tail.
1568wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_4;
1569 // This signal provides the current value of eq_tail_tail.
1570wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_5;
1571 // This signal provides the current value of eq_tail_tail.
1572wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_6;
1573 // This signal provides the current value of eq_tail_tail.
1574wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_7;
1575 // This signal provides the current value of eq_tail_tail.
1576wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_8;
1577 // This signal provides the current value of eq_tail_tail.
1578wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_9;
1579 // This signal provides the current value of eq_tail_tail.
1580wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_10;
1581 // This signal provides the current value of eq_tail_tail.
1582wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_11;
1583 // This signal provides the current value of eq_tail_tail.
1584wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_12;
1585 // This signal provides the current value of eq_tail_tail.
1586wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_13;
1587 // This signal provides the current value of eq_tail_tail.
1588wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_14;
1589 // This signal provides the current value of eq_tail_tail.
1590wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_15;
1591 // This signal provides the current value of eq_tail_tail.
1592wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_16;
1593 // This signal provides the current value of eq_tail_tail.
1594wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_17;
1595 // This signal provides the current value of eq_tail_tail.
1596wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_18;
1597 // This signal provides the current value of eq_tail_tail.
1598wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_19;
1599 // This signal provides the current value of eq_tail_tail.
1600wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_20;
1601 // This signal provides the current value of eq_tail_tail.
1602wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_21;
1603 // This signal provides the current value of eq_tail_tail.
1604wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_22;
1605 // This signal provides the current value of eq_tail_tail.
1606wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_23;
1607 // This signal provides the current value of eq_tail_tail.
1608wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_24;
1609 // This signal provides the current value of eq_tail_tail.
1610wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_25;
1611 // This signal provides the current value of eq_tail_tail.
1612wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_26;
1613 // This signal provides the current value of eq_tail_tail.
1614wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_27;
1615 // This signal provides the current value of eq_tail_tail.
1616wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_28;
1617 // This signal provides the current value of eq_tail_tail.
1618wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_29;
1619 // This signal provides the current value of eq_tail_tail.
1620wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_30;
1621 // This signal provides the current value of eq_tail_tail.
1622wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_31;
1623 // This signal provides the current value of eq_tail_tail.
1624wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_32;
1625 // This signal provides the current value of eq_tail_tail.
1626wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_33;
1627 // This signal provides the current value of eq_tail_tail.
1628wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_34;
1629 // This signal provides the current value of eq_tail_tail.
1630wire [`FIRE_DLC_IMU_EQS_CSR_EQ_TAIL_TAIL_INT_SLC] eq_tail_tail_hw_read_35;
1631 // This signal provides the current value of eq_tail_tail.
1632wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_0;
1633 // This signal provides the current value of eq_head_head.
1634wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_1;
1635 // This signal provides the current value of eq_head_head.
1636wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_2;
1637 // This signal provides the current value of eq_head_head.
1638wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_3;
1639 // This signal provides the current value of eq_head_head.
1640wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_4;
1641 // This signal provides the current value of eq_head_head.
1642wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_5;
1643 // This signal provides the current value of eq_head_head.
1644wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_6;
1645 // This signal provides the current value of eq_head_head.
1646wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_7;
1647 // This signal provides the current value of eq_head_head.
1648wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_8;
1649 // This signal provides the current value of eq_head_head.
1650wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_9;
1651 // This signal provides the current value of eq_head_head.
1652wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_10;
1653 // This signal provides the current value of eq_head_head.
1654wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_11;
1655 // This signal provides the current value of eq_head_head.
1656wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_12;
1657 // This signal provides the current value of eq_head_head.
1658wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_13;
1659 // This signal provides the current value of eq_head_head.
1660wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_14;
1661 // This signal provides the current value of eq_head_head.
1662wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_15;
1663 // This signal provides the current value of eq_head_head.
1664wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_16;
1665 // This signal provides the current value of eq_head_head.
1666wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_17;
1667 // This signal provides the current value of eq_head_head.
1668wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_18;
1669 // This signal provides the current value of eq_head_head.
1670wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_19;
1671 // This signal provides the current value of eq_head_head.
1672wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_20;
1673 // This signal provides the current value of eq_head_head.
1674wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_21;
1675 // This signal provides the current value of eq_head_head.
1676wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_22;
1677 // This signal provides the current value of eq_head_head.
1678wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_23;
1679 // This signal provides the current value of eq_head_head.
1680wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_24;
1681 // This signal provides the current value of eq_head_head.
1682wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_25;
1683 // This signal provides the current value of eq_head_head.
1684wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_26;
1685 // This signal provides the current value of eq_head_head.
1686wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_27;
1687 // This signal provides the current value of eq_head_head.
1688wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_28;
1689 // This signal provides the current value of eq_head_head.
1690wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_29;
1691 // This signal provides the current value of eq_head_head.
1692wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_30;
1693 // This signal provides the current value of eq_head_head.
1694wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_31;
1695 // This signal provides the current value of eq_head_head.
1696wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_32;
1697 // This signal provides the current value of eq_head_head.
1698wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_33;
1699 // This signal provides the current value of eq_head_head.
1700wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_34;
1701 // This signal provides the current value of eq_head_head.
1702wire [`FIRE_DLC_IMU_EQS_CSR_EQ_HEAD_HEAD_INT_SLC] eq_head_head_hw_read_35;
1703 // This signal provides the current value of eq_head_head.
1704
1705//====================================================
1706// Logic
1707//====================================================
1708wire daemon_transaction_in_progress;
1709wire daemon_csrbus_mapped;
1710wire daemon_csrbus_valid;
1711// vlint flag_dangling_net_within_module off
1712// vlint flag_net_has_no_load off
1713wire [`FIRE_CSRBUS_DATA_WIDTH-1:0] daemon_csrbus_wr_data_tmp;
1714wire [`FIRE_CSRBUS_DATA_WIDTH-1:0] daemon_csrbus_wr_data;
1715// vlint flag_dangling_net_within_module on
1716// vlint flag_net_has_no_load on
1717wire daemon_csrbus_done;
1718wire [`FIRE_CSRBUS_ADDR_WIDTH-1:0] daemon_csrbus_addr;
1719wire daemon_csrbus_wr_tmp;
1720wire daemon_csrbus_wr;
1721
1722//summit modcovoff -bepgnv
1723pcie_dcm_daemon #(`FIRE_CSRBUS_ADDR_WIDTH,`FIRE_CSRBUS_DATA_WIDTH) pcie_dcm_daemon (
1724 .daemon_csrbus_valid (daemon_csrbus_valid),
1725 .daemon_csrbus_mapped (daemon_csrbus_mapped),
1726 .daemon_csrbus_wr_data (daemon_csrbus_wr_data_tmp),
1727 .daemon_csrbus_done (daemon_csrbus_done),
1728 .daemon_csrbus_addr (daemon_csrbus_addr),
1729 .daemon_csrbus_wr (daemon_csrbus_wr_tmp),
1730 .daemon_transaction_in_progress (daemon_transaction_in_progress),
1731// synopsys translate_off
1732 .clk(clk),
1733 .csrbus_read_data (csrbus_read_data),
1734 .rst_l (rst_l),
1735// synopsys translate_on
1736 .csrbus_valid (csrbus_valid),
1737 .csrbus_mapped (csrbus_mapped),
1738 .csrbus_wr_data (csrbus_wr_data),
1739 .csrbus_done (csrbus_done),
1740 .csrbus_addr (csrbus_addr),
1741 .csrbus_wr (csrbus_wr)
1742 );
1743//summit modcovon -bepgnv
1744
1745//====================================================================
1746// Address decode
1747//====================================================================
1748wire eq_base_address_select_pulse;
1749wire eq_ctrl_set_select_0;
1750wire eq_ctrl_set_select_1;
1751wire eq_ctrl_set_select_2;
1752wire eq_ctrl_set_select_3;
1753wire eq_ctrl_set_select_4;
1754wire eq_ctrl_set_select_5;
1755wire eq_ctrl_set_select_6;
1756wire eq_ctrl_set_select_7;
1757wire eq_ctrl_set_select_8;
1758wire eq_ctrl_set_select_9;
1759wire eq_ctrl_set_select_10;
1760wire eq_ctrl_set_select_11;
1761wire eq_ctrl_set_select_12;
1762wire eq_ctrl_set_select_13;
1763wire eq_ctrl_set_select_14;
1764wire eq_ctrl_set_select_15;
1765wire eq_ctrl_set_select_16;
1766wire eq_ctrl_set_select_17;
1767wire eq_ctrl_set_select_18;
1768wire eq_ctrl_set_select_19;
1769wire eq_ctrl_set_select_20;
1770wire eq_ctrl_set_select_21;
1771wire eq_ctrl_set_select_22;
1772wire eq_ctrl_set_select_23;
1773wire eq_ctrl_set_select_24;
1774wire eq_ctrl_set_select_25;
1775wire eq_ctrl_set_select_26;
1776wire eq_ctrl_set_select_27;
1777wire eq_ctrl_set_select_28;
1778wire eq_ctrl_set_select_29;
1779wire eq_ctrl_set_select_30;
1780wire eq_ctrl_set_select_31;
1781wire eq_ctrl_set_select_32;
1782wire eq_ctrl_set_select_33;
1783wire eq_ctrl_set_select_34;
1784wire eq_ctrl_set_select_35;
1785wire eq_ctrl_clr_select_0;
1786wire eq_ctrl_clr_select_1;
1787wire eq_ctrl_clr_select_2;
1788wire eq_ctrl_clr_select_3;
1789wire eq_ctrl_clr_select_4;
1790wire eq_ctrl_clr_select_5;
1791wire eq_ctrl_clr_select_6;
1792wire eq_ctrl_clr_select_7;
1793wire eq_ctrl_clr_select_8;
1794wire eq_ctrl_clr_select_9;
1795wire eq_ctrl_clr_select_10;
1796wire eq_ctrl_clr_select_11;
1797wire eq_ctrl_clr_select_12;
1798wire eq_ctrl_clr_select_13;
1799wire eq_ctrl_clr_select_14;
1800wire eq_ctrl_clr_select_15;
1801wire eq_ctrl_clr_select_16;
1802wire eq_ctrl_clr_select_17;
1803wire eq_ctrl_clr_select_18;
1804wire eq_ctrl_clr_select_19;
1805wire eq_ctrl_clr_select_20;
1806wire eq_ctrl_clr_select_21;
1807wire eq_ctrl_clr_select_22;
1808wire eq_ctrl_clr_select_23;
1809wire eq_ctrl_clr_select_24;
1810wire eq_ctrl_clr_select_25;
1811wire eq_ctrl_clr_select_26;
1812wire eq_ctrl_clr_select_27;
1813wire eq_ctrl_clr_select_28;
1814wire eq_ctrl_clr_select_29;
1815wire eq_ctrl_clr_select_30;
1816wire eq_ctrl_clr_select_31;
1817wire eq_ctrl_clr_select_32;
1818wire eq_ctrl_clr_select_33;
1819wire eq_ctrl_clr_select_34;
1820wire eq_ctrl_clr_select_35;
1821wire eq_state_select_0;
1822wire eq_state_select_1;
1823wire eq_state_select_2;
1824wire eq_state_select_3;
1825wire eq_state_select_4;
1826wire eq_state_select_5;
1827wire eq_state_select_6;
1828wire eq_state_select_7;
1829wire eq_state_select_8;
1830wire eq_state_select_9;
1831wire eq_state_select_10;
1832wire eq_state_select_11;
1833wire eq_state_select_12;
1834wire eq_state_select_13;
1835wire eq_state_select_14;
1836wire eq_state_select_15;
1837wire eq_state_select_16;
1838wire eq_state_select_17;
1839wire eq_state_select_18;
1840wire eq_state_select_19;
1841wire eq_state_select_20;
1842wire eq_state_select_21;
1843wire eq_state_select_22;
1844wire eq_state_select_23;
1845wire eq_state_select_24;
1846wire eq_state_select_25;
1847wire eq_state_select_26;
1848wire eq_state_select_27;
1849wire eq_state_select_28;
1850wire eq_state_select_29;
1851wire eq_state_select_30;
1852wire eq_state_select_31;
1853wire eq_state_select_32;
1854wire eq_state_select_33;
1855wire eq_state_select_34;
1856wire eq_state_select_35;
1857wire eq_tail_select_pulse_0;
1858wire eq_tail_select_pulse_1;
1859wire eq_tail_select_pulse_2;
1860wire eq_tail_select_pulse_3;
1861wire eq_tail_select_pulse_4;
1862wire eq_tail_select_pulse_5;
1863wire eq_tail_select_pulse_6;
1864wire eq_tail_select_pulse_7;
1865wire eq_tail_select_pulse_8;
1866wire eq_tail_select_pulse_9;
1867wire eq_tail_select_pulse_10;
1868wire eq_tail_select_pulse_11;
1869wire eq_tail_select_pulse_12;
1870wire eq_tail_select_pulse_13;
1871wire eq_tail_select_pulse_14;
1872wire eq_tail_select_pulse_15;
1873wire eq_tail_select_pulse_16;
1874wire eq_tail_select_pulse_17;
1875wire eq_tail_select_pulse_18;
1876wire eq_tail_select_pulse_19;
1877wire eq_tail_select_pulse_20;
1878wire eq_tail_select_pulse_21;
1879wire eq_tail_select_pulse_22;
1880wire eq_tail_select_pulse_23;
1881wire eq_tail_select_pulse_24;
1882wire eq_tail_select_pulse_25;
1883wire eq_tail_select_pulse_26;
1884wire eq_tail_select_pulse_27;
1885wire eq_tail_select_pulse_28;
1886wire eq_tail_select_pulse_29;
1887wire eq_tail_select_pulse_30;
1888wire eq_tail_select_pulse_31;
1889wire eq_tail_select_pulse_32;
1890wire eq_tail_select_pulse_33;
1891wire eq_tail_select_pulse_34;
1892wire eq_tail_select_pulse_35;
1893wire eq_head_select_pulse_0;
1894wire eq_head_select_pulse_1;
1895wire eq_head_select_pulse_2;
1896wire eq_head_select_pulse_3;
1897wire eq_head_select_pulse_4;
1898wire eq_head_select_pulse_5;
1899wire eq_head_select_pulse_6;
1900wire eq_head_select_pulse_7;
1901wire eq_head_select_pulse_8;
1902wire eq_head_select_pulse_9;
1903wire eq_head_select_pulse_10;
1904wire eq_head_select_pulse_11;
1905wire eq_head_select_pulse_12;
1906wire eq_head_select_pulse_13;
1907wire eq_head_select_pulse_14;
1908wire eq_head_select_pulse_15;
1909wire eq_head_select_pulse_16;
1910wire eq_head_select_pulse_17;
1911wire eq_head_select_pulse_18;
1912wire eq_head_select_pulse_19;
1913wire eq_head_select_pulse_20;
1914wire eq_head_select_pulse_21;
1915wire eq_head_select_pulse_22;
1916wire eq_head_select_pulse_23;
1917wire eq_head_select_pulse_24;
1918wire eq_head_select_pulse_25;
1919wire eq_head_select_pulse_26;
1920wire eq_head_select_pulse_27;
1921wire eq_head_select_pulse_28;
1922wire eq_head_select_pulse_29;
1923wire eq_head_select_pulse_30;
1924wire eq_head_select_pulse_31;
1925wire eq_head_select_pulse_32;
1926wire eq_head_select_pulse_33;
1927wire eq_head_select_pulse_34;
1928wire eq_head_select_pulse_35;
1929
1930dmu_imu_eqs_addr_decode dmu_imu_eqs_addr_decode
1931 (
1932 .clk (clk),
1933 .rst_l (rst_l),
1934 .daemon_csrbus_valid (daemon_csrbus_valid),
1935 .daemon_csrbus_addr (daemon_csrbus_addr),
1936 .csrbus_src_bus (csrbus_src_bus),
1937 .daemon_csrbus_wr (daemon_csrbus_wr_tmp),
1938 .daemon_csrbus_wr_out (daemon_csrbus_wr),
1939 .daemon_csrbus_wr_data (daemon_csrbus_wr_data_tmp),
1940 .daemon_csrbus_wr_data_out (daemon_csrbus_wr_data),
1941 .daemon_csrbus_mapped (daemon_csrbus_mapped),
1942 .csrbus_acc_vio (csrbus_acc_vio),
1943 .daemon_transaction_in_progress (daemon_transaction_in_progress),
1944 .instance_id (instance_id),
1945 .daemon_csrbus_done (daemon_csrbus_done),
1946 .eq_base_address_select_pulse (eq_base_address_select_pulse),
1947 .eq_ctrl_set_select_0 (eq_ctrl_set_select_0),
1948 .eq_ctrl_set_select_1 (eq_ctrl_set_select_1),
1949 .eq_ctrl_set_select_2 (eq_ctrl_set_select_2),
1950 .eq_ctrl_set_select_3 (eq_ctrl_set_select_3),
1951 .eq_ctrl_set_select_4 (eq_ctrl_set_select_4),
1952 .eq_ctrl_set_select_5 (eq_ctrl_set_select_5),
1953 .eq_ctrl_set_select_6 (eq_ctrl_set_select_6),
1954 .eq_ctrl_set_select_7 (eq_ctrl_set_select_7),
1955 .eq_ctrl_set_select_8 (eq_ctrl_set_select_8),
1956 .eq_ctrl_set_select_9 (eq_ctrl_set_select_9),
1957 .eq_ctrl_set_select_10 (eq_ctrl_set_select_10),
1958 .eq_ctrl_set_select_11 (eq_ctrl_set_select_11),
1959 .eq_ctrl_set_select_12 (eq_ctrl_set_select_12),
1960 .eq_ctrl_set_select_13 (eq_ctrl_set_select_13),
1961 .eq_ctrl_set_select_14 (eq_ctrl_set_select_14),
1962 .eq_ctrl_set_select_15 (eq_ctrl_set_select_15),
1963 .eq_ctrl_set_select_16 (eq_ctrl_set_select_16),
1964 .eq_ctrl_set_select_17 (eq_ctrl_set_select_17),
1965 .eq_ctrl_set_select_18 (eq_ctrl_set_select_18),
1966 .eq_ctrl_set_select_19 (eq_ctrl_set_select_19),
1967 .eq_ctrl_set_select_20 (eq_ctrl_set_select_20),
1968 .eq_ctrl_set_select_21 (eq_ctrl_set_select_21),
1969 .eq_ctrl_set_select_22 (eq_ctrl_set_select_22),
1970 .eq_ctrl_set_select_23 (eq_ctrl_set_select_23),
1971 .eq_ctrl_set_select_24 (eq_ctrl_set_select_24),
1972 .eq_ctrl_set_select_25 (eq_ctrl_set_select_25),
1973 .eq_ctrl_set_select_26 (eq_ctrl_set_select_26),
1974 .eq_ctrl_set_select_27 (eq_ctrl_set_select_27),
1975 .eq_ctrl_set_select_28 (eq_ctrl_set_select_28),
1976 .eq_ctrl_set_select_29 (eq_ctrl_set_select_29),
1977 .eq_ctrl_set_select_30 (eq_ctrl_set_select_30),
1978 .eq_ctrl_set_select_31 (eq_ctrl_set_select_31),
1979 .eq_ctrl_set_select_32 (eq_ctrl_set_select_32),
1980 .eq_ctrl_set_select_33 (eq_ctrl_set_select_33),
1981 .eq_ctrl_set_select_34 (eq_ctrl_set_select_34),
1982 .eq_ctrl_set_select_35 (eq_ctrl_set_select_35),
1983 .eq_ctrl_clr_select_0 (eq_ctrl_clr_select_0),
1984 .eq_ctrl_clr_select_1 (eq_ctrl_clr_select_1),
1985 .eq_ctrl_clr_select_2 (eq_ctrl_clr_select_2),
1986 .eq_ctrl_clr_select_3 (eq_ctrl_clr_select_3),
1987 .eq_ctrl_clr_select_4 (eq_ctrl_clr_select_4),
1988 .eq_ctrl_clr_select_5 (eq_ctrl_clr_select_5),
1989 .eq_ctrl_clr_select_6 (eq_ctrl_clr_select_6),
1990 .eq_ctrl_clr_select_7 (eq_ctrl_clr_select_7),
1991 .eq_ctrl_clr_select_8 (eq_ctrl_clr_select_8),
1992 .eq_ctrl_clr_select_9 (eq_ctrl_clr_select_9),
1993 .eq_ctrl_clr_select_10 (eq_ctrl_clr_select_10),
1994 .eq_ctrl_clr_select_11 (eq_ctrl_clr_select_11),
1995 .eq_ctrl_clr_select_12 (eq_ctrl_clr_select_12),
1996 .eq_ctrl_clr_select_13 (eq_ctrl_clr_select_13),
1997 .eq_ctrl_clr_select_14 (eq_ctrl_clr_select_14),
1998 .eq_ctrl_clr_select_15 (eq_ctrl_clr_select_15),
1999 .eq_ctrl_clr_select_16 (eq_ctrl_clr_select_16),
2000 .eq_ctrl_clr_select_17 (eq_ctrl_clr_select_17),
2001 .eq_ctrl_clr_select_18 (eq_ctrl_clr_select_18),
2002 .eq_ctrl_clr_select_19 (eq_ctrl_clr_select_19),
2003 .eq_ctrl_clr_select_20 (eq_ctrl_clr_select_20),
2004 .eq_ctrl_clr_select_21 (eq_ctrl_clr_select_21),
2005 .eq_ctrl_clr_select_22 (eq_ctrl_clr_select_22),
2006 .eq_ctrl_clr_select_23 (eq_ctrl_clr_select_23),
2007 .eq_ctrl_clr_select_24 (eq_ctrl_clr_select_24),
2008 .eq_ctrl_clr_select_25 (eq_ctrl_clr_select_25),
2009 .eq_ctrl_clr_select_26 (eq_ctrl_clr_select_26),
2010 .eq_ctrl_clr_select_27 (eq_ctrl_clr_select_27),
2011 .eq_ctrl_clr_select_28 (eq_ctrl_clr_select_28),
2012 .eq_ctrl_clr_select_29 (eq_ctrl_clr_select_29),
2013 .eq_ctrl_clr_select_30 (eq_ctrl_clr_select_30),
2014 .eq_ctrl_clr_select_31 (eq_ctrl_clr_select_31),
2015 .eq_ctrl_clr_select_32 (eq_ctrl_clr_select_32),
2016 .eq_ctrl_clr_select_33 (eq_ctrl_clr_select_33),
2017 .eq_ctrl_clr_select_34 (eq_ctrl_clr_select_34),
2018 .eq_ctrl_clr_select_35 (eq_ctrl_clr_select_35),
2019 .eq_state_select_0 (eq_state_select_0),
2020 .eq_state_select_1 (eq_state_select_1),
2021 .eq_state_select_2 (eq_state_select_2),
2022 .eq_state_select_3 (eq_state_select_3),
2023 .eq_state_select_4 (eq_state_select_4),
2024 .eq_state_select_5 (eq_state_select_5),
2025 .eq_state_select_6 (eq_state_select_6),
2026 .eq_state_select_7 (eq_state_select_7),
2027 .eq_state_select_8 (eq_state_select_8),
2028 .eq_state_select_9 (eq_state_select_9),
2029 .eq_state_select_10 (eq_state_select_10),
2030 .eq_state_select_11 (eq_state_select_11),
2031 .eq_state_select_12 (eq_state_select_12),
2032 .eq_state_select_13 (eq_state_select_13),
2033 .eq_state_select_14 (eq_state_select_14),
2034 .eq_state_select_15 (eq_state_select_15),
2035 .eq_state_select_16 (eq_state_select_16),
2036 .eq_state_select_17 (eq_state_select_17),
2037 .eq_state_select_18 (eq_state_select_18),
2038 .eq_state_select_19 (eq_state_select_19),
2039 .eq_state_select_20 (eq_state_select_20),
2040 .eq_state_select_21 (eq_state_select_21),
2041 .eq_state_select_22 (eq_state_select_22),
2042 .eq_state_select_23 (eq_state_select_23),
2043 .eq_state_select_24 (eq_state_select_24),
2044 .eq_state_select_25 (eq_state_select_25),
2045 .eq_state_select_26 (eq_state_select_26),
2046 .eq_state_select_27 (eq_state_select_27),
2047 .eq_state_select_28 (eq_state_select_28),
2048 .eq_state_select_29 (eq_state_select_29),
2049 .eq_state_select_30 (eq_state_select_30),
2050 .eq_state_select_31 (eq_state_select_31),
2051 .eq_state_select_32 (eq_state_select_32),
2052 .eq_state_select_33 (eq_state_select_33),
2053 .eq_state_select_34 (eq_state_select_34),
2054 .eq_state_select_35 (eq_state_select_35),
2055 .eq_tail_select_pulse_0 (eq_tail_select_pulse_0),
2056 .eq_tail_select_pulse_1 (eq_tail_select_pulse_1),
2057 .eq_tail_select_pulse_2 (eq_tail_select_pulse_2),
2058 .eq_tail_select_pulse_3 (eq_tail_select_pulse_3),
2059 .eq_tail_select_pulse_4 (eq_tail_select_pulse_4),
2060 .eq_tail_select_pulse_5 (eq_tail_select_pulse_5),
2061 .eq_tail_select_pulse_6 (eq_tail_select_pulse_6),
2062 .eq_tail_select_pulse_7 (eq_tail_select_pulse_7),
2063 .eq_tail_select_pulse_8 (eq_tail_select_pulse_8),
2064 .eq_tail_select_pulse_9 (eq_tail_select_pulse_9),
2065 .eq_tail_select_pulse_10 (eq_tail_select_pulse_10),
2066 .eq_tail_select_pulse_11 (eq_tail_select_pulse_11),
2067 .eq_tail_select_pulse_12 (eq_tail_select_pulse_12),
2068 .eq_tail_select_pulse_13 (eq_tail_select_pulse_13),
2069 .eq_tail_select_pulse_14 (eq_tail_select_pulse_14),
2070 .eq_tail_select_pulse_15 (eq_tail_select_pulse_15),
2071 .eq_tail_select_pulse_16 (eq_tail_select_pulse_16),
2072 .eq_tail_select_pulse_17 (eq_tail_select_pulse_17),
2073 .eq_tail_select_pulse_18 (eq_tail_select_pulse_18),
2074 .eq_tail_select_pulse_19 (eq_tail_select_pulse_19),
2075 .eq_tail_select_pulse_20 (eq_tail_select_pulse_20),
2076 .eq_tail_select_pulse_21 (eq_tail_select_pulse_21),
2077 .eq_tail_select_pulse_22 (eq_tail_select_pulse_22),
2078 .eq_tail_select_pulse_23 (eq_tail_select_pulse_23),
2079 .eq_tail_select_pulse_24 (eq_tail_select_pulse_24),
2080 .eq_tail_select_pulse_25 (eq_tail_select_pulse_25),
2081 .eq_tail_select_pulse_26 (eq_tail_select_pulse_26),
2082 .eq_tail_select_pulse_27 (eq_tail_select_pulse_27),
2083 .eq_tail_select_pulse_28 (eq_tail_select_pulse_28),
2084 .eq_tail_select_pulse_29 (eq_tail_select_pulse_29),
2085 .eq_tail_select_pulse_30 (eq_tail_select_pulse_30),
2086 .eq_tail_select_pulse_31 (eq_tail_select_pulse_31),
2087 .eq_tail_select_pulse_32 (eq_tail_select_pulse_32),
2088 .eq_tail_select_pulse_33 (eq_tail_select_pulse_33),
2089 .eq_tail_select_pulse_34 (eq_tail_select_pulse_34),
2090 .eq_tail_select_pulse_35 (eq_tail_select_pulse_35),
2091 .eq_head_select_pulse_0 (eq_head_select_pulse_0),
2092 .eq_head_select_pulse_1 (eq_head_select_pulse_1),
2093 .eq_head_select_pulse_2 (eq_head_select_pulse_2),
2094 .eq_head_select_pulse_3 (eq_head_select_pulse_3),
2095 .eq_head_select_pulse_4 (eq_head_select_pulse_4),
2096 .eq_head_select_pulse_5 (eq_head_select_pulse_5),
2097 .eq_head_select_pulse_6 (eq_head_select_pulse_6),
2098 .eq_head_select_pulse_7 (eq_head_select_pulse_7),
2099 .eq_head_select_pulse_8 (eq_head_select_pulse_8),
2100 .eq_head_select_pulse_9 (eq_head_select_pulse_9),
2101 .eq_head_select_pulse_10 (eq_head_select_pulse_10),
2102 .eq_head_select_pulse_11 (eq_head_select_pulse_11),
2103 .eq_head_select_pulse_12 (eq_head_select_pulse_12),
2104 .eq_head_select_pulse_13 (eq_head_select_pulse_13),
2105 .eq_head_select_pulse_14 (eq_head_select_pulse_14),
2106 .eq_head_select_pulse_15 (eq_head_select_pulse_15),
2107 .eq_head_select_pulse_16 (eq_head_select_pulse_16),
2108 .eq_head_select_pulse_17 (eq_head_select_pulse_17),
2109 .eq_head_select_pulse_18 (eq_head_select_pulse_18),
2110 .eq_head_select_pulse_19 (eq_head_select_pulse_19),
2111 .eq_head_select_pulse_20 (eq_head_select_pulse_20),
2112 .eq_head_select_pulse_21 (eq_head_select_pulse_21),
2113 .eq_head_select_pulse_22 (eq_head_select_pulse_22),
2114 .eq_head_select_pulse_23 (eq_head_select_pulse_23),
2115 .eq_head_select_pulse_24 (eq_head_select_pulse_24),
2116 .eq_head_select_pulse_25 (eq_head_select_pulse_25),
2117 .eq_head_select_pulse_26 (eq_head_select_pulse_26),
2118 .eq_head_select_pulse_27 (eq_head_select_pulse_27),
2119 .eq_head_select_pulse_28 (eq_head_select_pulse_28),
2120 .eq_head_select_pulse_29 (eq_head_select_pulse_29),
2121 .eq_head_select_pulse_30 (eq_head_select_pulse_30),
2122 .eq_head_select_pulse_31 (eq_head_select_pulse_31),
2123 .eq_head_select_pulse_32 (eq_head_select_pulse_32),
2124 .eq_head_select_pulse_33 (eq_head_select_pulse_33),
2125 .eq_head_select_pulse_34 (eq_head_select_pulse_34),
2126 .eq_head_select_pulse_35 (eq_head_select_pulse_35)
2127 );
2128
2129//====================================================================
2130// OUTPUT: csrbus_read_data (pipelining)
2131//====================================================================
2132//----- connecting wires
2133wire stage_mux_only_rst_l;
2134wire stage_mux_only_daemon_csrbus_wr;
2135wire [`FIRE_CSRBUS_DATA_WIDTH-1:0] stage_mux_only_daemon_csrbus_wr_data;
2136
2137//----- Stage: 1 / Grp: default_grp (109 inputs / 1 outputs)
2138wire [`FIRE_CSRBUS_DATA_WIDTH-1:0] default_grp_read_data_0_out;
2139wire default_grp_eq_base_address_select_pulse;
2140wire default_grp_eq_ctrl_set_select_0;
2141wire default_grp_eq_ctrl_set_select_1;
2142wire default_grp_eq_ctrl_set_select_2;
2143wire default_grp_eq_ctrl_set_select_3;
2144wire default_grp_eq_ctrl_set_select_4;
2145wire default_grp_eq_ctrl_set_select_5;
2146wire default_grp_eq_ctrl_set_select_6;
2147wire default_grp_eq_ctrl_set_select_7;
2148wire default_grp_eq_ctrl_set_select_8;
2149wire default_grp_eq_ctrl_set_select_9;
2150wire default_grp_eq_ctrl_set_select_10;
2151wire default_grp_eq_ctrl_set_select_11;
2152wire default_grp_eq_ctrl_set_select_12;
2153wire default_grp_eq_ctrl_set_select_13;
2154wire default_grp_eq_ctrl_set_select_14;
2155wire default_grp_eq_ctrl_set_select_15;
2156wire default_grp_eq_ctrl_set_select_16;
2157wire default_grp_eq_ctrl_set_select_17;
2158wire default_grp_eq_ctrl_set_select_18;
2159wire default_grp_eq_ctrl_set_select_19;
2160wire default_grp_eq_ctrl_set_select_20;
2161wire default_grp_eq_ctrl_set_select_21;
2162wire default_grp_eq_ctrl_set_select_22;
2163wire default_grp_eq_ctrl_set_select_23;
2164wire default_grp_eq_ctrl_set_select_24;
2165wire default_grp_eq_ctrl_set_select_25;
2166wire default_grp_eq_ctrl_set_select_26;
2167wire default_grp_eq_ctrl_set_select_27;
2168wire default_grp_eq_ctrl_set_select_28;
2169wire default_grp_eq_ctrl_set_select_29;
2170wire default_grp_eq_ctrl_set_select_30;
2171wire default_grp_eq_ctrl_set_select_31;
2172wire default_grp_eq_ctrl_set_select_32;
2173wire default_grp_eq_ctrl_set_select_33;
2174wire default_grp_eq_ctrl_set_select_34;
2175wire default_grp_eq_ctrl_set_select_35;
2176wire default_grp_eq_ctrl_clr_select_0;
2177wire default_grp_eq_ctrl_clr_select_1;
2178wire default_grp_eq_ctrl_clr_select_2;
2179wire default_grp_eq_ctrl_clr_select_3;
2180wire default_grp_eq_ctrl_clr_select_4;
2181wire default_grp_eq_ctrl_clr_select_5;
2182wire default_grp_eq_ctrl_clr_select_6;
2183wire default_grp_eq_ctrl_clr_select_7;
2184wire default_grp_eq_ctrl_clr_select_8;
2185wire default_grp_eq_ctrl_clr_select_9;
2186wire default_grp_eq_ctrl_clr_select_10;
2187wire default_grp_eq_ctrl_clr_select_11;
2188wire default_grp_eq_ctrl_clr_select_12;
2189wire default_grp_eq_ctrl_clr_select_13;
2190wire default_grp_eq_ctrl_clr_select_14;
2191wire default_grp_eq_ctrl_clr_select_15;
2192wire default_grp_eq_ctrl_clr_select_16;
2193wire default_grp_eq_ctrl_clr_select_17;
2194wire default_grp_eq_ctrl_clr_select_18;
2195wire default_grp_eq_ctrl_clr_select_19;
2196wire default_grp_eq_ctrl_clr_select_20;
2197wire default_grp_eq_ctrl_clr_select_21;
2198wire default_grp_eq_ctrl_clr_select_22;
2199wire default_grp_eq_ctrl_clr_select_23;
2200wire default_grp_eq_ctrl_clr_select_24;
2201wire default_grp_eq_ctrl_clr_select_25;
2202wire default_grp_eq_ctrl_clr_select_26;
2203wire default_grp_eq_ctrl_clr_select_27;
2204wire default_grp_eq_ctrl_clr_select_28;
2205wire default_grp_eq_ctrl_clr_select_29;
2206wire default_grp_eq_ctrl_clr_select_30;
2207wire default_grp_eq_ctrl_clr_select_31;
2208wire default_grp_eq_ctrl_clr_select_32;
2209wire default_grp_eq_ctrl_clr_select_33;
2210wire default_grp_eq_ctrl_clr_select_34;
2211wire default_grp_eq_ctrl_clr_select_35;
2212wire default_grp_eq_state_select_0;
2213wire default_grp_eq_state_select_1;
2214wire default_grp_eq_state_select_2;
2215wire default_grp_eq_state_select_3;
2216wire default_grp_eq_state_select_4;
2217wire default_grp_eq_state_select_5;
2218wire default_grp_eq_state_select_6;
2219wire default_grp_eq_state_select_7;
2220wire default_grp_eq_state_select_8;
2221wire default_grp_eq_state_select_9;
2222wire default_grp_eq_state_select_10;
2223wire default_grp_eq_state_select_11;
2224wire default_grp_eq_state_select_12;
2225wire default_grp_eq_state_select_13;
2226wire default_grp_eq_state_select_14;
2227wire default_grp_eq_state_select_15;
2228wire default_grp_eq_state_select_16;
2229wire default_grp_eq_state_select_17;
2230wire default_grp_eq_state_select_18;
2231wire default_grp_eq_state_select_19;
2232wire default_grp_eq_state_select_20;
2233wire default_grp_eq_state_select_21;
2234wire default_grp_eq_state_select_22;
2235wire default_grp_eq_state_select_23;
2236wire default_grp_eq_state_select_24;
2237wire default_grp_eq_state_select_25;
2238wire default_grp_eq_state_select_26;
2239wire default_grp_eq_state_select_27;
2240wire default_grp_eq_state_select_28;
2241wire default_grp_eq_state_select_29;
2242wire default_grp_eq_state_select_30;
2243wire default_grp_eq_state_select_31;
2244wire default_grp_eq_state_select_32;
2245wire default_grp_eq_state_select_33;
2246wire default_grp_eq_state_select_34;
2247wire default_grp_eq_state_select_35;
2248wire default_grp_eq_tail_select_pulse_0;
2249wire default_grp_eq_tail_select_pulse_1;
2250wire default_grp_eq_tail_select_pulse_2;
2251wire default_grp_eq_tail_select_pulse_3;
2252wire default_grp_eq_tail_select_pulse_4;
2253wire default_grp_eq_tail_select_pulse_5;
2254wire default_grp_eq_tail_select_pulse_6;
2255wire default_grp_eq_tail_select_pulse_7;
2256wire default_grp_eq_tail_select_pulse_8;
2257wire default_grp_eq_tail_select_pulse_9;
2258wire default_grp_eq_tail_select_pulse_10;
2259wire default_grp_eq_tail_select_pulse_11;
2260wire default_grp_eq_tail_select_pulse_12;
2261wire default_grp_eq_tail_select_pulse_13;
2262wire default_grp_eq_tail_select_pulse_14;
2263wire default_grp_eq_tail_select_pulse_15;
2264wire default_grp_eq_tail_select_pulse_16;
2265wire default_grp_eq_tail_select_pulse_17;
2266wire default_grp_eq_tail_select_pulse_18;
2267wire default_grp_eq_tail_select_pulse_19;
2268wire default_grp_eq_tail_select_pulse_20;
2269wire default_grp_eq_tail_select_pulse_21;
2270wire default_grp_eq_tail_select_pulse_22;
2271wire default_grp_eq_tail_select_pulse_23;
2272wire default_grp_eq_tail_select_pulse_24;
2273wire default_grp_eq_tail_select_pulse_25;
2274wire default_grp_eq_tail_select_pulse_26;
2275wire default_grp_eq_tail_select_pulse_27;
2276wire default_grp_eq_tail_select_pulse_28;
2277wire default_grp_eq_tail_select_pulse_29;
2278wire default_grp_eq_tail_select_pulse_30;
2279wire default_grp_eq_tail_select_pulse_31;
2280wire default_grp_eq_tail_select_pulse_32;
2281wire default_grp_eq_tail_select_pulse_33;
2282wire default_grp_eq_tail_select_pulse_34;
2283wire default_grp_eq_tail_select_pulse_35;
2284wire default_grp_eq_head_select_pulse_0;
2285wire default_grp_eq_head_select_pulse_1;
2286wire default_grp_eq_head_select_pulse_2;
2287wire default_grp_eq_head_select_pulse_3;
2288wire default_grp_eq_head_select_pulse_4;
2289wire default_grp_eq_head_select_pulse_5;
2290wire default_grp_eq_head_select_pulse_6;
2291wire default_grp_eq_head_select_pulse_7;
2292wire default_grp_eq_head_select_pulse_8;
2293wire default_grp_eq_head_select_pulse_9;
2294wire default_grp_eq_head_select_pulse_10;
2295wire default_grp_eq_head_select_pulse_11;
2296wire default_grp_eq_head_select_pulse_12;
2297wire default_grp_eq_head_select_pulse_13;
2298wire default_grp_eq_head_select_pulse_14;
2299wire default_grp_eq_head_select_pulse_15;
2300wire default_grp_eq_head_select_pulse_16;
2301wire default_grp_eq_head_select_pulse_17;
2302wire default_grp_eq_head_select_pulse_18;
2303wire default_grp_eq_head_select_pulse_19;
2304wire default_grp_eq_head_select_pulse_20;
2305wire default_grp_eq_head_select_pulse_21;
2306wire default_grp_eq_head_select_pulse_22;
2307wire default_grp_eq_head_select_pulse_23;
2308wire default_grp_eq_head_select_pulse_24;
2309wire default_grp_eq_head_select_pulse_25;
2310wire default_grp_eq_head_select_pulse_26;
2311wire default_grp_eq_head_select_pulse_27;
2312wire default_grp_eq_head_select_pulse_28;
2313wire default_grp_eq_head_select_pulse_29;
2314wire default_grp_eq_head_select_pulse_30;
2315wire default_grp_eq_head_select_pulse_31;
2316wire default_grp_eq_head_select_pulse_32;
2317wire default_grp_eq_head_select_pulse_33;
2318wire default_grp_eq_head_select_pulse_34;
2319wire default_grp_eq_head_select_pulse_35;
2320
2321dmu_imu_eqs_default_grp dmu_imu_eqs_default_grp
2322 (
2323 .clk (clk),
2324 .eq_base_address_address_hw_read (eq_base_address_address_hw_read),
2325 .eq_base_address_select_pulse (default_grp_eq_base_address_select_pulse),
2326 .eq_ctrl_set_ext_select_0 (eq_ctrl_set_ext_select_0),
2327 .eq_ctrl_set_ext_select_1 (eq_ctrl_set_ext_select_1),
2328 .eq_ctrl_set_ext_select_2 (eq_ctrl_set_ext_select_2),
2329 .eq_ctrl_set_ext_select_3 (eq_ctrl_set_ext_select_3),
2330 .eq_ctrl_set_ext_select_4 (eq_ctrl_set_ext_select_4),
2331 .eq_ctrl_set_ext_select_5 (eq_ctrl_set_ext_select_5),
2332 .eq_ctrl_set_ext_select_6 (eq_ctrl_set_ext_select_6),
2333 .eq_ctrl_set_ext_select_7 (eq_ctrl_set_ext_select_7),
2334 .eq_ctrl_set_ext_select_8 (eq_ctrl_set_ext_select_8),
2335 .eq_ctrl_set_ext_select_9 (eq_ctrl_set_ext_select_9),
2336 .eq_ctrl_set_ext_select_10 (eq_ctrl_set_ext_select_10),
2337 .eq_ctrl_set_ext_select_11 (eq_ctrl_set_ext_select_11),
2338 .eq_ctrl_set_ext_select_12 (eq_ctrl_set_ext_select_12),
2339 .eq_ctrl_set_ext_select_13 (eq_ctrl_set_ext_select_13),
2340 .eq_ctrl_set_ext_select_14 (eq_ctrl_set_ext_select_14),
2341 .eq_ctrl_set_ext_select_15 (eq_ctrl_set_ext_select_15),
2342 .eq_ctrl_set_ext_select_16 (eq_ctrl_set_ext_select_16),
2343 .eq_ctrl_set_ext_select_17 (eq_ctrl_set_ext_select_17),
2344 .eq_ctrl_set_ext_select_18 (eq_ctrl_set_ext_select_18),
2345 .eq_ctrl_set_ext_select_19 (eq_ctrl_set_ext_select_19),
2346 .eq_ctrl_set_ext_select_20 (eq_ctrl_set_ext_select_20),
2347 .eq_ctrl_set_ext_select_21 (eq_ctrl_set_ext_select_21),
2348 .eq_ctrl_set_ext_select_22 (eq_ctrl_set_ext_select_22),
2349 .eq_ctrl_set_ext_select_23 (eq_ctrl_set_ext_select_23),
2350 .eq_ctrl_set_ext_select_24 (eq_ctrl_set_ext_select_24),
2351 .eq_ctrl_set_ext_select_25 (eq_ctrl_set_ext_select_25),
2352 .eq_ctrl_set_ext_select_26 (eq_ctrl_set_ext_select_26),
2353 .eq_ctrl_set_ext_select_27 (eq_ctrl_set_ext_select_27),
2354 .eq_ctrl_set_ext_select_28 (eq_ctrl_set_ext_select_28),
2355 .eq_ctrl_set_ext_select_29 (eq_ctrl_set_ext_select_29),
2356 .eq_ctrl_set_ext_select_30 (eq_ctrl_set_ext_select_30),
2357 .eq_ctrl_set_ext_select_31 (eq_ctrl_set_ext_select_31),
2358 .eq_ctrl_set_ext_select_32 (eq_ctrl_set_ext_select_32),
2359 .eq_ctrl_set_ext_select_33 (eq_ctrl_set_ext_select_33),
2360 .eq_ctrl_set_ext_select_34 (eq_ctrl_set_ext_select_34),
2361 .eq_ctrl_set_ext_select_35 (eq_ctrl_set_ext_select_35),
2362 .eq_ctrl_set_select_0 (default_grp_eq_ctrl_set_select_0),
2363 .eq_ctrl_set_select_1 (default_grp_eq_ctrl_set_select_1),
2364 .eq_ctrl_set_select_2 (default_grp_eq_ctrl_set_select_2),
2365 .eq_ctrl_set_select_3 (default_grp_eq_ctrl_set_select_3),
2366 .eq_ctrl_set_select_4 (default_grp_eq_ctrl_set_select_4),
2367 .eq_ctrl_set_select_5 (default_grp_eq_ctrl_set_select_5),
2368 .eq_ctrl_set_select_6 (default_grp_eq_ctrl_set_select_6),
2369 .eq_ctrl_set_select_7 (default_grp_eq_ctrl_set_select_7),
2370 .eq_ctrl_set_select_8 (default_grp_eq_ctrl_set_select_8),
2371 .eq_ctrl_set_select_9 (default_grp_eq_ctrl_set_select_9),
2372 .eq_ctrl_set_select_10 (default_grp_eq_ctrl_set_select_10),
2373 .eq_ctrl_set_select_11 (default_grp_eq_ctrl_set_select_11),
2374 .eq_ctrl_set_select_12 (default_grp_eq_ctrl_set_select_12),
2375 .eq_ctrl_set_select_13 (default_grp_eq_ctrl_set_select_13),
2376 .eq_ctrl_set_select_14 (default_grp_eq_ctrl_set_select_14),
2377 .eq_ctrl_set_select_15 (default_grp_eq_ctrl_set_select_15),
2378 .eq_ctrl_set_select_16 (default_grp_eq_ctrl_set_select_16),
2379 .eq_ctrl_set_select_17 (default_grp_eq_ctrl_set_select_17),
2380 .eq_ctrl_set_select_18 (default_grp_eq_ctrl_set_select_18),
2381 .eq_ctrl_set_select_19 (default_grp_eq_ctrl_set_select_19),
2382 .eq_ctrl_set_select_20 (default_grp_eq_ctrl_set_select_20),
2383 .eq_ctrl_set_select_21 (default_grp_eq_ctrl_set_select_21),
2384 .eq_ctrl_set_select_22 (default_grp_eq_ctrl_set_select_22),
2385 .eq_ctrl_set_select_23 (default_grp_eq_ctrl_set_select_23),
2386 .eq_ctrl_set_select_24 (default_grp_eq_ctrl_set_select_24),
2387 .eq_ctrl_set_select_25 (default_grp_eq_ctrl_set_select_25),
2388 .eq_ctrl_set_select_26 (default_grp_eq_ctrl_set_select_26),
2389 .eq_ctrl_set_select_27 (default_grp_eq_ctrl_set_select_27),
2390 .eq_ctrl_set_select_28 (default_grp_eq_ctrl_set_select_28),
2391 .eq_ctrl_set_select_29 (default_grp_eq_ctrl_set_select_29),
2392 .eq_ctrl_set_select_30 (default_grp_eq_ctrl_set_select_30),
2393 .eq_ctrl_set_select_31 (default_grp_eq_ctrl_set_select_31),
2394 .eq_ctrl_set_select_32 (default_grp_eq_ctrl_set_select_32),
2395 .eq_ctrl_set_select_33 (default_grp_eq_ctrl_set_select_33),
2396 .eq_ctrl_set_select_34 (default_grp_eq_ctrl_set_select_34),
2397 .eq_ctrl_set_select_35 (default_grp_eq_ctrl_set_select_35),
2398 .eq_ctrl_set_enoverr_ext_wr_data (eq_ctrl_set_enoverr_ext_wr_data),
2399 .eq_ctrl_set_en_ext_wr_data (eq_ctrl_set_en_ext_wr_data),
2400 .eq_ctrl_clr_ext_select_0 (eq_ctrl_clr_ext_select_0),
2401 .eq_ctrl_clr_ext_select_1 (eq_ctrl_clr_ext_select_1),
2402 .eq_ctrl_clr_ext_select_2 (eq_ctrl_clr_ext_select_2),
2403 .eq_ctrl_clr_ext_select_3 (eq_ctrl_clr_ext_select_3),
2404 .eq_ctrl_clr_ext_select_4 (eq_ctrl_clr_ext_select_4),
2405 .eq_ctrl_clr_ext_select_5 (eq_ctrl_clr_ext_select_5),
2406 .eq_ctrl_clr_ext_select_6 (eq_ctrl_clr_ext_select_6),
2407 .eq_ctrl_clr_ext_select_7 (eq_ctrl_clr_ext_select_7),
2408 .eq_ctrl_clr_ext_select_8 (eq_ctrl_clr_ext_select_8),
2409 .eq_ctrl_clr_ext_select_9 (eq_ctrl_clr_ext_select_9),
2410 .eq_ctrl_clr_ext_select_10 (eq_ctrl_clr_ext_select_10),
2411 .eq_ctrl_clr_ext_select_11 (eq_ctrl_clr_ext_select_11),
2412 .eq_ctrl_clr_ext_select_12 (eq_ctrl_clr_ext_select_12),
2413 .eq_ctrl_clr_ext_select_13 (eq_ctrl_clr_ext_select_13),
2414 .eq_ctrl_clr_ext_select_14 (eq_ctrl_clr_ext_select_14),
2415 .eq_ctrl_clr_ext_select_15 (eq_ctrl_clr_ext_select_15),
2416 .eq_ctrl_clr_ext_select_16 (eq_ctrl_clr_ext_select_16),
2417 .eq_ctrl_clr_ext_select_17 (eq_ctrl_clr_ext_select_17),
2418 .eq_ctrl_clr_ext_select_18 (eq_ctrl_clr_ext_select_18),
2419 .eq_ctrl_clr_ext_select_19 (eq_ctrl_clr_ext_select_19),
2420 .eq_ctrl_clr_ext_select_20 (eq_ctrl_clr_ext_select_20),
2421 .eq_ctrl_clr_ext_select_21 (eq_ctrl_clr_ext_select_21),
2422 .eq_ctrl_clr_ext_select_22 (eq_ctrl_clr_ext_select_22),
2423 .eq_ctrl_clr_ext_select_23 (eq_ctrl_clr_ext_select_23),
2424 .eq_ctrl_clr_ext_select_24 (eq_ctrl_clr_ext_select_24),
2425 .eq_ctrl_clr_ext_select_25 (eq_ctrl_clr_ext_select_25),
2426 .eq_ctrl_clr_ext_select_26 (eq_ctrl_clr_ext_select_26),
2427 .eq_ctrl_clr_ext_select_27 (eq_ctrl_clr_ext_select_27),
2428 .eq_ctrl_clr_ext_select_28 (eq_ctrl_clr_ext_select_28),
2429 .eq_ctrl_clr_ext_select_29 (eq_ctrl_clr_ext_select_29),
2430 .eq_ctrl_clr_ext_select_30 (eq_ctrl_clr_ext_select_30),
2431 .eq_ctrl_clr_ext_select_31 (eq_ctrl_clr_ext_select_31),
2432 .eq_ctrl_clr_ext_select_32 (eq_ctrl_clr_ext_select_32),
2433 .eq_ctrl_clr_ext_select_33 (eq_ctrl_clr_ext_select_33),
2434 .eq_ctrl_clr_ext_select_34 (eq_ctrl_clr_ext_select_34),
2435 .eq_ctrl_clr_ext_select_35 (eq_ctrl_clr_ext_select_35),
2436 .eq_ctrl_clr_select_0 (default_grp_eq_ctrl_clr_select_0),
2437 .eq_ctrl_clr_select_1 (default_grp_eq_ctrl_clr_select_1),
2438 .eq_ctrl_clr_select_2 (default_grp_eq_ctrl_clr_select_2),
2439 .eq_ctrl_clr_select_3 (default_grp_eq_ctrl_clr_select_3),
2440 .eq_ctrl_clr_select_4 (default_grp_eq_ctrl_clr_select_4),
2441 .eq_ctrl_clr_select_5 (default_grp_eq_ctrl_clr_select_5),
2442 .eq_ctrl_clr_select_6 (default_grp_eq_ctrl_clr_select_6),
2443 .eq_ctrl_clr_select_7 (default_grp_eq_ctrl_clr_select_7),
2444 .eq_ctrl_clr_select_8 (default_grp_eq_ctrl_clr_select_8),
2445 .eq_ctrl_clr_select_9 (default_grp_eq_ctrl_clr_select_9),
2446 .eq_ctrl_clr_select_10 (default_grp_eq_ctrl_clr_select_10),
2447 .eq_ctrl_clr_select_11 (default_grp_eq_ctrl_clr_select_11),
2448 .eq_ctrl_clr_select_12 (default_grp_eq_ctrl_clr_select_12),
2449 .eq_ctrl_clr_select_13 (default_grp_eq_ctrl_clr_select_13),
2450 .eq_ctrl_clr_select_14 (default_grp_eq_ctrl_clr_select_14),
2451 .eq_ctrl_clr_select_15 (default_grp_eq_ctrl_clr_select_15),
2452 .eq_ctrl_clr_select_16 (default_grp_eq_ctrl_clr_select_16),
2453 .eq_ctrl_clr_select_17 (default_grp_eq_ctrl_clr_select_17),
2454 .eq_ctrl_clr_select_18 (default_grp_eq_ctrl_clr_select_18),
2455 .eq_ctrl_clr_select_19 (default_grp_eq_ctrl_clr_select_19),
2456 .eq_ctrl_clr_select_20 (default_grp_eq_ctrl_clr_select_20),
2457 .eq_ctrl_clr_select_21 (default_grp_eq_ctrl_clr_select_21),
2458 .eq_ctrl_clr_select_22 (default_grp_eq_ctrl_clr_select_22),
2459 .eq_ctrl_clr_select_23 (default_grp_eq_ctrl_clr_select_23),
2460 .eq_ctrl_clr_select_24 (default_grp_eq_ctrl_clr_select_24),
2461 .eq_ctrl_clr_select_25 (default_grp_eq_ctrl_clr_select_25),
2462 .eq_ctrl_clr_select_26 (default_grp_eq_ctrl_clr_select_26),
2463 .eq_ctrl_clr_select_27 (default_grp_eq_ctrl_clr_select_27),
2464 .eq_ctrl_clr_select_28 (default_grp_eq_ctrl_clr_select_28),
2465 .eq_ctrl_clr_select_29 (default_grp_eq_ctrl_clr_select_29),
2466 .eq_ctrl_clr_select_30 (default_grp_eq_ctrl_clr_select_30),
2467 .eq_ctrl_clr_select_31 (default_grp_eq_ctrl_clr_select_31),
2468 .eq_ctrl_clr_select_32 (default_grp_eq_ctrl_clr_select_32),
2469 .eq_ctrl_clr_select_33 (default_grp_eq_ctrl_clr_select_33),
2470 .eq_ctrl_clr_select_34 (default_grp_eq_ctrl_clr_select_34),
2471 .eq_ctrl_clr_select_35 (default_grp_eq_ctrl_clr_select_35),
2472 .eq_ctrl_clr_coverr_ext_wr_data (eq_ctrl_clr_coverr_ext_wr_data),
2473 .eq_ctrl_clr_e2i_ext_wr_data (eq_ctrl_clr_e2i_ext_wr_data),
2474 .eq_ctrl_clr_dis_ext_wr_data (eq_ctrl_clr_dis_ext_wr_data),
2475 .eq_state_select_0 (default_grp_eq_state_select_0),
2476 .eq_state_select_1 (default_grp_eq_state_select_1),
2477 .eq_state_select_2 (default_grp_eq_state_select_2),
2478 .eq_state_select_3 (default_grp_eq_state_select_3),
2479 .eq_state_select_4 (default_grp_eq_state_select_4),
2480 .eq_state_select_5 (default_grp_eq_state_select_5),
2481 .eq_state_select_6 (default_grp_eq_state_select_6),
2482 .eq_state_select_7 (default_grp_eq_state_select_7),
2483 .eq_state_select_8 (default_grp_eq_state_select_8),
2484 .eq_state_select_9 (default_grp_eq_state_select_9),
2485 .eq_state_select_10 (default_grp_eq_state_select_10),
2486 .eq_state_select_11 (default_grp_eq_state_select_11),
2487 .eq_state_select_12 (default_grp_eq_state_select_12),
2488 .eq_state_select_13 (default_grp_eq_state_select_13),
2489 .eq_state_select_14 (default_grp_eq_state_select_14),
2490 .eq_state_select_15 (default_grp_eq_state_select_15),
2491 .eq_state_select_16 (default_grp_eq_state_select_16),
2492 .eq_state_select_17 (default_grp_eq_state_select_17),
2493 .eq_state_select_18 (default_grp_eq_state_select_18),
2494 .eq_state_select_19 (default_grp_eq_state_select_19),
2495 .eq_state_select_20 (default_grp_eq_state_select_20),
2496 .eq_state_select_21 (default_grp_eq_state_select_21),
2497 .eq_state_select_22 (default_grp_eq_state_select_22),
2498 .eq_state_select_23 (default_grp_eq_state_select_23),
2499 .eq_state_select_24 (default_grp_eq_state_select_24),
2500 .eq_state_select_25 (default_grp_eq_state_select_25),
2501 .eq_state_select_26 (default_grp_eq_state_select_26),
2502 .eq_state_select_27 (default_grp_eq_state_select_27),
2503 .eq_state_select_28 (default_grp_eq_state_select_28),
2504 .eq_state_select_29 (default_grp_eq_state_select_29),
2505 .eq_state_select_30 (default_grp_eq_state_select_30),
2506 .eq_state_select_31 (default_grp_eq_state_select_31),
2507 .eq_state_select_32 (default_grp_eq_state_select_32),
2508 .eq_state_select_33 (default_grp_eq_state_select_33),
2509 .eq_state_select_34 (default_grp_eq_state_select_34),
2510 .eq_state_select_35 (default_grp_eq_state_select_35),
2511 .eq_state_ext_read_data_0
2512 (
2513 {
2514 61'b0,
2515 eq_state_state_ext_read_data_0
2516 }),
2517 .eq_state_ext_read_data_1
2518 (
2519 {
2520 61'b0,
2521 eq_state_state_ext_read_data_1
2522 }),
2523 .eq_state_ext_read_data_2
2524 (
2525 {
2526 61'b0,
2527 eq_state_state_ext_read_data_2
2528 }),
2529 .eq_state_ext_read_data_3
2530 (
2531 {
2532 61'b0,
2533 eq_state_state_ext_read_data_3
2534 }),
2535 .eq_state_ext_read_data_4
2536 (
2537 {
2538 61'b0,
2539 eq_state_state_ext_read_data_4
2540 }),
2541 .eq_state_ext_read_data_5
2542 (
2543 {
2544 61'b0,
2545 eq_state_state_ext_read_data_5
2546 }),
2547 .eq_state_ext_read_data_6
2548 (
2549 {
2550 61'b0,
2551 eq_state_state_ext_read_data_6
2552 }),
2553 .eq_state_ext_read_data_7
2554 (
2555 {
2556 61'b0,
2557 eq_state_state_ext_read_data_7
2558 }),
2559 .eq_state_ext_read_data_8
2560 (
2561 {
2562 61'b0,
2563 eq_state_state_ext_read_data_8
2564 }),
2565 .eq_state_ext_read_data_9
2566 (
2567 {
2568 61'b0,
2569 eq_state_state_ext_read_data_9
2570 }),
2571 .eq_state_ext_read_data_10
2572 (
2573 {
2574 61'b0,
2575 eq_state_state_ext_read_data_10
2576 }),
2577 .eq_state_ext_read_data_11
2578 (
2579 {
2580 61'b0,
2581 eq_state_state_ext_read_data_11
2582 }),
2583 .eq_state_ext_read_data_12
2584 (
2585 {
2586 61'b0,
2587 eq_state_state_ext_read_data_12
2588 }),
2589 .eq_state_ext_read_data_13
2590 (
2591 {
2592 61'b0,
2593 eq_state_state_ext_read_data_13
2594 }),
2595 .eq_state_ext_read_data_14
2596 (
2597 {
2598 61'b0,
2599 eq_state_state_ext_read_data_14
2600 }),
2601 .eq_state_ext_read_data_15
2602 (
2603 {
2604 61'b0,
2605 eq_state_state_ext_read_data_15
2606 }),
2607 .eq_state_ext_read_data_16
2608 (
2609 {
2610 61'b0,
2611 eq_state_state_ext_read_data_16
2612 }),
2613 .eq_state_ext_read_data_17
2614 (
2615 {
2616 61'b0,
2617 eq_state_state_ext_read_data_17
2618 }),
2619 .eq_state_ext_read_data_18
2620 (
2621 {
2622 61'b0,
2623 eq_state_state_ext_read_data_18
2624 }),
2625 .eq_state_ext_read_data_19
2626 (
2627 {
2628 61'b0,
2629 eq_state_state_ext_read_data_19
2630 }),
2631 .eq_state_ext_read_data_20
2632 (
2633 {
2634 61'b0,
2635 eq_state_state_ext_read_data_20
2636 }),
2637 .eq_state_ext_read_data_21
2638 (
2639 {
2640 61'b0,
2641 eq_state_state_ext_read_data_21
2642 }),
2643 .eq_state_ext_read_data_22
2644 (
2645 {
2646 61'b0,
2647 eq_state_state_ext_read_data_22
2648 }),
2649 .eq_state_ext_read_data_23
2650 (
2651 {
2652 61'b0,
2653 eq_state_state_ext_read_data_23
2654 }),
2655 .eq_state_ext_read_data_24
2656 (
2657 {
2658 61'b0,
2659 eq_state_state_ext_read_data_24
2660 }),
2661 .eq_state_ext_read_data_25
2662 (
2663 {
2664 61'b0,
2665 eq_state_state_ext_read_data_25
2666 }),
2667 .eq_state_ext_read_data_26
2668 (
2669 {
2670 61'b0,
2671 eq_state_state_ext_read_data_26
2672 }),
2673 .eq_state_ext_read_data_27
2674 (
2675 {
2676 61'b0,
2677 eq_state_state_ext_read_data_27
2678 }),
2679 .eq_state_ext_read_data_28
2680 (
2681 {
2682 61'b0,
2683 eq_state_state_ext_read_data_28
2684 }),
2685 .eq_state_ext_read_data_29
2686 (
2687 {
2688 61'b0,
2689 eq_state_state_ext_read_data_29
2690 }),
2691 .eq_state_ext_read_data_30
2692 (
2693 {
2694 61'b0,
2695 eq_state_state_ext_read_data_30
2696 }),
2697 .eq_state_ext_read_data_31
2698 (
2699 {
2700 61'b0,
2701 eq_state_state_ext_read_data_31
2702 }),
2703 .eq_state_ext_read_data_32
2704 (
2705 {
2706 61'b0,
2707 eq_state_state_ext_read_data_32
2708 }),
2709 .eq_state_ext_read_data_33
2710 (
2711 {
2712 61'b0,
2713 eq_state_state_ext_read_data_33
2714 }),
2715 .eq_state_ext_read_data_34
2716 (
2717 {
2718 61'b0,
2719 eq_state_state_ext_read_data_34
2720 }),
2721 .eq_state_ext_read_data_35
2722 (
2723 {
2724 61'b0,
2725 eq_state_state_ext_read_data_35
2726 }),
2727 .eq_tail_overr_hw_ld_0 (eq_tail_overr_hw_ld_0),
2728 .eq_tail_overr_hw_write_0 (eq_tail_overr_hw_write_0),
2729 .eq_tail_tail_hw_ld_0 (eq_tail_tail_hw_ld_0),
2730 .eq_tail_tail_hw_write_0 (eq_tail_tail_hw_write_0),
2731 .eq_tail_tail_hw_read_0 (eq_tail_tail_hw_read_0),
2732 .eq_tail_overr_hw_ld_1 (eq_tail_overr_hw_ld_1),
2733 .eq_tail_overr_hw_write_1 (eq_tail_overr_hw_write_1),
2734 .eq_tail_tail_hw_ld_1 (eq_tail_tail_hw_ld_1),
2735 .eq_tail_tail_hw_write_1 (eq_tail_tail_hw_write_1),
2736 .eq_tail_tail_hw_read_1 (eq_tail_tail_hw_read_1),
2737 .eq_tail_overr_hw_ld_2 (eq_tail_overr_hw_ld_2),
2738 .eq_tail_overr_hw_write_2 (eq_tail_overr_hw_write_2),
2739 .eq_tail_tail_hw_ld_2 (eq_tail_tail_hw_ld_2),
2740 .eq_tail_tail_hw_write_2 (eq_tail_tail_hw_write_2),
2741 .eq_tail_tail_hw_read_2 (eq_tail_tail_hw_read_2),
2742 .eq_tail_overr_hw_ld_3 (eq_tail_overr_hw_ld_3),
2743 .eq_tail_overr_hw_write_3 (eq_tail_overr_hw_write_3),
2744 .eq_tail_tail_hw_ld_3 (eq_tail_tail_hw_ld_3),
2745 .eq_tail_tail_hw_write_3 (eq_tail_tail_hw_write_3),
2746 .eq_tail_tail_hw_read_3 (eq_tail_tail_hw_read_3),
2747 .eq_tail_overr_hw_ld_4 (eq_tail_overr_hw_ld_4),
2748 .eq_tail_overr_hw_write_4 (eq_tail_overr_hw_write_4),
2749 .eq_tail_tail_hw_ld_4 (eq_tail_tail_hw_ld_4),
2750 .eq_tail_tail_hw_write_4 (eq_tail_tail_hw_write_4),
2751 .eq_tail_tail_hw_read_4 (eq_tail_tail_hw_read_4),
2752 .eq_tail_overr_hw_ld_5 (eq_tail_overr_hw_ld_5),
2753 .eq_tail_overr_hw_write_5 (eq_tail_overr_hw_write_5),
2754 .eq_tail_tail_hw_ld_5 (eq_tail_tail_hw_ld_5),
2755 .eq_tail_tail_hw_write_5 (eq_tail_tail_hw_write_5),
2756 .eq_tail_tail_hw_read_5 (eq_tail_tail_hw_read_5),
2757 .eq_tail_overr_hw_ld_6 (eq_tail_overr_hw_ld_6),
2758 .eq_tail_overr_hw_write_6 (eq_tail_overr_hw_write_6),
2759 .eq_tail_tail_hw_ld_6 (eq_tail_tail_hw_ld_6),
2760 .eq_tail_tail_hw_write_6 (eq_tail_tail_hw_write_6),
2761 .eq_tail_tail_hw_read_6 (eq_tail_tail_hw_read_6),
2762 .eq_tail_overr_hw_ld_7 (eq_tail_overr_hw_ld_7),
2763 .eq_tail_overr_hw_write_7 (eq_tail_overr_hw_write_7),
2764 .eq_tail_tail_hw_ld_7 (eq_tail_tail_hw_ld_7),
2765 .eq_tail_tail_hw_write_7 (eq_tail_tail_hw_write_7),
2766 .eq_tail_tail_hw_read_7 (eq_tail_tail_hw_read_7),
2767 .eq_tail_overr_hw_ld_8 (eq_tail_overr_hw_ld_8),
2768 .eq_tail_overr_hw_write_8 (eq_tail_overr_hw_write_8),
2769 .eq_tail_tail_hw_ld_8 (eq_tail_tail_hw_ld_8),
2770 .eq_tail_tail_hw_write_8 (eq_tail_tail_hw_write_8),
2771 .eq_tail_tail_hw_read_8 (eq_tail_tail_hw_read_8),
2772 .eq_tail_overr_hw_ld_9 (eq_tail_overr_hw_ld_9),
2773 .eq_tail_overr_hw_write_9 (eq_tail_overr_hw_write_9),
2774 .eq_tail_tail_hw_ld_9 (eq_tail_tail_hw_ld_9),
2775 .eq_tail_tail_hw_write_9 (eq_tail_tail_hw_write_9),
2776 .eq_tail_tail_hw_read_9 (eq_tail_tail_hw_read_9),
2777 .eq_tail_overr_hw_ld_10 (eq_tail_overr_hw_ld_10),
2778 .eq_tail_overr_hw_write_10 (eq_tail_overr_hw_write_10),
2779 .eq_tail_tail_hw_ld_10 (eq_tail_tail_hw_ld_10),
2780 .eq_tail_tail_hw_write_10 (eq_tail_tail_hw_write_10),
2781 .eq_tail_tail_hw_read_10 (eq_tail_tail_hw_read_10),
2782 .eq_tail_overr_hw_ld_11 (eq_tail_overr_hw_ld_11),
2783 .eq_tail_overr_hw_write_11 (eq_tail_overr_hw_write_11),
2784 .eq_tail_tail_hw_ld_11 (eq_tail_tail_hw_ld_11),
2785 .eq_tail_tail_hw_write_11 (eq_tail_tail_hw_write_11),
2786 .eq_tail_tail_hw_read_11 (eq_tail_tail_hw_read_11),
2787 .eq_tail_overr_hw_ld_12 (eq_tail_overr_hw_ld_12),
2788 .eq_tail_overr_hw_write_12 (eq_tail_overr_hw_write_12),
2789 .eq_tail_tail_hw_ld_12 (eq_tail_tail_hw_ld_12),
2790 .eq_tail_tail_hw_write_12 (eq_tail_tail_hw_write_12),
2791 .eq_tail_tail_hw_read_12 (eq_tail_tail_hw_read_12),
2792 .eq_tail_overr_hw_ld_13 (eq_tail_overr_hw_ld_13),
2793 .eq_tail_overr_hw_write_13 (eq_tail_overr_hw_write_13),
2794 .eq_tail_tail_hw_ld_13 (eq_tail_tail_hw_ld_13),
2795 .eq_tail_tail_hw_write_13 (eq_tail_tail_hw_write_13),
2796 .eq_tail_tail_hw_read_13 (eq_tail_tail_hw_read_13),
2797 .eq_tail_overr_hw_ld_14 (eq_tail_overr_hw_ld_14),
2798 .eq_tail_overr_hw_write_14 (eq_tail_overr_hw_write_14),
2799 .eq_tail_tail_hw_ld_14 (eq_tail_tail_hw_ld_14),
2800 .eq_tail_tail_hw_write_14 (eq_tail_tail_hw_write_14),
2801 .eq_tail_tail_hw_read_14 (eq_tail_tail_hw_read_14),
2802 .eq_tail_overr_hw_ld_15 (eq_tail_overr_hw_ld_15),
2803 .eq_tail_overr_hw_write_15 (eq_tail_overr_hw_write_15),
2804 .eq_tail_tail_hw_ld_15 (eq_tail_tail_hw_ld_15),
2805 .eq_tail_tail_hw_write_15 (eq_tail_tail_hw_write_15),
2806 .eq_tail_tail_hw_read_15 (eq_tail_tail_hw_read_15),
2807 .eq_tail_overr_hw_ld_16 (eq_tail_overr_hw_ld_16),
2808 .eq_tail_overr_hw_write_16 (eq_tail_overr_hw_write_16),
2809 .eq_tail_tail_hw_ld_16 (eq_tail_tail_hw_ld_16),
2810 .eq_tail_tail_hw_write_16 (eq_tail_tail_hw_write_16),
2811 .eq_tail_tail_hw_read_16 (eq_tail_tail_hw_read_16),
2812 .eq_tail_overr_hw_ld_17 (eq_tail_overr_hw_ld_17),
2813 .eq_tail_overr_hw_write_17 (eq_tail_overr_hw_write_17),
2814 .eq_tail_tail_hw_ld_17 (eq_tail_tail_hw_ld_17),
2815 .eq_tail_tail_hw_write_17 (eq_tail_tail_hw_write_17),
2816 .eq_tail_tail_hw_read_17 (eq_tail_tail_hw_read_17),
2817 .eq_tail_overr_hw_ld_18 (eq_tail_overr_hw_ld_18),
2818 .eq_tail_overr_hw_write_18 (eq_tail_overr_hw_write_18),
2819 .eq_tail_tail_hw_ld_18 (eq_tail_tail_hw_ld_18),
2820 .eq_tail_tail_hw_write_18 (eq_tail_tail_hw_write_18),
2821 .eq_tail_tail_hw_read_18 (eq_tail_tail_hw_read_18),
2822 .eq_tail_overr_hw_ld_19 (eq_tail_overr_hw_ld_19),
2823 .eq_tail_overr_hw_write_19 (eq_tail_overr_hw_write_19),
2824 .eq_tail_tail_hw_ld_19 (eq_tail_tail_hw_ld_19),
2825 .eq_tail_tail_hw_write_19 (eq_tail_tail_hw_write_19),
2826 .eq_tail_tail_hw_read_19 (eq_tail_tail_hw_read_19),
2827 .eq_tail_overr_hw_ld_20 (eq_tail_overr_hw_ld_20),
2828 .eq_tail_overr_hw_write_20 (eq_tail_overr_hw_write_20),
2829 .eq_tail_tail_hw_ld_20 (eq_tail_tail_hw_ld_20),
2830 .eq_tail_tail_hw_write_20 (eq_tail_tail_hw_write_20),
2831 .eq_tail_tail_hw_read_20 (eq_tail_tail_hw_read_20),
2832 .eq_tail_overr_hw_ld_21 (eq_tail_overr_hw_ld_21),
2833 .eq_tail_overr_hw_write_21 (eq_tail_overr_hw_write_21),
2834 .eq_tail_tail_hw_ld_21 (eq_tail_tail_hw_ld_21),
2835 .eq_tail_tail_hw_write_21 (eq_tail_tail_hw_write_21),
2836 .eq_tail_tail_hw_read_21 (eq_tail_tail_hw_read_21),
2837 .eq_tail_overr_hw_ld_22 (eq_tail_overr_hw_ld_22),
2838 .eq_tail_overr_hw_write_22 (eq_tail_overr_hw_write_22),
2839 .eq_tail_tail_hw_ld_22 (eq_tail_tail_hw_ld_22),
2840 .eq_tail_tail_hw_write_22 (eq_tail_tail_hw_write_22),
2841 .eq_tail_tail_hw_read_22 (eq_tail_tail_hw_read_22),
2842 .eq_tail_overr_hw_ld_23 (eq_tail_overr_hw_ld_23),
2843 .eq_tail_overr_hw_write_23 (eq_tail_overr_hw_write_23),
2844 .eq_tail_tail_hw_ld_23 (eq_tail_tail_hw_ld_23),
2845 .eq_tail_tail_hw_write_23 (eq_tail_tail_hw_write_23),
2846 .eq_tail_tail_hw_read_23 (eq_tail_tail_hw_read_23),
2847 .eq_tail_overr_hw_ld_24 (eq_tail_overr_hw_ld_24),
2848 .eq_tail_overr_hw_write_24 (eq_tail_overr_hw_write_24),
2849 .eq_tail_tail_hw_ld_24 (eq_tail_tail_hw_ld_24),
2850 .eq_tail_tail_hw_write_24 (eq_tail_tail_hw_write_24),
2851 .eq_tail_tail_hw_read_24 (eq_tail_tail_hw_read_24),
2852 .eq_tail_overr_hw_ld_25 (eq_tail_overr_hw_ld_25),
2853 .eq_tail_overr_hw_write_25 (eq_tail_overr_hw_write_25),
2854 .eq_tail_tail_hw_ld_25 (eq_tail_tail_hw_ld_25),
2855 .eq_tail_tail_hw_write_25 (eq_tail_tail_hw_write_25),
2856 .eq_tail_tail_hw_read_25 (eq_tail_tail_hw_read_25),
2857 .eq_tail_overr_hw_ld_26 (eq_tail_overr_hw_ld_26),
2858 .eq_tail_overr_hw_write_26 (eq_tail_overr_hw_write_26),
2859 .eq_tail_tail_hw_ld_26 (eq_tail_tail_hw_ld_26),
2860 .eq_tail_tail_hw_write_26 (eq_tail_tail_hw_write_26),
2861 .eq_tail_tail_hw_read_26 (eq_tail_tail_hw_read_26),
2862 .eq_tail_overr_hw_ld_27 (eq_tail_overr_hw_ld_27),
2863 .eq_tail_overr_hw_write_27 (eq_tail_overr_hw_write_27),
2864 .eq_tail_tail_hw_ld_27 (eq_tail_tail_hw_ld_27),
2865 .eq_tail_tail_hw_write_27 (eq_tail_tail_hw_write_27),
2866 .eq_tail_tail_hw_read_27 (eq_tail_tail_hw_read_27),
2867 .eq_tail_overr_hw_ld_28 (eq_tail_overr_hw_ld_28),
2868 .eq_tail_overr_hw_write_28 (eq_tail_overr_hw_write_28),
2869 .eq_tail_tail_hw_ld_28 (eq_tail_tail_hw_ld_28),
2870 .eq_tail_tail_hw_write_28 (eq_tail_tail_hw_write_28),
2871 .eq_tail_tail_hw_read_28 (eq_tail_tail_hw_read_28),
2872 .eq_tail_overr_hw_ld_29 (eq_tail_overr_hw_ld_29),
2873 .eq_tail_overr_hw_write_29 (eq_tail_overr_hw_write_29),
2874 .eq_tail_tail_hw_ld_29 (eq_tail_tail_hw_ld_29),
2875 .eq_tail_tail_hw_write_29 (eq_tail_tail_hw_write_29),
2876 .eq_tail_tail_hw_read_29 (eq_tail_tail_hw_read_29),
2877 .eq_tail_overr_hw_ld_30 (eq_tail_overr_hw_ld_30),
2878 .eq_tail_overr_hw_write_30 (eq_tail_overr_hw_write_30),
2879 .eq_tail_tail_hw_ld_30 (eq_tail_tail_hw_ld_30),
2880 .eq_tail_tail_hw_write_30 (eq_tail_tail_hw_write_30),
2881 .eq_tail_tail_hw_read_30 (eq_tail_tail_hw_read_30),
2882 .eq_tail_overr_hw_ld_31 (eq_tail_overr_hw_ld_31),
2883 .eq_tail_overr_hw_write_31 (eq_tail_overr_hw_write_31),
2884 .eq_tail_tail_hw_ld_31 (eq_tail_tail_hw_ld_31),
2885 .eq_tail_tail_hw_write_31 (eq_tail_tail_hw_write_31),
2886 .eq_tail_tail_hw_read_31 (eq_tail_tail_hw_read_31),
2887 .eq_tail_overr_hw_ld_32 (eq_tail_overr_hw_ld_32),
2888 .eq_tail_overr_hw_write_32 (eq_tail_overr_hw_write_32),
2889 .eq_tail_tail_hw_ld_32 (eq_tail_tail_hw_ld_32),
2890 .eq_tail_tail_hw_write_32 (eq_tail_tail_hw_write_32),
2891 .eq_tail_tail_hw_read_32 (eq_tail_tail_hw_read_32),
2892 .eq_tail_overr_hw_ld_33 (eq_tail_overr_hw_ld_33),
2893 .eq_tail_overr_hw_write_33 (eq_tail_overr_hw_write_33),
2894 .eq_tail_tail_hw_ld_33 (eq_tail_tail_hw_ld_33),
2895 .eq_tail_tail_hw_write_33 (eq_tail_tail_hw_write_33),
2896 .eq_tail_tail_hw_read_33 (eq_tail_tail_hw_read_33),
2897 .eq_tail_overr_hw_ld_34 (eq_tail_overr_hw_ld_34),
2898 .eq_tail_overr_hw_write_34 (eq_tail_overr_hw_write_34),
2899 .eq_tail_tail_hw_ld_34 (eq_tail_tail_hw_ld_34),
2900 .eq_tail_tail_hw_write_34 (eq_tail_tail_hw_write_34),
2901 .eq_tail_tail_hw_read_34 (eq_tail_tail_hw_read_34),
2902 .eq_tail_overr_hw_ld_35 (eq_tail_overr_hw_ld_35),
2903 .eq_tail_overr_hw_write_35 (eq_tail_overr_hw_write_35),
2904 .eq_tail_tail_hw_ld_35 (eq_tail_tail_hw_ld_35),
2905 .eq_tail_tail_hw_write_35 (eq_tail_tail_hw_write_35),
2906 .eq_tail_tail_hw_read_35 (eq_tail_tail_hw_read_35),
2907 .eq_tail_select_pulse_0 (default_grp_eq_tail_select_pulse_0),
2908 .eq_tail_select_pulse_1 (default_grp_eq_tail_select_pulse_1),
2909 .eq_tail_select_pulse_2 (default_grp_eq_tail_select_pulse_2),
2910 .eq_tail_select_pulse_3 (default_grp_eq_tail_select_pulse_3),
2911 .eq_tail_select_pulse_4 (default_grp_eq_tail_select_pulse_4),
2912 .eq_tail_select_pulse_5 (default_grp_eq_tail_select_pulse_5),
2913 .eq_tail_select_pulse_6 (default_grp_eq_tail_select_pulse_6),
2914 .eq_tail_select_pulse_7 (default_grp_eq_tail_select_pulse_7),
2915 .eq_tail_select_pulse_8 (default_grp_eq_tail_select_pulse_8),
2916 .eq_tail_select_pulse_9 (default_grp_eq_tail_select_pulse_9),
2917 .eq_tail_select_pulse_10 (default_grp_eq_tail_select_pulse_10),
2918 .eq_tail_select_pulse_11 (default_grp_eq_tail_select_pulse_11),
2919 .eq_tail_select_pulse_12 (default_grp_eq_tail_select_pulse_12),
2920 .eq_tail_select_pulse_13 (default_grp_eq_tail_select_pulse_13),
2921 .eq_tail_select_pulse_14 (default_grp_eq_tail_select_pulse_14),
2922 .eq_tail_select_pulse_15 (default_grp_eq_tail_select_pulse_15),
2923 .eq_tail_select_pulse_16 (default_grp_eq_tail_select_pulse_16),
2924 .eq_tail_select_pulse_17 (default_grp_eq_tail_select_pulse_17),
2925 .eq_tail_select_pulse_18 (default_grp_eq_tail_select_pulse_18),
2926 .eq_tail_select_pulse_19 (default_grp_eq_tail_select_pulse_19),
2927 .eq_tail_select_pulse_20 (default_grp_eq_tail_select_pulse_20),
2928 .eq_tail_select_pulse_21 (default_grp_eq_tail_select_pulse_21),
2929 .eq_tail_select_pulse_22 (default_grp_eq_tail_select_pulse_22),
2930 .eq_tail_select_pulse_23 (default_grp_eq_tail_select_pulse_23),
2931 .eq_tail_select_pulse_24 (default_grp_eq_tail_select_pulse_24),
2932 .eq_tail_select_pulse_25 (default_grp_eq_tail_select_pulse_25),
2933 .eq_tail_select_pulse_26 (default_grp_eq_tail_select_pulse_26),
2934 .eq_tail_select_pulse_27 (default_grp_eq_tail_select_pulse_27),
2935 .eq_tail_select_pulse_28 (default_grp_eq_tail_select_pulse_28),
2936 .eq_tail_select_pulse_29 (default_grp_eq_tail_select_pulse_29),
2937 .eq_tail_select_pulse_30 (default_grp_eq_tail_select_pulse_30),
2938 .eq_tail_select_pulse_31 (default_grp_eq_tail_select_pulse_31),
2939 .eq_tail_select_pulse_32 (default_grp_eq_tail_select_pulse_32),
2940 .eq_tail_select_pulse_33 (default_grp_eq_tail_select_pulse_33),
2941 .eq_tail_select_pulse_34 (default_grp_eq_tail_select_pulse_34),
2942 .eq_tail_select_pulse_35 (default_grp_eq_tail_select_pulse_35),
2943 .eq_head_head_hw_read_0 (eq_head_head_hw_read_0),
2944 .eq_head_head_hw_read_1 (eq_head_head_hw_read_1),
2945 .eq_head_head_hw_read_2 (eq_head_head_hw_read_2),
2946 .eq_head_head_hw_read_3 (eq_head_head_hw_read_3),
2947 .eq_head_head_hw_read_4 (eq_head_head_hw_read_4),
2948 .eq_head_head_hw_read_5 (eq_head_head_hw_read_5),
2949 .eq_head_head_hw_read_6 (eq_head_head_hw_read_6),
2950 .eq_head_head_hw_read_7 (eq_head_head_hw_read_7),
2951 .eq_head_head_hw_read_8 (eq_head_head_hw_read_8),
2952 .eq_head_head_hw_read_9 (eq_head_head_hw_read_9),
2953 .eq_head_head_hw_read_10 (eq_head_head_hw_read_10),
2954 .eq_head_head_hw_read_11 (eq_head_head_hw_read_11),
2955 .eq_head_head_hw_read_12 (eq_head_head_hw_read_12),
2956 .eq_head_head_hw_read_13 (eq_head_head_hw_read_13),
2957 .eq_head_head_hw_read_14 (eq_head_head_hw_read_14),
2958 .eq_head_head_hw_read_15 (eq_head_head_hw_read_15),
2959 .eq_head_head_hw_read_16 (eq_head_head_hw_read_16),
2960 .eq_head_head_hw_read_17 (eq_head_head_hw_read_17),
2961 .eq_head_head_hw_read_18 (eq_head_head_hw_read_18),
2962 .eq_head_head_hw_read_19 (eq_head_head_hw_read_19),
2963 .eq_head_head_hw_read_20 (eq_head_head_hw_read_20),
2964 .eq_head_head_hw_read_21 (eq_head_head_hw_read_21),
2965 .eq_head_head_hw_read_22 (eq_head_head_hw_read_22),
2966 .eq_head_head_hw_read_23 (eq_head_head_hw_read_23),
2967 .eq_head_head_hw_read_24 (eq_head_head_hw_read_24),
2968 .eq_head_head_hw_read_25 (eq_head_head_hw_read_25),
2969 .eq_head_head_hw_read_26 (eq_head_head_hw_read_26),
2970 .eq_head_head_hw_read_27 (eq_head_head_hw_read_27),
2971 .eq_head_head_hw_read_28 (eq_head_head_hw_read_28),
2972 .eq_head_head_hw_read_29 (eq_head_head_hw_read_29),
2973 .eq_head_head_hw_read_30 (eq_head_head_hw_read_30),
2974 .eq_head_head_hw_read_31 (eq_head_head_hw_read_31),
2975 .eq_head_head_hw_read_32 (eq_head_head_hw_read_32),
2976 .eq_head_head_hw_read_33 (eq_head_head_hw_read_33),
2977 .eq_head_head_hw_read_34 (eq_head_head_hw_read_34),
2978 .eq_head_head_hw_read_35 (eq_head_head_hw_read_35),
2979 .eq_head_select_pulse_0 (default_grp_eq_head_select_pulse_0),
2980 .eq_head_select_pulse_1 (default_grp_eq_head_select_pulse_1),
2981 .eq_head_select_pulse_2 (default_grp_eq_head_select_pulse_2),
2982 .eq_head_select_pulse_3 (default_grp_eq_head_select_pulse_3),
2983 .eq_head_select_pulse_4 (default_grp_eq_head_select_pulse_4),
2984 .eq_head_select_pulse_5 (default_grp_eq_head_select_pulse_5),
2985 .eq_head_select_pulse_6 (default_grp_eq_head_select_pulse_6),
2986 .eq_head_select_pulse_7 (default_grp_eq_head_select_pulse_7),
2987 .eq_head_select_pulse_8 (default_grp_eq_head_select_pulse_8),
2988 .eq_head_select_pulse_9 (default_grp_eq_head_select_pulse_9),
2989 .eq_head_select_pulse_10 (default_grp_eq_head_select_pulse_10),
2990 .eq_head_select_pulse_11 (default_grp_eq_head_select_pulse_11),
2991 .eq_head_select_pulse_12 (default_grp_eq_head_select_pulse_12),
2992 .eq_head_select_pulse_13 (default_grp_eq_head_select_pulse_13),
2993 .eq_head_select_pulse_14 (default_grp_eq_head_select_pulse_14),
2994 .eq_head_select_pulse_15 (default_grp_eq_head_select_pulse_15),
2995 .eq_head_select_pulse_16 (default_grp_eq_head_select_pulse_16),
2996 .eq_head_select_pulse_17 (default_grp_eq_head_select_pulse_17),
2997 .eq_head_select_pulse_18 (default_grp_eq_head_select_pulse_18),
2998 .eq_head_select_pulse_19 (default_grp_eq_head_select_pulse_19),
2999 .eq_head_select_pulse_20 (default_grp_eq_head_select_pulse_20),
3000 .eq_head_select_pulse_21 (default_grp_eq_head_select_pulse_21),
3001 .eq_head_select_pulse_22 (default_grp_eq_head_select_pulse_22),
3002 .eq_head_select_pulse_23 (default_grp_eq_head_select_pulse_23),
3003 .eq_head_select_pulse_24 (default_grp_eq_head_select_pulse_24),
3004 .eq_head_select_pulse_25 (default_grp_eq_head_select_pulse_25),
3005 .eq_head_select_pulse_26 (default_grp_eq_head_select_pulse_26),
3006 .eq_head_select_pulse_27 (default_grp_eq_head_select_pulse_27),
3007 .eq_head_select_pulse_28 (default_grp_eq_head_select_pulse_28),
3008 .eq_head_select_pulse_29 (default_grp_eq_head_select_pulse_29),
3009 .eq_head_select_pulse_30 (default_grp_eq_head_select_pulse_30),
3010 .eq_head_select_pulse_31 (default_grp_eq_head_select_pulse_31),
3011 .eq_head_select_pulse_32 (default_grp_eq_head_select_pulse_32),
3012 .eq_head_select_pulse_33 (default_grp_eq_head_select_pulse_33),
3013 .eq_head_select_pulse_34 (default_grp_eq_head_select_pulse_34),
3014 .eq_head_select_pulse_35 (default_grp_eq_head_select_pulse_35),
3015 .rst_l (stage_mux_only_rst_l),
3016 .daemon_csrbus_wr_in (stage_mux_only_daemon_csrbus_wr),
3017 .daemon_csrbus_wr_out (ext_wr),
3018 .daemon_csrbus_wr_data_in (stage_mux_only_daemon_csrbus_wr_data),
3019 .read_data_0_out (default_grp_read_data_0_out)
3020 );
3021
3022//----- Stage: 2 / Grp: stage_mux_only (1 inputs / 1 outputs) (Mux only)
3023wire [`FIRE_CSRBUS_DATA_WIDTH-1:0] stage_mux_only_read_data_0_out;
3024
3025dmu_imu_eqs_stage_mux_only dmu_imu_eqs_stage_mux_only
3026 (
3027 .clk (clk),
3028 .read_data_0 (default_grp_read_data_0_out),
3029 .eq_base_address_select_pulse (eq_base_address_select_pulse),
3030 .eq_base_address_select_pulse_out (default_grp_eq_base_address_select_pulse),
3031 .eq_ctrl_set_select_0 (eq_ctrl_set_select_0),
3032 .eq_ctrl_set_select_0_out (default_grp_eq_ctrl_set_select_0),
3033 .eq_ctrl_set_select_1 (eq_ctrl_set_select_1),
3034 .eq_ctrl_set_select_1_out (default_grp_eq_ctrl_set_select_1),
3035 .eq_ctrl_set_select_2 (eq_ctrl_set_select_2),
3036 .eq_ctrl_set_select_2_out (default_grp_eq_ctrl_set_select_2),
3037 .eq_ctrl_set_select_3 (eq_ctrl_set_select_3),
3038 .eq_ctrl_set_select_3_out (default_grp_eq_ctrl_set_select_3),
3039 .eq_ctrl_set_select_4 (eq_ctrl_set_select_4),
3040 .eq_ctrl_set_select_4_out (default_grp_eq_ctrl_set_select_4),
3041 .eq_ctrl_set_select_5 (eq_ctrl_set_select_5),
3042 .eq_ctrl_set_select_5_out (default_grp_eq_ctrl_set_select_5),
3043 .eq_ctrl_set_select_6 (eq_ctrl_set_select_6),
3044 .eq_ctrl_set_select_6_out (default_grp_eq_ctrl_set_select_6),
3045 .eq_ctrl_set_select_7 (eq_ctrl_set_select_7),
3046 .eq_ctrl_set_select_7_out (default_grp_eq_ctrl_set_select_7),
3047 .eq_ctrl_set_select_8 (eq_ctrl_set_select_8),
3048 .eq_ctrl_set_select_8_out (default_grp_eq_ctrl_set_select_8),
3049 .eq_ctrl_set_select_9 (eq_ctrl_set_select_9),
3050 .eq_ctrl_set_select_9_out (default_grp_eq_ctrl_set_select_9),
3051 .eq_ctrl_set_select_10 (eq_ctrl_set_select_10),
3052 .eq_ctrl_set_select_10_out (default_grp_eq_ctrl_set_select_10),
3053 .eq_ctrl_set_select_11 (eq_ctrl_set_select_11),
3054 .eq_ctrl_set_select_11_out (default_grp_eq_ctrl_set_select_11),
3055 .eq_ctrl_set_select_12 (eq_ctrl_set_select_12),
3056 .eq_ctrl_set_select_12_out (default_grp_eq_ctrl_set_select_12),
3057 .eq_ctrl_set_select_13 (eq_ctrl_set_select_13),
3058 .eq_ctrl_set_select_13_out (default_grp_eq_ctrl_set_select_13),
3059 .eq_ctrl_set_select_14 (eq_ctrl_set_select_14),
3060 .eq_ctrl_set_select_14_out (default_grp_eq_ctrl_set_select_14),
3061 .eq_ctrl_set_select_15 (eq_ctrl_set_select_15),
3062 .eq_ctrl_set_select_15_out (default_grp_eq_ctrl_set_select_15),
3063 .eq_ctrl_set_select_16 (eq_ctrl_set_select_16),
3064 .eq_ctrl_set_select_16_out (default_grp_eq_ctrl_set_select_16),
3065 .eq_ctrl_set_select_17 (eq_ctrl_set_select_17),
3066 .eq_ctrl_set_select_17_out (default_grp_eq_ctrl_set_select_17),
3067 .eq_ctrl_set_select_18 (eq_ctrl_set_select_18),
3068 .eq_ctrl_set_select_18_out (default_grp_eq_ctrl_set_select_18),
3069 .eq_ctrl_set_select_19 (eq_ctrl_set_select_19),
3070 .eq_ctrl_set_select_19_out (default_grp_eq_ctrl_set_select_19),
3071 .eq_ctrl_set_select_20 (eq_ctrl_set_select_20),
3072 .eq_ctrl_set_select_20_out (default_grp_eq_ctrl_set_select_20),
3073 .eq_ctrl_set_select_21 (eq_ctrl_set_select_21),
3074 .eq_ctrl_set_select_21_out (default_grp_eq_ctrl_set_select_21),
3075 .eq_ctrl_set_select_22 (eq_ctrl_set_select_22),
3076 .eq_ctrl_set_select_22_out (default_grp_eq_ctrl_set_select_22),
3077 .eq_ctrl_set_select_23 (eq_ctrl_set_select_23),
3078 .eq_ctrl_set_select_23_out (default_grp_eq_ctrl_set_select_23),
3079 .eq_ctrl_set_select_24 (eq_ctrl_set_select_24),
3080 .eq_ctrl_set_select_24_out (default_grp_eq_ctrl_set_select_24),
3081 .eq_ctrl_set_select_25 (eq_ctrl_set_select_25),
3082 .eq_ctrl_set_select_25_out (default_grp_eq_ctrl_set_select_25),
3083 .eq_ctrl_set_select_26 (eq_ctrl_set_select_26),
3084 .eq_ctrl_set_select_26_out (default_grp_eq_ctrl_set_select_26),
3085 .eq_ctrl_set_select_27 (eq_ctrl_set_select_27),
3086 .eq_ctrl_set_select_27_out (default_grp_eq_ctrl_set_select_27),
3087 .eq_ctrl_set_select_28 (eq_ctrl_set_select_28),
3088 .eq_ctrl_set_select_28_out (default_grp_eq_ctrl_set_select_28),
3089 .eq_ctrl_set_select_29 (eq_ctrl_set_select_29),
3090 .eq_ctrl_set_select_29_out (default_grp_eq_ctrl_set_select_29),
3091 .eq_ctrl_set_select_30 (eq_ctrl_set_select_30),
3092 .eq_ctrl_set_select_30_out (default_grp_eq_ctrl_set_select_30),
3093 .eq_ctrl_set_select_31 (eq_ctrl_set_select_31),
3094 .eq_ctrl_set_select_31_out (default_grp_eq_ctrl_set_select_31),
3095 .eq_ctrl_set_select_32 (eq_ctrl_set_select_32),
3096 .eq_ctrl_set_select_32_out (default_grp_eq_ctrl_set_select_32),
3097 .eq_ctrl_set_select_33 (eq_ctrl_set_select_33),
3098 .eq_ctrl_set_select_33_out (default_grp_eq_ctrl_set_select_33),
3099 .eq_ctrl_set_select_34 (eq_ctrl_set_select_34),
3100 .eq_ctrl_set_select_34_out (default_grp_eq_ctrl_set_select_34),
3101 .eq_ctrl_set_select_35 (eq_ctrl_set_select_35),
3102 .eq_ctrl_set_select_35_out (default_grp_eq_ctrl_set_select_35),
3103 .eq_ctrl_clr_select_0 (eq_ctrl_clr_select_0),
3104 .eq_ctrl_clr_select_0_out (default_grp_eq_ctrl_clr_select_0),
3105 .eq_ctrl_clr_select_1 (eq_ctrl_clr_select_1),
3106 .eq_ctrl_clr_select_1_out (default_grp_eq_ctrl_clr_select_1),
3107 .eq_ctrl_clr_select_2 (eq_ctrl_clr_select_2),
3108 .eq_ctrl_clr_select_2_out (default_grp_eq_ctrl_clr_select_2),
3109 .eq_ctrl_clr_select_3 (eq_ctrl_clr_select_3),
3110 .eq_ctrl_clr_select_3_out (default_grp_eq_ctrl_clr_select_3),
3111 .eq_ctrl_clr_select_4 (eq_ctrl_clr_select_4),
3112 .eq_ctrl_clr_select_4_out (default_grp_eq_ctrl_clr_select_4),
3113 .eq_ctrl_clr_select_5 (eq_ctrl_clr_select_5),
3114 .eq_ctrl_clr_select_5_out (default_grp_eq_ctrl_clr_select_5),
3115 .eq_ctrl_clr_select_6 (eq_ctrl_clr_select_6),
3116 .eq_ctrl_clr_select_6_out (default_grp_eq_ctrl_clr_select_6),
3117 .eq_ctrl_clr_select_7 (eq_ctrl_clr_select_7),
3118 .eq_ctrl_clr_select_7_out (default_grp_eq_ctrl_clr_select_7),
3119 .eq_ctrl_clr_select_8 (eq_ctrl_clr_select_8),
3120 .eq_ctrl_clr_select_8_out (default_grp_eq_ctrl_clr_select_8),
3121 .eq_ctrl_clr_select_9 (eq_ctrl_clr_select_9),
3122 .eq_ctrl_clr_select_9_out (default_grp_eq_ctrl_clr_select_9),
3123 .eq_ctrl_clr_select_10 (eq_ctrl_clr_select_10),
3124 .eq_ctrl_clr_select_10_out (default_grp_eq_ctrl_clr_select_10),
3125 .eq_ctrl_clr_select_11 (eq_ctrl_clr_select_11),
3126 .eq_ctrl_clr_select_11_out (default_grp_eq_ctrl_clr_select_11),
3127 .eq_ctrl_clr_select_12 (eq_ctrl_clr_select_12),
3128 .eq_ctrl_clr_select_12_out (default_grp_eq_ctrl_clr_select_12),
3129 .eq_ctrl_clr_select_13 (eq_ctrl_clr_select_13),
3130 .eq_ctrl_clr_select_13_out (default_grp_eq_ctrl_clr_select_13),
3131 .eq_ctrl_clr_select_14 (eq_ctrl_clr_select_14),
3132 .eq_ctrl_clr_select_14_out (default_grp_eq_ctrl_clr_select_14),
3133 .eq_ctrl_clr_select_15 (eq_ctrl_clr_select_15),
3134 .eq_ctrl_clr_select_15_out (default_grp_eq_ctrl_clr_select_15),
3135 .eq_ctrl_clr_select_16 (eq_ctrl_clr_select_16),
3136 .eq_ctrl_clr_select_16_out (default_grp_eq_ctrl_clr_select_16),
3137 .eq_ctrl_clr_select_17 (eq_ctrl_clr_select_17),
3138 .eq_ctrl_clr_select_17_out (default_grp_eq_ctrl_clr_select_17),
3139 .eq_ctrl_clr_select_18 (eq_ctrl_clr_select_18),
3140 .eq_ctrl_clr_select_18_out (default_grp_eq_ctrl_clr_select_18),
3141 .eq_ctrl_clr_select_19 (eq_ctrl_clr_select_19),
3142 .eq_ctrl_clr_select_19_out (default_grp_eq_ctrl_clr_select_19),
3143 .eq_ctrl_clr_select_20 (eq_ctrl_clr_select_20),
3144 .eq_ctrl_clr_select_20_out (default_grp_eq_ctrl_clr_select_20),
3145 .eq_ctrl_clr_select_21 (eq_ctrl_clr_select_21),
3146 .eq_ctrl_clr_select_21_out (default_grp_eq_ctrl_clr_select_21),
3147 .eq_ctrl_clr_select_22 (eq_ctrl_clr_select_22),
3148 .eq_ctrl_clr_select_22_out (default_grp_eq_ctrl_clr_select_22),
3149 .eq_ctrl_clr_select_23 (eq_ctrl_clr_select_23),
3150 .eq_ctrl_clr_select_23_out (default_grp_eq_ctrl_clr_select_23),
3151 .eq_ctrl_clr_select_24 (eq_ctrl_clr_select_24),
3152 .eq_ctrl_clr_select_24_out (default_grp_eq_ctrl_clr_select_24),
3153 .eq_ctrl_clr_select_25 (eq_ctrl_clr_select_25),
3154 .eq_ctrl_clr_select_25_out (default_grp_eq_ctrl_clr_select_25),
3155 .eq_ctrl_clr_select_26 (eq_ctrl_clr_select_26),
3156 .eq_ctrl_clr_select_26_out (default_grp_eq_ctrl_clr_select_26),
3157 .eq_ctrl_clr_select_27 (eq_ctrl_clr_select_27),
3158 .eq_ctrl_clr_select_27_out (default_grp_eq_ctrl_clr_select_27),
3159 .eq_ctrl_clr_select_28 (eq_ctrl_clr_select_28),
3160 .eq_ctrl_clr_select_28_out (default_grp_eq_ctrl_clr_select_28),
3161 .eq_ctrl_clr_select_29 (eq_ctrl_clr_select_29),
3162 .eq_ctrl_clr_select_29_out (default_grp_eq_ctrl_clr_select_29),
3163 .eq_ctrl_clr_select_30 (eq_ctrl_clr_select_30),
3164 .eq_ctrl_clr_select_30_out (default_grp_eq_ctrl_clr_select_30),
3165 .eq_ctrl_clr_select_31 (eq_ctrl_clr_select_31),
3166 .eq_ctrl_clr_select_31_out (default_grp_eq_ctrl_clr_select_31),
3167 .eq_ctrl_clr_select_32 (eq_ctrl_clr_select_32),
3168 .eq_ctrl_clr_select_32_out (default_grp_eq_ctrl_clr_select_32),
3169 .eq_ctrl_clr_select_33 (eq_ctrl_clr_select_33),
3170 .eq_ctrl_clr_select_33_out (default_grp_eq_ctrl_clr_select_33),
3171 .eq_ctrl_clr_select_34 (eq_ctrl_clr_select_34),
3172 .eq_ctrl_clr_select_34_out (default_grp_eq_ctrl_clr_select_34),
3173 .eq_ctrl_clr_select_35 (eq_ctrl_clr_select_35),
3174 .eq_ctrl_clr_select_35_out (default_grp_eq_ctrl_clr_select_35),
3175 .eq_state_select_0 (eq_state_select_0),
3176 .eq_state_select_0_out (default_grp_eq_state_select_0),
3177 .eq_state_select_1 (eq_state_select_1),
3178 .eq_state_select_1_out (default_grp_eq_state_select_1),
3179 .eq_state_select_2 (eq_state_select_2),
3180 .eq_state_select_2_out (default_grp_eq_state_select_2),
3181 .eq_state_select_3 (eq_state_select_3),
3182 .eq_state_select_3_out (default_grp_eq_state_select_3),
3183 .eq_state_select_4 (eq_state_select_4),
3184 .eq_state_select_4_out (default_grp_eq_state_select_4),
3185 .eq_state_select_5 (eq_state_select_5),
3186 .eq_state_select_5_out (default_grp_eq_state_select_5),
3187 .eq_state_select_6 (eq_state_select_6),
3188 .eq_state_select_6_out (default_grp_eq_state_select_6),
3189 .eq_state_select_7 (eq_state_select_7),
3190 .eq_state_select_7_out (default_grp_eq_state_select_7),
3191 .eq_state_select_8 (eq_state_select_8),
3192 .eq_state_select_8_out (default_grp_eq_state_select_8),
3193 .eq_state_select_9 (eq_state_select_9),
3194 .eq_state_select_9_out (default_grp_eq_state_select_9),
3195 .eq_state_select_10 (eq_state_select_10),
3196 .eq_state_select_10_out (default_grp_eq_state_select_10),
3197 .eq_state_select_11 (eq_state_select_11),
3198 .eq_state_select_11_out (default_grp_eq_state_select_11),
3199 .eq_state_select_12 (eq_state_select_12),
3200 .eq_state_select_12_out (default_grp_eq_state_select_12),
3201 .eq_state_select_13 (eq_state_select_13),
3202 .eq_state_select_13_out (default_grp_eq_state_select_13),
3203 .eq_state_select_14 (eq_state_select_14),
3204 .eq_state_select_14_out (default_grp_eq_state_select_14),
3205 .eq_state_select_15 (eq_state_select_15),
3206 .eq_state_select_15_out (default_grp_eq_state_select_15),
3207 .eq_state_select_16 (eq_state_select_16),
3208 .eq_state_select_16_out (default_grp_eq_state_select_16),
3209 .eq_state_select_17 (eq_state_select_17),
3210 .eq_state_select_17_out (default_grp_eq_state_select_17),
3211 .eq_state_select_18 (eq_state_select_18),
3212 .eq_state_select_18_out (default_grp_eq_state_select_18),
3213 .eq_state_select_19 (eq_state_select_19),
3214 .eq_state_select_19_out (default_grp_eq_state_select_19),
3215 .eq_state_select_20 (eq_state_select_20),
3216 .eq_state_select_20_out (default_grp_eq_state_select_20),
3217 .eq_state_select_21 (eq_state_select_21),
3218 .eq_state_select_21_out (default_grp_eq_state_select_21),
3219 .eq_state_select_22 (eq_state_select_22),
3220 .eq_state_select_22_out (default_grp_eq_state_select_22),
3221 .eq_state_select_23 (eq_state_select_23),
3222 .eq_state_select_23_out (default_grp_eq_state_select_23),
3223 .eq_state_select_24 (eq_state_select_24),
3224 .eq_state_select_24_out (default_grp_eq_state_select_24),
3225 .eq_state_select_25 (eq_state_select_25),
3226 .eq_state_select_25_out (default_grp_eq_state_select_25),
3227 .eq_state_select_26 (eq_state_select_26),
3228 .eq_state_select_26_out (default_grp_eq_state_select_26),
3229 .eq_state_select_27 (eq_state_select_27),
3230 .eq_state_select_27_out (default_grp_eq_state_select_27),
3231 .eq_state_select_28 (eq_state_select_28),
3232 .eq_state_select_28_out (default_grp_eq_state_select_28),
3233 .eq_state_select_29 (eq_state_select_29),
3234 .eq_state_select_29_out (default_grp_eq_state_select_29),
3235 .eq_state_select_30 (eq_state_select_30),
3236 .eq_state_select_30_out (default_grp_eq_state_select_30),
3237 .eq_state_select_31 (eq_state_select_31),
3238 .eq_state_select_31_out (default_grp_eq_state_select_31),
3239 .eq_state_select_32 (eq_state_select_32),
3240 .eq_state_select_32_out (default_grp_eq_state_select_32),
3241 .eq_state_select_33 (eq_state_select_33),
3242 .eq_state_select_33_out (default_grp_eq_state_select_33),
3243 .eq_state_select_34 (eq_state_select_34),
3244 .eq_state_select_34_out (default_grp_eq_state_select_34),
3245 .eq_state_select_35 (eq_state_select_35),
3246 .eq_state_select_35_out (default_grp_eq_state_select_35),
3247 .eq_tail_select_pulse_0 (eq_tail_select_pulse_0),
3248 .eq_tail_select_pulse_0_out (default_grp_eq_tail_select_pulse_0),
3249 .eq_tail_select_pulse_1 (eq_tail_select_pulse_1),
3250 .eq_tail_select_pulse_1_out (default_grp_eq_tail_select_pulse_1),
3251 .eq_tail_select_pulse_2 (eq_tail_select_pulse_2),
3252 .eq_tail_select_pulse_2_out (default_grp_eq_tail_select_pulse_2),
3253 .eq_tail_select_pulse_3 (eq_tail_select_pulse_3),
3254 .eq_tail_select_pulse_3_out (default_grp_eq_tail_select_pulse_3),
3255 .eq_tail_select_pulse_4 (eq_tail_select_pulse_4),
3256 .eq_tail_select_pulse_4_out (default_grp_eq_tail_select_pulse_4),
3257 .eq_tail_select_pulse_5 (eq_tail_select_pulse_5),
3258 .eq_tail_select_pulse_5_out (default_grp_eq_tail_select_pulse_5),
3259 .eq_tail_select_pulse_6 (eq_tail_select_pulse_6),
3260 .eq_tail_select_pulse_6_out (default_grp_eq_tail_select_pulse_6),
3261 .eq_tail_select_pulse_7 (eq_tail_select_pulse_7),
3262 .eq_tail_select_pulse_7_out (default_grp_eq_tail_select_pulse_7),
3263 .eq_tail_select_pulse_8 (eq_tail_select_pulse_8),
3264 .eq_tail_select_pulse_8_out (default_grp_eq_tail_select_pulse_8),
3265 .eq_tail_select_pulse_9 (eq_tail_select_pulse_9),
3266 .eq_tail_select_pulse_9_out (default_grp_eq_tail_select_pulse_9),
3267 .eq_tail_select_pulse_10 (eq_tail_select_pulse_10),
3268 .eq_tail_select_pulse_10_out (default_grp_eq_tail_select_pulse_10),
3269 .eq_tail_select_pulse_11 (eq_tail_select_pulse_11),
3270 .eq_tail_select_pulse_11_out (default_grp_eq_tail_select_pulse_11),
3271 .eq_tail_select_pulse_12 (eq_tail_select_pulse_12),
3272 .eq_tail_select_pulse_12_out (default_grp_eq_tail_select_pulse_12),
3273 .eq_tail_select_pulse_13 (eq_tail_select_pulse_13),
3274 .eq_tail_select_pulse_13_out (default_grp_eq_tail_select_pulse_13),
3275 .eq_tail_select_pulse_14 (eq_tail_select_pulse_14),
3276 .eq_tail_select_pulse_14_out (default_grp_eq_tail_select_pulse_14),
3277 .eq_tail_select_pulse_15 (eq_tail_select_pulse_15),
3278 .eq_tail_select_pulse_15_out (default_grp_eq_tail_select_pulse_15),
3279 .eq_tail_select_pulse_16 (eq_tail_select_pulse_16),
3280 .eq_tail_select_pulse_16_out (default_grp_eq_tail_select_pulse_16),
3281 .eq_tail_select_pulse_17 (eq_tail_select_pulse_17),
3282 .eq_tail_select_pulse_17_out (default_grp_eq_tail_select_pulse_17),
3283 .eq_tail_select_pulse_18 (eq_tail_select_pulse_18),
3284 .eq_tail_select_pulse_18_out (default_grp_eq_tail_select_pulse_18),
3285 .eq_tail_select_pulse_19 (eq_tail_select_pulse_19),
3286 .eq_tail_select_pulse_19_out (default_grp_eq_tail_select_pulse_19),
3287 .eq_tail_select_pulse_20 (eq_tail_select_pulse_20),
3288 .eq_tail_select_pulse_20_out (default_grp_eq_tail_select_pulse_20),
3289 .eq_tail_select_pulse_21 (eq_tail_select_pulse_21),
3290 .eq_tail_select_pulse_21_out (default_grp_eq_tail_select_pulse_21),
3291 .eq_tail_select_pulse_22 (eq_tail_select_pulse_22),
3292 .eq_tail_select_pulse_22_out (default_grp_eq_tail_select_pulse_22),
3293 .eq_tail_select_pulse_23 (eq_tail_select_pulse_23),
3294 .eq_tail_select_pulse_23_out (default_grp_eq_tail_select_pulse_23),
3295 .eq_tail_select_pulse_24 (eq_tail_select_pulse_24),
3296 .eq_tail_select_pulse_24_out (default_grp_eq_tail_select_pulse_24),
3297 .eq_tail_select_pulse_25 (eq_tail_select_pulse_25),
3298 .eq_tail_select_pulse_25_out (default_grp_eq_tail_select_pulse_25),
3299 .eq_tail_select_pulse_26 (eq_tail_select_pulse_26),
3300 .eq_tail_select_pulse_26_out (default_grp_eq_tail_select_pulse_26),
3301 .eq_tail_select_pulse_27 (eq_tail_select_pulse_27),
3302 .eq_tail_select_pulse_27_out (default_grp_eq_tail_select_pulse_27),
3303 .eq_tail_select_pulse_28 (eq_tail_select_pulse_28),
3304 .eq_tail_select_pulse_28_out (default_grp_eq_tail_select_pulse_28),
3305 .eq_tail_select_pulse_29 (eq_tail_select_pulse_29),
3306 .eq_tail_select_pulse_29_out (default_grp_eq_tail_select_pulse_29),
3307 .eq_tail_select_pulse_30 (eq_tail_select_pulse_30),
3308 .eq_tail_select_pulse_30_out (default_grp_eq_tail_select_pulse_30),
3309 .eq_tail_select_pulse_31 (eq_tail_select_pulse_31),
3310 .eq_tail_select_pulse_31_out (default_grp_eq_tail_select_pulse_31),
3311 .eq_tail_select_pulse_32 (eq_tail_select_pulse_32),
3312 .eq_tail_select_pulse_32_out (default_grp_eq_tail_select_pulse_32),
3313 .eq_tail_select_pulse_33 (eq_tail_select_pulse_33),
3314 .eq_tail_select_pulse_33_out (default_grp_eq_tail_select_pulse_33),
3315 .eq_tail_select_pulse_34 (eq_tail_select_pulse_34),
3316 .eq_tail_select_pulse_34_out (default_grp_eq_tail_select_pulse_34),
3317 .eq_tail_select_pulse_35 (eq_tail_select_pulse_35),
3318 .eq_tail_select_pulse_35_out (default_grp_eq_tail_select_pulse_35),
3319 .eq_head_select_pulse_0 (eq_head_select_pulse_0),
3320 .eq_head_select_pulse_0_out (default_grp_eq_head_select_pulse_0),
3321 .eq_head_select_pulse_1 (eq_head_select_pulse_1),
3322 .eq_head_select_pulse_1_out (default_grp_eq_head_select_pulse_1),
3323 .eq_head_select_pulse_2 (eq_head_select_pulse_2),
3324 .eq_head_select_pulse_2_out (default_grp_eq_head_select_pulse_2),
3325 .eq_head_select_pulse_3 (eq_head_select_pulse_3),
3326 .eq_head_select_pulse_3_out (default_grp_eq_head_select_pulse_3),
3327 .eq_head_select_pulse_4 (eq_head_select_pulse_4),
3328 .eq_head_select_pulse_4_out (default_grp_eq_head_select_pulse_4),
3329 .eq_head_select_pulse_5 (eq_head_select_pulse_5),
3330 .eq_head_select_pulse_5_out (default_grp_eq_head_select_pulse_5),
3331 .eq_head_select_pulse_6 (eq_head_select_pulse_6),
3332 .eq_head_select_pulse_6_out (default_grp_eq_head_select_pulse_6),
3333 .eq_head_select_pulse_7 (eq_head_select_pulse_7),
3334 .eq_head_select_pulse_7_out (default_grp_eq_head_select_pulse_7),
3335 .eq_head_select_pulse_8 (eq_head_select_pulse_8),
3336 .eq_head_select_pulse_8_out (default_grp_eq_head_select_pulse_8),
3337 .eq_head_select_pulse_9 (eq_head_select_pulse_9),
3338 .eq_head_select_pulse_9_out (default_grp_eq_head_select_pulse_9),
3339 .eq_head_select_pulse_10 (eq_head_select_pulse_10),
3340 .eq_head_select_pulse_10_out (default_grp_eq_head_select_pulse_10),
3341 .eq_head_select_pulse_11 (eq_head_select_pulse_11),
3342 .eq_head_select_pulse_11_out (default_grp_eq_head_select_pulse_11),
3343 .eq_head_select_pulse_12 (eq_head_select_pulse_12),
3344 .eq_head_select_pulse_12_out (default_grp_eq_head_select_pulse_12),
3345 .eq_head_select_pulse_13 (eq_head_select_pulse_13),
3346 .eq_head_select_pulse_13_out (default_grp_eq_head_select_pulse_13),
3347 .eq_head_select_pulse_14 (eq_head_select_pulse_14),
3348 .eq_head_select_pulse_14_out (default_grp_eq_head_select_pulse_14),
3349 .eq_head_select_pulse_15 (eq_head_select_pulse_15),
3350 .eq_head_select_pulse_15_out (default_grp_eq_head_select_pulse_15),
3351 .eq_head_select_pulse_16 (eq_head_select_pulse_16),
3352 .eq_head_select_pulse_16_out (default_grp_eq_head_select_pulse_16),
3353 .eq_head_select_pulse_17 (eq_head_select_pulse_17),
3354 .eq_head_select_pulse_17_out (default_grp_eq_head_select_pulse_17),
3355 .eq_head_select_pulse_18 (eq_head_select_pulse_18),
3356 .eq_head_select_pulse_18_out (default_grp_eq_head_select_pulse_18),
3357 .eq_head_select_pulse_19 (eq_head_select_pulse_19),
3358 .eq_head_select_pulse_19_out (default_grp_eq_head_select_pulse_19),
3359 .eq_head_select_pulse_20 (eq_head_select_pulse_20),
3360 .eq_head_select_pulse_20_out (default_grp_eq_head_select_pulse_20),
3361 .eq_head_select_pulse_21 (eq_head_select_pulse_21),
3362 .eq_head_select_pulse_21_out (default_grp_eq_head_select_pulse_21),
3363 .eq_head_select_pulse_22 (eq_head_select_pulse_22),
3364 .eq_head_select_pulse_22_out (default_grp_eq_head_select_pulse_22),
3365 .eq_head_select_pulse_23 (eq_head_select_pulse_23),
3366 .eq_head_select_pulse_23_out (default_grp_eq_head_select_pulse_23),
3367 .eq_head_select_pulse_24 (eq_head_select_pulse_24),
3368 .eq_head_select_pulse_24_out (default_grp_eq_head_select_pulse_24),
3369 .eq_head_select_pulse_25 (eq_head_select_pulse_25),
3370 .eq_head_select_pulse_25_out (default_grp_eq_head_select_pulse_25),
3371 .eq_head_select_pulse_26 (eq_head_select_pulse_26),
3372 .eq_head_select_pulse_26_out (default_grp_eq_head_select_pulse_26),
3373 .eq_head_select_pulse_27 (eq_head_select_pulse_27),
3374 .eq_head_select_pulse_27_out (default_grp_eq_head_select_pulse_27),
3375 .eq_head_select_pulse_28 (eq_head_select_pulse_28),
3376 .eq_head_select_pulse_28_out (default_grp_eq_head_select_pulse_28),
3377 .eq_head_select_pulse_29 (eq_head_select_pulse_29),
3378 .eq_head_select_pulse_29_out (default_grp_eq_head_select_pulse_29),
3379 .eq_head_select_pulse_30 (eq_head_select_pulse_30),
3380 .eq_head_select_pulse_30_out (default_grp_eq_head_select_pulse_30),
3381 .eq_head_select_pulse_31 (eq_head_select_pulse_31),
3382 .eq_head_select_pulse_31_out (default_grp_eq_head_select_pulse_31),
3383 .eq_head_select_pulse_32 (eq_head_select_pulse_32),
3384 .eq_head_select_pulse_32_out (default_grp_eq_head_select_pulse_32),
3385 .eq_head_select_pulse_33 (eq_head_select_pulse_33),
3386 .eq_head_select_pulse_33_out (default_grp_eq_head_select_pulse_33),
3387 .eq_head_select_pulse_34 (eq_head_select_pulse_34),
3388 .eq_head_select_pulse_34_out (default_grp_eq_head_select_pulse_34),
3389 .eq_head_select_pulse_35 (eq_head_select_pulse_35),
3390 .eq_head_select_pulse_35_out (default_grp_eq_head_select_pulse_35),
3391 .daemon_csrbus_wr_in (daemon_csrbus_wr),
3392 .daemon_csrbus_wr_out (stage_mux_only_daemon_csrbus_wr),
3393 .daemon_csrbus_wr_data_in (daemon_csrbus_wr_data),
3394 .daemon_csrbus_wr_data_out (stage_mux_only_daemon_csrbus_wr_data),
3395 .read_data_0_out (stage_mux_only_read_data_0_out),
3396 .rst_l (rst_l),
3397 .rst_l_out (stage_mux_only_rst_l)
3398 );
3399
3400//----- OUTPUT: csrbus_read_data
3401assign csrbus_read_data = stage_mux_only_read_data_0_out;
3402
3403endmodule // dmu_imu_eqs_csr