Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / niu / rtl / fflp_CRC32_D64.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: fflp_CRC32_D64.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35
36module fflp_CRC32_D64 (Data, CRC, NewCRC);
37
38 // polynomial: p(0 to 32) := "100000101111011000111011011110001"
39 // data width: 64
40 // convention: the first serial data bit is D[63]
41 // function [31:0] nextCRC32_D64;
42
43 input [63:0] Data;
44 input [31:0] CRC;
45
46 output [31:0] NewCRC;
47
48 wire [63:0] D;
49 wire [31:0] C;
50 wire [31:0] NewCRC;
51
52
53assign D = Data;
54assign C = CRC;
55
56assign NewCRC[0] = D[62] ^ D[59] ^ D[54] ^ D[53] ^ D[51] ^ D[48] ^ D[47] ^
57 D[46] ^ D[45] ^ D[43] ^ D[42] ^ D[37] ^ D[36] ^ D[35] ^
58 D[31] ^ D[30] ^ D[28] ^ D[27] ^ D[26] ^ D[25] ^ D[23] ^
59 D[21] ^ D[18] ^ D[17] ^ D[16] ^ D[12] ^ D[9] ^ D[8] ^
60 D[7] ^ D[6] ^ D[5] ^ D[4] ^ D[0] ^ C[3] ^ C[4] ^ C[5] ^
61 C[10] ^ C[11] ^ C[13] ^ C[14] ^ C[15] ^ C[16] ^ C[19] ^
62 C[21] ^ C[22] ^ C[27] ^ C[30];
63assign NewCRC[1] = D[63] ^ D[60] ^ D[55] ^ D[54] ^ D[52] ^ D[49] ^ D[48] ^
64 D[47] ^ D[46] ^ D[44] ^ D[43] ^ D[38] ^ D[37] ^ D[36] ^
65 D[32] ^ D[31] ^ D[29] ^ D[28] ^ D[27] ^ D[26] ^ D[24] ^
66 D[22] ^ D[19] ^ D[18] ^ D[17] ^ D[13] ^ D[10] ^ D[9] ^
67 D[8] ^ D[7] ^ D[6] ^ D[5] ^ D[1] ^ C[0] ^ C[4] ^ C[5] ^
68 C[6] ^ C[11] ^ C[12] ^ C[14] ^ C[15] ^ C[16] ^ C[17] ^
69 C[20] ^ C[22] ^ C[23] ^ C[28] ^ C[31];
70assign NewCRC[2] = D[61] ^ D[56] ^ D[55] ^ D[53] ^ D[50] ^ D[49] ^ D[48] ^
71 D[47] ^ D[45] ^ D[44] ^ D[39] ^ D[38] ^ D[37] ^ D[33] ^
72 D[32] ^ D[30] ^ D[29] ^ D[28] ^ D[27] ^ D[25] ^ D[23] ^
73 D[20] ^ D[19] ^ D[18] ^ D[14] ^ D[11] ^ D[10] ^ D[9] ^
74 D[8] ^ D[7] ^ D[6] ^ D[2] ^ C[0] ^ C[1] ^ C[5] ^ C[6] ^
75 C[7] ^ C[12] ^ C[13] ^ C[15] ^ C[16] ^ C[17] ^ C[18] ^
76 C[21] ^ C[23] ^ C[24] ^ C[29];
77assign NewCRC[3] = D[62] ^ D[57] ^ D[56] ^ D[54] ^ D[51] ^ D[50] ^ D[49] ^
78 D[48] ^ D[46] ^ D[45] ^ D[40] ^ D[39] ^ D[38] ^ D[34] ^
79 D[33] ^ D[31] ^ D[30] ^ D[29] ^ D[28] ^ D[26] ^ D[24] ^
80 D[21] ^ D[20] ^ D[19] ^ D[15] ^ D[12] ^ D[11] ^ D[10] ^
81 D[9] ^ D[8] ^ D[7] ^ D[3] ^ C[1] ^ C[2] ^ C[6] ^ C[7] ^
82 C[8] ^ C[13] ^ C[14] ^ C[16] ^ C[17] ^ C[18] ^ C[19] ^
83 C[22] ^ C[24] ^ C[25] ^ C[30];
84assign NewCRC[4] = D[63] ^ D[58] ^ D[57] ^ D[55] ^ D[52] ^ D[51] ^ D[50] ^
85 D[49] ^ D[47] ^ D[46] ^ D[41] ^ D[40] ^ D[39] ^ D[35] ^
86 D[34] ^ D[32] ^ D[31] ^ D[30] ^ D[29] ^ D[27] ^ D[25] ^
87 D[22] ^ D[21] ^ D[20] ^ D[16] ^ D[13] ^ D[12] ^ D[11] ^
88 D[10] ^ D[9] ^ D[8] ^ D[4] ^ C[0] ^ C[2] ^ C[3] ^ C[7] ^
89 C[8] ^ C[9] ^ C[14] ^ C[15] ^ C[17] ^ C[18] ^ C[19] ^
90 C[20] ^ C[23] ^ C[25] ^ C[26] ^ C[31];
91assign NewCRC[5] = D[59] ^ D[58] ^ D[56] ^ D[53] ^ D[52] ^ D[51] ^ D[50] ^
92 D[48] ^ D[47] ^ D[42] ^ D[41] ^ D[40] ^ D[36] ^ D[35] ^
93 D[33] ^ D[32] ^ D[31] ^ D[30] ^ D[28] ^ D[26] ^ D[23] ^
94 D[22] ^ D[21] ^ D[17] ^ D[14] ^ D[13] ^ D[12] ^ D[11] ^
95 D[10] ^ D[9] ^ D[5] ^ C[0] ^ C[1] ^ C[3] ^ C[4] ^ C[8] ^
96 C[9] ^ C[10] ^ C[15] ^ C[16] ^ C[18] ^ C[19] ^ C[20] ^
97 C[21] ^ C[24] ^ C[26] ^ C[27];
98assign NewCRC[6] = D[62] ^ D[60] ^ D[57] ^ D[52] ^ D[49] ^ D[47] ^ D[46] ^
99 D[45] ^ D[41] ^ D[35] ^ D[34] ^ D[33] ^ D[32] ^ D[30] ^
100 D[29] ^ D[28] ^ D[26] ^ D[25] ^ D[24] ^ D[22] ^ D[21] ^
101 D[17] ^ D[16] ^ D[15] ^ D[14] ^ D[13] ^ D[11] ^ D[10] ^
102 D[9] ^ D[8] ^ D[7] ^ D[5] ^ D[4] ^ D[0] ^ C[0] ^ C[1] ^
103 C[2] ^ C[3] ^ C[9] ^ C[13] ^ C[14] ^ C[15] ^ C[17] ^
104 C[20] ^ C[25] ^ C[28] ^ C[30];
105assign NewCRC[7] = D[63] ^ D[61] ^ D[58] ^ D[53] ^ D[50] ^ D[48] ^ D[47] ^
106 D[46] ^ D[42] ^ D[36] ^ D[35] ^ D[34] ^ D[33] ^ D[31] ^
107 D[30] ^ D[29] ^ D[27] ^ D[26] ^ D[25] ^ D[23] ^ D[22] ^
108 D[18] ^ D[17] ^ D[16] ^ D[15] ^ D[14] ^ D[12] ^ D[11] ^
109 D[10] ^ D[9] ^ D[8] ^ D[6] ^ D[5] ^ D[1] ^ C[1] ^ C[2] ^
110 C[3] ^ C[4] ^ C[10] ^ C[14] ^ C[15] ^ C[16] ^ C[18] ^
111 C[21] ^ C[26] ^ C[29] ^ C[31];
112assign NewCRC[8] = D[53] ^ D[49] ^ D[46] ^ D[45] ^ D[42] ^ D[34] ^ D[32] ^
113 D[25] ^ D[24] ^ D[21] ^ D[19] ^ D[15] ^ D[13] ^ D[11] ^
114 D[10] ^ D[8] ^ D[5] ^ D[4] ^ D[2] ^ D[0] ^ C[0] ^ C[2] ^
115 C[10] ^ C[13] ^ C[14] ^ C[17] ^ C[21];
116assign NewCRC[9] = D[62] ^ D[59] ^ D[53] ^ D[51] ^ D[50] ^ D[48] ^ D[45] ^
117 D[42] ^ D[37] ^ D[36] ^ D[33] ^ D[31] ^ D[30] ^ D[28] ^
118 D[27] ^ D[23] ^ D[22] ^ D[21] ^ D[20] ^ D[18] ^ D[17] ^
119 D[14] ^ D[11] ^ D[8] ^ D[7] ^ D[4] ^ D[3] ^ D[1] ^
120 D[0] ^ C[1] ^ C[4] ^ C[5] ^ C[10] ^ C[13] ^ C[16] ^
121 C[18] ^ C[19] ^ C[21] ^ C[27] ^ C[30];
122assign NewCRC[10] = D[63] ^ D[62] ^ D[60] ^ D[59] ^ D[53] ^ D[52] ^ D[49] ^
123 D[48] ^ D[47] ^ D[45] ^ D[42] ^ D[38] ^ D[36] ^ D[35] ^
124 D[34] ^ D[32] ^ D[30] ^ D[29] ^ D[27] ^ D[26] ^ D[25] ^
125 D[24] ^ D[22] ^ D[19] ^ D[17] ^ D[16] ^ D[15] ^ D[7] ^
126 D[6] ^ D[2] ^ D[1] ^ D[0] ^ C[0] ^ C[2] ^ C[3] ^ C[4] ^
127 C[6] ^ C[10] ^ C[13] ^ C[15] ^ C[16] ^ C[17] ^ C[20] ^
128 C[21] ^ C[27] ^ C[28] ^ C[30] ^ C[31];
129assign NewCRC[11] = D[63] ^ D[62] ^ D[61] ^ D[60] ^ D[59] ^ D[51] ^ D[50] ^
130 D[49] ^ D[47] ^ D[45] ^ D[42] ^ D[39] ^ D[33] ^ D[21] ^
131 D[20] ^ D[12] ^ D[9] ^ D[6] ^ D[5] ^ D[4] ^ D[3] ^
132 D[2] ^ D[1] ^ D[0] ^ C[1] ^ C[7] ^ C[10] ^ C[13] ^
133 C[15] ^ C[17] ^ C[18] ^ C[19] ^ C[27] ^ C[28] ^ C[29] ^
134 C[30] ^ C[31];
135assign NewCRC[12] = D[63] ^ D[62] ^ D[61] ^ D[60] ^ D[52] ^ D[51] ^ D[50] ^
136 D[48] ^ D[46] ^ D[43] ^ D[40] ^ D[34] ^ D[22] ^ D[21] ^
137 D[13] ^ D[10] ^ D[7] ^ D[6] ^ D[5] ^ D[4] ^ D[3] ^
138 D[2] ^ D[1] ^ C[2] ^ C[8] ^ C[11] ^ C[14] ^ C[16] ^
139 C[18] ^ C[19] ^ C[20] ^ C[28] ^ C[29] ^ C[30] ^ C[31];
140assign NewCRC[13] = D[63] ^ D[61] ^ D[59] ^ D[54] ^ D[52] ^ D[49] ^ D[48] ^
141 D[46] ^ D[45] ^ D[44] ^ D[43] ^ D[42] ^ D[41] ^ D[37] ^
142 D[36] ^ D[31] ^ D[30] ^ D[28] ^ D[27] ^ D[26] ^ D[25] ^
143 D[22] ^ D[21] ^ D[18] ^ D[17] ^ D[16] ^ D[14] ^ D[12] ^
144 D[11] ^ D[9] ^ D[3] ^ D[2] ^ D[0] ^ C[4] ^ C[5] ^ C[9] ^
145 C[10] ^ C[11] ^ C[12] ^ C[13] ^ C[14] ^ C[16] ^ C[17] ^
146 C[20] ^ C[22] ^ C[27] ^ C[29] ^ C[31];
147assign NewCRC[14] = D[60] ^ D[59] ^ D[55] ^ D[54] ^ D[51] ^ D[50] ^ D[49] ^
148 D[48] ^ D[44] ^ D[38] ^ D[36] ^ D[35] ^ D[32] ^ D[30] ^
149 D[29] ^ D[25] ^ D[22] ^ D[21] ^ D[19] ^ D[16] ^ D[15] ^
150 D[13] ^ D[10] ^ D[9] ^ D[8] ^ D[7] ^ D[6] ^ D[5] ^
151 D[3] ^ D[1] ^ D[0] ^ C[0] ^ C[3] ^ C[4] ^ C[6] ^ C[12] ^
152 C[16] ^ C[17] ^ C[18] ^ C[19] ^ C[22] ^ C[23] ^ C[27] ^
153 C[28];
154assign NewCRC[15] = D[61] ^ D[60] ^ D[56] ^ D[55] ^ D[52] ^ D[51] ^ D[50] ^
155 D[49] ^ D[45] ^ D[39] ^ D[37] ^ D[36] ^ D[33] ^ D[31] ^
156 D[30] ^ D[26] ^ D[23] ^ D[22] ^ D[20] ^ D[17] ^ D[16] ^
157 D[14] ^ D[11] ^ D[10] ^ D[9] ^ D[8] ^ D[7] ^ D[6] ^
158 D[4] ^ D[2] ^ D[1] ^ C[1] ^ C[4] ^ C[5] ^ C[7] ^ C[13] ^
159 C[17] ^ C[18] ^ C[19] ^ C[20] ^ C[23] ^ C[24] ^ C[28] ^
160 C[29];
161assign NewCRC[16] = D[62] ^ D[61] ^ D[57] ^ D[56] ^ D[53] ^ D[52] ^ D[51] ^
162 D[50] ^ D[46] ^ D[40] ^ D[38] ^ D[37] ^ D[34] ^ D[32] ^
163 D[31] ^ D[27] ^ D[24] ^ D[23] ^ D[21] ^ D[18] ^ D[17] ^
164 D[15] ^ D[12] ^ D[11] ^ D[10] ^ D[9] ^ D[8] ^ D[7] ^
165 D[5] ^ D[3] ^ D[2] ^ C[0] ^ C[2] ^ C[5] ^ C[6] ^ C[8] ^
166 C[14] ^ C[18] ^ C[19] ^ C[20] ^ C[21] ^ C[24] ^ C[25] ^
167 C[29] ^ C[30];
168assign NewCRC[17] = D[63] ^ D[62] ^ D[58] ^ D[57] ^ D[54] ^ D[53] ^ D[52] ^
169 D[51] ^ D[47] ^ D[41] ^ D[39] ^ D[38] ^ D[35] ^ D[33] ^
170 D[32] ^ D[28] ^ D[25] ^ D[24] ^ D[22] ^ D[19] ^ D[18] ^
171 D[16] ^ D[13] ^ D[12] ^ D[11] ^ D[10] ^ D[9] ^ D[8] ^
172 D[6] ^ D[4] ^ D[3] ^ C[0] ^ C[1] ^ C[3] ^ C[6] ^ C[7] ^
173 C[9] ^ C[15] ^ C[19] ^ C[20] ^ C[21] ^ C[22] ^ C[25] ^
174 C[26] ^ C[30] ^ C[31];
175assign NewCRC[18] = D[63] ^ D[62] ^ D[58] ^ D[55] ^ D[52] ^ D[51] ^ D[47] ^
176 D[46] ^ D[45] ^ D[43] ^ D[40] ^ D[39] ^ D[37] ^ D[35] ^
177 D[34] ^ D[33] ^ D[31] ^ D[30] ^ D[29] ^ D[28] ^ D[27] ^
178 D[21] ^ D[20] ^ D[19] ^ D[18] ^ D[16] ^ D[14] ^ D[13] ^
179 D[11] ^ D[10] ^ D[8] ^ D[6] ^ D[0] ^ C[1] ^ C[2] ^
180 C[3] ^ C[5] ^ C[7] ^ C[8] ^ C[11] ^ C[13] ^ C[14] ^
181 C[15] ^ C[19] ^ C[20] ^ C[23] ^ C[26] ^ C[30] ^ C[31];
182assign NewCRC[19] = D[63] ^ D[62] ^ D[56] ^ D[54] ^ D[52] ^ D[51] ^ D[45] ^
183 D[44] ^ D[43] ^ D[42] ^ D[41] ^ D[40] ^ D[38] ^ D[37] ^
184 D[34] ^ D[32] ^ D[29] ^ D[27] ^ D[26] ^ D[25] ^ D[23] ^
185 D[22] ^ D[20] ^ D[19] ^ D[18] ^ D[16] ^ D[15] ^ D[14] ^
186 D[11] ^ D[8] ^ D[6] ^ D[5] ^ D[4] ^ D[1] ^ D[0] ^ C[0] ^
187 C[2] ^ C[5] ^ C[6] ^ C[8] ^ C[9] ^ C[10] ^ C[11] ^
188 C[12] ^ C[13] ^ C[19] ^ C[20] ^ C[22] ^ C[24] ^ C[30] ^
189 C[31];
190assign NewCRC[20] = D[63] ^ D[62] ^ D[59] ^ D[57] ^ D[55] ^ D[54] ^ D[52] ^
191 D[51] ^ D[48] ^ D[47] ^ D[44] ^ D[41] ^ D[39] ^ D[38] ^
192 D[37] ^ D[36] ^ D[33] ^ D[31] ^ D[25] ^ D[24] ^ D[20] ^
193 D[19] ^ D[18] ^ D[15] ^ D[8] ^ D[4] ^ D[2] ^ D[1] ^
194 D[0] ^ C[1] ^ C[4] ^ C[5] ^ C[6] ^ C[7] ^ C[9] ^ C[12] ^
195 C[15] ^ C[16] ^ C[19] ^ C[20] ^ C[22] ^ C[23] ^ C[25] ^
196 C[27] ^ C[30] ^ C[31];
197assign NewCRC[21] = D[63] ^ D[60] ^ D[58] ^ D[56] ^ D[55] ^ D[53] ^ D[52] ^
198 D[49] ^ D[48] ^ D[45] ^ D[42] ^ D[40] ^ D[39] ^ D[38] ^
199 D[37] ^ D[34] ^ D[32] ^ D[26] ^ D[25] ^ D[21] ^ D[20] ^
200 D[19] ^ D[16] ^ D[9] ^ D[5] ^ D[3] ^ D[2] ^ D[1] ^
201 C[0] ^ C[2] ^ C[5] ^ C[6] ^ C[7] ^ C[8] ^ C[10] ^ C[13] ^
202 C[16] ^ C[17] ^ C[20] ^ C[21] ^ C[23] ^ C[24] ^ C[26] ^
203 C[28] ^ C[31];
204assign NewCRC[22] = D[62] ^ D[61] ^ D[57] ^ D[56] ^ D[51] ^ D[50] ^ D[49] ^
205 D[48] ^ D[47] ^ D[45] ^ D[42] ^ D[41] ^ D[40] ^ D[39] ^
206 D[38] ^ D[37] ^ D[36] ^ D[33] ^ D[31] ^ D[30] ^ D[28] ^
207 D[25] ^ D[23] ^ D[22] ^ D[20] ^ D[18] ^ D[16] ^ D[12] ^
208 D[10] ^ D[9] ^ D[8] ^ D[7] ^ D[5] ^ D[3] ^ D[2] ^ D[0] ^
209 C[1] ^ C[4] ^ C[5] ^ C[6] ^ C[7] ^ C[8] ^ C[9] ^ C[10] ^
210 C[13] ^ C[15] ^ C[16] ^ C[17] ^ C[18] ^ C[19] ^ C[24] ^
211 C[25] ^ C[29] ^ C[30];
212assign NewCRC[23] = D[63] ^ D[59] ^ D[58] ^ D[57] ^ D[54] ^ D[53] ^ D[52] ^
213 D[50] ^ D[49] ^ D[47] ^ D[45] ^ D[41] ^ D[40] ^ D[39] ^
214 D[38] ^ D[36] ^ D[35] ^ D[34] ^ D[32] ^ D[30] ^ D[29] ^
215 D[28] ^ D[27] ^ D[25] ^ D[24] ^ D[19] ^ D[18] ^ D[16] ^
216 D[13] ^ D[12] ^ D[11] ^ D[10] ^ D[7] ^ D[5] ^ D[3] ^
217 D[1] ^ D[0] ^ C[0] ^ C[2] ^ C[3] ^ C[4] ^ C[6] ^ C[7] ^
218 C[8] ^ C[9] ^ C[13] ^ C[15] ^ C[17] ^ C[18] ^ C[20] ^
219 C[21] ^ C[22] ^ C[25] ^ C[26] ^ C[27] ^ C[31];
220assign NewCRC[24] = D[60] ^ D[59] ^ D[58] ^ D[55] ^ D[54] ^ D[53] ^ D[51] ^
221 D[50] ^ D[48] ^ D[46] ^ D[42] ^ D[41] ^ D[40] ^ D[39] ^
222 D[37] ^ D[36] ^ D[35] ^ D[33] ^ D[31] ^ D[30] ^ D[29] ^
223 D[28] ^ D[26] ^ D[25] ^ D[20] ^ D[19] ^ D[17] ^ D[14] ^
224 D[13] ^ D[12] ^ D[11] ^ D[8] ^ D[6] ^ D[4] ^ D[2] ^
225 D[1] ^ C[1] ^ C[3] ^ C[4] ^ C[5] ^ C[7] ^ C[8] ^ C[9] ^
226 C[10] ^ C[14] ^ C[16] ^ C[18] ^ C[19] ^ C[21] ^ C[22] ^
227 C[23] ^ C[26] ^ C[27] ^ C[28];
228assign NewCRC[25] = D[62] ^ D[61] ^ D[60] ^ D[56] ^ D[55] ^ D[53] ^ D[52] ^
229 D[49] ^ D[48] ^ D[46] ^ D[45] ^ D[41] ^ D[40] ^ D[38] ^
230 D[35] ^ D[34] ^ D[32] ^ D[29] ^ D[28] ^ D[25] ^ D[23] ^
231 D[20] ^ D[17] ^ D[16] ^ D[15] ^ D[14] ^ D[13] ^ D[8] ^
232 D[6] ^ D[4] ^ D[3] ^ D[2] ^ D[0] ^ C[0] ^ C[2] ^ C[3] ^
233 C[6] ^ C[8] ^ C[9] ^ C[13] ^ C[14] ^ C[16] ^ C[17] ^
234 C[20] ^ C[21] ^ C[23] ^ C[24] ^ C[28] ^ C[29] ^ C[30];
235assign NewCRC[26] = D[63] ^ D[61] ^ D[59] ^ D[57] ^ D[56] ^ D[51] ^ D[50] ^
236 D[49] ^ D[48] ^ D[45] ^ D[43] ^ D[41] ^ D[39] ^ D[37] ^
237 D[33] ^ D[31] ^ D[29] ^ D[28] ^ D[27] ^ D[25] ^ D[24] ^
238 D[23] ^ D[15] ^ D[14] ^ D[12] ^ D[8] ^ D[6] ^ D[3] ^
239 D[1] ^ D[0] ^ C[1] ^ C[5] ^ C[7] ^ C[9] ^ C[11] ^ C[13] ^
240 C[16] ^ C[17] ^ C[18] ^ C[19] ^ C[24] ^ C[25] ^ C[27] ^
241 C[29] ^ C[31];
242assign NewCRC[27] = D[60] ^ D[59] ^ D[58] ^ D[57] ^ D[54] ^ D[53] ^ D[52] ^
243 D[50] ^ D[49] ^ D[48] ^ D[47] ^ D[45] ^ D[44] ^ D[43] ^
244 D[40] ^ D[38] ^ D[37] ^ D[36] ^ D[35] ^ D[34] ^ D[32] ^
245 D[31] ^ D[29] ^ D[27] ^ D[24] ^ D[23] ^ D[21] ^ D[18] ^
246 D[17] ^ D[15] ^ D[13] ^ D[12] ^ D[8] ^ D[6] ^ D[5] ^
247 D[2] ^ D[1] ^ D[0] ^ C[0] ^ C[2] ^ C[3] ^ C[4] ^ C[5] ^
248 C[6] ^ C[8] ^ C[11] ^ C[12] ^ C[13] ^ C[15] ^ C[16] ^
249 C[17] ^ C[18] ^ C[20] ^ C[21] ^ C[22] ^ C[25] ^ C[26] ^
250 C[27] ^ C[28];
251assign NewCRC[28] = D[62] ^ D[61] ^ D[60] ^ D[58] ^ D[55] ^ D[50] ^ D[49] ^
252 D[47] ^ D[44] ^ D[43] ^ D[42] ^ D[41] ^ D[39] ^ D[38] ^
253 D[33] ^ D[32] ^ D[31] ^ D[27] ^ D[26] ^ D[24] ^ D[23] ^
254 D[22] ^ D[21] ^ D[19] ^ D[17] ^ D[14] ^ D[13] ^ D[12] ^
255 D[8] ^ D[5] ^ D[4] ^ D[3] ^ D[2] ^ D[1] ^ D[0] ^ C[0] ^
256 C[1] ^ C[6] ^ C[7] ^ C[9] ^ C[10] ^ C[11] ^ C[12] ^
257 C[15] ^ C[17] ^ C[18] ^ C[23] ^ C[26] ^ C[28] ^ C[29] ^
258 C[30];
259assign NewCRC[29] = D[63] ^ D[62] ^ D[61] ^ D[59] ^ D[56] ^ D[51] ^ D[50] ^
260 D[48] ^ D[45] ^ D[44] ^ D[43] ^ D[42] ^ D[40] ^ D[39] ^
261 D[34] ^ D[33] ^ D[32] ^ D[28] ^ D[27] ^ D[25] ^ D[24] ^
262 D[23] ^ D[22] ^ D[20] ^ D[18] ^ D[15] ^ D[14] ^ D[13] ^
263 D[9] ^ D[6] ^ D[5] ^ D[4] ^ D[3] ^ D[2] ^ D[1] ^ C[0] ^
264 C[1] ^ C[2] ^ C[7] ^ C[8] ^ C[10] ^ C[11] ^ C[12] ^
265 C[13] ^ C[16] ^ C[18] ^ C[19] ^ C[24] ^ C[27] ^ C[29] ^
266 C[30] ^ C[31];
267assign NewCRC[30] = D[63] ^ D[62] ^ D[60] ^ D[57] ^ D[52] ^ D[51] ^ D[49] ^
268 D[46] ^ D[45] ^ D[44] ^ D[43] ^ D[41] ^ D[40] ^ D[35] ^
269 D[34] ^ D[33] ^ D[29] ^ D[28] ^ D[26] ^ D[25] ^ D[24] ^
270 D[23] ^ D[21] ^ D[19] ^ D[16] ^ D[15] ^ D[14] ^ D[10] ^
271 D[7] ^ D[6] ^ D[5] ^ D[4] ^ D[3] ^ D[2] ^ C[1] ^ C[2] ^
272 C[3] ^ C[8] ^ C[9] ^ C[11] ^ C[12] ^ C[13] ^ C[14] ^
273 C[17] ^ C[19] ^ C[20] ^ C[25] ^ C[28] ^ C[30] ^ C[31];
274assign NewCRC[31] = D[63] ^ D[61] ^ D[58] ^ D[53] ^ D[52] ^ D[50] ^ D[47] ^
275 D[46] ^ D[45] ^ D[44] ^ D[42] ^ D[41] ^ D[36] ^ D[35] ^
276 D[34] ^ D[30] ^ D[29] ^ D[27] ^ D[26] ^ D[25] ^ D[24] ^
277 D[22] ^ D[20] ^ D[17] ^ D[16] ^ D[15] ^ D[11] ^ D[8] ^
278 D[7] ^ D[6] ^ D[5] ^ D[4] ^ D[3] ^ C[2] ^ C[3] ^ C[4] ^
279 C[9] ^ C[10] ^ C[12] ^ C[13] ^ C[14] ^ C[15] ^ C[18] ^
280 C[20] ^ C[21] ^ C[26] ^ C[29] ^ C[31];
281
282
283endmodule
284