Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / niu / rtl / fflp_CRC32_D64.v
// ========== Copyright Header Begin ==========================================
//
// OpenSPARC T2 Processor File: fflp_CRC32_D64.v
// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
//
// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
//
// This program is free software; you can redistribute it and/or modify
// it under the terms of the GNU General Public License as published by
// the Free Software Foundation; version 2 of the License.
//
// This program is distributed in the hope that it will be useful,
// but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
// GNU General Public License for more details.
//
// You should have received a copy of the GNU General Public License
// along with this program; if not, write to the Free Software
// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
//
// For the avoidance of doubt, and except that if any non-GPL license
// choice is available it will apply instead, Sun elects to use only
// the General Public License version 2 (GPLv2) at this time for any
// software where a choice of GPL license versions is made
// available with the language indicating that GPLv2 or any later version
// may be used, or where a choice of which version of the GPL is applied is
// otherwise unspecified.
//
// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
// CA 95054 USA or visit www.sun.com if you need additional information or
// have any questions.
//
// ========== Copyright Header End ============================================
module fflp_CRC32_D64 (Data, CRC, NewCRC);
// polynomial: p(0 to 32) := "100000101111011000111011011110001"
// data width: 64
// convention: the first serial data bit is D[63]
// function [31:0] nextCRC32_D64;
input [63:0] Data;
input [31:0] CRC;
output [31:0] NewCRC;
wire [63:0] D;
wire [31:0] C;
wire [31:0] NewCRC;
assign D = Data;
assign C = CRC;
assign NewCRC[0] = D[62] ^ D[59] ^ D[54] ^ D[53] ^ D[51] ^ D[48] ^ D[47] ^
D[46] ^ D[45] ^ D[43] ^ D[42] ^ D[37] ^ D[36] ^ D[35] ^
D[31] ^ D[30] ^ D[28] ^ D[27] ^ D[26] ^ D[25] ^ D[23] ^
D[21] ^ D[18] ^ D[17] ^ D[16] ^ D[12] ^ D[9] ^ D[8] ^
D[7] ^ D[6] ^ D[5] ^ D[4] ^ D[0] ^ C[3] ^ C[4] ^ C[5] ^
C[10] ^ C[11] ^ C[13] ^ C[14] ^ C[15] ^ C[16] ^ C[19] ^
C[21] ^ C[22] ^ C[27] ^ C[30];
assign NewCRC[1] = D[63] ^ D[60] ^ D[55] ^ D[54] ^ D[52] ^ D[49] ^ D[48] ^
D[47] ^ D[46] ^ D[44] ^ D[43] ^ D[38] ^ D[37] ^ D[36] ^
D[32] ^ D[31] ^ D[29] ^ D[28] ^ D[27] ^ D[26] ^ D[24] ^
D[22] ^ D[19] ^ D[18] ^ D[17] ^ D[13] ^ D[10] ^ D[9] ^
D[8] ^ D[7] ^ D[6] ^ D[5] ^ D[1] ^ C[0] ^ C[4] ^ C[5] ^
C[6] ^ C[11] ^ C[12] ^ C[14] ^ C[15] ^ C[16] ^ C[17] ^
C[20] ^ C[22] ^ C[23] ^ C[28] ^ C[31];
assign NewCRC[2] = D[61] ^ D[56] ^ D[55] ^ D[53] ^ D[50] ^ D[49] ^ D[48] ^
D[47] ^ D[45] ^ D[44] ^ D[39] ^ D[38] ^ D[37] ^ D[33] ^
D[32] ^ D[30] ^ D[29] ^ D[28] ^ D[27] ^ D[25] ^ D[23] ^
D[20] ^ D[19] ^ D[18] ^ D[14] ^ D[11] ^ D[10] ^ D[9] ^
D[8] ^ D[7] ^ D[6] ^ D[2] ^ C[0] ^ C[1] ^ C[5] ^ C[6] ^
C[7] ^ C[12] ^ C[13] ^ C[15] ^ C[16] ^ C[17] ^ C[18] ^
C[21] ^ C[23] ^ C[24] ^ C[29];
assign NewCRC[3] = D[62] ^ D[57] ^ D[56] ^ D[54] ^ D[51] ^ D[50] ^ D[49] ^
D[48] ^ D[46] ^ D[45] ^ D[40] ^ D[39] ^ D[38] ^ D[34] ^
D[33] ^ D[31] ^ D[30] ^ D[29] ^ D[28] ^ D[26] ^ D[24] ^
D[21] ^ D[20] ^ D[19] ^ D[15] ^ D[12] ^ D[11] ^ D[10] ^
D[9] ^ D[8] ^ D[7] ^ D[3] ^ C[1] ^ C[2] ^ C[6] ^ C[7] ^
C[8] ^ C[13] ^ C[14] ^ C[16] ^ C[17] ^ C[18] ^ C[19] ^
C[22] ^ C[24] ^ C[25] ^ C[30];
assign NewCRC[4] = D[63] ^ D[58] ^ D[57] ^ D[55] ^ D[52] ^ D[51] ^ D[50] ^
D[49] ^ D[47] ^ D[46] ^ D[41] ^ D[40] ^ D[39] ^ D[35] ^
D[34] ^ D[32] ^ D[31] ^ D[30] ^ D[29] ^ D[27] ^ D[25] ^
D[22] ^ D[21] ^ D[20] ^ D[16] ^ D[13] ^ D[12] ^ D[11] ^
D[10] ^ D[9] ^ D[8] ^ D[4] ^ C[0] ^ C[2] ^ C[3] ^ C[7] ^
C[8] ^ C[9] ^ C[14] ^ C[15] ^ C[17] ^ C[18] ^ C[19] ^
C[20] ^ C[23] ^ C[25] ^ C[26] ^ C[31];
assign NewCRC[5] = D[59] ^ D[58] ^ D[56] ^ D[53] ^ D[52] ^ D[51] ^ D[50] ^
D[48] ^ D[47] ^ D[42] ^ D[41] ^ D[40] ^ D[36] ^ D[35] ^
D[33] ^ D[32] ^ D[31] ^ D[30] ^ D[28] ^ D[26] ^ D[23] ^
D[22] ^ D[21] ^ D[17] ^ D[14] ^ D[13] ^ D[12] ^ D[11] ^
D[10] ^ D[9] ^ D[5] ^ C[0] ^ C[1] ^ C[3] ^ C[4] ^ C[8] ^
C[9] ^ C[10] ^ C[15] ^ C[16] ^ C[18] ^ C[19] ^ C[20] ^
C[21] ^ C[24] ^ C[26] ^ C[27];
assign NewCRC[6] = D[62] ^ D[60] ^ D[57] ^ D[52] ^ D[49] ^ D[47] ^ D[46] ^
D[45] ^ D[41] ^ D[35] ^ D[34] ^ D[33] ^ D[32] ^ D[30] ^
D[29] ^ D[28] ^ D[26] ^ D[25] ^ D[24] ^ D[22] ^ D[21] ^
D[17] ^ D[16] ^ D[15] ^ D[14] ^ D[13] ^ D[11] ^ D[10] ^
D[9] ^ D[8] ^ D[7] ^ D[5] ^ D[4] ^ D[0] ^ C[0] ^ C[1] ^
C[2] ^ C[3] ^ C[9] ^ C[13] ^ C[14] ^ C[15] ^ C[17] ^
C[20] ^ C[25] ^ C[28] ^ C[30];
assign NewCRC[7] = D[63] ^ D[61] ^ D[58] ^ D[53] ^ D[50] ^ D[48] ^ D[47] ^
D[46] ^ D[42] ^ D[36] ^ D[35] ^ D[34] ^ D[33] ^ D[31] ^
D[30] ^ D[29] ^ D[27] ^ D[26] ^ D[25] ^ D[23] ^ D[22] ^
D[18] ^ D[17] ^ D[16] ^ D[15] ^ D[14] ^ D[12] ^ D[11] ^
D[10] ^ D[9] ^ D[8] ^ D[6] ^ D[5] ^ D[1] ^ C[1] ^ C[2] ^
C[3] ^ C[4] ^ C[10] ^ C[14] ^ C[15] ^ C[16] ^ C[18] ^
C[21] ^ C[26] ^ C[29] ^ C[31];
assign NewCRC[8] = D[53] ^ D[49] ^ D[46] ^ D[45] ^ D[42] ^ D[34] ^ D[32] ^
D[25] ^ D[24] ^ D[21] ^ D[19] ^ D[15] ^ D[13] ^ D[11] ^
D[10] ^ D[8] ^ D[5] ^ D[4] ^ D[2] ^ D[0] ^ C[0] ^ C[2] ^
C[10] ^ C[13] ^ C[14] ^ C[17] ^ C[21];
assign NewCRC[9] = D[62] ^ D[59] ^ D[53] ^ D[51] ^ D[50] ^ D[48] ^ D[45] ^
D[42] ^ D[37] ^ D[36] ^ D[33] ^ D[31] ^ D[30] ^ D[28] ^
D[27] ^ D[23] ^ D[22] ^ D[21] ^ D[20] ^ D[18] ^ D[17] ^
D[14] ^ D[11] ^ D[8] ^ D[7] ^ D[4] ^ D[3] ^ D[1] ^
D[0] ^ C[1] ^ C[4] ^ C[5] ^ C[10] ^ C[13] ^ C[16] ^
C[18] ^ C[19] ^ C[21] ^ C[27] ^ C[30];
assign NewCRC[10] = D[63] ^ D[62] ^ D[60] ^ D[59] ^ D[53] ^ D[52] ^ D[49] ^
D[48] ^ D[47] ^ D[45] ^ D[42] ^ D[38] ^ D[36] ^ D[35] ^
D[34] ^ D[32] ^ D[30] ^ D[29] ^ D[27] ^ D[26] ^ D[25] ^
D[24] ^ D[22] ^ D[19] ^ D[17] ^ D[16] ^ D[15] ^ D[7] ^
D[6] ^ D[2] ^ D[1] ^ D[0] ^ C[0] ^ C[2] ^ C[3] ^ C[4] ^
C[6] ^ C[10] ^ C[13] ^ C[15] ^ C[16] ^ C[17] ^ C[20] ^
C[21] ^ C[27] ^ C[28] ^ C[30] ^ C[31];
assign NewCRC[11] = D[63] ^ D[62] ^ D[61] ^ D[60] ^ D[59] ^ D[51] ^ D[50] ^
D[49] ^ D[47] ^ D[45] ^ D[42] ^ D[39] ^ D[33] ^ D[21] ^
D[20] ^ D[12] ^ D[9] ^ D[6] ^ D[5] ^ D[4] ^ D[3] ^
D[2] ^ D[1] ^ D[0] ^ C[1] ^ C[7] ^ C[10] ^ C[13] ^
C[15] ^ C[17] ^ C[18] ^ C[19] ^ C[27] ^ C[28] ^ C[29] ^
C[30] ^ C[31];
assign NewCRC[12] = D[63] ^ D[62] ^ D[61] ^ D[60] ^ D[52] ^ D[51] ^ D[50] ^
D[48] ^ D[46] ^ D[43] ^ D[40] ^ D[34] ^ D[22] ^ D[21] ^
D[13] ^ D[10] ^ D[7] ^ D[6] ^ D[5] ^ D[4] ^ D[3] ^
D[2] ^ D[1] ^ C[2] ^ C[8] ^ C[11] ^ C[14] ^ C[16] ^
C[18] ^ C[19] ^ C[20] ^ C[28] ^ C[29] ^ C[30] ^ C[31];
assign NewCRC[13] = D[63] ^ D[61] ^ D[59] ^ D[54] ^ D[52] ^ D[49] ^ D[48] ^
D[46] ^ D[45] ^ D[44] ^ D[43] ^ D[42] ^ D[41] ^ D[37] ^
D[36] ^ D[31] ^ D[30] ^ D[28] ^ D[27] ^ D[26] ^ D[25] ^
D[22] ^ D[21] ^ D[18] ^ D[17] ^ D[16] ^ D[14] ^ D[12] ^
D[11] ^ D[9] ^ D[3] ^ D[2] ^ D[0] ^ C[4] ^ C[5] ^ C[9] ^
C[10] ^ C[11] ^ C[12] ^ C[13] ^ C[14] ^ C[16] ^ C[17] ^
C[20] ^ C[22] ^ C[27] ^ C[29] ^ C[31];
assign NewCRC[14] = D[60] ^ D[59] ^ D[55] ^ D[54] ^ D[51] ^ D[50] ^ D[49] ^
D[48] ^ D[44] ^ D[38] ^ D[36] ^ D[35] ^ D[32] ^ D[30] ^
D[29] ^ D[25] ^ D[22] ^ D[21] ^ D[19] ^ D[16] ^ D[15] ^
D[13] ^ D[10] ^ D[9] ^ D[8] ^ D[7] ^ D[6] ^ D[5] ^
D[3] ^ D[1] ^ D[0] ^ C[0] ^ C[3] ^ C[4] ^ C[6] ^ C[12] ^
C[16] ^ C[17] ^ C[18] ^ C[19] ^ C[22] ^ C[23] ^ C[27] ^
C[28];
assign NewCRC[15] = D[61] ^ D[60] ^ D[56] ^ D[55] ^ D[52] ^ D[51] ^ D[50] ^
D[49] ^ D[45] ^ D[39] ^ D[37] ^ D[36] ^ D[33] ^ D[31] ^
D[30] ^ D[26] ^ D[23] ^ D[22] ^ D[20] ^ D[17] ^ D[16] ^
D[14] ^ D[11] ^ D[10] ^ D[9] ^ D[8] ^ D[7] ^ D[6] ^
D[4] ^ D[2] ^ D[1] ^ C[1] ^ C[4] ^ C[5] ^ C[7] ^ C[13] ^
C[17] ^ C[18] ^ C[19] ^ C[20] ^ C[23] ^ C[24] ^ C[28] ^
C[29];
assign NewCRC[16] = D[62] ^ D[61] ^ D[57] ^ D[56] ^ D[53] ^ D[52] ^ D[51] ^
D[50] ^ D[46] ^ D[40] ^ D[38] ^ D[37] ^ D[34] ^ D[32] ^
D[31] ^ D[27] ^ D[24] ^ D[23] ^ D[21] ^ D[18] ^ D[17] ^
D[15] ^ D[12] ^ D[11] ^ D[10] ^ D[9] ^ D[8] ^ D[7] ^
D[5] ^ D[3] ^ D[2] ^ C[0] ^ C[2] ^ C[5] ^ C[6] ^ C[8] ^
C[14] ^ C[18] ^ C[19] ^ C[20] ^ C[21] ^ C[24] ^ C[25] ^
C[29] ^ C[30];
assign NewCRC[17] = D[63] ^ D[62] ^ D[58] ^ D[57] ^ D[54] ^ D[53] ^ D[52] ^
D[51] ^ D[47] ^ D[41] ^ D[39] ^ D[38] ^ D[35] ^ D[33] ^
D[32] ^ D[28] ^ D[25] ^ D[24] ^ D[22] ^ D[19] ^ D[18] ^
D[16] ^ D[13] ^ D[12] ^ D[11] ^ D[10] ^ D[9] ^ D[8] ^
D[6] ^ D[4] ^ D[3] ^ C[0] ^ C[1] ^ C[3] ^ C[6] ^ C[7] ^
C[9] ^ C[15] ^ C[19] ^ C[20] ^ C[21] ^ C[22] ^ C[25] ^
C[26] ^ C[30] ^ C[31];
assign NewCRC[18] = D[63] ^ D[62] ^ D[58] ^ D[55] ^ D[52] ^ D[51] ^ D[47] ^
D[46] ^ D[45] ^ D[43] ^ D[40] ^ D[39] ^ D[37] ^ D[35] ^
D[34] ^ D[33] ^ D[31] ^ D[30] ^ D[29] ^ D[28] ^ D[27] ^
D[21] ^ D[20] ^ D[19] ^ D[18] ^ D[16] ^ D[14] ^ D[13] ^
D[11] ^ D[10] ^ D[8] ^ D[6] ^ D[0] ^ C[1] ^ C[2] ^
C[3] ^ C[5] ^ C[7] ^ C[8] ^ C[11] ^ C[13] ^ C[14] ^
C[15] ^ C[19] ^ C[20] ^ C[23] ^ C[26] ^ C[30] ^ C[31];
assign NewCRC[19] = D[63] ^ D[62] ^ D[56] ^ D[54] ^ D[52] ^ D[51] ^ D[45] ^
D[44] ^ D[43] ^ D[42] ^ D[41] ^ D[40] ^ D[38] ^ D[37] ^
D[34] ^ D[32] ^ D[29] ^ D[27] ^ D[26] ^ D[25] ^ D[23] ^
D[22] ^ D[20] ^ D[19] ^ D[18] ^ D[16] ^ D[15] ^ D[14] ^
D[11] ^ D[8] ^ D[6] ^ D[5] ^ D[4] ^ D[1] ^ D[0] ^ C[0] ^
C[2] ^ C[5] ^ C[6] ^ C[8] ^ C[9] ^ C[10] ^ C[11] ^
C[12] ^ C[13] ^ C[19] ^ C[20] ^ C[22] ^ C[24] ^ C[30] ^
C[31];
assign NewCRC[20] = D[63] ^ D[62] ^ D[59] ^ D[57] ^ D[55] ^ D[54] ^ D[52] ^
D[51] ^ D[48] ^ D[47] ^ D[44] ^ D[41] ^ D[39] ^ D[38] ^
D[37] ^ D[36] ^ D[33] ^ D[31] ^ D[25] ^ D[24] ^ D[20] ^
D[19] ^ D[18] ^ D[15] ^ D[8] ^ D[4] ^ D[2] ^ D[1] ^
D[0] ^ C[1] ^ C[4] ^ C[5] ^ C[6] ^ C[7] ^ C[9] ^ C[12] ^
C[15] ^ C[16] ^ C[19] ^ C[20] ^ C[22] ^ C[23] ^ C[25] ^
C[27] ^ C[30] ^ C[31];
assign NewCRC[21] = D[63] ^ D[60] ^ D[58] ^ D[56] ^ D[55] ^ D[53] ^ D[52] ^
D[49] ^ D[48] ^ D[45] ^ D[42] ^ D[40] ^ D[39] ^ D[38] ^
D[37] ^ D[34] ^ D[32] ^ D[26] ^ D[25] ^ D[21] ^ D[20] ^
D[19] ^ D[16] ^ D[9] ^ D[5] ^ D[3] ^ D[2] ^ D[1] ^
C[0] ^ C[2] ^ C[5] ^ C[6] ^ C[7] ^ C[8] ^ C[10] ^ C[13] ^
C[16] ^ C[17] ^ C[20] ^ C[21] ^ C[23] ^ C[24] ^ C[26] ^
C[28] ^ C[31];
assign NewCRC[22] = D[62] ^ D[61] ^ D[57] ^ D[56] ^ D[51] ^ D[50] ^ D[49] ^
D[48] ^ D[47] ^ D[45] ^ D[42] ^ D[41] ^ D[40] ^ D[39] ^
D[38] ^ D[37] ^ D[36] ^ D[33] ^ D[31] ^ D[30] ^ D[28] ^
D[25] ^ D[23] ^ D[22] ^ D[20] ^ D[18] ^ D[16] ^ D[12] ^
D[10] ^ D[9] ^ D[8] ^ D[7] ^ D[5] ^ D[3] ^ D[2] ^ D[0] ^
C[1] ^ C[4] ^ C[5] ^ C[6] ^ C[7] ^ C[8] ^ C[9] ^ C[10] ^
C[13] ^ C[15] ^ C[16] ^ C[17] ^ C[18] ^ C[19] ^ C[24] ^
C[25] ^ C[29] ^ C[30];
assign NewCRC[23] = D[63] ^ D[59] ^ D[58] ^ D[57] ^ D[54] ^ D[53] ^ D[52] ^
D[50] ^ D[49] ^ D[47] ^ D[45] ^ D[41] ^ D[40] ^ D[39] ^
D[38] ^ D[36] ^ D[35] ^ D[34] ^ D[32] ^ D[30] ^ D[29] ^
D[28] ^ D[27] ^ D[25] ^ D[24] ^ D[19] ^ D[18] ^ D[16] ^
D[13] ^ D[12] ^ D[11] ^ D[10] ^ D[7] ^ D[5] ^ D[3] ^
D[1] ^ D[0] ^ C[0] ^ C[2] ^ C[3] ^ C[4] ^ C[6] ^ C[7] ^
C[8] ^ C[9] ^ C[13] ^ C[15] ^ C[17] ^ C[18] ^ C[20] ^
C[21] ^ C[22] ^ C[25] ^ C[26] ^ C[27] ^ C[31];
assign NewCRC[24] = D[60] ^ D[59] ^ D[58] ^ D[55] ^ D[54] ^ D[53] ^ D[51] ^
D[50] ^ D[48] ^ D[46] ^ D[42] ^ D[41] ^ D[40] ^ D[39] ^
D[37] ^ D[36] ^ D[35] ^ D[33] ^ D[31] ^ D[30] ^ D[29] ^
D[28] ^ D[26] ^ D[25] ^ D[20] ^ D[19] ^ D[17] ^ D[14] ^
D[13] ^ D[12] ^ D[11] ^ D[8] ^ D[6] ^ D[4] ^ D[2] ^
D[1] ^ C[1] ^ C[3] ^ C[4] ^ C[5] ^ C[7] ^ C[8] ^ C[9] ^
C[10] ^ C[14] ^ C[16] ^ C[18] ^ C[19] ^ C[21] ^ C[22] ^
C[23] ^ C[26] ^ C[27] ^ C[28];
assign NewCRC[25] = D[62] ^ D[61] ^ D[60] ^ D[56] ^ D[55] ^ D[53] ^ D[52] ^
D[49] ^ D[48] ^ D[46] ^ D[45] ^ D[41] ^ D[40] ^ D[38] ^
D[35] ^ D[34] ^ D[32] ^ D[29] ^ D[28] ^ D[25] ^ D[23] ^
D[20] ^ D[17] ^ D[16] ^ D[15] ^ D[14] ^ D[13] ^ D[8] ^
D[6] ^ D[4] ^ D[3] ^ D[2] ^ D[0] ^ C[0] ^ C[2] ^ C[3] ^
C[6] ^ C[8] ^ C[9] ^ C[13] ^ C[14] ^ C[16] ^ C[17] ^
C[20] ^ C[21] ^ C[23] ^ C[24] ^ C[28] ^ C[29] ^ C[30];
assign NewCRC[26] = D[63] ^ D[61] ^ D[59] ^ D[57] ^ D[56] ^ D[51] ^ D[50] ^
D[49] ^ D[48] ^ D[45] ^ D[43] ^ D[41] ^ D[39] ^ D[37] ^
D[33] ^ D[31] ^ D[29] ^ D[28] ^ D[27] ^ D[25] ^ D[24] ^
D[23] ^ D[15] ^ D[14] ^ D[12] ^ D[8] ^ D[6] ^ D[3] ^
D[1] ^ D[0] ^ C[1] ^ C[5] ^ C[7] ^ C[9] ^ C[11] ^ C[13] ^
C[16] ^ C[17] ^ C[18] ^ C[19] ^ C[24] ^ C[25] ^ C[27] ^
C[29] ^ C[31];
assign NewCRC[27] = D[60] ^ D[59] ^ D[58] ^ D[57] ^ D[54] ^ D[53] ^ D[52] ^
D[50] ^ D[49] ^ D[48] ^ D[47] ^ D[45] ^ D[44] ^ D[43] ^
D[40] ^ D[38] ^ D[37] ^ D[36] ^ D[35] ^ D[34] ^ D[32] ^
D[31] ^ D[29] ^ D[27] ^ D[24] ^ D[23] ^ D[21] ^ D[18] ^
D[17] ^ D[15] ^ D[13] ^ D[12] ^ D[8] ^ D[6] ^ D[5] ^
D[2] ^ D[1] ^ D[0] ^ C[0] ^ C[2] ^ C[3] ^ C[4] ^ C[5] ^
C[6] ^ C[8] ^ C[11] ^ C[12] ^ C[13] ^ C[15] ^ C[16] ^
C[17] ^ C[18] ^ C[20] ^ C[21] ^ C[22] ^ C[25] ^ C[26] ^
C[27] ^ C[28];
assign NewCRC[28] = D[62] ^ D[61] ^ D[60] ^ D[58] ^ D[55] ^ D[50] ^ D[49] ^
D[47] ^ D[44] ^ D[43] ^ D[42] ^ D[41] ^ D[39] ^ D[38] ^
D[33] ^ D[32] ^ D[31] ^ D[27] ^ D[26] ^ D[24] ^ D[23] ^
D[22] ^ D[21] ^ D[19] ^ D[17] ^ D[14] ^ D[13] ^ D[12] ^
D[8] ^ D[5] ^ D[4] ^ D[3] ^ D[2] ^ D[1] ^ D[0] ^ C[0] ^
C[1] ^ C[6] ^ C[7] ^ C[9] ^ C[10] ^ C[11] ^ C[12] ^
C[15] ^ C[17] ^ C[18] ^ C[23] ^ C[26] ^ C[28] ^ C[29] ^
C[30];
assign NewCRC[29] = D[63] ^ D[62] ^ D[61] ^ D[59] ^ D[56] ^ D[51] ^ D[50] ^
D[48] ^ D[45] ^ D[44] ^ D[43] ^ D[42] ^ D[40] ^ D[39] ^
D[34] ^ D[33] ^ D[32] ^ D[28] ^ D[27] ^ D[25] ^ D[24] ^
D[23] ^ D[22] ^ D[20] ^ D[18] ^ D[15] ^ D[14] ^ D[13] ^
D[9] ^ D[6] ^ D[5] ^ D[4] ^ D[3] ^ D[2] ^ D[1] ^ C[0] ^
C[1] ^ C[2] ^ C[7] ^ C[8] ^ C[10] ^ C[11] ^ C[12] ^
C[13] ^ C[16] ^ C[18] ^ C[19] ^ C[24] ^ C[27] ^ C[29] ^
C[30] ^ C[31];
assign NewCRC[30] = D[63] ^ D[62] ^ D[60] ^ D[57] ^ D[52] ^ D[51] ^ D[49] ^
D[46] ^ D[45] ^ D[44] ^ D[43] ^ D[41] ^ D[40] ^ D[35] ^
D[34] ^ D[33] ^ D[29] ^ D[28] ^ D[26] ^ D[25] ^ D[24] ^
D[23] ^ D[21] ^ D[19] ^ D[16] ^ D[15] ^ D[14] ^ D[10] ^
D[7] ^ D[6] ^ D[5] ^ D[4] ^ D[3] ^ D[2] ^ C[1] ^ C[2] ^
C[3] ^ C[8] ^ C[9] ^ C[11] ^ C[12] ^ C[13] ^ C[14] ^
C[17] ^ C[19] ^ C[20] ^ C[25] ^ C[28] ^ C[30] ^ C[31];
assign NewCRC[31] = D[63] ^ D[61] ^ D[58] ^ D[53] ^ D[52] ^ D[50] ^ D[47] ^
D[46] ^ D[45] ^ D[44] ^ D[42] ^ D[41] ^ D[36] ^ D[35] ^
D[34] ^ D[30] ^ D[29] ^ D[27] ^ D[26] ^ D[25] ^ D[24] ^
D[22] ^ D[20] ^ D[17] ^ D[16] ^ D[15] ^ D[11] ^ D[8] ^
D[7] ^ D[6] ^ D[5] ^ D[4] ^ D[3] ^ C[2] ^ C[3] ^ C[4] ^
C[9] ^ C[10] ^ C[12] ^ C[13] ^ C[14] ^ C[15] ^ C[18] ^
C[20] ^ C[21] ^ C[26] ^ C[29] ^ C[31];
endmodule