Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / verif / model / verilog / mem / fbdimm / library / fifo / rptr_empty.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: rptr_empty.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35module rptr_empty (rempty,raddr,rptr,rwptr2,rinc,rclk,rrst_n);
36parameter ADDRSIZE=6;
37
38output rempty;
39output [ADDRSIZE-1:0] raddr;
40output [ADDRSIZE:0] rptr;
41input [ADDRSIZE:0] rwptr2;
42input rinc,rclk,rrst_n;
43reg [ADDRSIZE:0] rptr,rbin,rgnext,rbnext;
44reg rempty,raddrmsb;
45
46// Gray style pointer
47
48always @(posedge rclk or negedge rrst_n)
49 if ( !rrst_n) begin
50 rptr <=0;
51 raddrmsb <= 0;
52 end
53 else begin
54 rptr <= rgnext;
55 raddrmsb <= rgnext[ADDRSIZE]^rgnext[ADDRSIZE-1];
56 end
57
58always @(rptr or rinc or rempty ) begin: Gray_inc
59 integer i;
60 for (i=0; i <= ADDRSIZE; i=i+1)
61 rbin[i] = ^(rptr>>i);
62 if (!rempty ) rbnext = rbin + rinc;
63 else rbnext = rbin;
64 rgnext = (rbnext >> 1) ^ rbnext;
65end
66
67// memory read address pointer
68assign raddr = { raddrmsb,rptr[ADDRSIZE-2:0]};
69
70// fifo empty on reset or when next rptr == synced wptr
71
72always @(posedge rclk or negedge rrst_n)
73 if (!rrst_n ) rempty <= 1'b1;
74 else rempty <= (rgnext == rwptr2);
75endmodule
76