Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / ccx / rtl / pcx.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: pcx.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35`ifndef FPGA
36module pcx (
37 pcx_fpio_data_px2,
38 pcx_fpio_data_rdy_px1,
39 pcx_sctag0_atm_px1,
40 pcx_sctag0_data_px2,
41 pcx_sctag0_data_rdy_px1,
42 pcx_sctag1_atm_px1,
43 pcx_sctag1_data_px2,
44 pcx_sctag1_data_rdy_px1,
45 pcx_sctag2_atm_px1,
46 pcx_sctag2_data_px2,
47 pcx_sctag2_data_rdy_px1,
48 pcx_sctag3_atm_px1,
49 pcx_sctag3_data_px2,
50 pcx_sctag3_data_rdy_px1,
51 pcx_sctag4_atm_px1,
52 pcx_sctag4_data_px2,
53 pcx_sctag4_data_rdy_px1,
54 pcx_sctag5_atm_px1,
55 pcx_sctag5_data_px2,
56 pcx_sctag5_data_rdy_px1,
57 pcx_sctag6_atm_px1,
58 pcx_sctag6_data_px2,
59 pcx_sctag6_data_rdy_px1,
60 pcx_sctag7_atm_px1,
61 pcx_sctag7_data_px2,
62 pcx_sctag7_data_rdy_px1,
63 pcx_spc0_grant_px,
64 pcx_spc1_grant_px,
65 pcx_spc2_grant_px,
66 pcx_spc3_grant_px,
67 pcx_spc4_grant_px,
68 pcx_spc5_grant_px,
69 pcx_spc6_grant_px,
70 pcx_spc7_grant_px,
71 io_pcx_stall_pq,
72 sctag0_pcx_stall_pq,
73 sctag1_pcx_stall_pq,
74 sctag2_pcx_stall_pq,
75 sctag3_pcx_stall_pq,
76 sctag4_pcx_stall_pq,
77 sctag5_pcx_stall_pq,
78 sctag6_pcx_stall_pq,
79 sctag7_pcx_stall_pq,
80 spc0_pcx_data_pa,
81 spc0_pcx_req_pq,
82 spc0_pcx_atm_pq,
83 spc1_pcx_data_pa,
84 spc1_pcx_req_pq,
85 spc1_pcx_atm_pq,
86 spc2_pcx_data_pa,
87 spc2_pcx_req_pq,
88 spc2_pcx_atm_pq,
89 spc3_pcx_data_pa,
90 spc3_pcx_req_pq,
91 spc3_pcx_atm_pq,
92 spc4_pcx_data_pa,
93 spc4_pcx_req_pq,
94 spc4_pcx_atm_pq,
95 spc5_pcx_data_pa,
96 spc5_pcx_req_pq,
97 spc5_pcx_atm_pq,
98 spc6_pcx_data_pa,
99 spc6_pcx_req_pq,
100 spc6_pcx_atm_pq,
101 spc7_pcx_data_pa,
102 spc7_pcx_req_pq,
103 spc7_pcx_atm_pq,
104 tcu_scan_en,
105 l2clk,
106 scan_in,
107 tcu_pce_ov,
108 ccx_aclk,
109 ccx_bclk,
110 scan_out);
111wire [129:0] pcx_fpio_data_px2_0;
112wire pcx_sctag1_data_rdy_px1_0;
113wire pcx_sctag1_atm_px1_0;
114wire pcx_sctag3_data_rdy_px1_0;
115wire pcx_sctag3_atm_px1_0;
116wire pcx_sctag5_data_rdy_px1_0;
117wire pcx_sctag5_atm_px1_0;
118wire pcx_sctag7_data_rdy_px1_0;
119wire pcx_sctag7_atm_px1_0;
120wire [8:0] pcx_spc0_grant_a;
121wire [8:0] pcx_spc0_grant_a_0;
122wire [8:0] pcx_spc1_grant_a;
123wire [8:0] pcx_spc1_grant_a_0;
124wire [8:0] pcx_spc2_grant_a;
125wire [8:0] pcx_spc2_grant_a_0;
126wire [8:0] pcx_spc3_grant_a;
127wire [8:0] pcx_spc3_grant_a_0;
128wire [8:0] pcx_spc4_grant_a;
129wire [8:0] pcx_spc4_grant_a_0;
130wire [8:0] pcx_spc5_grant_a;
131wire [8:0] pcx_spc5_grant_a_0;
132wire [8:0] pcx_spc6_grant_a;
133wire [8:0] pcx_spc6_grant_a_0;
134wire [8:0] pcx_spc7_grant_a;
135wire [8:0] pcx_spc7_grant_a_0;
136wire io_pcx_stall_pq_buf;
137wire fpio_atm1_unused;
138wire fpio_atm2_unused;
139wire rdy8_1_unused;
140wire io_pcx_stall_pq_d1;
141wire [8:0] grant8_unused;
142wire tcu_scan_en_bfg_8_unused;
143wire tcu_pce_ov_bfg_8_unused;
144wire ccx_aclk_bfg_8_unused;
145wire ccx_bclk_bfg_8_unused;
146wire pcx_fpio_data_rdy_a;
147wire bfg8_scanin;
148wire bfg8_scanout;
149wire tcu_scan_en_bfd_lt;
150wire tcu_pce_ov_bfd_lt;
151wire ccx_aclk_bfd_lt;
152wire ccx_bclk_bfd_lt;
153wire sctag0_pcx_stall_pq_buf;
154wire sctag0_pcx_stall_pq_d1;
155wire tcu_scan_en_bfg_0_unused;
156wire tcu_pce_ov_bfg_0_unused;
157wire ccx_aclk_bfg_0_unused;
158wire ccx_bclk_bfg_0_unused;
159wire pcx_sctag0_atm_a;
160wire pcx_sctag1_atm_a;
161wire pcx_sctag0_data_rdy_a;
162wire pcx_sctag1_data_rdy_a;
163wire bfg0_scanin;
164wire bfg0_scanout;
165wire sctag1_pcx_stall_pq_buf;
166wire atm10_unused;
167wire atm11_unused;
168wire rdy10_unused;
169wire rdy11_unused;
170wire sctag1_pcx_stall_pq_d1;
171wire tcu_scan_en_bfg_1_unused;
172wire tcu_pce_ov_bfg_1_unused;
173wire ccx_aclk_bfg_1_unused;
174wire ccx_bclk_bfg_1_unused;
175wire bfg1_scanin;
176wire bfg1_scanout;
177wire tcu_scan_en_bfd_t;
178wire tcu_pce_ov_bfd_t;
179wire ccx_aclk_bfd_t;
180wire ccx_bclk_bfd_t;
181wire sctag2_pcx_stall_pq_buf;
182wire sctag2_pcx_stall_pq_d1;
183wire tcu_scan_en_bfg_2_unused;
184wire tcu_pce_ov_bfg_2_unused;
185wire ccx_aclk_bfg_2_unused;
186wire ccx_bclk_bfg_2_unused;
187wire pcx_sctag2_atm_a;
188wire pcx_sctag3_atm_a;
189wire pcx_sctag2_data_rdy_a;
190wire pcx_sctag3_data_rdy_a;
191wire bfg2_scanin;
192wire bfg2_scanout;
193wire tcu_scan_en_bfd_lb;
194wire tcu_pce_ov_bfd_lb;
195wire ccx_aclk_bfd_lb;
196wire ccx_bclk_bfd_lb;
197wire sctag3_pcx_stall_pq_buf;
198wire atm30_unused;
199wire atm31_unused;
200wire rdy30_unused;
201wire rdy31_unused;
202wire sctag3_pcx_stall_pq_d1;
203wire tcu_scan_en_bfg_3_unused;
204wire tcu_pce_ov_bfg_3_unused;
205wire ccx_aclk_bfg_3_unused;
206wire ccx_bclk_bfg_3_unused;
207wire bfg3_scanin;
208wire bfg3_scanout;
209wire tcu_scan_en_bfd_b;
210wire tcu_pce_ov_bfd_b;
211wire ccx_aclk_bfd_b;
212wire ccx_bclk_bfd_b;
213wire sctag4_pcx_stall_pq_buf;
214wire sctag4_pcx_stall_pq_d1;
215wire tcu_scan_en_bfg_4_unused;
216wire tcu_pce_ov_bfg_4_unused;
217wire ccx_aclk_bfg_4_unused;
218wire ccx_bclk_bfg_4_unused;
219wire pcx_sctag4_atm_a;
220wire pcx_sctag5_atm_a;
221wire pcx_sctag4_data_rdy_a;
222wire pcx_sctag5_data_rdy_a;
223wire bfg4_scanin;
224wire bfg4_scanout;
225wire tcu_scan_en_bfd_rt;
226wire tcu_pce_ov_bfd_rt;
227wire ccx_aclk_bfd_rt;
228wire ccx_bclk_bfd_rt;
229wire sctag5_pcx_stall_pq_buf;
230wire atm50_unused;
231wire atm51_unused;
232wire rdy50_unused;
233wire rdy51_unused;
234wire sctag5_pcx_stall_pq_d1;
235wire tcu_scan_en_bfg_5_unused;
236wire tcu_pce_ov_bfg_5_unused;
237wire ccx_aclk_bfg_5_unused;
238wire ccx_bclk_bfg_5_unused;
239wire bfg5_scanin;
240wire bfg5_scanout;
241wire sctag6_pcx_stall_pq_buf;
242wire sctag6_pcx_stall_pq_d1;
243wire tcu_scan_en_bfg_6_unused;
244wire tcu_pce_ov_bfg_6_unused;
245wire ccx_aclk_bfg_6_unused;
246wire ccx_bclk_bfg_6_unused;
247wire pcx_sctag6_atm_a;
248wire pcx_sctag7_atm_a;
249wire pcx_sctag6_data_rdy_a;
250wire pcx_sctag7_data_rdy_a;
251wire bfg6_scanin;
252wire bfg6_scanout;
253wire tcu_scan_en_bfd_rb;
254wire tcu_pce_ov_bfd_rb;
255wire ccx_aclk_bfd_rb;
256wire ccx_bclk_bfd_rb;
257wire sctag7_pcx_stall_pq_buf;
258wire atm70_unused;
259wire atm71_unused;
260wire rdy70_unused;
261wire rdy71_unused;
262wire sctag7_pcx_stall_pq_d1;
263wire tcu_scan_en_bfg_7_unused;
264wire tcu_pce_ov_bfg_7_unused;
265wire ccx_aclk_bfg_7_unused;
266wire ccx_bclk_bfg_7_unused;
267wire bfg7_scanin;
268wire bfg7_scanout;
269wire [8:0] spc0_pcx_req_pq_l_0;
270wire [8:0] spc0_pcx_atm_pq_l_0;
271wire [8:0] spc0_pcx_req_pq_r_0;
272wire [8:0] spc0_pcx_atm_pq_r_0;
273wire [8:0] spc1_pcx_req_pq_l_0;
274wire [8:0] spc1_pcx_atm_pq_l_0;
275wire [8:0] spc1_pcx_req_pq_r_0;
276wire [8:0] spc1_pcx_atm_pq_r_0;
277wire [8:0] spc2_pcx_req_pq_l_0;
278wire [8:0] spc2_pcx_atm_pq_l_0;
279wire [8:0] spc2_pcx_req_pq_r_0;
280wire [8:0] spc2_pcx_atm_pq_r_0;
281wire [8:0] spc3_pcx_req_pq_l_0;
282wire [8:0] spc3_pcx_atm_pq_l_0;
283wire [8:0] spc3_pcx_req_pq_r_0;
284wire [8:0] spc3_pcx_atm_pq_r_0;
285wire [8:0] spc4_pcx_req_pq_l_0;
286wire [8:0] spc4_pcx_atm_pq_l_0;
287wire [8:0] spc4_pcx_req_pq_r_0;
288wire [8:0] spc4_pcx_atm_pq_r_0;
289wire [8:0] spc5_pcx_req_pq_l_0;
290wire [8:0] spc5_pcx_atm_pq_l_0;
291wire [8:0] spc5_pcx_req_pq_r_0;
292wire [8:0] spc5_pcx_atm_pq_r_0;
293wire [8:0] spc6_pcx_req_pq_l_0;
294wire [8:0] spc6_pcx_atm_pq_l_0;
295wire [8:0] spc6_pcx_req_pq_r_0;
296wire [8:0] spc6_pcx_atm_pq_r_0;
297wire [8:0] spc7_pcx_req_pq_l_0;
298wire [8:0] spc7_pcx_atm_pq_l_0;
299wire [8:0] spc7_pcx_req_pq_r_0;
300wire [8:0] spc7_pcx_atm_pq_r_0;
301wire [129:0] spc0_pcx_data_a;
302wire [129:0] spc1_pcx_data_a;
303wire [129:0] spc2_pcx_data_a;
304wire [129:0] spc3_pcx_data_a;
305wire [129:0] spc4_pcx_data_a;
306wire [129:0] spc5_pcx_data_a;
307wire [129:0] spc6_pcx_data_a;
308wire [129:0] spc7_pcx_data_a;
309wire ccx_aclk_dpa_t;
310wire ccx_bclk_dpa_t;
311wire tcu_pce_ov_dpa_t;
312wire tcu_scan_en_dpa_t;
313wire ccx_aclk_dpa_b;
314wire ccx_bclk_dpa_b;
315wire tcu_pce_ov_dpa_b;
316wire tcu_scan_en_dpa_b;
317wire [129:0] pcx_fpio_data_x_l;
318wire [129:0] pcx_scache0_data_x_l;
319wire [129:0] pcx_scache1_data_x_l;
320wire [129:0] pcx_scache2_data_x_l;
321wire [129:0] pcx_scache3_data_x_l;
322wire [129:0] pcx_scache4_data_x_l;
323wire [129:0] pcx_scache5_data_x_l;
324wire [129:0] pcx_scache6_data_x_l;
325wire [129:0] pcx_scache7_data_x_l;
326wire [8:0] arb0_grant_l_a;
327wire [8:0] arb0_q0_holdbar_l_a;
328wire [8:0] arb0_qsel0_l_a;
329wire [8:0] arb0_qsel1_l_a;
330wire [8:0] arb0_shift_l_a;
331wire [8:0] arb1_grant_l_a;
332wire [8:0] arb1_q0_holdbar_l_a;
333wire [8:0] arb1_qsel0_l_a;
334wire [8:0] arb1_qsel1_l_a;
335wire [8:0] arb1_shift_l_a;
336wire [8:0] arb2_grant_l_a;
337wire [8:0] arb2_q0_holdbar_l_a;
338wire [8:0] arb2_qsel0_l_a;
339wire [8:0] arb2_qsel1_l_a;
340wire [8:0] arb2_shift_l_a;
341wire [8:0] arb3_grant_l_a;
342wire [8:0] arb3_q0_holdbar_l_a;
343wire [8:0] arb3_qsel0_l_a;
344wire [8:0] arb3_qsel1_l_a;
345wire [8:0] arb3_shift_l_a;
346wire [8:0] arb4_grant_l_a;
347wire [8:0] arb4_q0_holdbar_l_a;
348wire [8:0] arb4_qsel0_l_a;
349wire [8:0] arb4_qsel1_l_a;
350wire [8:0] arb4_shift_l_a;
351wire [8:0] arb5_grant_l_a;
352wire [8:0] arb5_q0_holdbar_l_a;
353wire [8:0] arb5_qsel0_l_a;
354wire [8:0] arb5_qsel1_l_a;
355wire [8:0] arb5_shift_l_a;
356wire [8:0] arb6_grant_l_a;
357wire [8:0] arb6_q0_holdbar_l_a;
358wire [8:0] arb6_qsel0_l_a;
359wire [8:0] arb6_qsel1_l_a;
360wire [8:0] arb6_shift_l_a;
361wire [8:0] arb7_grant_l_a;
362wire [8:0] arb7_q0_holdbar_l_a;
363wire [8:0] arb7_qsel0_l_a;
364wire [8:0] arb7_qsel1_l_a;
365wire [8:0] arb7_shift_l_a;
366wire [8:0] arb8_grant_l_a;
367wire [8:0] arb8_q0_holdbar_l_a;
368wire [8:0] arb8_qsel0_l_a;
369wire [8:0] arb8_qsel1_l_a;
370wire [8:0] arb8_shift_l_a;
371wire [8:0] arb0_grant_r_a;
372wire [8:0] arb0_q0_holdbar_r_a;
373wire [8:0] arb0_qsel0_r_a;
374wire [8:0] arb0_qsel1_r_a;
375wire [8:0] arb0_shift_r_a;
376wire [8:0] arb1_grant_r_a;
377wire [8:0] arb1_q0_holdbar_r_a;
378wire [8:0] arb1_qsel0_r_a;
379wire [8:0] arb1_qsel1_r_a;
380wire [8:0] arb1_shift_r_a;
381wire [8:0] arb2_grant_r_a;
382wire [8:0] arb2_q0_holdbar_r_a;
383wire [8:0] arb2_qsel0_r_a;
384wire [8:0] arb2_qsel1_r_a;
385wire [8:0] arb2_shift_r_a;
386wire [8:0] arb3_grant_r_a;
387wire [8:0] arb3_q0_holdbar_r_a;
388wire [8:0] arb3_qsel0_r_a;
389wire [8:0] arb3_qsel1_r_a;
390wire [8:0] arb3_shift_r_a;
391wire [8:0] arb4_grant_r_a;
392wire [8:0] arb4_q0_holdbar_r_a;
393wire [8:0] arb4_qsel0_r_a;
394wire [8:0] arb4_qsel1_r_a;
395wire [8:0] arb4_shift_r_a;
396wire [8:0] arb5_grant_r_a;
397wire [8:0] arb5_q0_holdbar_r_a;
398wire [8:0] arb5_qsel0_r_a;
399wire [8:0] arb5_qsel1_r_a;
400wire [8:0] arb5_shift_r_a;
401wire [8:0] arb6_grant_r_a;
402wire [8:0] arb6_q0_holdbar_r_a;
403wire [8:0] arb6_qsel0_r_a;
404wire [8:0] arb6_qsel1_r_a;
405wire [8:0] arb6_shift_r_a;
406wire [8:0] arb7_grant_r_a;
407wire [8:0] arb7_q0_holdbar_r_a;
408wire [8:0] arb7_qsel0_r_a;
409wire [8:0] arb7_qsel1_r_a;
410wire [8:0] arb7_shift_r_a;
411wire [8:0] arb8_grant_r_a;
412wire [8:0] arb8_q0_holdbar_r_a;
413wire [8:0] arb8_qsel0_r_a;
414wire [8:0] arb8_qsel1_r_a;
415wire [8:0] arb8_shift_r_a;
416wire pcx_dpa_scanin;
417wire pcx_dpa_scanout;
418wire bfd_io_scanin;
419wire bfd_io_scanout;
420wire tcu_scan_en_bfd_0;
421wire tcu_pce_ov_bfd_0;
422wire ccx_aclk_bfd_0;
423wire ccx_bclk_bfd_0;
424wire [129:0] pcx_sctag0_data_px2_prebuf;
425wire bfd0_scanin;
426wire bfd0_scanout;
427wire tcu_scan_en_bfd_1;
428wire tcu_pce_ov_bfd_1;
429wire ccx_aclk_bfd_1;
430wire ccx_bclk_bfd_1;
431wire [129:0] pcx_sctag1_data_px2_prebuf;
432wire bfd1_scanin;
433wire bfd1_scanout;
434wire [129:0] pcx_sctag2_data_px2_prebuf;
435wire bfd2_scanin;
436wire bfd2_scanout;
437wire tcu_scan_en_bfd_3;
438wire tcu_pce_ov_bfd_3;
439wire ccx_aclk_bfd_3;
440wire ccx_bclk_bfd_3;
441wire [129:0] pcx_sctag3_data_px2_prebuf;
442wire bfd3_scanin;
443wire bfd3_scanout;
444wire [129:0] pcx_sctag4_data_px2_prebuf;
445wire bfd4_scanin;
446wire bfd4_scanout;
447wire tcu_scan_en_bfd_5;
448wire tcu_pce_ov_bfd_5;
449wire ccx_aclk_bfd_5;
450wire ccx_bclk_bfd_5;
451wire [129:0] pcx_sctag5_data_px2_prebuf;
452wire bfd5_scanin;
453wire bfd5_scanout;
454wire [129:0] pcx_sctag6_data_px2_prebuf;
455wire bfd6_scanin;
456wire bfd6_scanout;
457wire tcu_scan_en_bfd_7;
458wire tcu_pce_ov_bfd_7;
459wire ccx_aclk_bfd_7;
460wire ccx_bclk_bfd_7;
461wire [129:0] pcx_sctag7_data_px2_prebuf;
462wire bfd7_scanin;
463wire bfd7_scanout;
464wire [8:0] arb_grant_l_unused;
465wire [8:0] arb_q0_hold_l_unused;
466wire [8:0] arb_qsel0_l_unused;
467wire [8:0] arb_qsel1_l_unused;
468wire [8:0] arb_shift_l_unused;
469wire [8:0] arb_grant_r_unused;
470wire [8:0] arb_q0_hold_r_unused;
471wire [8:0] arb_qsel0_r_unused;
472wire [8:0] arb_qsel1_r_unused;
473wire [8:0] arb_shift_r_unused;
474wire sctag0_pcx_stall_lq_d1;
475wire [8:0] spc0_pcx_req_lq;
476wire [8:0] spc0_pcx_atm_lq;
477wire sctag1_pcx_stall_lq_d1;
478wire [8:0] spc1_pcx_req_lq;
479wire [8:0] spc1_pcx_atm_lq;
480wire io_pcx_stall_lq_d1;
481wire sctag2_pcx_stall_lq_d1;
482wire [8:0] spc2_pcx_req_lq;
483wire [8:0] spc2_pcx_atm_lq;
484wire sctag3_pcx_stall_lq_d1;
485wire [8:0] spc3_pcx_req_lq;
486wire [8:0] spc3_pcx_atm_lq;
487wire sctag4_pcx_stall_lq_d1;
488wire [8:0] spc4_pcx_req_lq;
489wire [8:0] spc4_pcx_atm_lq;
490wire sctag5_pcx_stall_lq_d1;
491wire [8:0] spc5_pcx_req_lq;
492wire [8:0] spc5_pcx_atm_lq;
493wire sctag6_pcx_stall_lq_d1;
494wire [8:0] spc6_pcx_req_lq;
495wire [8:0] spc6_pcx_atm_lq;
496wire sctag7_pcx_stall_lq_d1;
497wire [8:0] spc7_pcx_req_lq;
498wire [8:0] spc7_pcx_atm_lq;
499wire ccx_aclk_arb_lt;
500wire ccx_bclk_arb_lt;
501wire tcu_pce_ov_arb_lt;
502wire tcu_scan_en_arb_lt;
503wire ccx_aclk_arb_lb;
504wire ccx_bclk_arb_lb;
505wire tcu_pce_ov_arb_lb;
506wire tcu_scan_en_arb_lb;
507wire ccx_aclk_arb_rt;
508wire ccx_bclk_arb_rt;
509wire tcu_pce_ov_arb_rt;
510wire tcu_scan_en_arb_rt;
511wire ccx_aclk_arb_rb;
512wire ccx_bclk_arb_rb;
513wire tcu_pce_ov_arb_rb;
514wire tcu_scan_en_arb_rb;
515wire [8:0] pcx_spc4_grant_a_unused;
516wire [8:0] pcx_spc5_grant_a_unused;
517wire [8:0] pcx_spc6_grant_a_unused;
518wire [8:0] pcx_spc7_grant_a_unused;
519wire arb0_src8_grant_l_unused;
520wire pcx_arbl0_scanin;
521wire pcx_arbl0_scanout;
522wire arb1_src8_grant_l_unused;
523wire pcx_arbl1_scanin;
524wire pcx_arbl1_scanout;
525wire arb2_src8_grant_l_unused;
526wire pcx_arbl2_scanin;
527wire pcx_arbl2_scanout;
528wire arb3_src8_grant_l_unused;
529wire pcx_arbl3_scanin;
530wire pcx_arbl3_scanout;
531wire arb4_src8_grant_l_unused;
532wire pcx_sctag4_atm_a_unused;
533wire pcx_sctag4_data_rdy_a_unused;
534wire pcx_arbl4_scanin;
535wire pcx_arbl4_scanout;
536wire arb5_src8_grant_l_unused;
537wire pcx_sctag5_atm_a_unused;
538wire pcx_sctag5_data_rdy_a_unused;
539wire pcx_arbl5_scanin;
540wire pcx_arbl5_scanout;
541wire arb6_src8_grant_l_unused;
542wire pcx_sctag6_atm_a_unused;
543wire pcx_sctag6_data_rdy_a_unused;
544wire pcx_arbl6_scanin;
545wire pcx_arbl6_scanout;
546wire arb7_src8_grant_l_unused;
547wire pcx_sctag7_atm_a_unused;
548wire pcx_sctag7_data_rdy_a_unused;
549wire pcx_arbl7_scanin;
550wire pcx_arbl7_scanout;
551wire arb8_src8_grant_l_unused;
552wire arb8_dest_atom_l_unused;
553wire pcx_arbl8_scanin;
554wire pcx_arbl8_scanout;
555wire sctag0_pcx_stall_rq_d1;
556wire [8:0] spc0_pcx_req_rq;
557wire [8:0] spc0_pcx_atm_rq;
558wire sctag1_pcx_stall_rq_d1;
559wire [8:0] spc1_pcx_req_rq;
560wire [8:0] spc1_pcx_atm_rq;
561wire io_pcx_stall_rq_d1;
562wire sctag2_pcx_stall_rq_d1;
563wire [8:0] spc2_pcx_req_rq;
564wire [8:0] spc2_pcx_atm_rq;
565wire sctag3_pcx_stall_rq_d1;
566wire [8:0] spc3_pcx_req_rq;
567wire [8:0] spc3_pcx_atm_rq;
568wire sctag4_pcx_stall_rq_d1;
569wire [8:0] spc4_pcx_req_rq;
570wire [8:0] spc4_pcx_atm_rq;
571wire sctag5_pcx_stall_rq_d1;
572wire [8:0] spc5_pcx_req_rq;
573wire [8:0] spc5_pcx_atm_rq;
574wire sctag6_pcx_stall_rq_d1;
575wire [8:0] spc6_pcx_req_rq;
576wire [8:0] spc6_pcx_atm_rq;
577wire sctag7_pcx_stall_rq_d1;
578wire [8:0] spc7_pcx_req_rq;
579wire [8:0] spc7_pcx_atm_rq;
580wire [8:0] pcx_spc0_grant_a_unused;
581wire [8:0] pcx_spc1_grant_a_unused;
582wire [8:0] pcx_spc2_grant_a_unused;
583wire [8:0] pcx_spc3_grant_a_unused;
584wire arb0_src8_grant_r_unused;
585wire pcx_sctag0_atm_a_unused;
586wire pcx_sctag0_data_rdy_a_unused;
587wire pcx_arbr0_scanin;
588wire pcx_arbr0_scanout;
589wire arb1_src8_grant_r_unused;
590wire pcx_sctag1_atm_a_unused;
591wire pcx_sctag1_data_rdy_a_unused;
592wire pcx_arbr1_scanin;
593wire pcx_arbr1_scanout;
594wire arb2_src8_grant_r_unused;
595wire pcx_sctag2_atm_a_unused;
596wire pcx_sctag2_data_rdy_a_unused;
597wire pcx_arbr2_scanin;
598wire pcx_arbr2_scanout;
599wire arb3_src8_grant_r_unused;
600wire pcx_sctag3_atm_a_unused;
601wire pcx_sctag3_data_rdy_a_unused;
602wire pcx_arbr3_scanin;
603wire pcx_arbr3_scanout;
604wire arb4_src8_grant_r_unused;
605wire pcx_arbr4_scanin;
606wire pcx_arbr4_scanout;
607wire arb5_src8_grant_r_unused;
608wire pcx_arbr5_scanin;
609wire pcx_arbr5_scanout;
610wire arb6_src8_grant_r_unused;
611wire pcx_arbr6_scanin;
612wire pcx_arbr6_scanout;
613wire arb7_src8_grant_r_unused;
614wire pcx_arbr7_scanin;
615wire pcx_arbr7_scanout;
616wire arb8_src8_grant_r_unused;
617wire arb8_dest_atom_r_unused;
618wire pcx_fpio_data_rdy_a_unused;
619wire pcx_arbr8_scanin;
620wire pcx_arbr8_scanout;
621
622
623output [129:0] pcx_fpio_data_px2; // From fpio_buf of pcx_bfd_dp.v
624output pcx_fpio_data_rdy_px1; // From arb8 of ccx_arb.v
625output pcx_sctag0_atm_px1; // From arb0 of ccx_arb.v
626output [129:0] pcx_sctag0_data_px2; // From sc_buf0 of pcx_bfd_dp.v
627output pcx_sctag0_data_rdy_px1;// From arb0 of ccx_arb.v
628output pcx_sctag1_atm_px1; // From arb1 of ccx_arb.v
629output [129:0] pcx_sctag1_data_px2; // From sc_buf1 of pcx_bfd_dp.v
630output pcx_sctag1_data_rdy_px1;// From arb1 of ccx_arb.v
631output pcx_sctag2_atm_px1; // From arb2 of ccx_arb.v
632output [129:0] pcx_sctag2_data_px2; // From sc_buf2 of pcx_bfd_dp.v
633output pcx_sctag2_data_rdy_px1;// From arb2 of ccx_arb.v
634output pcx_sctag3_atm_px1; // From arb3 of ccx_arb.v
635output [129:0] pcx_sctag3_data_px2; // From sc_buf3 of pcx_bfd_dp.v
636output pcx_sctag3_data_rdy_px1;// From arb3 of ccx_arb.v
637output pcx_sctag4_atm_px1; // From arb4 of ccx_arb.v
638output [129:0] pcx_sctag4_data_px2; // From sc_buf4 of pcx_bfd_dp.v
639output pcx_sctag4_data_rdy_px1;// From arb4 of ccx_arb.v
640output pcx_sctag5_atm_px1; // From arb5 of ccx_arb.v
641output [129:0] pcx_sctag5_data_px2; // From sc_buf5 of pcx_bfd_dp.v
642output pcx_sctag5_data_rdy_px1;// From arb5 of ccx_arb.v
643output pcx_sctag6_atm_px1; // From arb6 of ccx_arb.v
644output [129:0] pcx_sctag6_data_px2; // From sc_buf6 of pcx_bfd_dp.v
645output pcx_sctag6_data_rdy_px1;// From arb6 of ccx_arb.v
646output pcx_sctag7_atm_px1; // From arb7 of ccx_arb.v
647output [129:0] pcx_sctag7_data_px2; // From sc_buf7 of pcx_bfd_dp.v
648output pcx_sctag7_data_rdy_px1;// From arb7 of ccx_arb.v
649output [8:0] pcx_spc0_grant_px; // From spc_buf0 of pcx_bfg_dp.v
650output [8:0] pcx_spc1_grant_px; // From spc_buf1 of pcx_bfg_dp.v
651output [8:0] pcx_spc2_grant_px; // From spc_buf2 of pcx_bfg_dp.v
652output [8:0] pcx_spc3_grant_px; // From spc_buf3 of pcx_bfg_dp.v
653output [8:0] pcx_spc4_grant_px; // From spc_buf4 of pcx_bfg_dp.v
654output [8:0] pcx_spc5_grant_px; // From spc_buf5 of pcx_bfg_dp.v
655output [8:0] pcx_spc6_grant_px; // From spc_buf6 of pcx_bfg_dp.v
656output [8:0] pcx_spc7_grant_px; // From spc_buf7 of pcx_bfg_dp.v
657// End of automatics
658
659
660input io_pcx_stall_pq; // To arb8 of ccx_arb.v
661input sctag0_pcx_stall_pq; // To arb0 of ccx_arb.v
662input sctag1_pcx_stall_pq; // To arb1 of ccx_arb.v
663input sctag2_pcx_stall_pq; // To arb2 of ccx_arb.v
664input sctag3_pcx_stall_pq; // To arb3 of ccx_arb.v
665input sctag4_pcx_stall_pq; // To arb4 of ccx_arb.v
666input sctag5_pcx_stall_pq; // To arb5 of ccx_arb.v
667input sctag6_pcx_stall_pq; // To arb6 of ccx_arb.v
668input sctag7_pcx_stall_pq; // To arb7 of ccx_arb.v
669input [129:0] spc0_pcx_data_pa; // To pcx_dpa of pcx_dpa.v
670input [8:0] spc0_pcx_req_pq; // To arb0 of ccx_arb.v, ...
671input [8:0] spc0_pcx_atm_pq; // To arb0 of ccx_arb.v, ...
672input [129:0] spc1_pcx_data_pa; // To pcx_dpa of pcx_dpa.v
673input [8:0] spc1_pcx_req_pq; // To arb0 of ccx_arb.v, ...
674input [8:0] spc1_pcx_atm_pq; // To arb0 of ccx_arb.v, ...
675input [129:0] spc2_pcx_data_pa; // To pcx_dpa of pcx_dpa.v
676input [8:0] spc2_pcx_req_pq; // To arb0 of ccx_arb.v, ...
677input [8:0] spc2_pcx_atm_pq; // To arb0 of ccx_arb.v, ...
678input [129:0] spc3_pcx_data_pa; // To pcx_dpa of pcx_dpa.v
679input [8:0] spc3_pcx_req_pq; // To arb0 of ccx_arb.v, ...
680input [8:0] spc3_pcx_atm_pq; // To arb0 of ccx_arb.v, ...
681input [129:0] spc4_pcx_data_pa; // To pcx_dpa of pcx_dpa.v
682input [8:0] spc4_pcx_req_pq; // To arb0 of ccx_arb.v, ...
683input [8:0] spc4_pcx_atm_pq; // To arb0 of ccx_arb.v, ...
684input [129:0] spc5_pcx_data_pa; // To pcx_dpa of pcx_dpa.v
685input [8:0] spc5_pcx_req_pq; // To arb0 of ccx_arb.v, ...
686input [8:0] spc5_pcx_atm_pq; // To arb0 of ccx_arb.v, ...
687input [129:0] spc6_pcx_data_pa; // To pcx_dpa of pcx_dpa.v
688input [8:0] spc6_pcx_req_pq; // To arb0 of ccx_arb.v, ...
689input [8:0] spc6_pcx_atm_pq; // To arb0 of ccx_arb.v, ...
690input [129:0] spc7_pcx_data_pa; // To pcx_dpa of pcx_dpa.v
691input [8:0] spc7_pcx_req_pq; // To arb0 of ccx_arb.v, ...
692input [8:0] spc7_pcx_atm_pq; // To arb0 of ccx_arb.v, ...
693// End of automatics
694
695//globals
696input tcu_scan_en ;
697input l2clk;
698input scan_in;
699input tcu_pce_ov; // scan signals
700input ccx_aclk;
701input ccx_bclk;
702output scan_out;
703
704// buffer io data
705pcx_buff_macro__dbuff_32x__rep_1__stack_150c__width_130 i_bufd_io (
706 .din (pcx_fpio_data_px2_0[129:0]),
707 .dout (pcx_fpio_data_px2[129:0])
708);
709
710
711// buffer data rdy and atm signals above the arbiters
712// signals going to spc1, spc3, spc5, and spc7 will have an
713// extra level off buffers
714
715pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_2 i_bufd_sct1_1 (
716 .din ({pcx_sctag1_data_rdy_px1_0, pcx_sctag1_atm_px1_0}),
717 .dout ({pcx_sctag1_data_rdy_px1, pcx_sctag1_atm_px1})
718);
719
720pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_2 i_bufd_sct3_1 (
721 .din ({pcx_sctag3_data_rdy_px1_0, pcx_sctag3_atm_px1_0}),
722 .dout ({pcx_sctag3_data_rdy_px1, pcx_sctag3_atm_px1})
723);
724
725pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_2 i_bufd_sct5_1 (
726 .din ({pcx_sctag5_data_rdy_px1_0, pcx_sctag5_atm_px1_0}),
727 .dout ({pcx_sctag5_data_rdy_px1, pcx_sctag5_atm_px1})
728);
729
730pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_2 i_bufd_sct7_1 (
731 .din ({pcx_sctag7_data_rdy_px1_0, pcx_sctag7_atm_px1_0}),
732 .dout ({pcx_sctag7_data_rdy_px1, pcx_sctag7_atm_px1})
733);
734
735
736// buffer output grant signals
737pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_9 i_bufg_spc0 (
738 .din (pcx_spc0_grant_a[8:0]),
739 .dout (pcx_spc0_grant_a_0[8:0])
740);
741pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_9 i_bufg_spc1 (
742 .din (pcx_spc1_grant_a[8:0]),
743 .dout (pcx_spc1_grant_a_0[8:0])
744);
745pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_9 i_bufg_spc2 (
746 .din (pcx_spc2_grant_a[8:0]),
747 .dout (pcx_spc2_grant_a_0[8:0])
748);
749pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_9 i_bufg_spc3 (
750 .din (pcx_spc3_grant_a[8:0]),
751 .dout (pcx_spc3_grant_a_0[8:0])
752);
753pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_9 i_bufg_spc4 (
754 .din (pcx_spc4_grant_a[8:0]),
755 .dout (pcx_spc4_grant_a_0[8:0])
756);
757pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_9 i_bufg_spc5 (
758 .din (pcx_spc5_grant_a[8:0]),
759 .dout (pcx_spc5_grant_a_0[8:0])
760);
761pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_9 i_bufg_spc6 (
762 .din (pcx_spc6_grant_a[8:0]),
763 .dout (pcx_spc6_grant_a_0[8:0])
764);
765pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_9 i_bufg_spc7 (
766 .din (pcx_spc7_grant_a[8:0]),
767 .dout (pcx_spc7_grant_a_0[8:0])
768);
769
770
771
772/*
773 pcx_bfg_dp AUTO_TEMPLATE(
774 .pcx_spc_grant_px (pcx_spc@_grant_px_0[8:0]),
775 .pcx_spc_grant_pa (pcx_spc@_grant_a[8:0]),
776);
777 */
778
779//To meet timing, stall_pq is being flopped.
780pcx_buff_macro__dbuff_16x__rep_1__stack_none__width_1 buf_io_stall (
781.din (io_pcx_stall_pq),
782.dout(io_pcx_stall_pq_buf)
783);
784
785pcx_bfg_dp bfg8 (
786 .pcx_spc_grant_px ({fpio_atm1_unused, fpio_atm2_unused, pcx_fpio_data_rdy_px1,rdy8_1_unused ,io_pcx_stall_pq_d1,grant8_unused[8:0]}), // Templated
787 .tcu_scan_en_out (tcu_scan_en_bfg_8_unused),
788 .tcu_pce_ov_out (tcu_pce_ov_bfg_8_unused),
789 .ccx_aclk_out (ccx_aclk_bfg_8_unused),
790 .ccx_bclk_out (ccx_bclk_bfg_8_unused),
791 // Inputs
792 .pcx_spc_grant_pa ({2'b0,pcx_fpio_data_rdy_a,1'b0,io_pcx_stall_pq_buf,9'b0}), // Templated
793 .scan_in(bfg8_scanin),
794 .scan_out(bfg8_scanout),
795 .l2clk (l2clk),
796 .tcu_scan_en (tcu_scan_en_bfd_lt),
797 .tcu_pce_ov (tcu_pce_ov_bfd_lt),
798 .ccx_aclk (ccx_aclk_bfd_lt),
799 .ccx_bclk (ccx_bclk_bfd_lt)
800 );
801
802pcx_buff_macro__dbuff_16x__rep_1__stack_none__width_1 buf_sct0_stall (
803.din (sctag0_pcx_stall_pq),
804.dout(sctag0_pcx_stall_pq_buf)
805);
806pcx_bfg_dp bfg0 (/*AUTOINST*/
807 // Outputs
808 .pcx_spc_grant_px ({pcx_sctag0_atm_px1, pcx_sctag1_atm_px1_0,pcx_sctag0_data_rdy_px1, pcx_sctag1_data_rdy_px1_0,sctag0_pcx_stall_pq_d1,pcx_spc0_grant_px[8:0]}), // Templated
809 .tcu_scan_en_out (tcu_scan_en_bfg_0_unused),
810 .tcu_pce_ov_out (tcu_pce_ov_bfg_0_unused),
811 .ccx_aclk_out (ccx_aclk_bfg_0_unused),
812 .ccx_bclk_out (ccx_bclk_bfg_0_unused),
813 // Inputs
814 .pcx_spc_grant_pa ({pcx_sctag0_atm_a,pcx_sctag1_atm_a,pcx_sctag0_data_rdy_a,pcx_sctag1_data_rdy_a,sctag0_pcx_stall_pq_buf,pcx_spc0_grant_a_0[8:0]}), // Templated
815 .scan_in(bfg0_scanin),
816 .scan_out(bfg0_scanout),
817 .l2clk (l2clk),
818 .tcu_scan_en (tcu_scan_en_bfd_lt),
819 .tcu_pce_ov (tcu_pce_ov_bfd_lt),
820 .ccx_aclk (ccx_aclk_bfd_lt),
821 .ccx_bclk (ccx_bclk_bfd_lt)
822 );
823
824pcx_buff_macro__dbuff_16x__rep_1__stack_none__width_1 buf_sct1_stall (
825.din (sctag1_pcx_stall_pq),
826.dout(sctag1_pcx_stall_pq_buf)
827);
828pcx_bfg_dp bfg1 (/*AUTOINST*/
829 // Outputs
830 .pcx_spc_grant_px ({atm10_unused, atm11_unused, rdy10_unused, rdy11_unused,sctag1_pcx_stall_pq_d1,pcx_spc1_grant_px[8:0]}), // Templated
831 .tcu_scan_en_out (tcu_scan_en_bfg_1_unused),
832 .tcu_pce_ov_out (tcu_pce_ov_bfg_1_unused),
833 .ccx_aclk_out (ccx_aclk_bfg_1_unused),
834 .ccx_bclk_out (ccx_bclk_bfg_1_unused),
835 // Inputs
836 .pcx_spc_grant_pa ({4'b0,sctag1_pcx_stall_pq_buf,pcx_spc1_grant_a_0[8:0]}), // Templated
837 .scan_in(bfg1_scanin),
838 .scan_out(bfg1_scanout),
839 .l2clk (l2clk),
840 .tcu_scan_en (tcu_scan_en_bfd_t),
841 .tcu_pce_ov (tcu_pce_ov_bfd_t),
842 .ccx_aclk (ccx_aclk_bfd_t),
843 .ccx_bclk (ccx_bclk_bfd_t)
844 );
845
846pcx_buff_macro__dbuff_16x__rep_1__stack_none__width_1 buf_sct2_stall (
847.din (sctag2_pcx_stall_pq),
848.dout(sctag2_pcx_stall_pq_buf)
849);
850pcx_bfg_dp bfg2 (/*AUTOINST*/
851 // Outputs
852 .pcx_spc_grant_px ({pcx_sctag2_atm_px1, pcx_sctag3_atm_px1_0,pcx_sctag2_data_rdy_px1, pcx_sctag3_data_rdy_px1_0,sctag2_pcx_stall_pq_d1,pcx_spc2_grant_px[8:0]}), // Templated
853 .tcu_scan_en_out (tcu_scan_en_bfg_2_unused),
854 .tcu_pce_ov_out (tcu_pce_ov_bfg_2_unused),
855 .ccx_aclk_out (ccx_aclk_bfg_2_unused),
856 .ccx_bclk_out (ccx_bclk_bfg_2_unused),
857 // Inputs
858 .pcx_spc_grant_pa ({pcx_sctag2_atm_a,pcx_sctag3_atm_a,pcx_sctag2_data_rdy_a,pcx_sctag3_data_rdy_a,sctag2_pcx_stall_pq_buf,pcx_spc2_grant_a_0[8:0]}), // Templated
859 .scan_in(bfg2_scanin),
860 .scan_out(bfg2_scanout),
861 .l2clk (l2clk),
862 .tcu_scan_en (tcu_scan_en_bfd_lb),
863 .tcu_pce_ov (tcu_pce_ov_bfd_lb),
864 .ccx_aclk (ccx_aclk_bfd_lb),
865 .ccx_bclk (ccx_bclk_bfd_lb)
866 );
867
868pcx_buff_macro__dbuff_16x__rep_1__stack_none__width_1 buf_sct3_stall (
869.din (sctag3_pcx_stall_pq),
870.dout(sctag3_pcx_stall_pq_buf)
871);
872pcx_bfg_dp bfg3 (/*AUTOINST*/
873 // Outputs
874 .pcx_spc_grant_px ({atm30_unused, atm31_unused, rdy30_unused, rdy31_unused,sctag3_pcx_stall_pq_d1,pcx_spc3_grant_px[8:0]}), // Templated
875 .tcu_scan_en_out (tcu_scan_en_bfg_3_unused),
876 .tcu_pce_ov_out (tcu_pce_ov_bfg_3_unused),
877 .ccx_aclk_out (ccx_aclk_bfg_3_unused),
878 .ccx_bclk_out (ccx_bclk_bfg_3_unused),
879 // Inputs
880 .pcx_spc_grant_pa ({4'b0,sctag3_pcx_stall_pq_buf,pcx_spc3_grant_a_0[8:0]}), // Templated
881 .scan_in(bfg3_scanin),
882 .scan_out(bfg3_scanout),
883 .l2clk (l2clk),
884 .tcu_scan_en (tcu_scan_en_bfd_b),
885 .tcu_pce_ov (tcu_pce_ov_bfd_b),
886 .ccx_aclk (ccx_aclk_bfd_b),
887 .ccx_bclk (ccx_bclk_bfd_b)
888 );
889
890
891pcx_buff_macro__dbuff_16x__rep_1__stack_none__width_1 buf_sct4_stall (
892.din (sctag4_pcx_stall_pq),
893.dout(sctag4_pcx_stall_pq_buf)
894);
895pcx_bfg_dp bfg4 (/*AUTOINST*/
896 // Outputs
897 .pcx_spc_grant_px ({pcx_sctag4_atm_px1, pcx_sctag5_atm_px1_0,pcx_sctag4_data_rdy_px1, pcx_sctag5_data_rdy_px1_0,sctag4_pcx_stall_pq_d1,pcx_spc4_grant_px[8:0]}), // Templated
898 .tcu_scan_en_out (tcu_scan_en_bfg_4_unused),
899 .tcu_pce_ov_out (tcu_pce_ov_bfg_4_unused),
900 .ccx_aclk_out (ccx_aclk_bfg_4_unused),
901 .ccx_bclk_out (ccx_bclk_bfg_4_unused),
902 // Inputs
903 .pcx_spc_grant_pa ({pcx_sctag4_atm_a,pcx_sctag5_atm_a,pcx_sctag4_data_rdy_a,pcx_sctag5_data_rdy_a,sctag4_pcx_stall_pq_buf,pcx_spc4_grant_a_0[8:0]}), // Templated
904 .scan_in(bfg4_scanin),
905 .scan_out(bfg4_scanout),
906 .l2clk (l2clk),
907 .tcu_scan_en (tcu_scan_en_bfd_rt),
908 .tcu_pce_ov (tcu_pce_ov_bfd_rt),
909 .ccx_aclk (ccx_aclk_bfd_rt),
910 .ccx_bclk (ccx_bclk_bfd_rt)
911 );
912
913
914pcx_buff_macro__dbuff_16x__rep_1__stack_none__width_1 buf_sct5_stall (
915.din (sctag5_pcx_stall_pq),
916.dout(sctag5_pcx_stall_pq_buf)
917);
918pcx_bfg_dp bfg5 (/*AUTOINST*/
919 // Outputs
920 .pcx_spc_grant_px ({atm50_unused, atm51_unused, rdy50_unused, rdy51_unused,sctag5_pcx_stall_pq_d1,pcx_spc5_grant_px[8:0]}), // Templated
921 .tcu_scan_en_out (tcu_scan_en_bfg_5_unused),
922 .tcu_pce_ov_out (tcu_pce_ov_bfg_5_unused),
923 .ccx_aclk_out (ccx_aclk_bfg_5_unused),
924 .ccx_bclk_out (ccx_bclk_bfg_5_unused),
925 // Inputs
926 .pcx_spc_grant_pa ({4'b0,sctag5_pcx_stall_pq_buf,pcx_spc5_grant_a_0[8:0]}), // Templated
927 .scan_in(bfg5_scanin),
928 .scan_out(bfg5_scanout),
929 .l2clk (l2clk),
930 .tcu_scan_en (tcu_scan_en_bfd_t),
931 .tcu_pce_ov (tcu_pce_ov_bfd_t),
932 .ccx_aclk (ccx_aclk_bfd_t),
933 .ccx_bclk (ccx_bclk_bfd_t)
934 );
935
936
937pcx_buff_macro__dbuff_16x__rep_1__stack_none__width_1 buf_sct6_stall (
938.din (sctag6_pcx_stall_pq),
939.dout(sctag6_pcx_stall_pq_buf)
940);
941pcx_bfg_dp bfg6 (/*AUTOINST*/
942 // Outputs
943 .pcx_spc_grant_px ({pcx_sctag6_atm_px1,pcx_sctag7_atm_px1_0,pcx_sctag6_data_rdy_px1,pcx_sctag7_data_rdy_px1_0,sctag6_pcx_stall_pq_d1,pcx_spc6_grant_px[8:0]}), // Templated
944 .tcu_scan_en_out (tcu_scan_en_bfg_6_unused),
945 .tcu_pce_ov_out (tcu_pce_ov_bfg_6_unused),
946 .ccx_aclk_out (ccx_aclk_bfg_6_unused),
947 .ccx_bclk_out (ccx_bclk_bfg_6_unused),
948 // Inputs
949 .pcx_spc_grant_pa ({pcx_sctag6_atm_a,pcx_sctag7_atm_a,pcx_sctag6_data_rdy_a,pcx_sctag7_data_rdy_a,sctag6_pcx_stall_pq_buf,pcx_spc6_grant_a_0[8:0]}), // Templated
950 .scan_in(bfg6_scanin),
951 .scan_out(bfg6_scanout),
952 .l2clk (l2clk),
953 .tcu_scan_en (tcu_scan_en_bfd_rb),
954 .tcu_pce_ov (tcu_pce_ov_bfd_rb),
955 .ccx_aclk (ccx_aclk_bfd_rb),
956 .ccx_bclk (ccx_bclk_bfd_rb)
957 );
958
959
960pcx_buff_macro__dbuff_16x__rep_1__stack_none__width_1 buf_sct7_stall (
961.din (sctag7_pcx_stall_pq),
962.dout (sctag7_pcx_stall_pq_buf)
963);
964pcx_bfg_dp bfg7 (/*AUTOINST*/
965 // Outputs
966 .pcx_spc_grant_px ({atm70_unused, atm71_unused, rdy70_unused, rdy71_unused,sctag7_pcx_stall_pq_d1,pcx_spc7_grant_px[8:0]}), // Templated
967 .tcu_scan_en_out (tcu_scan_en_bfg_7_unused),
968 .tcu_pce_ov_out (tcu_pce_ov_bfg_7_unused),
969 .ccx_aclk_out (ccx_aclk_bfg_7_unused),
970 .ccx_bclk_out (ccx_bclk_bfg_7_unused),
971 // Inputs
972 .pcx_spc_grant_pa ({4'b0,sctag7_pcx_stall_pq_buf,pcx_spc7_grant_a_0[8:0]}), // Templated
973 .scan_in(bfg7_scanin),
974 .scan_out(bfg7_scanout),
975 .l2clk (l2clk),
976 .tcu_scan_en (tcu_scan_en_bfd_b),
977 .tcu_pce_ov (tcu_pce_ov_bfd_b),
978 .ccx_aclk (ccx_aclk_bfd_b),
979 .ccx_bclk (ccx_bclk_bfd_b)
980 );
981
982
983// buffer req and atm signals at the pins
984pcx_buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc0_l (
985 .din ({spc0_pcx_req_pq[8:0],spc0_pcx_atm_pq[8:0]}),
986 .dout ({spc0_pcx_req_pq_l_0[8:0],spc0_pcx_atm_pq_l_0[8:0]})
987);
988
989pcx_buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc0_r (
990 .din ({spc0_pcx_req_pq[8:0],spc0_pcx_atm_pq[8:0]}),
991 .dout ({spc0_pcx_req_pq_r_0[8:0],spc0_pcx_atm_pq_r_0[8:0]})
992);
993
994pcx_buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc1_l (
995 .din ({spc1_pcx_req_pq[8:0],spc1_pcx_atm_pq[8:0]}),
996 .dout ({spc1_pcx_req_pq_l_0[8:0],spc1_pcx_atm_pq_l_0[8:0]})
997);
998
999pcx_buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc1_r (
1000 .din ({spc1_pcx_req_pq[8:0],spc1_pcx_atm_pq[8:0]}),
1001 .dout ({spc1_pcx_req_pq_r_0[8:0],spc1_pcx_atm_pq_r_0[8:0]})
1002);
1003
1004pcx_buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc2_l (
1005 .din ({spc2_pcx_req_pq[8:0],spc2_pcx_atm_pq[8:0]}),
1006 .dout ({spc2_pcx_req_pq_l_0[8:0],spc2_pcx_atm_pq_l_0[8:0]})
1007);
1008
1009pcx_buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc2_r (
1010 .din ({spc2_pcx_req_pq[8:0],spc2_pcx_atm_pq[8:0]}),
1011 .dout ({spc2_pcx_req_pq_r_0[8:0],spc2_pcx_atm_pq_r_0[8:0]})
1012);
1013
1014pcx_buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc3_l (
1015 .din ({spc3_pcx_req_pq[8:0],spc3_pcx_atm_pq[8:0]}),
1016 .dout ({spc3_pcx_req_pq_l_0[8:0],spc3_pcx_atm_pq_l_0[8:0]})
1017);
1018
1019pcx_buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc3_r (
1020 .din ({spc3_pcx_req_pq[8:0],spc3_pcx_atm_pq[8:0]}),
1021 .dout ({spc3_pcx_req_pq_r_0[8:0],spc3_pcx_atm_pq_r_0[8:0]})
1022);
1023
1024pcx_buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc4_l (
1025 .din ({spc4_pcx_req_pq[8:0],spc4_pcx_atm_pq[8:0]}),
1026 .dout ({spc4_pcx_req_pq_l_0[8:0],spc4_pcx_atm_pq_l_0[8:0]})
1027);
1028
1029pcx_buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc4_r (
1030 .din ({spc4_pcx_req_pq[8:0],spc4_pcx_atm_pq[8:0]}),
1031 .dout ({spc4_pcx_req_pq_r_0[8:0],spc4_pcx_atm_pq_r_0[8:0]})
1032);
1033
1034pcx_buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc5_l (
1035 .din ({spc5_pcx_req_pq[8:0],spc5_pcx_atm_pq[8:0]}),
1036 .dout ({spc5_pcx_req_pq_l_0[8:0],spc5_pcx_atm_pq_l_0[8:0]})
1037);
1038
1039pcx_buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc5_r (
1040 .din ({spc5_pcx_req_pq[8:0],spc5_pcx_atm_pq[8:0]}),
1041 .dout ({spc5_pcx_req_pq_r_0[8:0],spc5_pcx_atm_pq_r_0[8:0]})
1042);
1043
1044pcx_buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc6_l (
1045 .din ({spc6_pcx_req_pq[8:0],spc6_pcx_atm_pq[8:0]}),
1046 .dout ({spc6_pcx_req_pq_l_0[8:0],spc6_pcx_atm_pq_l_0[8:0]})
1047);
1048
1049pcx_buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc6_r (
1050 .din ({spc6_pcx_req_pq[8:0],spc6_pcx_atm_pq[8:0]}),
1051 .dout ({spc6_pcx_req_pq_r_0[8:0],spc6_pcx_atm_pq_r_0[8:0]})
1052);
1053
1054pcx_buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc7_l (
1055 .din ({spc7_pcx_req_pq[8:0],spc7_pcx_atm_pq[8:0]}),
1056 .dout ({spc7_pcx_req_pq_l_0[8:0],spc7_pcx_atm_pq_l_0[8:0]})
1057);
1058
1059pcx_buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc7_r (
1060 .din ({spc7_pcx_req_pq[8:0],spc7_pcx_atm_pq[8:0]}),
1061 .dout ({spc7_pcx_req_pq_r_0[8:0],spc7_pcx_atm_pq_r_0[8:0]})
1062);
1063
1064
1065
1066
1067
1068
1069// buffer all the inputs at the input column
1070pcx_buff_macro__dbuff_40x__rep_1__stack_130c__width_130 i_bufd_spc0_1 (
1071 .din (spc0_pcx_data_pa[129:0]),
1072 .dout (spc0_pcx_data_a[129:0])
1073);
1074
1075pcx_buff_macro__dbuff_40x__rep_1__stack_130c__width_130 i_bufd_spc1_1 (
1076 .din (spc1_pcx_data_pa[129:0]),
1077 .dout (spc1_pcx_data_a[129:0])
1078);
1079
1080pcx_buff_macro__dbuff_40x__rep_1__stack_130c__width_130 i_bufd_spc2_1 (
1081 .din (spc2_pcx_data_pa[129:0]),
1082 .dout (spc2_pcx_data_a[129:0])
1083);
1084
1085pcx_buff_macro__dbuff_40x__rep_1__stack_130c__width_130 i_bufd_spc3_1 (
1086 .din (spc3_pcx_data_pa[129:0]),
1087 .dout (spc3_pcx_data_a[129:0])
1088);
1089
1090pcx_buff_macro__dbuff_40x__rep_1__stack_130c__width_130 i_bufd_spc4_1 (
1091 .din (spc4_pcx_data_pa[129:0]),
1092 .dout (spc4_pcx_data_a[129:0])
1093);
1094
1095pcx_buff_macro__dbuff_40x__rep_1__stack_130c__width_130 i_bufd_spc5_1 (
1096 .din (spc5_pcx_data_pa[129:0]),
1097 .dout (spc5_pcx_data_a[129:0])
1098);
1099
1100pcx_buff_macro__dbuff_40x__rep_1__stack_130c__width_130 i_bufd_spc6_1 (
1101 .din (spc6_pcx_data_pa[129:0]),
1102 .dout (spc6_pcx_data_a[129:0])
1103);
1104
1105pcx_buff_macro__dbuff_40x__rep_1__stack_130c__width_130 i_bufd_spc7_1 (
1106 .din (spc7_pcx_data_pa[129:0]),
1107 .dout (spc7_pcx_data_a[129:0])
1108);
1109
1110
1111//buffer the high fanout signals going to dpa top
1112pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_4 buf_hfn_dpa_t (
1113 .din ({ccx_aclk,ccx_bclk, tcu_pce_ov, tcu_scan_en}),
1114 .dout ({ccx_aclk_dpa_t,ccx_bclk_dpa_t,tcu_pce_ov_dpa_t,tcu_scan_en_dpa_t})
1115);
1116//buffer the high fanout signals going to bfd_dp/bfg_dp top
1117pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_4 buf_hfn_bfd_t (
1118 .din ({ccx_aclk,ccx_bclk, tcu_pce_ov, tcu_scan_en}),
1119 .dout ({ccx_aclk_bfd_t,ccx_bclk_bfd_t,tcu_pce_ov_bfd_t,tcu_scan_en_bfd_t})
1120);
1121
1122//buffer the high fanout signals going to dpa bottom
1123pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_4 buf_hfn_dpa_b (
1124 .din ({ccx_aclk,ccx_bclk, tcu_pce_ov, tcu_scan_en}),
1125 .dout ({ccx_aclk_dpa_b,ccx_bclk_dpa_b,tcu_pce_ov_dpa_b,tcu_scan_en_dpa_b})
1126);
1127//buffer the high fanout signals going to bfd_dp/bfg_dp bottom
1128pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_4 buf_hfn_bfd_b (
1129 .din ({ccx_aclk,ccx_bclk, tcu_pce_ov, tcu_scan_en}),
1130 .dout ({ccx_aclk_bfd_b,ccx_bclk_bfd_b,tcu_pce_ov_bfd_b,tcu_scan_en_bfd_b})
1131);
1132
1133
1134// Beginning of automatic inputs (from unused autoinst inputs)
1135
1136 /*
1137 pcx_dpa AUTO_TEMPLATE(
1138 .pcx_io_data_x_(pcx_fpio_data_x_l[129:0]),
1139 .pcx_scache0_data_x_(pcx_scache0_data_x_l[129:0]),
1140 .pcx_scache1_data_x_(pcx_scache1_data_x_l[129:0]),
1141 .pcx_scache2_data_x_(pcx_scache2_data_x_l[129:0]),
1142 .pcx_scache3_data_x_(pcx_scache3_data_x_l[129:0]),
1143 .pcx_scache4_data_x_(pcx_scache4_data_x_l[129:0]),
1144 .pcx_scache5_data_x_(pcx_scache5_data_x_l[129:0]),
1145 .pcx_scache6_data_x_(pcx_scache6_data_x_l[129:0]),
1146 .pcx_scache7_data_x_(pcx_scache7_data_x_l[129:0]));
1147 */
1148
1149pcx_dpa pcx_dpa (
1150/*AUTOINST*/
1151 // Outputs
1152 .pcx_io_data_x_ (pcx_fpio_data_x_l[129:0]), // Templated
1153 .pcx_scache0_data_x_ (pcx_scache0_data_x_l[129:0]), // Templated
1154 .pcx_scache1_data_x_ (pcx_scache1_data_x_l[129:0]), // Templated
1155 .pcx_scache2_data_x_ (pcx_scache2_data_x_l[129:0]), // Templated
1156 .pcx_scache3_data_x_ (pcx_scache3_data_x_l[129:0]), // Templated
1157 .pcx_scache4_data_x_ (pcx_scache4_data_x_l[129:0]), // Templated
1158 .pcx_scache5_data_x_ (pcx_scache5_data_x_l[129:0]), // Templated
1159 .pcx_scache6_data_x_ (pcx_scache6_data_x_l[129:0]), // Templated
1160 .pcx_scache7_data_x_ (pcx_scache7_data_x_l[129:0]), // Templated
1161 // Inputs
1162 .arb0_grant_l_a (arb0_grant_l_a[7:0]),
1163 .arb0_q0_holdbar_l_a (arb0_q0_holdbar_l_a[7:0]),
1164 .arb0_qsel0_l_a (arb0_qsel0_l_a[7:0]),
1165 .arb0_qsel1_l_a (arb0_qsel1_l_a[7:0]),
1166 .arb0_shift_l_a (arb0_shift_l_a[7:0]),
1167 .arb1_grant_l_a (arb1_grant_l_a[7:0]),
1168 .arb1_q0_holdbar_l_a (arb1_q0_holdbar_l_a[7:0]),
1169 .arb1_qsel0_l_a (arb1_qsel0_l_a[7:0]),
1170 .arb1_qsel1_l_a (arb1_qsel1_l_a[7:0]),
1171 .arb1_shift_l_a (arb1_shift_l_a[7:0]),
1172 .arb2_grant_l_a (arb2_grant_l_a[7:0]),
1173 .arb2_q0_holdbar_l_a (arb2_q0_holdbar_l_a[7:0]),
1174 .arb2_qsel0_l_a (arb2_qsel0_l_a[7:0]),
1175 .arb2_qsel1_l_a (arb2_qsel1_l_a[7:0]),
1176 .arb2_shift_l_a (arb2_shift_l_a[7:0]),
1177 .arb3_grant_l_a (arb3_grant_l_a[7:0]),
1178 .arb3_q0_holdbar_l_a (arb3_q0_holdbar_l_a[7:0]),
1179 .arb3_qsel0_l_a (arb3_qsel0_l_a[7:0]),
1180 .arb3_qsel1_l_a (arb3_qsel1_l_a[7:0]),
1181 .arb3_shift_l_a (arb3_shift_l_a[7:0]),
1182 .arb4_grant_l_a (arb4_grant_l_a[7:0]),
1183 .arb4_q0_holdbar_l_a (arb4_q0_holdbar_l_a[7:0]),
1184 .arb4_qsel0_l_a (arb4_qsel0_l_a[7:0]),
1185 .arb4_qsel1_l_a (arb4_qsel1_l_a[7:0]),
1186 .arb4_shift_l_a (arb4_shift_l_a[7:0]),
1187 .arb5_grant_l_a (arb5_grant_l_a[7:0]),
1188 .arb5_q0_holdbar_l_a (arb5_q0_holdbar_l_a[7:0]),
1189 .arb5_qsel0_l_a (arb5_qsel0_l_a[7:0]),
1190 .arb5_qsel1_l_a (arb5_qsel1_l_a[7:0]),
1191 .arb5_shift_l_a (arb5_shift_l_a[7:0]),
1192 .arb6_grant_l_a (arb6_grant_l_a[7:0]),
1193 .arb6_q0_holdbar_l_a (arb6_q0_holdbar_l_a[7:0]),
1194 .arb6_qsel0_l_a (arb6_qsel0_l_a[7:0]),
1195 .arb6_qsel1_l_a (arb6_qsel1_l_a[7:0]),
1196 .arb6_shift_l_a (arb6_shift_l_a[7:0]),
1197 .arb7_grant_l_a (arb7_grant_l_a[7:0]),
1198 .arb7_q0_holdbar_l_a (arb7_q0_holdbar_l_a[7:0]),
1199 .arb7_qsel0_l_a (arb7_qsel0_l_a[7:0]),
1200 .arb7_qsel1_l_a (arb7_qsel1_l_a[7:0]),
1201 .arb7_shift_l_a (arb7_shift_l_a[7:0]),
1202 .arb8_grant_l_a (arb8_grant_l_a[7:0]),
1203 .arb8_q0_holdbar_l_a (arb8_q0_holdbar_l_a[7:0]),
1204 .arb8_qsel0_l_a (arb8_qsel0_l_a[7:0]),
1205 .arb8_qsel1_l_a (arb8_qsel1_l_a[7:0]),
1206 .arb8_shift_l_a (arb8_shift_l_a[7:0]),
1207 .arb0_grant_r_a (arb0_grant_r_a[7:0]),
1208 .arb0_q0_holdbar_r_a (arb0_q0_holdbar_r_a[7:0]),
1209 .arb0_qsel0_r_a (arb0_qsel0_r_a[7:0]),
1210 .arb0_qsel1_r_a (arb0_qsel1_r_a[7:0]),
1211 .arb0_shift_r_a (arb0_shift_r_a[7:0]),
1212 .arb1_grant_r_a (arb1_grant_r_a[7:0]),
1213 .arb1_q0_holdbar_r_a (arb1_q0_holdbar_r_a[7:0]),
1214 .arb1_qsel0_r_a (arb1_qsel0_r_a[7:0]),
1215 .arb1_qsel1_r_a (arb1_qsel1_r_a[7:0]),
1216 .arb1_shift_r_a (arb1_shift_r_a[7:0]),
1217 .arb2_grant_r_a (arb2_grant_r_a[7:0]),
1218 .arb2_q0_holdbar_r_a (arb2_q0_holdbar_r_a[7:0]),
1219 .arb2_qsel0_r_a (arb2_qsel0_r_a[7:0]),
1220 .arb2_qsel1_r_a (arb2_qsel1_r_a[7:0]),
1221 .arb2_shift_r_a (arb2_shift_r_a[7:0]),
1222 .arb3_grant_r_a (arb3_grant_r_a[7:0]),
1223 .arb3_q0_holdbar_r_a (arb3_q0_holdbar_r_a[7:0]),
1224 .arb3_qsel0_r_a (arb3_qsel0_r_a[7:0]),
1225 .arb3_qsel1_r_a (arb3_qsel1_r_a[7:0]),
1226 .arb3_shift_r_a (arb3_shift_r_a[7:0]),
1227 .arb4_grant_r_a (arb4_grant_r_a[7:0]),
1228 .arb4_q0_holdbar_r_a (arb4_q0_holdbar_r_a[7:0]),
1229 .arb4_qsel0_r_a (arb4_qsel0_r_a[7:0]),
1230 .arb4_qsel1_r_a (arb4_qsel1_r_a[7:0]),
1231 .arb4_shift_r_a (arb4_shift_r_a[7:0]),
1232 .arb5_grant_r_a (arb5_grant_r_a[7:0]),
1233 .arb5_q0_holdbar_r_a (arb5_q0_holdbar_r_a[7:0]),
1234 .arb5_qsel0_r_a (arb5_qsel0_r_a[7:0]),
1235 .arb5_qsel1_r_a (arb5_qsel1_r_a[7:0]),
1236 .arb5_shift_r_a (arb5_shift_r_a[7:0]),
1237 .arb6_grant_r_a (arb6_grant_r_a[7:0]),
1238 .arb6_q0_holdbar_r_a (arb6_q0_holdbar_r_a[7:0]),
1239 .arb6_qsel0_r_a (arb6_qsel0_r_a[7:0]),
1240 .arb6_qsel1_r_a (arb6_qsel1_r_a[7:0]),
1241 .arb6_shift_r_a (arb6_shift_r_a[7:0]),
1242 .arb7_grant_r_a (arb7_grant_r_a[7:0]),
1243 .arb7_q0_holdbar_r_a (arb7_q0_holdbar_r_a[7:0]),
1244 .arb7_qsel0_r_a (arb7_qsel0_r_a[7:0]),
1245 .arb7_qsel1_r_a (arb7_qsel1_r_a[7:0]),
1246 .arb7_shift_r_a (arb7_shift_r_a[7:0]),
1247 .arb8_grant_r_a (arb8_grant_r_a[7:0]),
1248 .arb8_q0_holdbar_r_a (arb8_q0_holdbar_r_a[7:0]),
1249 .arb8_qsel0_r_a (arb8_qsel0_r_a[7:0]),
1250 .arb8_qsel1_r_a (arb8_qsel1_r_a[7:0]),
1251 .arb8_shift_r_a (arb8_shift_r_a[7:0]),
1252 .spc0_pcx_data_a (spc0_pcx_data_a[129:0]), // Templated
1253 .spc1_pcx_data_a (spc1_pcx_data_a[129:0]), // Templated
1254 .spc2_pcx_data_a (spc2_pcx_data_a[129:0]), // Templated
1255 .spc3_pcx_data_a (spc3_pcx_data_a[129:0]), // Templated
1256 .spc4_pcx_data_a (spc4_pcx_data_a[129:0]), // Templated
1257 .spc5_pcx_data_a (spc5_pcx_data_a[129:0]), // Templated
1258 .spc6_pcx_data_a (spc6_pcx_data_a[129:0]), // Templated
1259 .spc7_pcx_data_a (spc7_pcx_data_a[129:0]), // Templated
1260 .scan_in (pcx_dpa_scanin),
1261 .scan_out (pcx_dpa_scanout),
1262 .l2clk (l2clk),
1263 .tcu_scan_en_t (tcu_scan_en_dpa_t),
1264 .tcu_pce_ov_t (tcu_pce_ov_dpa_t),
1265 .ccx_aclk_t (ccx_aclk_dpa_t),
1266 .ccx_bclk_t (ccx_bclk_dpa_t),
1267 .tcu_scan_en_b (tcu_scan_en_dpa_b),
1268 .tcu_pce_ov_b (tcu_pce_ov_dpa_b),
1269 .ccx_aclk_b (ccx_aclk_dpa_b),
1270 .ccx_bclk_b (ccx_bclk_dpa_b)
1271 );
1272
1273
1274// invert and flop the output signals
1275// These are placed right next to the CCX core datapath
1276
1277pcx_bfd_dp bfd_io (
1278/*AUTOINST*/
1279 // Outputs
1280 .pcx_sctag_data_px2 (pcx_fpio_data_px2_0[129:0]), // Templated
1281 .tcu_scan_en_out (tcu_scan_en_bfd_lt),
1282 .tcu_pce_ov_out (tcu_pce_ov_bfd_lt),
1283 .ccx_aclk_out (ccx_aclk_bfd_lt),
1284 .ccx_bclk_out (ccx_bclk_bfd_lt),
1285 // Inputs
1286 .pcx_scache_data_px_ (pcx_fpio_data_x_l[129:0]), // Templated
1287 .scan_in(bfd_io_scanin),
1288 .scan_out(bfd_io_scanout),
1289 .l2clk (l2clk),
1290 .tcu_scan_en (tcu_scan_en_bfd_0),
1291 .tcu_pce_ov (tcu_pce_ov_bfd_0),
1292 .ccx_aclk (ccx_aclk_bfd_0),
1293 .ccx_bclk (ccx_bclk_bfd_0)
1294 );
1295
1296
1297
1298
1299pcx_bfd_dp bfd0 (
1300 // Outputs
1301 .pcx_sctag_data_px2 (pcx_sctag0_data_px2_prebuf[129:0]), // Templated
1302 .tcu_scan_en_out (tcu_scan_en_bfd_0),
1303 .tcu_pce_ov_out (tcu_pce_ov_bfd_0),
1304 .ccx_aclk_out (ccx_aclk_bfd_0),
1305 .ccx_bclk_out (ccx_bclk_bfd_0),
1306 // Inputs
1307 .pcx_scache_data_px_ (pcx_scache0_data_x_l[129:0]), // Templated
1308 .scan_in(bfd0_scanin),
1309 .scan_out(bfd0_scanout),
1310 .l2clk (l2clk),
1311 .tcu_scan_en (tcu_scan_en_bfd_1),
1312 .tcu_pce_ov (tcu_pce_ov_bfd_1),
1313 .ccx_aclk (ccx_aclk_bfd_1),
1314 .ccx_bclk (ccx_bclk_bfd_1)
1315 );
1316
1317
1318// Output buffer at the pins
1319pcx_ob1_dp ob_0 (
1320 .pcx_sctag_data_px2 (pcx_sctag0_data_px2[129:0]),
1321 .pcx_sctag_data_px2_prebuf (pcx_sctag0_data_px2_prebuf[129:0])
1322 );
1323
1324
1325pcx_bfd_dp bfd1 (
1326 // Outputs
1327 .pcx_sctag_data_px2 (pcx_sctag1_data_px2_prebuf[129:0]), // Templated
1328 .tcu_scan_en_out (tcu_scan_en_bfd_1),
1329 .tcu_pce_ov_out (tcu_pce_ov_bfd_1),
1330 .ccx_aclk_out (ccx_aclk_bfd_1),
1331 .ccx_bclk_out (ccx_bclk_bfd_1),
1332 // Inputs
1333 .pcx_scache_data_px_ (pcx_scache1_data_x_l[129:0]), // Templated
1334 .scan_in(bfd1_scanin),
1335 .scan_out(bfd1_scanout),
1336 .l2clk (l2clk),
1337 .tcu_scan_en (tcu_scan_en_bfd_t),
1338 .tcu_pce_ov (tcu_pce_ov_bfd_t),
1339 .ccx_aclk (ccx_aclk_bfd_t),
1340 .ccx_bclk (ccx_bclk_bfd_t)
1341 );
1342
1343// Output buffer at the pins
1344pcx_ob1_dp ob_1 (
1345 .pcx_sctag_data_px2 (pcx_sctag1_data_px2[129:0]),
1346 .pcx_sctag_data_px2_prebuf (pcx_sctag1_data_px2_prebuf[129:0])
1347 );
1348
1349pcx_bfd_dp bfd2 (
1350 // Outputs
1351 .pcx_sctag_data_px2 (pcx_sctag2_data_px2_prebuf[129:0]), // Templated
1352 .tcu_scan_en_out (tcu_scan_en_bfd_lb),
1353 .tcu_pce_ov_out (tcu_pce_ov_bfd_lb),
1354 .ccx_aclk_out (ccx_aclk_bfd_lb),
1355 .ccx_bclk_out (ccx_bclk_bfd_lb),
1356 // Inputs
1357 .pcx_scache_data_px_ (pcx_scache2_data_x_l[129:0]), // Templated
1358 .scan_in(bfd2_scanin),
1359 .scan_out(bfd2_scanout),
1360 .l2clk (l2clk),
1361 .tcu_scan_en (tcu_scan_en_bfd_3),
1362 .tcu_pce_ov (tcu_pce_ov_bfd_3),
1363 .ccx_aclk (ccx_aclk_bfd_3),
1364 .ccx_bclk (ccx_bclk_bfd_3)
1365 );
1366
1367// Output buffer at the pins
1368pcx_ob1_dp ob_2 (
1369 .pcx_sctag_data_px2 (pcx_sctag2_data_px2[129:0]),
1370 .pcx_sctag_data_px2_prebuf (pcx_sctag2_data_px2_prebuf[129:0])
1371 );
1372
1373pcx_bfd_dp bfd3 (
1374 // Outputs
1375 .pcx_sctag_data_px2 (pcx_sctag3_data_px2_prebuf[129:0]), // Templated
1376 .tcu_scan_en_out (tcu_scan_en_bfd_3),
1377 .tcu_pce_ov_out (tcu_pce_ov_bfd_3),
1378 .ccx_aclk_out (ccx_aclk_bfd_3),
1379 .ccx_bclk_out (ccx_bclk_bfd_3),
1380 // Inputs
1381 .pcx_scache_data_px_ (pcx_scache3_data_x_l[129:0]), // Templated
1382 .scan_in(bfd3_scanin),
1383 .scan_out(bfd3_scanout),
1384 .l2clk (l2clk),
1385 .tcu_scan_en (tcu_scan_en_bfd_b),
1386 .tcu_pce_ov (tcu_pce_ov_bfd_b),
1387 .ccx_aclk (ccx_aclk_bfd_b),
1388 .ccx_bclk (ccx_bclk_bfd_b)
1389 );
1390
1391// Output buffer at the pins
1392pcx_ob1_dp ob_3 (
1393 .pcx_sctag_data_px2 (pcx_sctag3_data_px2[129:0]),
1394 .pcx_sctag_data_px2_prebuf (pcx_sctag3_data_px2_prebuf[129:0])
1395 );
1396
1397pcx_bfd_dp bfd4 (
1398 // Outputs
1399 .pcx_sctag_data_px2 (pcx_sctag4_data_px2_prebuf[129:0]), // Templated
1400 .tcu_scan_en_out (tcu_scan_en_bfd_rt),
1401 .tcu_pce_ov_out (tcu_pce_ov_bfd_rt),
1402 .ccx_aclk_out (ccx_aclk_bfd_rt),
1403 .ccx_bclk_out (ccx_bclk_bfd_rt),
1404 // Inputs
1405 .pcx_scache_data_px_ (pcx_scache4_data_x_l[129:0]), // Templated
1406 .scan_in(bfd4_scanin),
1407 .scan_out(bfd4_scanout),
1408 .l2clk (l2clk),
1409 .tcu_scan_en (tcu_scan_en_bfd_5),
1410 .tcu_pce_ov (tcu_pce_ov_bfd_5),
1411 .ccx_aclk (ccx_aclk_bfd_5),
1412 .ccx_bclk (ccx_bclk_bfd_5)
1413 );
1414
1415
1416// Output buffer at the pins
1417pcx_ob1_dp ob_4 (
1418 .pcx_sctag_data_px2 (pcx_sctag4_data_px2[129:0]),
1419 .pcx_sctag_data_px2_prebuf (pcx_sctag4_data_px2_prebuf[129:0])
1420 );
1421
1422pcx_bfd_dp bfd5 (
1423 // Outputs
1424 .pcx_sctag_data_px2 (pcx_sctag5_data_px2_prebuf[129:0]), // Templated
1425 .tcu_scan_en_out (tcu_scan_en_bfd_5),
1426 .tcu_pce_ov_out (tcu_pce_ov_bfd_5),
1427 .ccx_aclk_out (ccx_aclk_bfd_5),
1428 .ccx_bclk_out (ccx_bclk_bfd_5),
1429 // Inputs
1430 .pcx_scache_data_px_ (pcx_scache5_data_x_l[129:0]), // Templated
1431 .scan_in(bfd5_scanin),
1432 .scan_out(bfd5_scanout),
1433 .l2clk (l2clk),
1434 .tcu_scan_en (tcu_scan_en_bfd_t),
1435 .tcu_pce_ov (tcu_pce_ov_bfd_t),
1436 .ccx_aclk (ccx_aclk_bfd_t),
1437 .ccx_bclk (ccx_bclk_bfd_t)
1438 );
1439
1440
1441// Output buffer at the pins
1442pcx_ob1_dp ob_5 (
1443 .pcx_sctag_data_px2 (pcx_sctag5_data_px2[129:0]),
1444 .pcx_sctag_data_px2_prebuf (pcx_sctag5_data_px2_prebuf[129:0])
1445 );
1446
1447pcx_bfd_dp bfd6 (
1448 // Outputs
1449 .pcx_sctag_data_px2 (pcx_sctag6_data_px2_prebuf[129:0]), // Templated
1450 .tcu_scan_en_out (tcu_scan_en_bfd_rb),
1451 .tcu_pce_ov_out (tcu_pce_ov_bfd_rb),
1452 .ccx_aclk_out (ccx_aclk_bfd_rb),
1453 .ccx_bclk_out (ccx_bclk_bfd_rb),
1454 // Inputs
1455 .pcx_scache_data_px_ (pcx_scache6_data_x_l[129:0]), // Templated
1456 .scan_in(bfd6_scanin),
1457 .scan_out(bfd6_scanout),
1458 .l2clk (l2clk),
1459 .tcu_scan_en (tcu_scan_en_bfd_7),
1460 .tcu_pce_ov (tcu_pce_ov_bfd_7),
1461 .ccx_aclk (ccx_aclk_bfd_7),
1462 .ccx_bclk (ccx_bclk_bfd_7)
1463 );
1464
1465// Output buffer at the pins
1466pcx_ob1_dp ob_6 (
1467 .pcx_sctag_data_px2 (pcx_sctag6_data_px2[129:0]),
1468 .pcx_sctag_data_px2_prebuf (pcx_sctag6_data_px2_prebuf[129:0])
1469 );
1470
1471pcx_bfd_dp bfd7 (
1472 // Outputs
1473 .pcx_sctag_data_px2 (pcx_sctag7_data_px2_prebuf[129:0]), // Templated
1474 .tcu_scan_en_out (tcu_scan_en_bfd_7),
1475 .tcu_pce_ov_out (tcu_pce_ov_bfd_7),
1476 .ccx_aclk_out (ccx_aclk_bfd_7),
1477 .ccx_bclk_out (ccx_bclk_bfd_7),
1478 // Inputs
1479 .pcx_scache_data_px_ (pcx_scache7_data_x_l[129:0]), // Templated
1480 .scan_in(bfd7_scanin),
1481 .scan_out(bfd7_scanout),
1482 .l2clk (l2clk),
1483 .tcu_scan_en (tcu_scan_en_bfd_b),
1484 .tcu_pce_ov (tcu_pce_ov_bfd_b),
1485 .ccx_aclk (ccx_aclk_bfd_b),
1486 .ccx_bclk (ccx_bclk_bfd_b)
1487 );
1488
1489// Output buffer at the pins
1490pcx_ob1_dp ob_7 (
1491 .pcx_sctag_data_px2 (pcx_sctag7_data_px2[129:0]),
1492 .pcx_sctag_data_px2_prebuf (pcx_sctag7_data_px2_prebuf[129:0])
1493 );
1494
1495
1496assign arb_grant_l_unused[8:0] = {arb8_grant_l_a[8],arb7_grant_l_a[8],arb6_grant_l_a[8],arb5_grant_l_a[8],
1497 arb4_grant_l_a[8],arb3_grant_l_a[8],arb2_grant_l_a[8],arb1_grant_l_a[8],arb0_grant_l_a[8]};
1498
1499assign arb_q0_hold_l_unused[8:0] = {arb8_q0_holdbar_l_a[8],arb7_q0_holdbar_l_a[8],arb6_q0_holdbar_l_a[8],arb5_q0_holdbar_l_a[8],
1500 arb4_q0_holdbar_l_a[8],arb3_q0_holdbar_l_a[8],arb2_q0_holdbar_l_a[8],arb1_q0_holdbar_l_a[8],arb0_q0_holdbar_l_a[8]};
1501
1502assign arb_qsel0_l_unused[8:0] = {arb8_qsel0_l_a[8],arb7_qsel0_l_a[8],arb6_qsel0_l_a[8],arb5_qsel0_l_a[8],
1503 arb4_qsel0_l_a[8],arb3_qsel0_l_a[8],arb2_qsel0_l_a[8],arb1_qsel0_l_a[8],arb0_qsel0_l_a[8]};
1504
1505assign arb_qsel1_l_unused[8:0] = {arb8_qsel1_l_a[8],arb7_qsel1_l_a[8],arb6_qsel1_l_a[8],arb5_qsel1_l_a[8],
1506 arb4_qsel1_l_a[8],arb3_qsel1_l_a[8],arb2_qsel1_l_a[8],arb1_qsel1_l_a[8],arb0_qsel1_l_a[8]};
1507
1508assign arb_shift_l_unused[8:0] = {arb8_shift_l_a[8],arb7_shift_l_a[8],arb6_shift_l_a[8],arb5_shift_l_a[8],
1509 arb4_shift_l_a[8],arb3_shift_l_a[8],arb2_shift_l_a[8],arb1_shift_l_a[8],arb0_shift_l_a[8]};
1510
1511assign arb_grant_r_unused[8:0] = {arb8_grant_r_a[8],arb7_grant_r_a[8],arb6_grant_r_a[8],arb5_grant_r_a[8],
1512 arb4_grant_r_a[8],arb3_grant_r_a[8],arb2_grant_r_a[8],arb1_grant_r_a[8],arb0_grant_r_a[8]};
1513
1514assign arb_q0_hold_r_unused[8:0] = {arb8_q0_holdbar_r_a[8],arb7_q0_holdbar_r_a[8],arb6_q0_holdbar_r_a[8],arb5_q0_holdbar_r_a[8],
1515 arb4_q0_holdbar_r_a[8],arb3_q0_holdbar_r_a[8],arb2_q0_holdbar_r_a[8],arb1_q0_holdbar_r_a[8],arb0_q0_holdbar_r_a[8]};
1516
1517assign arb_qsel0_r_unused[8:0] = {arb8_qsel0_r_a[8],arb7_qsel0_r_a[8],arb6_qsel0_r_a[8],arb5_qsel0_r_a[8],
1518 arb4_qsel0_r_a[8],arb3_qsel0_r_a[8],arb2_qsel0_r_a[8],arb1_qsel0_r_a[8],arb0_qsel0_r_a[8]};
1519
1520assign arb_qsel1_r_unused[8:0] = {arb8_qsel1_r_a[8],arb7_qsel1_r_a[8],arb6_qsel1_r_a[8],arb5_qsel1_r_a[8],
1521 arb4_qsel1_r_a[8],arb3_qsel1_r_a[8],arb2_qsel1_r_a[8],arb1_qsel1_r_a[8],arb0_qsel1_r_a[8]};
1522
1523assign arb_shift_r_unused[8:0] = {arb8_shift_r_a[8],arb7_shift_r_a[8],arb6_shift_r_a[8],arb5_shift_r_a[8],
1524 arb4_shift_r_a[8],arb3_shift_r_a[8],arb2_shift_r_a[8],arb1_shift_r_a[8],arb0_shift_r_a[8]};
1525
1526
1527// buffer req signals feeding left arbiters
1528pcx_buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_l_spc0 (
1529 .din ({sctag0_pcx_stall_pq_d1, spc0_pcx_req_pq_l_0[8:0],spc0_pcx_atm_pq_l_0[8:0]}),
1530 .dout ({sctag0_pcx_stall_lq_d1, spc0_pcx_req_lq[8:0],spc0_pcx_atm_lq[8:0]})
1531);
1532
1533pcx_buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_l_spc1 (
1534 .din ({sctag1_pcx_stall_pq_d1, spc1_pcx_req_pq_l_0[8:0],spc1_pcx_atm_pq_l_0[8:0]}),
1535 .dout ({sctag1_pcx_stall_lq_d1, spc1_pcx_req_lq[8:0],spc1_pcx_atm_lq[8:0]})
1536);
1537
1538pcx_buff_macro__dbuff_48x__rep_1__stack_20r__width_20 i_bufq_l_spc2 (
1539 .din ({io_pcx_stall_pq_d1, sctag2_pcx_stall_pq_d1, spc2_pcx_req_pq_l_0[8:0],spc2_pcx_atm_pq_l_0[8:0]}),
1540 .dout ({io_pcx_stall_lq_d1, sctag2_pcx_stall_lq_d1, spc2_pcx_req_lq[8:0],spc2_pcx_atm_lq[8:0]})
1541);
1542
1543pcx_buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_l_spc3 (
1544 .din ({sctag3_pcx_stall_pq_d1, spc3_pcx_req_pq_l_0[8:0],spc3_pcx_atm_pq_l_0[8:0]}),
1545 .dout ({sctag3_pcx_stall_lq_d1, spc3_pcx_req_lq[8:0],spc3_pcx_atm_lq[8:0]})
1546);
1547
1548pcx_buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_l_spc4 (
1549 .din ({sctag4_pcx_stall_pq_d1, spc4_pcx_req_pq_l_0[8:0],spc4_pcx_atm_pq_l_0[8:0]}),
1550 .dout ({sctag4_pcx_stall_lq_d1, spc4_pcx_req_lq[8:0],spc4_pcx_atm_lq[8:0]})
1551);
1552
1553pcx_buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_l_spc5 (
1554 .din ({sctag5_pcx_stall_pq_d1, spc5_pcx_req_pq_l_0[8:0],spc5_pcx_atm_pq_l_0[8:0]}),
1555 .dout ({sctag5_pcx_stall_lq_d1, spc5_pcx_req_lq[8:0],spc5_pcx_atm_lq[8:0]})
1556);
1557
1558pcx_buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_l_spc6 (
1559 .din ({sctag6_pcx_stall_pq_d1, spc6_pcx_req_pq_l_0[8:0],spc6_pcx_atm_pq_l_0[8:0]}),
1560 .dout ({sctag6_pcx_stall_lq_d1, spc6_pcx_req_lq[8:0],spc6_pcx_atm_lq[8:0]})
1561);
1562
1563pcx_buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_l_spc7 (
1564 .din ({sctag7_pcx_stall_pq_d1, spc7_pcx_req_pq_l_0[8:0],spc7_pcx_atm_pq_l_0[8:0]}),
1565 .dout ({sctag7_pcx_stall_lq_d1, spc7_pcx_req_lq[8:0],spc7_pcx_atm_lq[8:0]})
1566);
1567
1568//buffer the high fanout signals going to arb top left
1569pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_4 buf_hfn_arb_lt (
1570 .din ({ccx_aclk_bfd_lt,ccx_bclk_bfd_lt, tcu_pce_ov_bfd_lt, tcu_scan_en_bfd_lt}),
1571 .dout ({ccx_aclk_arb_lt,ccx_bclk_arb_lt,tcu_pce_ov_arb_lt,tcu_scan_en_arb_lt})
1572);
1573
1574//buffer the high fanout signals going to arb bot left
1575pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_4 buf_hfn_arb_lb (
1576 .din ({ccx_aclk_bfd_lb,ccx_bclk_bfd_lb, tcu_pce_ov_bfd_lb, tcu_scan_en_bfd_lb}),
1577 .dout ({ccx_aclk_arb_lb,ccx_bclk_arb_lb,tcu_pce_ov_arb_lb,tcu_scan_en_arb_lb})
1578);
1579
1580//buffer the high fanout signals going to arb top right
1581pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_4 buf_hfn_arb_rt (
1582 .din ({ccx_aclk_bfd_rt,ccx_bclk_bfd_rt, tcu_pce_ov_bfd_rt, tcu_scan_en_bfd_rt}),
1583 .dout ({ccx_aclk_arb_rt,ccx_bclk_arb_rt,tcu_pce_ov_arb_rt,tcu_scan_en_arb_rt})
1584);
1585
1586//buffer the high fanout signals going to arb bot right
1587pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_4 buf_hfn_arb_rb (
1588 .din ({ccx_aclk_bfd_rb,ccx_bclk_bfd_rb, tcu_pce_ov_bfd_rb, tcu_scan_en_bfd_rb}),
1589 .dout ({ccx_aclk_arb_rb,ccx_bclk_arb_rb,tcu_pce_ov_arb_rb,tcu_scan_en_arb_rb})
1590);
1591
1592
1593/*
1594 ccx_arb AUTO_TEMPLATE(
1595 // Outputs
1596 .arb_grant_a (arb@_grant_l_a[8:0]),
1597 .arb_q0_holdbar_a (arb@_q0_holdbar_l_a[8:0]),
1598 .arb_qsel0_a (arb@_qsel0_l_a[8:0]),
1599 .arb_qsel1_a (arb@_qsel1_l_a[8:0]),
1600 .arb_shift_a (arb@_shift_l_a[8:0]),
1601 .arb_src0_grant_a (pcx_spc0_grant_a[@]),
1602 .arb_src1_grant_a (pcx_spc1_grant_a[@]),
1603 .arb_src2_grant_a (pcx_spc2_grant_a[@]),
1604 .arb_src3_grant_a (pcx_spc3_grant_a[@]),
1605 .arb_src4_grant_a (pcx_spc4_grant_a[@]),
1606 .arb_src5_grant_a (pcx_spc5_grant_a[@]),
1607 .arb_src6_grant_a (pcx_spc6_grant_a[@]),
1608 .arb_src7_grant_a (pcx_spc7_grant_a[@]),
1609 .arb_src8_grant_a (arb@_src8_grant_l_unused),
1610 .ccx_dest_data_rdy_a (pcx_sctag@_data_rdy_a),
1611 .ccx_dest_atom_a (pcx_sctag@_atm_a),
1612 // Inputs
1613 .src0_arb_atom_q (spc0_pcx_atm_lq[@]),
1614 .src0_arb_req_q (spc0_pcx_req_lq[@]),
1615 .src1_arb_atom_q (spc1_pcx_atm_lq[@]),
1616 .src1_arb_req_q (spc1_pcx_req_lq[@]),
1617 .src2_arb_atom_q (spc2_pcx_atm_lq[@]),
1618 .src2_arb_req_q (spc2_pcx_req_lq[@]),
1619 .src3_arb_atom_q (spc3_pcx_atm_lq[@]),
1620 .src3_arb_req_q (spc3_pcx_req_lq[@]),
1621 .src4_arb_atom_q (spc4_pcx_atm_lq[@]),
1622 .src4_arb_req_q (spc4_pcx_req_lq[@]),
1623 .src5_arb_atom_q (spc5_pcx_atm_lq[@]),
1624 .src5_arb_req_q (spc5_pcx_req_lq[@]),
1625 .src6_arb_atom_q (spc6_pcx_atm_lq[@]),
1626 .src6_arb_req_q (spc6_pcx_req_lq[@]),
1627 .src7_arb_atom_q (spc7_pcx_atm_lq[@]),
1628 .src7_arb_req_q (spc7_pcx_req_lq[@]),
1629 .src8_arb_atom_q (1'b0),
1630 .src8_arb_req_q (1'b0),
1631 .stall_q_d1 (sctag@_pcx_stall_lq_d1),
1632);
1633 */
1634
1635ccx_arb pcx_arbl0 (
1636 // Outputs
1637 .arb_grant_a (arb0_grant_l_a[8:0]), // Templated
1638 .arb_q0_holdbar_a (arb0_q0_holdbar_l_a[8:0]), // Templated
1639 .arb_qsel0_a (arb0_qsel0_l_a[8:0]), // Templated
1640 .arb_qsel1_a (arb0_qsel1_l_a[8:0]), // Templated
1641 .arb_shift_a (arb0_shift_l_a[8:0]), // Templated
1642 .arb_src0_grant_a (pcx_spc0_grant_a[0]), // Templated
1643 .arb_src1_grant_a (pcx_spc1_grant_a[0]), // Templated
1644 .arb_src2_grant_a (pcx_spc2_grant_a[0]), // Templated
1645 .arb_src3_grant_a (pcx_spc3_grant_a[0]), // Templated
1646 .arb_src4_grant_a (pcx_spc4_grant_a_unused[0]), // Templated
1647 .arb_src5_grant_a (pcx_spc5_grant_a_unused[0]), // Templated
1648 .arb_src6_grant_a (pcx_spc6_grant_a_unused[0]), // Templated
1649 .arb_src7_grant_a (pcx_spc7_grant_a_unused[0]), // Templated
1650 .arb_src8_grant_a (arb0_src8_grant_l_unused), // Templated
1651 .ccx_dest_atom_a (pcx_sctag0_atm_a), // Templated
1652 .ccx_dest_data_rdy_a (pcx_sctag0_data_rdy_a), // Templated
1653 // Inputs
1654 .src0_arb_atom_q (spc0_pcx_atm_lq[0]), // Templated
1655 .src0_arb_req_q (spc0_pcx_req_lq[0]), // Templated
1656 .src1_arb_atom_q (spc1_pcx_atm_lq[0]), // Templated
1657 .src1_arb_req_q (spc1_pcx_req_lq[0]), // Templated
1658 .src2_arb_atom_q (spc2_pcx_atm_lq[0]), // Templated
1659 .src2_arb_req_q (spc2_pcx_req_lq[0]), // Templated
1660 .src3_arb_atom_q (spc3_pcx_atm_lq[0]), // Templated
1661 .src3_arb_req_q (spc3_pcx_req_lq[0]), // Templated
1662 .src4_arb_atom_q (spc4_pcx_atm_lq[0]), // Templated
1663 .src4_arb_req_q (spc4_pcx_req_lq[0]), // Templated
1664 .src5_arb_atom_q (spc5_pcx_atm_lq[0]), // Templated
1665 .src5_arb_req_q (spc5_pcx_req_lq[0]), // Templated
1666 .src6_arb_atom_q (spc6_pcx_atm_lq[0]), // Templated
1667 .src6_arb_req_q (spc6_pcx_req_lq[0]), // Templated
1668 .src7_arb_atom_q (spc7_pcx_atm_lq[0]), // Templated
1669 .src7_arb_req_q (spc7_pcx_req_lq[0]), // Templated
1670 .src8_arb_atom_q (1'b0), // Templated
1671 .src8_arb_req_q (1'b0), // Templated
1672 .stall_q_d1 (sctag0_pcx_stall_lq_d1), // Templated
1673 .scan_in(pcx_arbl0_scanin),
1674 .scan_out(pcx_arbl0_scanout),
1675 .l2clk (l2clk),
1676 .tcu_scan_en (tcu_scan_en_arb_lt),
1677 .tcu_pce_ov (tcu_pce_ov_arb_lt),
1678 .ccx_aclk (ccx_aclk_arb_lt),
1679 .ccx_bclk (ccx_bclk_arb_lt)
1680 );
1681
1682ccx_arb pcx_arbl1(
1683 // Outputs
1684 .arb_grant_a (arb1_grant_l_a[8:0]), // Templated
1685 .arb_q0_holdbar_a (arb1_q0_holdbar_l_a[8:0]), // Templated
1686 .arb_qsel0_a (arb1_qsel0_l_a[8:0]), // Templated
1687 .arb_qsel1_a (arb1_qsel1_l_a[8:0]), // Templated
1688 .arb_shift_a (arb1_shift_l_a[8:0]), // Templated
1689 .arb_src0_grant_a (pcx_spc0_grant_a[1]), // Templated
1690 .arb_src1_grant_a (pcx_spc1_grant_a[1]), // Templated
1691 .arb_src2_grant_a (pcx_spc2_grant_a[1]), // Templated
1692 .arb_src3_grant_a (pcx_spc3_grant_a[1]), // Templated
1693 .arb_src4_grant_a (pcx_spc4_grant_a_unused[1]), // Templated
1694 .arb_src5_grant_a (pcx_spc5_grant_a_unused[1]), // Templated
1695 .arb_src6_grant_a (pcx_spc6_grant_a_unused[1]), // Templated
1696 .arb_src7_grant_a (pcx_spc7_grant_a_unused[1]), // Templated
1697 .arb_src8_grant_a (arb1_src8_grant_l_unused), // Templated
1698 .ccx_dest_atom_a (pcx_sctag1_atm_a), // Templated
1699 .ccx_dest_data_rdy_a (pcx_sctag1_data_rdy_a), // Templated
1700 // Inputs
1701 .src0_arb_atom_q (spc0_pcx_atm_lq[1]), // Templated
1702 .src0_arb_req_q (spc0_pcx_req_lq[1]), // Templated
1703 .src1_arb_atom_q (spc1_pcx_atm_lq[1]), // Templated
1704 .src1_arb_req_q (spc1_pcx_req_lq[1]), // Templated
1705 .src2_arb_atom_q (spc2_pcx_atm_lq[1]), // Templated
1706 .src2_arb_req_q (spc2_pcx_req_lq[1]), // Templated
1707 .src3_arb_atom_q (spc3_pcx_atm_lq[1]), // Templated
1708 .src3_arb_req_q (spc3_pcx_req_lq[1]), // Templated
1709 .src4_arb_atom_q (spc4_pcx_atm_lq[1]), // Templated
1710 .src4_arb_req_q (spc4_pcx_req_lq[1]), // Templated
1711 .src5_arb_atom_q (spc5_pcx_atm_lq[1]), // Templated
1712 .src5_arb_req_q (spc5_pcx_req_lq[1]), // Templated
1713 .src6_arb_atom_q (spc6_pcx_atm_lq[1]), // Templated
1714 .src6_arb_req_q (spc6_pcx_req_lq[1]), // Templated
1715 .src7_arb_atom_q (spc7_pcx_atm_lq[1]), // Templated
1716 .src7_arb_req_q (spc7_pcx_req_lq[1]), // Templated
1717 .src8_arb_atom_q (1'b0), // Templated
1718 .src8_arb_req_q (1'b0), // Templated
1719 .stall_q_d1 (sctag1_pcx_stall_lq_d1), // Templated
1720 .scan_in(pcx_arbl1_scanin),
1721 .scan_out(pcx_arbl1_scanout),
1722 .l2clk (l2clk),
1723 .tcu_scan_en (tcu_scan_en_arb_lt),
1724 .tcu_pce_ov (tcu_pce_ov_arb_lt),
1725 .ccx_aclk (ccx_aclk_arb_lt),
1726 .ccx_bclk (ccx_bclk_arb_lt)
1727 );
1728
1729ccx_arb pcx_arbl2(
1730 // Outputs
1731 .arb_grant_a (arb2_grant_l_a[8:0]), // Templated
1732 .arb_q0_holdbar_a (arb2_q0_holdbar_l_a[8:0]), // Templated
1733 .arb_qsel0_a (arb2_qsel0_l_a[8:0]), // Templated
1734 .arb_qsel1_a (arb2_qsel1_l_a[8:0]), // Templated
1735 .arb_shift_a (arb2_shift_l_a[8:0]), // Templated
1736 .arb_src0_grant_a (pcx_spc0_grant_a[2]), // Templated
1737 .arb_src1_grant_a (pcx_spc1_grant_a[2]), // Templated
1738 .arb_src2_grant_a (pcx_spc2_grant_a[2]), // Templated
1739 .arb_src3_grant_a (pcx_spc3_grant_a[2]), // Templated
1740 .arb_src4_grant_a (pcx_spc4_grant_a_unused[2]), // Templated
1741 .arb_src5_grant_a (pcx_spc5_grant_a_unused[2]), // Templated
1742 .arb_src6_grant_a (pcx_spc6_grant_a_unused[2]), // Templated
1743 .arb_src7_grant_a (pcx_spc7_grant_a_unused[2]), // Templated
1744 .arb_src8_grant_a (arb2_src8_grant_l_unused), // Templated
1745 .ccx_dest_atom_a (pcx_sctag2_atm_a), // Templated
1746 .ccx_dest_data_rdy_a (pcx_sctag2_data_rdy_a), // Templated
1747 // Inputs
1748 .src0_arb_atom_q (spc0_pcx_atm_lq[2]), // Templated
1749 .src0_arb_req_q (spc0_pcx_req_lq[2]), // Templated
1750 .src1_arb_atom_q (spc1_pcx_atm_lq[2]), // Templated
1751 .src1_arb_req_q (spc1_pcx_req_lq[2]), // Templated
1752 .src2_arb_atom_q (spc2_pcx_atm_lq[2]), // Templated
1753 .src2_arb_req_q (spc2_pcx_req_lq[2]), // Templated
1754 .src3_arb_atom_q (spc3_pcx_atm_lq[2]), // Templated
1755 .src3_arb_req_q (spc3_pcx_req_lq[2]), // Templated
1756 .src4_arb_atom_q (spc4_pcx_atm_lq[2]), // Templated
1757 .src4_arb_req_q (spc4_pcx_req_lq[2]), // Templated
1758 .src5_arb_atom_q (spc5_pcx_atm_lq[2]), // Templated
1759 .src5_arb_req_q (spc5_pcx_req_lq[2]), // Templated
1760 .src6_arb_atom_q (spc6_pcx_atm_lq[2]), // Templated
1761 .src6_arb_req_q (spc6_pcx_req_lq[2]), // Templated
1762 .src7_arb_atom_q (spc7_pcx_atm_lq[2]), // Templated
1763 .src7_arb_req_q (spc7_pcx_req_lq[2]), // Templated
1764 .src8_arb_atom_q (1'b0), // Templated
1765 .src8_arb_req_q (1'b0), // Templated
1766 .stall_q_d1 (sctag2_pcx_stall_lq_d1), // Templated
1767 .scan_in(pcx_arbl2_scanin),
1768 .scan_out(pcx_arbl2_scanout),
1769 .l2clk (l2clk),
1770 .tcu_scan_en (tcu_scan_en_arb_lb),
1771 .tcu_pce_ov (tcu_pce_ov_arb_lb),
1772 .ccx_aclk (ccx_aclk_arb_lb),
1773 .ccx_bclk (ccx_bclk_arb_lb)
1774 );
1775
1776ccx_arb pcx_arbl3(
1777 // Outputs
1778 .arb_grant_a (arb3_grant_l_a[8:0]), // Templated
1779 .arb_q0_holdbar_a (arb3_q0_holdbar_l_a[8:0]), // Templated
1780 .arb_qsel0_a (arb3_qsel0_l_a[8:0]), // Templated
1781 .arb_qsel1_a (arb3_qsel1_l_a[8:0]), // Templated
1782 .arb_shift_a (arb3_shift_l_a[8:0]), // Templated
1783 .arb_src0_grant_a (pcx_spc0_grant_a[3]), // Templated
1784 .arb_src1_grant_a (pcx_spc1_grant_a[3]), // Templated
1785 .arb_src2_grant_a (pcx_spc2_grant_a[3]), // Templated
1786 .arb_src3_grant_a (pcx_spc3_grant_a[3]), // Templated
1787 .arb_src4_grant_a (pcx_spc4_grant_a_unused[3]), // Templated
1788 .arb_src5_grant_a (pcx_spc5_grant_a_unused[3]), // Templated
1789 .arb_src6_grant_a (pcx_spc6_grant_a_unused[3]), // Templated
1790 .arb_src7_grant_a (pcx_spc7_grant_a_unused[3]), // Templated
1791 .arb_src8_grant_a (arb3_src8_grant_l_unused), // Templated
1792 .ccx_dest_atom_a (pcx_sctag3_atm_a), // Templated
1793 .ccx_dest_data_rdy_a (pcx_sctag3_data_rdy_a), // Templated
1794 // Inputs
1795 .src0_arb_atom_q (spc0_pcx_atm_lq[3]), // Templated
1796 .src0_arb_req_q (spc0_pcx_req_lq[3]), // Templated
1797 .src1_arb_atom_q (spc1_pcx_atm_lq[3]), // Templated
1798 .src1_arb_req_q (spc1_pcx_req_lq[3]), // Templated
1799 .src2_arb_atom_q (spc2_pcx_atm_lq[3]), // Templated
1800 .src2_arb_req_q (spc2_pcx_req_lq[3]), // Templated
1801 .src3_arb_atom_q (spc3_pcx_atm_lq[3]), // Templated
1802 .src3_arb_req_q (spc3_pcx_req_lq[3]), // Templated
1803 .src4_arb_atom_q (spc4_pcx_atm_lq[3]), // Templated
1804 .src4_arb_req_q (spc4_pcx_req_lq[3]), // Templated
1805 .src5_arb_atom_q (spc5_pcx_atm_lq[3]), // Templated
1806 .src5_arb_req_q (spc5_pcx_req_lq[3]), // Templated
1807 .src6_arb_atom_q (spc6_pcx_atm_lq[3]), // Templated
1808 .src6_arb_req_q (spc6_pcx_req_lq[3]), // Templated
1809 .src7_arb_atom_q (spc7_pcx_atm_lq[3]), // Templated
1810 .src7_arb_req_q (spc7_pcx_req_lq[3]), // Templated
1811 .src8_arb_atom_q (1'b0), // Templated
1812 .src8_arb_req_q (1'b0), // Templated
1813 .stall_q_d1 (sctag3_pcx_stall_lq_d1), // Templated
1814 .scan_in(pcx_arbl3_scanin),
1815 .scan_out(pcx_arbl3_scanout),
1816 .l2clk (l2clk),
1817 .tcu_scan_en (tcu_scan_en_arb_lb),
1818 .tcu_pce_ov (tcu_pce_ov_arb_lb),
1819 .ccx_aclk (ccx_aclk_arb_lb),
1820 .ccx_bclk (ccx_bclk_arb_lb)
1821 );
1822
1823ccx_arb pcx_arbl4(
1824 // Outputs
1825 .arb_grant_a (arb4_grant_l_a[8:0]), // Templated
1826 .arb_q0_holdbar_a (arb4_q0_holdbar_l_a[8:0]), // Templated
1827 .arb_qsel0_a (arb4_qsel0_l_a[8:0]), // Templated
1828 .arb_qsel1_a (arb4_qsel1_l_a[8:0]), // Templated
1829 .arb_shift_a (arb4_shift_l_a[8:0]), // Templated
1830 .arb_src0_grant_a (pcx_spc0_grant_a[4]), // Templated
1831 .arb_src1_grant_a (pcx_spc1_grant_a[4]), // Templated
1832 .arb_src2_grant_a (pcx_spc2_grant_a[4]), // Templated
1833 .arb_src3_grant_a (pcx_spc3_grant_a[4]), // Templated
1834 .arb_src4_grant_a (pcx_spc4_grant_a_unused[4]), // Templated
1835 .arb_src5_grant_a (pcx_spc5_grant_a_unused[4]), // Templated
1836 .arb_src6_grant_a (pcx_spc6_grant_a_unused[4]), // Templated
1837 .arb_src7_grant_a (pcx_spc7_grant_a_unused[4]), // Templated
1838 .arb_src8_grant_a (arb4_src8_grant_l_unused), // Templated
1839 .ccx_dest_atom_a (pcx_sctag4_atm_a_unused), // Templated
1840 .ccx_dest_data_rdy_a (pcx_sctag4_data_rdy_a_unused), // Templated
1841 // Inputs
1842 .src0_arb_atom_q (spc0_pcx_atm_lq[4]), // Templated
1843 .src0_arb_req_q (spc0_pcx_req_lq[4]), // Templated
1844 .src1_arb_atom_q (spc1_pcx_atm_lq[4]), // Templated
1845 .src1_arb_req_q (spc1_pcx_req_lq[4]), // Templated
1846 .src2_arb_atom_q (spc2_pcx_atm_lq[4]), // Templated
1847 .src2_arb_req_q (spc2_pcx_req_lq[4]), // Templated
1848 .src3_arb_atom_q (spc3_pcx_atm_lq[4]), // Templated
1849 .src3_arb_req_q (spc3_pcx_req_lq[4]), // Templated
1850 .src4_arb_atom_q (spc4_pcx_atm_lq[4]), // Templated
1851 .src4_arb_req_q (spc4_pcx_req_lq[4]), // Templated
1852 .src5_arb_atom_q (spc5_pcx_atm_lq[4]), // Templated
1853 .src5_arb_req_q (spc5_pcx_req_lq[4]), // Templated
1854 .src6_arb_atom_q (spc6_pcx_atm_lq[4]), // Templated
1855 .src6_arb_req_q (spc6_pcx_req_lq[4]), // Templated
1856 .src7_arb_atom_q (spc7_pcx_atm_lq[4]), // Templated
1857 .src7_arb_req_q (spc7_pcx_req_lq[4]), // Templated
1858 .src8_arb_atom_q (1'b0), // Templated
1859 .src8_arb_req_q (1'b0), // Templated
1860 .stall_q_d1 (sctag4_pcx_stall_lq_d1), // Templated
1861 .scan_in(pcx_arbl4_scanin),
1862 .scan_out(pcx_arbl4_scanout),
1863 .l2clk (l2clk),
1864 .tcu_scan_en (tcu_scan_en_arb_lt),
1865 .tcu_pce_ov (tcu_pce_ov_arb_lt),
1866 .ccx_aclk (ccx_aclk_arb_lt),
1867 .ccx_bclk (ccx_bclk_arb_lt)
1868 );
1869
1870ccx_arb pcx_arbl5 (
1871 // Outputs
1872 .arb_grant_a (arb5_grant_l_a[8:0]), // Templated
1873 .arb_q0_holdbar_a (arb5_q0_holdbar_l_a[8:0]), // Templated
1874 .arb_qsel0_a (arb5_qsel0_l_a[8:0]), // Templated
1875 .arb_qsel1_a (arb5_qsel1_l_a[8:0]), // Templated
1876 .arb_shift_a (arb5_shift_l_a[8:0]), // Templated
1877 .arb_src0_grant_a (pcx_spc0_grant_a[5]), // Templated
1878 .arb_src1_grant_a (pcx_spc1_grant_a[5]), // Templated
1879 .arb_src2_grant_a (pcx_spc2_grant_a[5]), // Templated
1880 .arb_src3_grant_a (pcx_spc3_grant_a[5]), // Templated
1881 .arb_src4_grant_a (pcx_spc4_grant_a_unused[5]), // Templated
1882 .arb_src5_grant_a (pcx_spc5_grant_a_unused[5]), // Templated
1883 .arb_src6_grant_a (pcx_spc6_grant_a_unused[5]), // Templated
1884 .arb_src7_grant_a (pcx_spc7_grant_a_unused[5]), // Templated
1885 .arb_src8_grant_a (arb5_src8_grant_l_unused), // Templated
1886 .ccx_dest_atom_a (pcx_sctag5_atm_a_unused), // Templated
1887 .ccx_dest_data_rdy_a (pcx_sctag5_data_rdy_a_unused), // Templated
1888 // Inputs
1889 .src0_arb_atom_q (spc0_pcx_atm_lq[5]), // Templated
1890 .src0_arb_req_q (spc0_pcx_req_lq[5]), // Templated
1891 .src1_arb_atom_q (spc1_pcx_atm_lq[5]), // Templated
1892 .src1_arb_req_q (spc1_pcx_req_lq[5]), // Templated
1893 .src2_arb_atom_q (spc2_pcx_atm_lq[5]), // Templated
1894 .src2_arb_req_q (spc2_pcx_req_lq[5]), // Templated
1895 .src3_arb_atom_q (spc3_pcx_atm_lq[5]), // Templated
1896 .src3_arb_req_q (spc3_pcx_req_lq[5]), // Templated
1897 .src4_arb_atom_q (spc4_pcx_atm_lq[5]), // Templated
1898 .src4_arb_req_q (spc4_pcx_req_lq[5]), // Templated
1899 .src5_arb_atom_q (spc5_pcx_atm_lq[5]), // Templated
1900 .src5_arb_req_q (spc5_pcx_req_lq[5]), // Templated
1901 .src6_arb_atom_q (spc6_pcx_atm_lq[5]), // Templated
1902 .src6_arb_req_q (spc6_pcx_req_lq[5]), // Templated
1903 .src7_arb_atom_q (spc7_pcx_atm_lq[5]), // Templated
1904 .src7_arb_req_q (spc7_pcx_req_lq[5]), // Templated
1905 .src8_arb_atom_q (1'b0), // Templated
1906 .src8_arb_req_q (1'b0), // Templated
1907 .stall_q_d1 (sctag5_pcx_stall_lq_d1), // Templated
1908 .scan_in(pcx_arbl5_scanin),
1909 .scan_out(pcx_arbl5_scanout),
1910 .l2clk (l2clk),
1911 .tcu_scan_en (tcu_scan_en_arb_lt),
1912 .tcu_pce_ov (tcu_pce_ov_arb_lt),
1913 .ccx_aclk (ccx_aclk_arb_lt),
1914 .ccx_bclk (ccx_bclk_arb_lt)
1915 );
1916
1917ccx_arb pcx_arbl6 (
1918 // Outputs
1919 .arb_grant_a (arb6_grant_l_a[8:0]), // Templated
1920 .arb_q0_holdbar_a (arb6_q0_holdbar_l_a[8:0]), // Templated
1921 .arb_qsel0_a (arb6_qsel0_l_a[8:0]), // Templated
1922 .arb_qsel1_a (arb6_qsel1_l_a[8:0]), // Templated
1923 .arb_shift_a (arb6_shift_l_a[8:0]), // Templated
1924 .arb_src0_grant_a (pcx_spc0_grant_a[6]), // Templated
1925 .arb_src1_grant_a (pcx_spc1_grant_a[6]), // Templated
1926 .arb_src2_grant_a (pcx_spc2_grant_a[6]), // Templated
1927 .arb_src3_grant_a (pcx_spc3_grant_a[6]), // Templated
1928 .arb_src4_grant_a (pcx_spc4_grant_a_unused[6]), // Templated
1929 .arb_src5_grant_a (pcx_spc5_grant_a_unused[6]), // Templated
1930 .arb_src6_grant_a (pcx_spc6_grant_a_unused[6]), // Templated
1931 .arb_src7_grant_a (pcx_spc7_grant_a_unused[6]), // Templated
1932 .arb_src8_grant_a (arb6_src8_grant_l_unused), // Templated
1933 .ccx_dest_atom_a (pcx_sctag6_atm_a_unused), // Templated
1934 .ccx_dest_data_rdy_a (pcx_sctag6_data_rdy_a_unused), // Templated
1935 // Inputs
1936 .src0_arb_atom_q (spc0_pcx_atm_lq[6]), // Templated
1937 .src0_arb_req_q (spc0_pcx_req_lq[6]), // Templated
1938 .src1_arb_atom_q (spc1_pcx_atm_lq[6]), // Templated
1939 .src1_arb_req_q (spc1_pcx_req_lq[6]), // Templated
1940 .src2_arb_atom_q (spc2_pcx_atm_lq[6]), // Templated
1941 .src2_arb_req_q (spc2_pcx_req_lq[6]), // Templated
1942 .src3_arb_atom_q (spc3_pcx_atm_lq[6]), // Templated
1943 .src3_arb_req_q (spc3_pcx_req_lq[6]), // Templated
1944 .src4_arb_atom_q (spc4_pcx_atm_lq[6]), // Templated
1945 .src4_arb_req_q (spc4_pcx_req_lq[6]), // Templated
1946 .src5_arb_atom_q (spc5_pcx_atm_lq[6]), // Templated
1947 .src5_arb_req_q (spc5_pcx_req_lq[6]), // Templated
1948 .src6_arb_atom_q (spc6_pcx_atm_lq[6]), // Templated
1949 .src6_arb_req_q (spc6_pcx_req_lq[6]), // Templated
1950 .src7_arb_atom_q (spc7_pcx_atm_lq[6]), // Templated
1951 .src7_arb_req_q (spc7_pcx_req_lq[6]), // Templated
1952 .src8_arb_atom_q (1'b0), // Templated
1953 .src8_arb_req_q (1'b0), // Templated
1954 .stall_q_d1 (sctag6_pcx_stall_lq_d1), // Templated
1955 .scan_in(pcx_arbl6_scanin),
1956 .scan_out(pcx_arbl6_scanout),
1957 .l2clk (l2clk),
1958 .tcu_scan_en (tcu_scan_en_arb_lb),
1959 .tcu_pce_ov (tcu_pce_ov_arb_lb),
1960 .ccx_aclk (ccx_aclk_arb_lb),
1961 .ccx_bclk (ccx_bclk_arb_lb)
1962 );
1963
1964ccx_arb pcx_arbl7 (
1965 // Outputs
1966 .arb_grant_a (arb7_grant_l_a[8:0]), // Templated
1967 .arb_q0_holdbar_a (arb7_q0_holdbar_l_a[8:0]), // Templated
1968 .arb_qsel0_a (arb7_qsel0_l_a[8:0]), // Templated
1969 .arb_qsel1_a (arb7_qsel1_l_a[8:0]), // Templated
1970 .arb_shift_a (arb7_shift_l_a[8:0]), // Templated
1971 .arb_src0_grant_a (pcx_spc0_grant_a[7]), // Templated
1972 .arb_src1_grant_a (pcx_spc1_grant_a[7]), // Templated
1973 .arb_src2_grant_a (pcx_spc2_grant_a[7]), // Templated
1974 .arb_src3_grant_a (pcx_spc3_grant_a[7]), // Templated
1975 .arb_src4_grant_a (pcx_spc4_grant_a_unused[7]), // Templated
1976 .arb_src5_grant_a (pcx_spc5_grant_a_unused[7]), // Templated
1977 .arb_src6_grant_a (pcx_spc6_grant_a_unused[7]), // Templated
1978 .arb_src7_grant_a (pcx_spc7_grant_a_unused[7]), // Templated
1979 .arb_src8_grant_a (arb7_src8_grant_l_unused), // Templated
1980 .ccx_dest_atom_a (pcx_sctag7_atm_a_unused), // Templated
1981 .ccx_dest_data_rdy_a (pcx_sctag7_data_rdy_a_unused), // Templated
1982 // Inputs
1983 .src0_arb_atom_q (spc0_pcx_atm_lq[7]), // Templated
1984 .src0_arb_req_q (spc0_pcx_req_lq[7]), // Templated
1985 .src1_arb_atom_q (spc1_pcx_atm_lq[7]), // Templated
1986 .src1_arb_req_q (spc1_pcx_req_lq[7]), // Templated
1987 .src2_arb_atom_q (spc2_pcx_atm_lq[7]), // Templated
1988 .src2_arb_req_q (spc2_pcx_req_lq[7]), // Templated
1989 .src3_arb_atom_q (spc3_pcx_atm_lq[7]), // Templated
1990 .src3_arb_req_q (spc3_pcx_req_lq[7]), // Templated
1991 .src4_arb_atom_q (spc4_pcx_atm_lq[7]), // Templated
1992 .src4_arb_req_q (spc4_pcx_req_lq[7]), // Templated
1993 .src5_arb_atom_q (spc5_pcx_atm_lq[7]), // Templated
1994 .src5_arb_req_q (spc5_pcx_req_lq[7]), // Templated
1995 .src6_arb_atom_q (spc6_pcx_atm_lq[7]), // Templated
1996 .src6_arb_req_q (spc6_pcx_req_lq[7]), // Templated
1997 .src7_arb_atom_q (spc7_pcx_atm_lq[7]), // Templated
1998 .src7_arb_req_q (spc7_pcx_req_lq[7]), // Templated
1999 .src8_arb_atom_q (1'b0), // Templated
2000 .src8_arb_req_q (1'b0), // Templated
2001 .stall_q_d1 (sctag7_pcx_stall_lq_d1), // Templated
2002 .scan_in(pcx_arbl7_scanin),
2003 .scan_out(pcx_arbl7_scanout),
2004 .l2clk (l2clk),
2005 .tcu_scan_en (tcu_scan_en_arb_lb),
2006 .tcu_pce_ov (tcu_pce_ov_arb_lb),
2007 .ccx_aclk (ccx_aclk_arb_lb),
2008 .ccx_bclk (ccx_bclk_arb_lb)
2009 );
2010
2011/*
2012 ccx_arb AUTO_TEMPLATE(
2013 // Outputs
2014 .arb_grant_a (arb@_grant_l_a[8:0]),
2015 .arb_q0_holdbar_a (arb@_q0_holdbar_l_a[8:0]),
2016 .arb_qsel0_a (arb@_qsel0_l_a[8:0]),
2017 .arb_qsel1_a (arb@_qsel1_l_a[8:0]),
2018 .arb_shift_a (arb@_shift_l_a[8:0]),
2019 .arb_src0_grant_a (pcx_spc0_grant_a[@]),
2020 .arb_src1_grant_a (pcx_spc1_grant_a[@]),
2021 .arb_src2_grant_a (pcx_spc2_grant_a[@]),
2022 .arb_src3_grant_a (pcx_spc3_grant_a[@]),
2023 .arb_src4_grant_a (pcx_spc4_grant_a[@]),
2024 .arb_src5_grant_a (pcx_spc5_grant_a[@]),
2025 .arb_src6_grant_a (pcx_spc6_grant_a[@]),
2026 .arb_src7_grant_a (pcx_spc7_grant_a[@]),
2027 .arb_src8_grant_a (arb8_src8_grant_l_unused),
2028 .ccx_dest_data_rdy_a (pcx_fpio_data_rdy_a),
2029 .ccx_dest_atom_x (arb8_dest_atom_l_unused),
2030 // Inputs
2031 .src0_arb_atom_q (spc0_pcx_atm_lq[@]),
2032 .src0_arb_req_q (spc0_pcx_req_lq[@]),
2033 .src1_arb_atom_q (spc1_pcx_atm_lq[@]),
2034 .src1_arb_req_q (spc1_pcx_req_lq[@]),
2035 .src2_arb_atom_q (spc2_pcx_atm_lq[@]),
2036 .src2_arb_req_q (spc2_pcx_req_lq[@]),
2037 .src3_arb_atom_q (spc3_pcx_atm_lq[@]),
2038 .src3_arb_req_q (spc3_pcx_req_lq[@]),
2039 .src4_arb_atom_q (spc4_pcx_atm_lq[@]),
2040 .src4_arb_req_q (spc4_pcx_req_lq[@]),
2041 .src5_arb_atom_q (spc5_pcx_atm_lq[@]),
2042 .src5_arb_req_q (spc5_pcx_req_lq[@]),
2043 .src6_arb_atom_q (spc6_pcx_atm_lq[@]),
2044 .src6_arb_req_q (spc6_pcx_req_lq[@]),
2045 .src7_arb_atom_q (spc7_pcx_atm_lq[@]),
2046 .src7_arb_req_q (spc7_pcx_req_lq[@]),
2047 .src8_arb_atom_q (1'b0),
2048 .src8_arb_req_q (1'b0),
2049 .stall_q_d1 (io_pcx_stall_lq_d1),
2050);
2051 */
2052//
2053ccx_arb pcx_arbl8 (
2054 // Outputs
2055 .arb_grant_a (arb8_grant_l_a[8:0]), // Templated
2056 .arb_q0_holdbar_a (arb8_q0_holdbar_l_a[8:0]), // Templated
2057 .arb_qsel0_a (arb8_qsel0_l_a[8:0]), // Templated
2058 .arb_qsel1_a (arb8_qsel1_l_a[8:0]), // Templated
2059 .arb_shift_a (arb8_shift_l_a[8:0]), // Templated
2060 .arb_src0_grant_a (pcx_spc0_grant_a[8]), // Templated
2061 .arb_src1_grant_a (pcx_spc1_grant_a[8]), // Templated
2062 .arb_src2_grant_a (pcx_spc2_grant_a[8]), // Templated
2063 .arb_src3_grant_a (pcx_spc3_grant_a[8]), // Templated
2064 .arb_src4_grant_a (pcx_spc4_grant_a_unused[8]), // Templated
2065 .arb_src5_grant_a (pcx_spc5_grant_a_unused[8]), // Templated
2066 .arb_src6_grant_a (pcx_spc6_grant_a_unused[8]), // Templated
2067 .arb_src7_grant_a (pcx_spc7_grant_a_unused[8]), // Templated
2068 .arb_src8_grant_a (arb8_src8_grant_l_unused), // Templated
2069 .ccx_dest_atom_a (arb8_dest_atom_l_unused), // Templated
2070 .ccx_dest_data_rdy_a (pcx_fpio_data_rdy_a), // Templated
2071 // Inputs
2072 .src0_arb_atom_q (spc0_pcx_atm_lq[8]), // Templated
2073 .src0_arb_req_q (spc0_pcx_req_lq[8]), // Templated
2074 .src1_arb_atom_q (spc1_pcx_atm_lq[8]), // Templated
2075 .src1_arb_req_q (spc1_pcx_req_lq[8]), // Templated
2076 .src2_arb_atom_q (spc2_pcx_atm_lq[8]), // Templated
2077 .src2_arb_req_q (spc2_pcx_req_lq[8]), // Templated
2078 .src3_arb_atom_q (spc3_pcx_atm_lq[8]), // Templated
2079 .src3_arb_req_q (spc3_pcx_req_lq[8]), // Templated
2080 .src4_arb_atom_q (spc4_pcx_atm_lq[8]), // Templated
2081 .src4_arb_req_q (spc4_pcx_req_lq[8]), // Templated
2082 .src5_arb_atom_q (spc5_pcx_atm_lq[8]), // Templated
2083 .src5_arb_req_q (spc5_pcx_req_lq[8]), // Templated
2084 .src6_arb_atom_q (spc6_pcx_atm_lq[8]), // Templated
2085 .src6_arb_req_q (spc6_pcx_req_lq[8]), // Templated
2086 .src7_arb_atom_q (spc7_pcx_atm_lq[8]), // Templated
2087 .src7_arb_req_q (spc7_pcx_req_lq[8]), // Templated
2088 .src8_arb_atom_q (1'b0), // Templated
2089 .src8_arb_req_q (1'b0), // Templated
2090 .stall_q_d1 (io_pcx_stall_lq_d1), // Templated
2091 .scan_in(pcx_arbl8_scanin),
2092 .scan_out(pcx_arbl8_scanout),
2093 .l2clk (l2clk),
2094 .tcu_scan_en (tcu_scan_en_arb_lt),
2095 .tcu_pce_ov (tcu_pce_ov_arb_lt),
2096 .ccx_aclk (ccx_aclk_arb_lt),
2097 .ccx_bclk (ccx_bclk_arb_lt)
2098 );
2099
2100
2101
2102// buffer req signals feeding right arbiters
2103pcx_buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_r_spc0 (
2104 .din ({sctag0_pcx_stall_pq_d1, spc0_pcx_req_pq_r_0[8:0],spc0_pcx_atm_pq_r_0[8:0]}),
2105 .dout ({sctag0_pcx_stall_rq_d1, spc0_pcx_req_rq[8:0],spc0_pcx_atm_rq[8:0]})
2106);
2107
2108pcx_buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_r_spc1 (
2109 .din ({sctag1_pcx_stall_pq_d1, spc1_pcx_req_pq_r_0[8:0],spc1_pcx_atm_pq_r_0[8:0]}),
2110 .dout ({sctag1_pcx_stall_rq_d1, spc1_pcx_req_rq[8:0],spc1_pcx_atm_rq[8:0]})
2111);
2112
2113pcx_buff_macro__dbuff_48x__rep_1__stack_20r__width_20 i_bufq_r_spc2 (
2114 .din ({io_pcx_stall_pq_d1, sctag2_pcx_stall_pq_d1, spc2_pcx_req_pq_r_0[8:0],spc2_pcx_atm_pq_r_0[8:0]}),
2115 .dout ({io_pcx_stall_rq_d1, sctag2_pcx_stall_rq_d1, spc2_pcx_req_rq[8:0],spc2_pcx_atm_rq[8:0]})
2116);
2117
2118pcx_buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_r_spc3 (
2119 .din ({sctag3_pcx_stall_pq_d1, spc3_pcx_req_pq_r_0[8:0],spc3_pcx_atm_pq_r_0[8:0]}),
2120 .dout ({sctag3_pcx_stall_rq_d1, spc3_pcx_req_rq[8:0],spc3_pcx_atm_rq[8:0]})
2121);
2122
2123pcx_buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_r_spc4 (
2124 .din ({sctag4_pcx_stall_pq_d1, spc4_pcx_req_pq_r_0[8:0],spc4_pcx_atm_pq_r_0[8:0]}),
2125 .dout ({sctag4_pcx_stall_rq_d1, spc4_pcx_req_rq[8:0],spc4_pcx_atm_rq[8:0]})
2126);
2127
2128pcx_buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_r_spc5 (
2129 .din ({sctag5_pcx_stall_pq_d1, spc5_pcx_req_pq_r_0[8:0],spc5_pcx_atm_pq_r_0[8:0]}),
2130 .dout ({sctag5_pcx_stall_rq_d1, spc5_pcx_req_rq[8:0],spc5_pcx_atm_rq[8:0]})
2131);
2132
2133pcx_buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_r_spc6 (
2134 .din ({sctag6_pcx_stall_pq_d1, spc6_pcx_req_pq_r_0[8:0],spc6_pcx_atm_pq_r_0[8:0]}),
2135 .dout ({sctag6_pcx_stall_rq_d1, spc6_pcx_req_rq[8:0],spc6_pcx_atm_rq[8:0]})
2136);
2137
2138pcx_buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_r_spc7 (
2139 .din ({sctag7_pcx_stall_pq_d1 , spc7_pcx_req_pq_r_0[8:0],spc7_pcx_atm_pq_r_0[8:0]}),
2140 .dout ({sctag7_pcx_stall_rq_d1, spc7_pcx_req_rq[8:0],spc7_pcx_atm_rq[8:0]})
2141);
2142
2143
2144/*
2145 ccx_arb AUTO_TEMPLATE(
2146 // Outputs
2147 .arb_grant_a (arb@_grant_r_a[8:0]),
2148 .arb_q0_holdbar_a (arb@_q0_holdbar_r_a[8:0]),
2149 .arb_qsel0_a (arb@_qsel0_r_a[8:0]),
2150 .arb_qsel1_a (arb@_qsel1_r_a[8:0]),
2151 .arb_shift_a (arb@_shift_r_a[8:0]),
2152 .arb_src0_grant_a (pcx_spc0_grant_a_unused[@]),
2153 .arb_src1_grant_a (pcx_spc1_grant_a_unused[@]),
2154 .arb_src2_grant_a (pcx_spc2_grant_a_unused[@]),
2155 .arb_src3_grant_a (pcx_spc3_grant_a_unused[@]),
2156 .arb_src4_grant_a (pcx_spc4_grant_a_unused[@]),
2157 .arb_src5_grant_a (pcx_spc5_grant_a_unused[@]),
2158 .arb_src6_grant_a (pcx_spc6_grant_a_unused[@]),
2159 .arb_src7_grant_a (pcx_spc7_grant_a_unused[@]),
2160 .arb_src8_grant_a (arb@_src8_grant_r_unused),
2161 .ccx_dest_data_rdy_a (pcx_sctag@_data_rdy_a_unused),
2162 .ccx_dest_atom_x (pcx_sctag@_atm_px1_unused),
2163 // Inputs
2164 .src0_arb_atom_q (spc0_pcx_atm_rq[@]),
2165 .src0_arb_req_q (spc0_pcx_req_rq[@]),
2166 .src1_arb_atom_q (spc1_pcx_atm_rq[@]),
2167 .src1_arb_req_q (spc1_pcx_req_rq[@]),
2168 .src2_arb_atom_q (spc2_pcx_atm_rq[@]),
2169 .src2_arb_req_q (spc2_pcx_req_rq[@]),
2170 .src3_arb_atom_q (spc3_pcx_atm_rq[@]),
2171 .src3_arb_req_q (spc3_pcx_req_rq[@]),
2172 .src4_arb_atom_q (spc4_pcx_atm_rq[@]),
2173 .src4_arb_req_q (spc4_pcx_req_rq[@]),
2174 .src5_arb_atom_q (spc5_pcx_atm_rq[@]),
2175 .src5_arb_req_q (spc5_pcx_req_rq[@]),
2176 .src6_arb_atom_q (spc6_pcx_atm_rq[@]),
2177 .src6_arb_req_q (spc6_pcx_req_rq[@]),
2178 .src7_arb_atom_q (spc7_pcx_atm_rq[@]),
2179 .src7_arb_req_q (spc7_pcx_req_rq[@]),
2180 .src8_arb_atom_q (1'b0),
2181 .src8_arb_req_q (1'b0),
2182 .stall_q_d1 (sctag@_pcx_stall_rq_d1),
2183);
2184 */
2185
2186ccx_arb pcx_arbr0 (
2187 // Outputs
2188 .arb_grant_a (arb0_grant_r_a[8:0]), // Templated
2189 .arb_q0_holdbar_a (arb0_q0_holdbar_r_a[8:0]), // Templated
2190 .arb_qsel0_a (arb0_qsel0_r_a[8:0]), // Templated
2191 .arb_qsel1_a (arb0_qsel1_r_a[8:0]), // Templated
2192 .arb_shift_a (arb0_shift_r_a[8:0]), // Templated
2193 .arb_src0_grant_a (pcx_spc0_grant_a_unused[0]), // Templated
2194 .arb_src1_grant_a (pcx_spc1_grant_a_unused[0]), // Templated
2195 .arb_src2_grant_a (pcx_spc2_grant_a_unused[0]), // Templated
2196 .arb_src3_grant_a (pcx_spc3_grant_a_unused[0]), // Templated
2197 .arb_src4_grant_a (pcx_spc4_grant_a[0]), // Templated
2198 .arb_src5_grant_a (pcx_spc5_grant_a[0]), // Templated
2199 .arb_src6_grant_a (pcx_spc6_grant_a[0]), // Templated
2200 .arb_src7_grant_a (pcx_spc7_grant_a[0]), // Templated
2201 .arb_src8_grant_a (arb0_src8_grant_r_unused), // Templated
2202 .ccx_dest_atom_a (pcx_sctag0_atm_a_unused), // Templated
2203 .ccx_dest_data_rdy_a (pcx_sctag0_data_rdy_a_unused), // Templated
2204 // Inputs
2205 .src0_arb_atom_q (spc0_pcx_atm_rq[0]), // Templated
2206 .src0_arb_req_q (spc0_pcx_req_rq[0]), // Templated
2207 .src1_arb_atom_q (spc1_pcx_atm_rq[0]), // Templated
2208 .src1_arb_req_q (spc1_pcx_req_rq[0]), // Templated
2209 .src2_arb_atom_q (spc2_pcx_atm_rq[0]), // Templated
2210 .src2_arb_req_q (spc2_pcx_req_rq[0]), // Templated
2211 .src3_arb_atom_q (spc3_pcx_atm_rq[0]), // Templated
2212 .src3_arb_req_q (spc3_pcx_req_rq[0]), // Templated
2213 .src4_arb_atom_q (spc4_pcx_atm_rq[0]), // Templated
2214 .src4_arb_req_q (spc4_pcx_req_rq[0]), // Templated
2215 .src5_arb_atom_q (spc5_pcx_atm_rq[0]), // Templated
2216 .src5_arb_req_q (spc5_pcx_req_rq[0]), // Templated
2217 .src6_arb_atom_q (spc6_pcx_atm_rq[0]), // Templated
2218 .src6_arb_req_q (spc6_pcx_req_rq[0]), // Templated
2219 .src7_arb_atom_q (spc7_pcx_atm_rq[0]), // Templated
2220 .src7_arb_req_q (spc7_pcx_req_rq[0]), // Templated
2221 .src8_arb_atom_q (1'b0), // Templated
2222 .src8_arb_req_q (1'b0), // Templated
2223 .stall_q_d1 (sctag0_pcx_stall_rq_d1), // Templated
2224 .scan_in(pcx_arbr0_scanin),
2225 .scan_out(pcx_arbr0_scanout),
2226 .l2clk (l2clk),
2227 .tcu_scan_en (tcu_scan_en_arb_rt),
2228 .tcu_pce_ov (tcu_pce_ov_arb_rt),
2229 .ccx_aclk (ccx_aclk_arb_rt),
2230 .ccx_bclk (ccx_bclk_arb_rt)
2231 );
2232
2233ccx_arb pcx_arbr1(
2234 // Outputs
2235 .arb_grant_a (arb1_grant_r_a[8:0]), // Templated
2236 .arb_q0_holdbar_a (arb1_q0_holdbar_r_a[8:0]), // Templated
2237 .arb_qsel0_a (arb1_qsel0_r_a[8:0]), // Templated
2238 .arb_qsel1_a (arb1_qsel1_r_a[8:0]), // Templated
2239 .arb_shift_a (arb1_shift_r_a[8:0]), // Templated
2240 .arb_src0_grant_a (pcx_spc0_grant_a_unused[1]), // Templated
2241 .arb_src1_grant_a (pcx_spc1_grant_a_unused[1]), // Templated
2242 .arb_src2_grant_a (pcx_spc2_grant_a_unused[1]), // Templated
2243 .arb_src3_grant_a (pcx_spc3_grant_a_unused[1]), // Templated
2244 .arb_src4_grant_a (pcx_spc4_grant_a[1]), // Templated
2245 .arb_src5_grant_a (pcx_spc5_grant_a[1]), // Templated
2246 .arb_src6_grant_a (pcx_spc6_grant_a[1]), // Templated
2247 .arb_src7_grant_a (pcx_spc7_grant_a[1]), // Templated
2248 .arb_src8_grant_a (arb1_src8_grant_r_unused), // Templated
2249 .ccx_dest_atom_a (pcx_sctag1_atm_a_unused), // Templated
2250 .ccx_dest_data_rdy_a (pcx_sctag1_data_rdy_a_unused), // Templated
2251 // Inputs
2252 .src0_arb_atom_q (spc0_pcx_atm_rq[1]), // Templated
2253 .src0_arb_req_q (spc0_pcx_req_rq[1]), // Templated
2254 .src1_arb_atom_q (spc1_pcx_atm_rq[1]), // Templated
2255 .src1_arb_req_q (spc1_pcx_req_rq[1]), // Templated
2256 .src2_arb_atom_q (spc2_pcx_atm_rq[1]), // Templated
2257 .src2_arb_req_q (spc2_pcx_req_rq[1]), // Templated
2258 .src3_arb_atom_q (spc3_pcx_atm_rq[1]), // Templated
2259 .src3_arb_req_q (spc3_pcx_req_rq[1]), // Templated
2260 .src4_arb_atom_q (spc4_pcx_atm_rq[1]), // Templated
2261 .src4_arb_req_q (spc4_pcx_req_rq[1]), // Templated
2262 .src5_arb_atom_q (spc5_pcx_atm_rq[1]), // Templated
2263 .src5_arb_req_q (spc5_pcx_req_rq[1]), // Templated
2264 .src6_arb_atom_q (spc6_pcx_atm_rq[1]), // Templated
2265 .src6_arb_req_q (spc6_pcx_req_rq[1]), // Templated
2266 .src7_arb_atom_q (spc7_pcx_atm_rq[1]), // Templated
2267 .src7_arb_req_q (spc7_pcx_req_rq[1]), // Templated
2268 .src8_arb_atom_q (1'b0), // Templated
2269 .src8_arb_req_q (1'b0), // Templated
2270 .stall_q_d1 (sctag1_pcx_stall_rq_d1), // Templated
2271 .scan_in(pcx_arbr1_scanin),
2272 .scan_out(pcx_arbr1_scanout),
2273 .l2clk (l2clk),
2274 .tcu_scan_en (tcu_scan_en_arb_rt),
2275 .tcu_pce_ov (tcu_pce_ov_arb_rt),
2276 .ccx_aclk (ccx_aclk_arb_rt),
2277 .ccx_bclk (ccx_bclk_arb_rt)
2278 );
2279
2280ccx_arb pcx_arbr2(
2281 // Outputs
2282 .arb_grant_a (arb2_grant_r_a[8:0]), // Templated
2283 .arb_q0_holdbar_a (arb2_q0_holdbar_r_a[8:0]), // Templated
2284 .arb_qsel0_a (arb2_qsel0_r_a[8:0]), // Templated
2285 .arb_qsel1_a (arb2_qsel1_r_a[8:0]), // Templated
2286 .arb_shift_a (arb2_shift_r_a[8:0]), // Templated
2287 .arb_src0_grant_a (pcx_spc0_grant_a_unused[2]), // Templated
2288 .arb_src1_grant_a (pcx_spc1_grant_a_unused[2]), // Templated
2289 .arb_src2_grant_a (pcx_spc2_grant_a_unused[2]), // Templated
2290 .arb_src3_grant_a (pcx_spc3_grant_a_unused[2]), // Templated
2291 .arb_src4_grant_a (pcx_spc4_grant_a[2]), // Templated
2292 .arb_src5_grant_a (pcx_spc5_grant_a[2]), // Templated
2293 .arb_src6_grant_a (pcx_spc6_grant_a[2]), // Templated
2294 .arb_src7_grant_a (pcx_spc7_grant_a[2]), // Templated
2295 .arb_src8_grant_a (arb2_src8_grant_r_unused), // Templated
2296 .ccx_dest_atom_a (pcx_sctag2_atm_a_unused), // Templated
2297 .ccx_dest_data_rdy_a (pcx_sctag2_data_rdy_a_unused), // Templated
2298 // Inputs
2299 .src0_arb_atom_q (spc0_pcx_atm_rq[2]), // Templated
2300 .src0_arb_req_q (spc0_pcx_req_rq[2]), // Templated
2301 .src1_arb_atom_q (spc1_pcx_atm_rq[2]), // Templated
2302 .src1_arb_req_q (spc1_pcx_req_rq[2]), // Templated
2303 .src2_arb_atom_q (spc2_pcx_atm_rq[2]), // Templated
2304 .src2_arb_req_q (spc2_pcx_req_rq[2]), // Templated
2305 .src3_arb_atom_q (spc3_pcx_atm_rq[2]), // Templated
2306 .src3_arb_req_q (spc3_pcx_req_rq[2]), // Templated
2307 .src4_arb_atom_q (spc4_pcx_atm_rq[2]), // Templated
2308 .src4_arb_req_q (spc4_pcx_req_rq[2]), // Templated
2309 .src5_arb_atom_q (spc5_pcx_atm_rq[2]), // Templated
2310 .src5_arb_req_q (spc5_pcx_req_rq[2]), // Templated
2311 .src6_arb_atom_q (spc6_pcx_atm_rq[2]), // Templated
2312 .src6_arb_req_q (spc6_pcx_req_rq[2]), // Templated
2313 .src7_arb_atom_q (spc7_pcx_atm_rq[2]), // Templated
2314 .src7_arb_req_q (spc7_pcx_req_rq[2]), // Templated
2315 .src8_arb_atom_q (1'b0), // Templated
2316 .src8_arb_req_q (1'b0), // Templated
2317 .stall_q_d1 (sctag2_pcx_stall_rq_d1), // Templated
2318 .scan_in(pcx_arbr2_scanin),
2319 .scan_out(pcx_arbr2_scanout),
2320 .l2clk (l2clk),
2321 .tcu_scan_en (tcu_scan_en_arb_rb),
2322 .tcu_pce_ov (tcu_pce_ov_arb_rb),
2323 .ccx_aclk (ccx_aclk_arb_rb),
2324 .ccx_bclk (ccx_bclk_arb_rb)
2325 );
2326
2327ccx_arb pcx_arbr3(
2328 // Outputs
2329 .arb_grant_a (arb3_grant_r_a[8:0]), // Templated
2330 .arb_q0_holdbar_a (arb3_q0_holdbar_r_a[8:0]), // Templated
2331 .arb_qsel0_a (arb3_qsel0_r_a[8:0]), // Templated
2332 .arb_qsel1_a (arb3_qsel1_r_a[8:0]), // Templated
2333 .arb_shift_a (arb3_shift_r_a[8:0]), // Templated
2334 .arb_src0_grant_a (pcx_spc0_grant_a_unused[3]), // Templated
2335 .arb_src1_grant_a (pcx_spc1_grant_a_unused[3]), // Templated
2336 .arb_src2_grant_a (pcx_spc2_grant_a_unused[3]), // Templated
2337 .arb_src3_grant_a (pcx_spc3_grant_a_unused[3]), // Templated
2338 .arb_src4_grant_a (pcx_spc4_grant_a[3]), // Templated
2339 .arb_src5_grant_a (pcx_spc5_grant_a[3]), // Templated
2340 .arb_src6_grant_a (pcx_spc6_grant_a[3]), // Templated
2341 .arb_src7_grant_a (pcx_spc7_grant_a[3]), // Templated
2342 .arb_src8_grant_a (arb3_src8_grant_r_unused), // Templated
2343 .ccx_dest_atom_a (pcx_sctag3_atm_a_unused), // Templated
2344 .ccx_dest_data_rdy_a (pcx_sctag3_data_rdy_a_unused), // Templated
2345 // Inputs
2346 .src0_arb_atom_q (spc0_pcx_atm_rq[3]), // Templated
2347 .src0_arb_req_q (spc0_pcx_req_rq[3]), // Templated
2348 .src1_arb_atom_q (spc1_pcx_atm_rq[3]), // Templated
2349 .src1_arb_req_q (spc1_pcx_req_rq[3]), // Templated
2350 .src2_arb_atom_q (spc2_pcx_atm_rq[3]), // Templated
2351 .src2_arb_req_q (spc2_pcx_req_rq[3]), // Templated
2352 .src3_arb_atom_q (spc3_pcx_atm_rq[3]), // Templated
2353 .src3_arb_req_q (spc3_pcx_req_rq[3]), // Templated
2354 .src4_arb_atom_q (spc4_pcx_atm_rq[3]), // Templated
2355 .src4_arb_req_q (spc4_pcx_req_rq[3]), // Templated
2356 .src5_arb_atom_q (spc5_pcx_atm_rq[3]), // Templated
2357 .src5_arb_req_q (spc5_pcx_req_rq[3]), // Templated
2358 .src6_arb_atom_q (spc6_pcx_atm_rq[3]), // Templated
2359 .src6_arb_req_q (spc6_pcx_req_rq[3]), // Templated
2360 .src7_arb_atom_q (spc7_pcx_atm_rq[3]), // Templated
2361 .src7_arb_req_q (spc7_pcx_req_rq[3]), // Templated
2362 .src8_arb_atom_q (1'b0), // Templated
2363 .src8_arb_req_q (1'b0), // Templated
2364 .stall_q_d1 (sctag3_pcx_stall_rq_d1), // Templated
2365 .scan_in(pcx_arbr3_scanin),
2366 .scan_out(pcx_arbr3_scanout),
2367 .l2clk (l2clk),
2368 .tcu_scan_en (tcu_scan_en_arb_rb),
2369 .tcu_pce_ov (tcu_pce_ov_arb_rb),
2370 .ccx_aclk (ccx_aclk_arb_rb),
2371 .ccx_bclk (ccx_bclk_arb_rb)
2372 );
2373
2374ccx_arb pcx_arbr4(
2375 // Outputs
2376 .arb_grant_a (arb4_grant_r_a[8:0]), // Templated
2377 .arb_q0_holdbar_a (arb4_q0_holdbar_r_a[8:0]), // Templated
2378 .arb_qsel0_a (arb4_qsel0_r_a[8:0]), // Templated
2379 .arb_qsel1_a (arb4_qsel1_r_a[8:0]), // Templated
2380 .arb_shift_a (arb4_shift_r_a[8:0]), // Templated
2381 .arb_src0_grant_a (pcx_spc0_grant_a_unused[4]), // Templated
2382 .arb_src1_grant_a (pcx_spc1_grant_a_unused[4]), // Templated
2383 .arb_src2_grant_a (pcx_spc2_grant_a_unused[4]), // Templated
2384 .arb_src3_grant_a (pcx_spc3_grant_a_unused[4]), // Templated
2385 .arb_src4_grant_a (pcx_spc4_grant_a[4]), // Templated
2386 .arb_src5_grant_a (pcx_spc5_grant_a[4]), // Templated
2387 .arb_src6_grant_a (pcx_spc6_grant_a[4]), // Templated
2388 .arb_src7_grant_a (pcx_spc7_grant_a[4]), // Templated
2389 .arb_src8_grant_a (arb4_src8_grant_r_unused), // Templated
2390 .ccx_dest_atom_a (pcx_sctag4_atm_a), // Templated
2391 .ccx_dest_data_rdy_a (pcx_sctag4_data_rdy_a), // Templated
2392 // Inputs
2393 .src0_arb_atom_q (spc0_pcx_atm_rq[4]), // Templated
2394 .src0_arb_req_q (spc0_pcx_req_rq[4]), // Templated
2395 .src1_arb_atom_q (spc1_pcx_atm_rq[4]), // Templated
2396 .src1_arb_req_q (spc1_pcx_req_rq[4]), // Templated
2397 .src2_arb_atom_q (spc2_pcx_atm_rq[4]), // Templated
2398 .src2_arb_req_q (spc2_pcx_req_rq[4]), // Templated
2399 .src3_arb_atom_q (spc3_pcx_atm_rq[4]), // Templated
2400 .src3_arb_req_q (spc3_pcx_req_rq[4]), // Templated
2401 .src4_arb_atom_q (spc4_pcx_atm_rq[4]), // Templated
2402 .src4_arb_req_q (spc4_pcx_req_rq[4]), // Templated
2403 .src5_arb_atom_q (spc5_pcx_atm_rq[4]), // Templated
2404 .src5_arb_req_q (spc5_pcx_req_rq[4]), // Templated
2405 .src6_arb_atom_q (spc6_pcx_atm_rq[4]), // Templated
2406 .src6_arb_req_q (spc6_pcx_req_rq[4]), // Templated
2407 .src7_arb_atom_q (spc7_pcx_atm_rq[4]), // Templated
2408 .src7_arb_req_q (spc7_pcx_req_rq[4]), // Templated
2409 .src8_arb_atom_q (1'b0), // Templated
2410 .src8_arb_req_q (1'b0), // Templated
2411 .stall_q_d1 (sctag4_pcx_stall_rq_d1), // Templated
2412 .scan_in(pcx_arbr4_scanin),
2413 .scan_out(pcx_arbr4_scanout),
2414 .l2clk (l2clk),
2415 .tcu_scan_en (tcu_scan_en_arb_rt),
2416 .tcu_pce_ov (tcu_pce_ov_arb_rt),
2417 .ccx_aclk (ccx_aclk_arb_rt),
2418 .ccx_bclk (ccx_bclk_arb_rt)
2419 );
2420
2421ccx_arb pcx_arbr5 (
2422 // Outputs
2423 .arb_grant_a (arb5_grant_r_a[8:0]), // Templated
2424 .arb_q0_holdbar_a (arb5_q0_holdbar_r_a[8:0]), // Templated
2425 .arb_qsel0_a (arb5_qsel0_r_a[8:0]), // Templated
2426 .arb_qsel1_a (arb5_qsel1_r_a[8:0]), // Templated
2427 .arb_shift_a (arb5_shift_r_a[8:0]), // Templated
2428 .arb_src0_grant_a (pcx_spc0_grant_a_unused[5]), // Templated
2429 .arb_src1_grant_a (pcx_spc1_grant_a_unused[5]), // Templated
2430 .arb_src2_grant_a (pcx_spc2_grant_a_unused[5]), // Templated
2431 .arb_src3_grant_a (pcx_spc3_grant_a_unused[5]), // Templated
2432 .arb_src4_grant_a (pcx_spc4_grant_a[5]), // Templated
2433 .arb_src5_grant_a (pcx_spc5_grant_a[5]), // Templated
2434 .arb_src6_grant_a (pcx_spc6_grant_a[5]), // Templated
2435 .arb_src7_grant_a (pcx_spc7_grant_a[5]), // Templated
2436 .arb_src8_grant_a (arb5_src8_grant_r_unused), // Templated
2437 .ccx_dest_atom_a (pcx_sctag5_atm_a), // Templated
2438 .ccx_dest_data_rdy_a (pcx_sctag5_data_rdy_a), // Templated
2439 // Inputs
2440 .src0_arb_atom_q (spc0_pcx_atm_rq[5]), // Templated
2441 .src0_arb_req_q (spc0_pcx_req_rq[5]), // Templated
2442 .src1_arb_atom_q (spc1_pcx_atm_rq[5]), // Templated
2443 .src1_arb_req_q (spc1_pcx_req_rq[5]), // Templated
2444 .src2_arb_atom_q (spc2_pcx_atm_rq[5]), // Templated
2445 .src2_arb_req_q (spc2_pcx_req_rq[5]), // Templated
2446 .src3_arb_atom_q (spc3_pcx_atm_rq[5]), // Templated
2447 .src3_arb_req_q (spc3_pcx_req_rq[5]), // Templated
2448 .src4_arb_atom_q (spc4_pcx_atm_rq[5]), // Templated
2449 .src4_arb_req_q (spc4_pcx_req_rq[5]), // Templated
2450 .src5_arb_atom_q (spc5_pcx_atm_rq[5]), // Templated
2451 .src5_arb_req_q (spc5_pcx_req_rq[5]), // Templated
2452 .src6_arb_atom_q (spc6_pcx_atm_rq[5]), // Templated
2453 .src6_arb_req_q (spc6_pcx_req_rq[5]), // Templated
2454 .src7_arb_atom_q (spc7_pcx_atm_rq[5]), // Templated
2455 .src7_arb_req_q (spc7_pcx_req_rq[5]), // Templated
2456 .src8_arb_atom_q (1'b0), // Templated
2457 .src8_arb_req_q (1'b0), // Templated
2458 .stall_q_d1 (sctag5_pcx_stall_rq_d1), // Templated
2459 .scan_in(pcx_arbr5_scanin),
2460 .scan_out(pcx_arbr5_scanout),
2461 .l2clk (l2clk),
2462 .tcu_scan_en (tcu_scan_en_arb_rt),
2463 .tcu_pce_ov (tcu_pce_ov_arb_rt),
2464 .ccx_aclk (ccx_aclk_arb_rt),
2465 .ccx_bclk (ccx_bclk_arb_rt)
2466 );
2467
2468ccx_arb pcx_arbr6 (
2469 // Outputs
2470 .arb_grant_a (arb6_grant_r_a[8:0]), // Templated
2471 .arb_q0_holdbar_a (arb6_q0_holdbar_r_a[8:0]), // Templated
2472 .arb_qsel0_a (arb6_qsel0_r_a[8:0]), // Templated
2473 .arb_qsel1_a (arb6_qsel1_r_a[8:0]), // Templated
2474 .arb_shift_a (arb6_shift_r_a[8:0]), // Templated
2475 .arb_src0_grant_a (pcx_spc0_grant_a_unused[6]), // Templated
2476 .arb_src1_grant_a (pcx_spc1_grant_a_unused[6]), // Templated
2477 .arb_src2_grant_a (pcx_spc2_grant_a_unused[6]), // Templated
2478 .arb_src3_grant_a (pcx_spc3_grant_a_unused[6]), // Templated
2479 .arb_src4_grant_a (pcx_spc4_grant_a[6]), // Templated
2480 .arb_src5_grant_a (pcx_spc5_grant_a[6]), // Templated
2481 .arb_src6_grant_a (pcx_spc6_grant_a[6]), // Templated
2482 .arb_src7_grant_a (pcx_spc7_grant_a[6]), // Templated
2483 .arb_src8_grant_a (arb6_src8_grant_r_unused), // Templated
2484 .ccx_dest_atom_a (pcx_sctag6_atm_a), // Templated
2485 .ccx_dest_data_rdy_a (pcx_sctag6_data_rdy_a), // Templated
2486 // Inputs
2487 .src0_arb_atom_q (spc0_pcx_atm_rq[6]), // Templated
2488 .src0_arb_req_q (spc0_pcx_req_rq[6]), // Templated
2489 .src1_arb_atom_q (spc1_pcx_atm_rq[6]), // Templated
2490 .src1_arb_req_q (spc1_pcx_req_rq[6]), // Templated
2491 .src2_arb_atom_q (spc2_pcx_atm_rq[6]), // Templated
2492 .src2_arb_req_q (spc2_pcx_req_rq[6]), // Templated
2493 .src3_arb_atom_q (spc3_pcx_atm_rq[6]), // Templated
2494 .src3_arb_req_q (spc3_pcx_req_rq[6]), // Templated
2495 .src4_arb_atom_q (spc4_pcx_atm_rq[6]), // Templated
2496 .src4_arb_req_q (spc4_pcx_req_rq[6]), // Templated
2497 .src5_arb_atom_q (spc5_pcx_atm_rq[6]), // Templated
2498 .src5_arb_req_q (spc5_pcx_req_rq[6]), // Templated
2499 .src6_arb_atom_q (spc6_pcx_atm_rq[6]), // Templated
2500 .src6_arb_req_q (spc6_pcx_req_rq[6]), // Templated
2501 .src7_arb_atom_q (spc7_pcx_atm_rq[6]), // Templated
2502 .src7_arb_req_q (spc7_pcx_req_rq[6]), // Templated
2503 .src8_arb_atom_q (1'b0), // Templated
2504 .src8_arb_req_q (1'b0), // Templated
2505 .stall_q_d1 (sctag6_pcx_stall_rq_d1), // Templated
2506 .scan_in(pcx_arbr6_scanin),
2507 .scan_out(pcx_arbr6_scanout),
2508 .l2clk (l2clk),
2509 .tcu_scan_en (tcu_scan_en_arb_rb),
2510 .tcu_pce_ov (tcu_pce_ov_arb_rb),
2511 .ccx_aclk (ccx_aclk_arb_rb),
2512 .ccx_bclk (ccx_bclk_arb_rb)
2513 );
2514
2515ccx_arb pcx_arbr7 (
2516 // Outputs
2517 .arb_grant_a (arb7_grant_r_a[8:0]), // Templated
2518 .arb_q0_holdbar_a (arb7_q0_holdbar_r_a[8:0]), // Templated
2519 .arb_qsel0_a (arb7_qsel0_r_a[8:0]), // Templated
2520 .arb_qsel1_a (arb7_qsel1_r_a[8:0]), // Templated
2521 .arb_shift_a (arb7_shift_r_a[8:0]), // Templated
2522 .arb_src0_grant_a (pcx_spc0_grant_a_unused[7]), // Templated
2523 .arb_src1_grant_a (pcx_spc1_grant_a_unused[7]), // Templated
2524 .arb_src2_grant_a (pcx_spc2_grant_a_unused[7]), // Templated
2525 .arb_src3_grant_a (pcx_spc3_grant_a_unused[7]), // Templated
2526 .arb_src4_grant_a (pcx_spc4_grant_a[7]), // Templated
2527 .arb_src5_grant_a (pcx_spc5_grant_a[7]), // Templated
2528 .arb_src6_grant_a (pcx_spc6_grant_a[7]), // Templated
2529 .arb_src7_grant_a (pcx_spc7_grant_a[7]), // Templated
2530 .arb_src8_grant_a (arb7_src8_grant_r_unused), // Templated
2531 .ccx_dest_atom_a (pcx_sctag7_atm_a), // Templated
2532 .ccx_dest_data_rdy_a (pcx_sctag7_data_rdy_a), // Templated
2533 // Inputs
2534 .src0_arb_atom_q (spc0_pcx_atm_rq[7]), // Templated
2535 .src0_arb_req_q (spc0_pcx_req_rq[7]), // Templated
2536 .src1_arb_atom_q (spc1_pcx_atm_rq[7]), // Templated
2537 .src1_arb_req_q (spc1_pcx_req_rq[7]), // Templated
2538 .src2_arb_atom_q (spc2_pcx_atm_rq[7]), // Templated
2539 .src2_arb_req_q (spc2_pcx_req_rq[7]), // Templated
2540 .src3_arb_atom_q (spc3_pcx_atm_rq[7]), // Templated
2541 .src3_arb_req_q (spc3_pcx_req_rq[7]), // Templated
2542 .src4_arb_atom_q (spc4_pcx_atm_rq[7]), // Templated
2543 .src4_arb_req_q (spc4_pcx_req_rq[7]), // Templated
2544 .src5_arb_atom_q (spc5_pcx_atm_rq[7]), // Templated
2545 .src5_arb_req_q (spc5_pcx_req_rq[7]), // Templated
2546 .src6_arb_atom_q (spc6_pcx_atm_rq[7]), // Templated
2547 .src6_arb_req_q (spc6_pcx_req_rq[7]), // Templated
2548 .src7_arb_atom_q (spc7_pcx_atm_rq[7]), // Templated
2549 .src7_arb_req_q (spc7_pcx_req_rq[7]), // Templated
2550 .src8_arb_atom_q (1'b0), // Templated
2551 .src8_arb_req_q (1'b0), // Templated
2552 .stall_q_d1 (sctag7_pcx_stall_rq_d1), // Templated
2553 .scan_in(pcx_arbr7_scanin),
2554 .scan_out(pcx_arbr7_scanout),
2555 .l2clk (l2clk),
2556 .tcu_scan_en (tcu_scan_en_arb_rb),
2557 .tcu_pce_ov (tcu_pce_ov_arb_rb),
2558 .ccx_aclk (ccx_aclk_arb_rb),
2559 .ccx_bclk (ccx_bclk_arb_rb)
2560 );
2561
2562/*
2563 ccx_arb AUTO_TEMPLATE(
2564 // Outputs
2565 .arb_grant_a (arb@_grant_r_a[8:0]),
2566 .arb_q0_holdbar_a (arb@_q0_holdbar_r_a[8:0]),
2567 .arb_qsel0_a (arb@_qsel0_r_a[8:0]),
2568 .arb_qsel1_a (arb@_qsel1_r_a[8:0]),
2569 .arb_shift_a (arb@_shift_r_a[8:0]),
2570 .arb_src0_grant_a (pcx_spc0_grant_a_unused[@]),
2571 .arb_src1_grant_a (pcx_spc1_grant_a_unused[@]),
2572 .arb_src2_grant_a (pcx_spc2_grant_a_unused[@]),
2573 .arb_src3_grant_a (pcx_spc3_grant_a_unused[@]),
2574 .arb_src4_grant_a (pcx_spc4_grant_a_unused[@]),
2575 .arb_src5_grant_a (pcx_spc5_grant_a_unused[@]),
2576 .arb_src6_grant_a (pcx_spc6_grant_a_unused[@]),
2577 .arb_src7_grant_a (pcx_spc7_grant_a_unused[@]),
2578 .arb_src8_grant_a (arb8_src8_grant_r_unused),
2579 .ccx_dest_data_rdy_a (pcx_fpio_data_rdy_unused_px1),
2580 .ccx_dest_atom_x (arb8_dest_atom_r_unused),
2581 // Inputs
2582 .src0_arb_atom_q (spc0_pcx_atm_rq[@]),
2583 .src0_arb_req_q (spc0_pcx_req_rq[@]),
2584 .src1_arb_atom_q (spc1_pcx_atm_rq[@]),
2585 .src1_arb_req_q (spc1_pcx_req_rq[@]),
2586 .src2_arb_atom_q (spc2_pcx_atm_rq[@]),
2587 .src2_arb_req_q (spc2_pcx_req_rq[@]),
2588 .src3_arb_atom_q (spc3_pcx_atm_rq[@]),
2589 .src3_arb_req_q (spc3_pcx_req_rq[@]),
2590 .src4_arb_atom_q (spc4_pcx_atm_rq[@]),
2591 .src4_arb_req_q (spc4_pcx_req_rq[@]),
2592 .src5_arb_atom_q (spc5_pcx_atm_rq[@]),
2593 .src5_arb_req_q (spc5_pcx_req_rq[@]),
2594 .src6_arb_atom_q (spc6_pcx_atm_rq[@]),
2595 .src6_arb_req_q (spc6_pcx_req_rq[@]),
2596 .src7_arb_atom_q (spc7_pcx_atm_rq[@]),
2597 .src7_arb_req_q (spc7_pcx_req_rq[@]),
2598 .src8_arb_atom_q (1'b0),
2599 .src8_arb_req_q (1'b0),
2600 .stall_q_d1 (io_pcx_stall_rq_d1),
2601);
2602 */
2603//
2604ccx_arb pcx_arbr8 (
2605 // Outputs
2606 .arb_grant_a (arb8_grant_r_a[8:0]), // Templated
2607 .arb_q0_holdbar_a (arb8_q0_holdbar_r_a[8:0]), // Templated
2608 .arb_qsel0_a (arb8_qsel0_r_a[8:0]), // Templated
2609 .arb_qsel1_a (arb8_qsel1_r_a[8:0]), // Templated
2610 .arb_shift_a (arb8_shift_r_a[8:0]), // Templated
2611 .arb_src0_grant_a (pcx_spc0_grant_a_unused[8]), // Templated
2612 .arb_src1_grant_a (pcx_spc1_grant_a_unused[8]), // Templated
2613 .arb_src2_grant_a (pcx_spc2_grant_a_unused[8]), // Templated
2614 .arb_src3_grant_a (pcx_spc3_grant_a_unused[8]), // Templated
2615 .arb_src4_grant_a (pcx_spc4_grant_a[8]), // Templated
2616 .arb_src5_grant_a (pcx_spc5_grant_a[8]), // Templated
2617 .arb_src6_grant_a (pcx_spc6_grant_a[8]), // Templated
2618 .arb_src7_grant_a (pcx_spc7_grant_a[8]), // Templated
2619 .arb_src8_grant_a (arb8_src8_grant_r_unused), // Templated
2620 .ccx_dest_atom_a (arb8_dest_atom_r_unused), // Templated
2621 .ccx_dest_data_rdy_a (pcx_fpio_data_rdy_a_unused), // Templated
2622 // Inputs
2623 .src0_arb_atom_q (spc0_pcx_atm_rq[8]), // Templated
2624 .src0_arb_req_q (spc0_pcx_req_rq[8]), // Templated
2625 .src1_arb_atom_q (spc1_pcx_atm_rq[8]), // Templated
2626 .src1_arb_req_q (spc1_pcx_req_rq[8]), // Templated
2627 .src2_arb_atom_q (spc2_pcx_atm_rq[8]), // Templated
2628 .src2_arb_req_q (spc2_pcx_req_rq[8]), // Templated
2629 .src3_arb_atom_q (spc3_pcx_atm_rq[8]), // Templated
2630 .src3_arb_req_q (spc3_pcx_req_rq[8]), // Templated
2631 .src4_arb_atom_q (spc4_pcx_atm_rq[8]), // Templated
2632 .src4_arb_req_q (spc4_pcx_req_rq[8]), // Templated
2633 .src5_arb_atom_q (spc5_pcx_atm_rq[8]), // Templated
2634 .src5_arb_req_q (spc5_pcx_req_rq[8]), // Templated
2635 .src6_arb_atom_q (spc6_pcx_atm_rq[8]), // Templated
2636 .src6_arb_req_q (spc6_pcx_req_rq[8]), // Templated
2637 .src7_arb_atom_q (spc7_pcx_atm_rq[8]), // Templated
2638 .src7_arb_req_q (spc7_pcx_req_rq[8]), // Templated
2639 .src8_arb_atom_q (1'b0), // Templated
2640 .src8_arb_req_q (1'b0), // Templated
2641 .stall_q_d1 (io_pcx_stall_rq_d1), // Templated
2642 .scan_in(pcx_arbr8_scanin),
2643 .scan_out(pcx_arbr8_scanout),
2644 .l2clk (l2clk),
2645 .tcu_scan_en (tcu_scan_en_arb_rt),
2646 .tcu_pce_ov (tcu_pce_ov_arb_rt),
2647 .ccx_aclk (ccx_aclk_arb_rt),
2648 .ccx_bclk (ccx_bclk_arb_rt)
2649 );
2650
2651
2652
2653// fixscan start:
2654assign bfd4_scanin = scan_in ;
2655assign bfd5_scanin = bfd4_scanout ;
2656assign bfg4_scanin = bfd5_scanout ;
2657assign bfg1_scanin = bfg4_scanout ;
2658assign bfd1_scanin = bfg1_scanout ;
2659assign bfd0_scanin = bfd1_scanout ;
2660assign bfd_io_scanin = bfd0_scanout ;
2661assign bfg8_scanin = bfd_io_scanout ;
2662assign bfg0_scanin = bfg8_scanout ;
2663assign pcx_arbl0_scanin = bfg0_scanout ;
2664assign pcx_arbl4_scanin = pcx_arbl0_scanout ;
2665assign pcx_arbl8_scanin = pcx_arbl4_scanout ;
2666assign pcx_arbl3_scanin = pcx_arbl8_scanout ;
2667assign pcx_arbl5_scanin = pcx_arbl3_scanout ;
2668assign pcx_arbl1_scanin = pcx_arbl5_scanout ;
2669assign pcx_arbl7_scanin = pcx_arbl1_scanout ;
2670assign pcx_arbl2_scanin = pcx_arbl7_scanout ;
2671assign pcx_arbl6_scanin = pcx_arbl2_scanout ;
2672assign bfg2_scanin = pcx_arbl6_scanout ;
2673assign bfd2_scanin = bfg2_scanout ;
2674assign bfd3_scanin = bfd2_scanout ;
2675assign bfg3_scanin = bfd3_scanout ;
2676assign bfg7_scanin = bfg3_scanout ;
2677assign bfd7_scanin = bfg7_scanout ;
2678assign bfd6_scanin = bfd7_scanout ;
2679assign bfg6_scanin = bfd6_scanout ;
2680assign pcx_arbr6_scanin = bfg6_scanout ;
2681assign pcx_arbr2_scanin = pcx_arbr6_scanout ;
2682assign pcx_arbr7_scanin = pcx_arbr2_scanout ;
2683assign pcx_arbr1_scanin = pcx_arbr7_scanout ;
2684assign pcx_arbr5_scanin = pcx_arbr1_scanout ;
2685assign pcx_arbr3_scanin = pcx_arbr5_scanout ;
2686assign pcx_arbr8_scanin = pcx_arbr3_scanout ;
2687assign pcx_arbr4_scanin = pcx_arbr8_scanout ;
2688assign pcx_arbr0_scanin = pcx_arbr4_scanout ;
2689assign bfg5_scanin = pcx_arbr0_scanout ;
2690assign pcx_dpa_scanin = bfg5_scanout ;
2691assign scan_out = pcx_dpa_scanout ;
2692
2693// fixscan end:
2694endmodule
2695
2696
2697//
2698// buff macro
2699//
2700//
2701
2702
2703
2704
2705
2706module pcx_buff_macro__dbuff_40x__rep_1__stack_130c__width_130 (
2707 din,
2708 dout);
2709 input [129:0] din;
2710 output [129:0] dout;
2711
2712
2713
2714
2715
2716
2717buff #(130) d0_0 (
2718.in(din[129:0]),
2719.out(dout[129:0])
2720);
2721
2722
2723
2724
2725
2726
2727
2728
2729endmodule
2730
2731
2732//
2733// buff macro
2734//
2735//
2736
2737
2738
2739
2740
2741module pcx_buff_macro__dbuff_16x__rep_1__stack_none__width_1 (
2742 din,
2743 dout);
2744 input [0:0] din;
2745 output [0:0] dout;
2746
2747
2748
2749
2750
2751
2752buff #(1) d0_0 (
2753.in(din[0:0]),
2754.out(dout[0:0])
2755);
2756
2757
2758
2759
2760
2761
2762
2763
2764endmodule
2765
2766
2767
2768
2769//
2770// buff macro
2771//
2772//
2773
2774
2775
2776
2777
2778module pcx_buff_macro__dbuff_48x__rep_1__stack_none__width_18 (
2779 din,
2780 dout);
2781 input [17:0] din;
2782 output [17:0] dout;
2783
2784
2785
2786
2787
2788
2789buff #(18) d0_0 (
2790.in(din[17:0]),
2791.out(dout[17:0])
2792);
2793
2794
2795
2796
2797
2798
2799
2800
2801endmodule
2802
2803
2804
2805//
2806// buff macro
2807//
2808//
2809
2810
2811
2812
2813
2814module pcx_buff_macro__dbuff_32x__rep_1__stack_150c__width_130 (
2815 din,
2816 dout);
2817 input [129:0] din;
2818 output [129:0] dout;
2819
2820
2821
2822
2823
2824
2825buff #(130) d0_0 (
2826.in(din[129:0]),
2827.out(dout[129:0])
2828);
2829
2830
2831
2832
2833
2834
2835
2836
2837endmodule
2838
2839
2840
2841//
2842// buff macro
2843//
2844//
2845
2846
2847
2848
2849
2850module pcx_buff_macro__dbuff_48x__rep_1__stack_20r__width_19 (
2851 din,
2852 dout);
2853 input [18:0] din;
2854 output [18:0] dout;
2855
2856
2857
2858
2859
2860
2861buff #(19) d0_0 (
2862.in(din[18:0]),
2863.out(dout[18:0])
2864);
2865
2866
2867
2868
2869
2870
2871
2872
2873endmodule
2874
2875
2876
2877
2878//
2879// buff macro
2880//
2881//
2882
2883
2884
2885
2886
2887module pcx_buff_macro__dbuff_48x__rep_1__stack_20r__width_20 (
2888 din,
2889 dout);
2890 input [19:0] din;
2891 output [19:0] dout;
2892
2893
2894
2895
2896
2897
2898buff #(20) d0_0 (
2899.in(din[19:0]),
2900.out(dout[19:0])
2901);
2902
2903
2904
2905
2906
2907
2908
2909
2910endmodule
2911
2912
2913
2914
2915
2916//
2917// buff macro
2918//
2919//
2920
2921
2922
2923
2924
2925module pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_2 (
2926 din,
2927 dout);
2928 input [1:0] din;
2929 output [1:0] dout;
2930
2931
2932
2933
2934
2935
2936buff #(2) d0_0 (
2937.in(din[1:0]),
2938.out(dout[1:0])
2939);
2940
2941
2942
2943
2944
2945
2946
2947
2948endmodule
2949
2950
2951//
2952// buff macro
2953//
2954//
2955
2956
2957
2958
2959
2960module pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_4 (
2961 din,
2962 dout);
2963 input [3:0] din;
2964 output [3:0] dout;
2965
2966
2967
2968
2969
2970
2971buff #(4) d0_0 (
2972.in(din[3:0]),
2973.out(dout[3:0])
2974);
2975
2976
2977
2978
2979
2980
2981
2982
2983endmodule
2984
2985
2986
2987
2988//
2989// buff macro
2990//
2991//
2992
2993
2994
2995
2996
2997module pcx_buff_macro__dbuff_32x__rep_1__stack_none__width_9 (
2998 din,
2999 dout);
3000 input [8:0] din;
3001 output [8:0] dout;
3002
3003
3004
3005
3006
3007
3008buff #(9) d0_0 (
3009.in(din[8:0]),
3010.out(dout[8:0])
3011);
3012
3013
3014
3015
3016
3017
3018
3019
3020endmodule
3021
3022`endif // `ifndef FPGA
3023
3024`ifdef FPGA
3025`timescale 1 ns / 100 ps
3026module pcx(pcx_fpio_data_px2, pcx_fpio_data_rdy_px1, pcx_sctag0_atm_px1,
3027 pcx_sctag0_data_px2, pcx_sctag0_data_rdy_px1, pcx_sctag1_atm_px1,
3028 pcx_sctag1_data_px2, pcx_sctag1_data_rdy_px1, pcx_sctag2_atm_px1,
3029 pcx_sctag2_data_px2, pcx_sctag2_data_rdy_px1, pcx_sctag3_atm_px1,
3030 pcx_sctag3_data_px2, pcx_sctag3_data_rdy_px1, pcx_sctag4_atm_px1,
3031 pcx_sctag4_data_px2, pcx_sctag4_data_rdy_px1, pcx_sctag5_atm_px1,
3032 pcx_sctag5_data_px2, pcx_sctag5_data_rdy_px1, pcx_sctag6_atm_px1,
3033 pcx_sctag6_data_px2, pcx_sctag6_data_rdy_px1, pcx_sctag7_atm_px1,
3034 pcx_sctag7_data_px2, pcx_sctag7_data_rdy_px1, pcx_spc0_grant_px,
3035 pcx_spc1_grant_px, pcx_spc2_grant_px, pcx_spc3_grant_px,
3036 pcx_spc4_grant_px, pcx_spc5_grant_px, pcx_spc6_grant_px,
3037 pcx_spc7_grant_px, io_pcx_stall_pq, sctag0_pcx_stall_pq,
3038 sctag1_pcx_stall_pq, sctag2_pcx_stall_pq, sctag3_pcx_stall_pq,
3039 sctag4_pcx_stall_pq, sctag5_pcx_stall_pq, sctag6_pcx_stall_pq,
3040 sctag7_pcx_stall_pq, spc0_pcx_data_pa, spc0_pcx_req_pq, spc0_pcx_atm_pq,
3041 spc1_pcx_data_pa, spc1_pcx_req_pq, spc1_pcx_atm_pq, spc2_pcx_data_pa,
3042 spc2_pcx_req_pq, spc2_pcx_atm_pq, spc3_pcx_data_pa, spc3_pcx_req_pq,
3043 spc3_pcx_atm_pq, spc4_pcx_data_pa, spc4_pcx_req_pq, spc4_pcx_atm_pq,
3044 spc5_pcx_data_pa, spc5_pcx_req_pq, spc5_pcx_atm_pq, spc6_pcx_data_pa,
3045 spc6_pcx_req_pq, spc6_pcx_atm_pq, spc7_pcx_data_pa, spc7_pcx_req_pq,
3046 spc7_pcx_atm_pq, tcu_scan_en, l2clk, scan_in, tcu_pce_ov, ccx_aclk,
3047 ccx_bclk, scan_out);
3048
3049 output [129:0] pcx_fpio_data_px2;
3050 output pcx_fpio_data_rdy_px1;
3051 output pcx_sctag0_atm_px1;
3052 output [129:0] pcx_sctag0_data_px2;
3053 output pcx_sctag0_data_rdy_px1;
3054 output pcx_sctag1_atm_px1;
3055 output [129:0] pcx_sctag1_data_px2;
3056 output pcx_sctag1_data_rdy_px1;
3057 output pcx_sctag2_atm_px1;
3058 output [129:0] pcx_sctag2_data_px2;
3059 output pcx_sctag2_data_rdy_px1;
3060 output pcx_sctag3_atm_px1;
3061 output [129:0] pcx_sctag3_data_px2;
3062 output pcx_sctag3_data_rdy_px1;
3063 output pcx_sctag4_atm_px1;
3064 output [129:0] pcx_sctag4_data_px2;
3065 output pcx_sctag4_data_rdy_px1;
3066 output pcx_sctag5_atm_px1;
3067 output [129:0] pcx_sctag5_data_px2;
3068 output pcx_sctag5_data_rdy_px1;
3069 output pcx_sctag6_atm_px1;
3070 output [129:0] pcx_sctag6_data_px2;
3071 output pcx_sctag6_data_rdy_px1;
3072 output pcx_sctag7_atm_px1;
3073 output [129:0] pcx_sctag7_data_px2;
3074 output pcx_sctag7_data_rdy_px1;
3075 output [8:0] pcx_spc0_grant_px;
3076 output [8:0] pcx_spc1_grant_px;
3077 output [8:0] pcx_spc2_grant_px;
3078 output [8:0] pcx_spc3_grant_px;
3079 output [8:0] pcx_spc4_grant_px;
3080 output [8:0] pcx_spc5_grant_px;
3081 output [8:0] pcx_spc6_grant_px;
3082 output [8:0] pcx_spc7_grant_px;
3083 input io_pcx_stall_pq;
3084 input sctag0_pcx_stall_pq;
3085 input sctag1_pcx_stall_pq;
3086 input sctag2_pcx_stall_pq;
3087 input sctag3_pcx_stall_pq;
3088 input sctag4_pcx_stall_pq;
3089 input sctag5_pcx_stall_pq;
3090 input sctag6_pcx_stall_pq;
3091 input sctag7_pcx_stall_pq;
3092 input [129:0] spc0_pcx_data_pa;
3093 input [8:0] spc0_pcx_req_pq;
3094 input [8:0] spc0_pcx_atm_pq;
3095 input [129:0] spc1_pcx_data_pa;
3096 input [8:0] spc1_pcx_req_pq;
3097 input [8:0] spc1_pcx_atm_pq;
3098 input [129:0] spc2_pcx_data_pa;
3099 input [8:0] spc2_pcx_req_pq;
3100 input [8:0] spc2_pcx_atm_pq;
3101 input [129:0] spc3_pcx_data_pa;
3102 input [8:0] spc3_pcx_req_pq;
3103 input [8:0] spc3_pcx_atm_pq;
3104 input [129:0] spc4_pcx_data_pa;
3105 input [8:0] spc4_pcx_req_pq;
3106 input [8:0] spc4_pcx_atm_pq;
3107 input [129:0] spc5_pcx_data_pa;
3108 input [8:0] spc5_pcx_req_pq;
3109 input [8:0] spc5_pcx_atm_pq;
3110 input [129:0] spc6_pcx_data_pa;
3111 input [8:0] spc6_pcx_req_pq;
3112 input [8:0] spc6_pcx_atm_pq;
3113 input [129:0] spc7_pcx_data_pa;
3114 input [8:0] spc7_pcx_req_pq;
3115 input [8:0] spc7_pcx_atm_pq;
3116 input tcu_scan_en;
3117 input l2clk;
3118 input scan_in;
3119 input tcu_pce_ov;
3120 input ccx_aclk;
3121 input ccx_bclk;
3122 output scan_out;
3123
3124 wire [129:0] pcx_fpio_data_px2_0;
3125 wire pcx_sctag1_data_rdy_px1_0;
3126 wire pcx_sctag1_atm_px1_0;
3127 wire pcx_sctag3_data_rdy_px1_0;
3128 wire pcx_sctag3_atm_px1_0;
3129 wire pcx_sctag5_data_rdy_px1_0;
3130 wire pcx_sctag5_atm_px1_0;
3131 wire pcx_sctag7_data_rdy_px1_0;
3132 wire pcx_sctag7_atm_px1_0;
3133 wire [8:0] pcx_spc0_grant_a;
3134 wire [8:0] pcx_spc0_grant_a_0;
3135 wire [8:0] pcx_spc1_grant_a;
3136 wire [8:0] pcx_spc1_grant_a_0;
3137 wire [8:0] pcx_spc2_grant_a;
3138 wire [8:0] pcx_spc2_grant_a_0;
3139 wire [8:0] pcx_spc3_grant_a;
3140 wire [8:0] pcx_spc3_grant_a_0;
3141 wire [8:0] pcx_spc4_grant_a;
3142 wire [8:0] pcx_spc4_grant_a_0;
3143 wire [8:0] pcx_spc5_grant_a;
3144 wire [8:0] pcx_spc5_grant_a_0;
3145 wire [8:0] pcx_spc6_grant_a;
3146 wire [8:0] pcx_spc6_grant_a_0;
3147 wire [8:0] pcx_spc7_grant_a;
3148 wire [8:0] pcx_spc7_grant_a_0;
3149 wire io_pcx_stall_pq_buf;
3150 wire fpio_atm1_unused;
3151 wire fpio_atm2_unused;
3152 wire rdy8_1_unused;
3153 wire io_pcx_stall_pq_d1;
3154 wire [8:0] grant8_unused;
3155 wire tcu_scan_en_bfg_8_unused;
3156 wire tcu_pce_ov_bfg_8_unused;
3157 wire ccx_aclk_bfg_8_unused;
3158 wire ccx_bclk_bfg_8_unused;
3159 wire pcx_fpio_data_rdy_a;
3160 wire bfg8_scanin;
3161 wire bfg8_scanout;
3162 wire tcu_scan_en_bfd_lt;
3163 wire tcu_pce_ov_bfd_lt;
3164 wire ccx_aclk_bfd_lt;
3165 wire ccx_bclk_bfd_lt;
3166 wire sctag0_pcx_stall_pq_buf;
3167 wire sctag0_pcx_stall_pq_d1;
3168 wire tcu_scan_en_bfg_0_unused;
3169 wire tcu_pce_ov_bfg_0_unused;
3170 wire ccx_aclk_bfg_0_unused;
3171 wire ccx_bclk_bfg_0_unused;
3172 wire pcx_sctag0_atm_a;
3173 wire pcx_sctag1_atm_a;
3174 wire pcx_sctag0_data_rdy_a;
3175 wire pcx_sctag1_data_rdy_a;
3176 wire bfg0_scanin;
3177 wire bfg0_scanout;
3178 wire sctag1_pcx_stall_pq_buf;
3179 wire atm10_unused;
3180 wire atm11_unused;
3181 wire rdy10_unused;
3182 wire rdy11_unused;
3183 wire sctag1_pcx_stall_pq_d1;
3184 wire tcu_scan_en_bfg_1_unused;
3185 wire tcu_pce_ov_bfg_1_unused;
3186 wire ccx_aclk_bfg_1_unused;
3187 wire ccx_bclk_bfg_1_unused;
3188 wire bfg1_scanin;
3189 wire bfg1_scanout;
3190 wire tcu_scan_en_bfd_t;
3191 wire tcu_pce_ov_bfd_t;
3192 wire ccx_aclk_bfd_t;
3193 wire ccx_bclk_bfd_t;
3194 wire sctag2_pcx_stall_pq_buf;
3195 wire sctag2_pcx_stall_pq_d1;
3196 wire tcu_scan_en_bfg_2_unused;
3197 wire tcu_pce_ov_bfg_2_unused;
3198 wire ccx_aclk_bfg_2_unused;
3199 wire ccx_bclk_bfg_2_unused;
3200 wire pcx_sctag2_atm_a;
3201 wire pcx_sctag3_atm_a;
3202 wire pcx_sctag2_data_rdy_a;
3203 wire pcx_sctag3_data_rdy_a;
3204 wire bfg2_scanin;
3205 wire bfg2_scanout;
3206 wire tcu_scan_en_bfd_lb;
3207 wire tcu_pce_ov_bfd_lb;
3208 wire ccx_aclk_bfd_lb;
3209 wire ccx_bclk_bfd_lb;
3210 wire sctag3_pcx_stall_pq_buf;
3211 wire atm30_unused;
3212 wire atm31_unused;
3213 wire rdy30_unused;
3214 wire rdy31_unused;
3215 wire sctag3_pcx_stall_pq_d1;
3216 wire tcu_scan_en_bfg_3_unused;
3217 wire tcu_pce_ov_bfg_3_unused;
3218 wire ccx_aclk_bfg_3_unused;
3219 wire ccx_bclk_bfg_3_unused;
3220 wire bfg3_scanin;
3221 wire bfg3_scanout;
3222 wire tcu_scan_en_bfd_b;
3223 wire tcu_pce_ov_bfd_b;
3224 wire ccx_aclk_bfd_b;
3225 wire ccx_bclk_bfd_b;
3226 wire sctag4_pcx_stall_pq_buf;
3227 wire sctag4_pcx_stall_pq_d1;
3228 wire tcu_scan_en_bfg_4_unused;
3229 wire tcu_pce_ov_bfg_4_unused;
3230 wire ccx_aclk_bfg_4_unused;
3231 wire ccx_bclk_bfg_4_unused;
3232 wire pcx_sctag4_atm_a;
3233 wire pcx_sctag5_atm_a;
3234 wire pcx_sctag4_data_rdy_a;
3235 wire pcx_sctag5_data_rdy_a;
3236 wire bfg4_scanin;
3237 wire bfg4_scanout;
3238 wire tcu_scan_en_bfd_rt;
3239 wire tcu_pce_ov_bfd_rt;
3240 wire ccx_aclk_bfd_rt;
3241 wire ccx_bclk_bfd_rt;
3242 wire sctag5_pcx_stall_pq_buf;
3243 wire atm50_unused;
3244 wire atm51_unused;
3245 wire rdy50_unused;
3246 wire rdy51_unused;
3247 wire sctag5_pcx_stall_pq_d1;
3248 wire tcu_scan_en_bfg_5_unused;
3249 wire tcu_pce_ov_bfg_5_unused;
3250 wire ccx_aclk_bfg_5_unused;
3251 wire ccx_bclk_bfg_5_unused;
3252 wire bfg5_scanin;
3253 wire bfg5_scanout;
3254 wire sctag6_pcx_stall_pq_buf;
3255 wire sctag6_pcx_stall_pq_d1;
3256 wire tcu_scan_en_bfg_6_unused;
3257 wire tcu_pce_ov_bfg_6_unused;
3258 wire ccx_aclk_bfg_6_unused;
3259 wire ccx_bclk_bfg_6_unused;
3260 wire pcx_sctag6_atm_a;
3261 wire pcx_sctag7_atm_a;
3262 wire pcx_sctag6_data_rdy_a;
3263 wire pcx_sctag7_data_rdy_a;
3264 wire bfg6_scanin;
3265 wire bfg6_scanout;
3266 wire tcu_scan_en_bfd_rb;
3267 wire tcu_pce_ov_bfd_rb;
3268 wire ccx_aclk_bfd_rb;
3269 wire ccx_bclk_bfd_rb;
3270 wire sctag7_pcx_stall_pq_buf;
3271 wire atm70_unused;
3272 wire atm71_unused;
3273 wire rdy70_unused;
3274 wire rdy71_unused;
3275 wire sctag7_pcx_stall_pq_d1;
3276 wire tcu_scan_en_bfg_7_unused;
3277 wire tcu_pce_ov_bfg_7_unused;
3278 wire ccx_aclk_bfg_7_unused;
3279 wire ccx_bclk_bfg_7_unused;
3280 wire bfg7_scanin;
3281 wire bfg7_scanout;
3282 wire [8:0] spc0_pcx_req_pq_l_0;
3283 wire [8:0] spc0_pcx_atm_pq_l_0;
3284 wire [8:0] spc0_pcx_req_pq_r_0;
3285 wire [8:0] spc0_pcx_atm_pq_r_0;
3286 wire [8:0] spc1_pcx_req_pq_l_0;
3287 wire [8:0] spc1_pcx_atm_pq_l_0;
3288 wire [8:0] spc1_pcx_req_pq_r_0;
3289 wire [8:0] spc1_pcx_atm_pq_r_0;
3290 wire [8:0] spc2_pcx_req_pq_l_0;
3291 wire [8:0] spc2_pcx_atm_pq_l_0;
3292 wire [8:0] spc2_pcx_req_pq_r_0;
3293 wire [8:0] spc2_pcx_atm_pq_r_0;
3294 wire [8:0] spc3_pcx_req_pq_l_0;
3295 wire [8:0] spc3_pcx_atm_pq_l_0;
3296 wire [8:0] spc3_pcx_req_pq_r_0;
3297 wire [8:0] spc3_pcx_atm_pq_r_0;
3298 wire [8:0] spc4_pcx_req_pq_l_0;
3299 wire [8:0] spc4_pcx_atm_pq_l_0;
3300 wire [8:0] spc4_pcx_req_pq_r_0;
3301 wire [8:0] spc4_pcx_atm_pq_r_0;
3302 wire [8:0] spc5_pcx_req_pq_l_0;
3303 wire [8:0] spc5_pcx_atm_pq_l_0;
3304 wire [8:0] spc5_pcx_req_pq_r_0;
3305 wire [8:0] spc5_pcx_atm_pq_r_0;
3306 wire [8:0] spc6_pcx_req_pq_l_0;
3307 wire [8:0] spc6_pcx_atm_pq_l_0;
3308 wire [8:0] spc6_pcx_req_pq_r_0;
3309 wire [8:0] spc6_pcx_atm_pq_r_0;
3310 wire [8:0] spc7_pcx_req_pq_l_0;
3311 wire [8:0] spc7_pcx_atm_pq_l_0;
3312 wire [8:0] spc7_pcx_req_pq_r_0;
3313 wire [8:0] spc7_pcx_atm_pq_r_0;
3314 wire [129:0] spc0_pcx_data_a;
3315 wire [129:0] spc1_pcx_data_a;
3316 wire [129:0] spc2_pcx_data_a;
3317 wire [129:0] spc3_pcx_data_a;
3318 wire [129:0] spc4_pcx_data_a;
3319 wire [129:0] spc5_pcx_data_a;
3320 wire [129:0] spc6_pcx_data_a;
3321 wire [129:0] spc7_pcx_data_a;
3322 wire ccx_aclk_dpa_t;
3323 wire ccx_bclk_dpa_t;
3324 wire tcu_pce_ov_dpa_t;
3325 wire tcu_scan_en_dpa_t;
3326 wire ccx_aclk_dpa_b;
3327 wire ccx_bclk_dpa_b;
3328 wire tcu_pce_ov_dpa_b;
3329 wire tcu_scan_en_dpa_b;
3330 wire [129:0] pcx_fpio_data_x_l;
3331 wire [129:0] pcx_scache0_data_x_l;
3332 wire [129:0] pcx_scache1_data_x_l;
3333 wire [129:0] pcx_scache2_data_x_l;
3334 wire [129:0] pcx_scache3_data_x_l;
3335 wire [129:0] pcx_scache4_data_x_l;
3336 wire [129:0] pcx_scache5_data_x_l;
3337 wire [129:0] pcx_scache6_data_x_l;
3338 wire [129:0] pcx_scache7_data_x_l;
3339 wire [8:0] arb0_grant_l_a;
3340 wire [8:0] arb0_q0_holdbar_l_a;
3341 wire [8:0] arb0_qsel0_l_a;
3342 wire [8:0] arb0_qsel1_l_a;
3343 wire [8:0] arb0_shift_l_a;
3344 wire [8:0] arb1_grant_l_a;
3345 wire [8:0] arb1_q0_holdbar_l_a;
3346 wire [8:0] arb1_qsel0_l_a;
3347 wire [8:0] arb1_qsel1_l_a;
3348 wire [8:0] arb1_shift_l_a;
3349 wire [8:0] arb2_grant_l_a;
3350 wire [8:0] arb2_q0_holdbar_l_a;
3351 wire [8:0] arb2_qsel0_l_a;
3352 wire [8:0] arb2_qsel1_l_a;
3353 wire [8:0] arb2_shift_l_a;
3354 wire [8:0] arb3_grant_l_a;
3355 wire [8:0] arb3_q0_holdbar_l_a;
3356 wire [8:0] arb3_qsel0_l_a;
3357 wire [8:0] arb3_qsel1_l_a;
3358 wire [8:0] arb3_shift_l_a;
3359 wire [8:0] arb4_grant_l_a;
3360 wire [8:0] arb4_q0_holdbar_l_a;
3361 wire [8:0] arb4_qsel0_l_a;
3362 wire [8:0] arb4_qsel1_l_a;
3363 wire [8:0] arb4_shift_l_a;
3364 wire [8:0] arb5_grant_l_a;
3365 wire [8:0] arb5_q0_holdbar_l_a;
3366 wire [8:0] arb5_qsel0_l_a;
3367 wire [8:0] arb5_qsel1_l_a;
3368 wire [8:0] arb5_shift_l_a;
3369 wire [8:0] arb6_grant_l_a;
3370 wire [8:0] arb6_q0_holdbar_l_a;
3371 wire [8:0] arb6_qsel0_l_a;
3372 wire [8:0] arb6_qsel1_l_a;
3373 wire [8:0] arb6_shift_l_a;
3374 wire [8:0] arb7_grant_l_a;
3375 wire [8:0] arb7_q0_holdbar_l_a;
3376 wire [8:0] arb7_qsel0_l_a;
3377 wire [8:0] arb7_qsel1_l_a;
3378 wire [8:0] arb7_shift_l_a;
3379 wire [8:0] arb8_grant_l_a;
3380 wire [8:0] arb8_q0_holdbar_l_a;
3381 wire [8:0] arb8_qsel0_l_a;
3382 wire [8:0] arb8_qsel1_l_a;
3383 wire [8:0] arb8_shift_l_a;
3384 wire [8:0] arb0_grant_r_a;
3385 wire [8:0] arb0_q0_holdbar_r_a;
3386 wire [8:0] arb0_qsel0_r_a;
3387 wire [8:0] arb0_qsel1_r_a;
3388 wire [8:0] arb0_shift_r_a;
3389 wire [8:0] arb1_grant_r_a;
3390 wire [8:0] arb1_q0_holdbar_r_a;
3391 wire [8:0] arb1_qsel0_r_a;
3392 wire [8:0] arb1_qsel1_r_a;
3393 wire [8:0] arb1_shift_r_a;
3394 wire [8:0] arb2_grant_r_a;
3395 wire [8:0] arb2_q0_holdbar_r_a;
3396 wire [8:0] arb2_qsel0_r_a;
3397 wire [8:0] arb2_qsel1_r_a;
3398 wire [8:0] arb2_shift_r_a;
3399 wire [8:0] arb3_grant_r_a;
3400 wire [8:0] arb3_q0_holdbar_r_a;
3401 wire [8:0] arb3_qsel0_r_a;
3402 wire [8:0] arb3_qsel1_r_a;
3403 wire [8:0] arb3_shift_r_a;
3404 wire [8:0] arb4_grant_r_a;
3405 wire [8:0] arb4_q0_holdbar_r_a;
3406 wire [8:0] arb4_qsel0_r_a;
3407 wire [8:0] arb4_qsel1_r_a;
3408 wire [8:0] arb4_shift_r_a;
3409 wire [8:0] arb5_grant_r_a;
3410 wire [8:0] arb5_q0_holdbar_r_a;
3411 wire [8:0] arb5_qsel0_r_a;
3412 wire [8:0] arb5_qsel1_r_a;
3413 wire [8:0] arb5_shift_r_a;
3414 wire [8:0] arb6_grant_r_a;
3415 wire [8:0] arb6_q0_holdbar_r_a;
3416 wire [8:0] arb6_qsel0_r_a;
3417 wire [8:0] arb6_qsel1_r_a;
3418 wire [8:0] arb6_shift_r_a;
3419 wire [8:0] arb7_grant_r_a;
3420 wire [8:0] arb7_q0_holdbar_r_a;
3421 wire [8:0] arb7_qsel0_r_a;
3422 wire [8:0] arb7_qsel1_r_a;
3423 wire [8:0] arb7_shift_r_a;
3424 wire [8:0] arb8_grant_r_a;
3425 wire [8:0] arb8_q0_holdbar_r_a;
3426 wire [8:0] arb8_qsel0_r_a;
3427 wire [8:0] arb8_qsel1_r_a;
3428 wire [8:0] arb8_shift_r_a;
3429 wire pcx_dpa_scanin;
3430 wire pcx_dpa_scanout;
3431 wire bfd_io_scanin;
3432 wire bfd_io_scanout;
3433 wire tcu_scan_en_bfd_0;
3434 wire tcu_pce_ov_bfd_0;
3435 wire ccx_aclk_bfd_0;
3436 wire ccx_bclk_bfd_0;
3437 wire [129:0] pcx_sctag0_data_px2_prebuf;
3438 wire bfd0_scanin;
3439 wire bfd0_scanout;
3440 wire tcu_scan_en_bfd_1;
3441 wire tcu_pce_ov_bfd_1;
3442 wire ccx_aclk_bfd_1;
3443 wire ccx_bclk_bfd_1;
3444 wire [129:0] pcx_sctag1_data_px2_prebuf;
3445 wire bfd1_scanin;
3446 wire bfd1_scanout;
3447 wire [129:0] pcx_sctag2_data_px2_prebuf;
3448 wire bfd2_scanin;
3449 wire bfd2_scanout;
3450 wire tcu_scan_en_bfd_3;
3451 wire tcu_pce_ov_bfd_3;
3452 wire ccx_aclk_bfd_3;
3453 wire ccx_bclk_bfd_3;
3454 wire [129:0] pcx_sctag3_data_px2_prebuf;
3455 wire bfd3_scanin;
3456 wire bfd3_scanout;
3457 wire [129:0] pcx_sctag4_data_px2_prebuf;
3458 wire bfd4_scanin;
3459 wire bfd4_scanout;
3460 wire tcu_scan_en_bfd_5;
3461 wire tcu_pce_ov_bfd_5;
3462 wire ccx_aclk_bfd_5;
3463 wire ccx_bclk_bfd_5;
3464 wire [129:0] pcx_sctag5_data_px2_prebuf;
3465 wire bfd5_scanin;
3466 wire bfd5_scanout;
3467 wire [129:0] pcx_sctag6_data_px2_prebuf;
3468 wire bfd6_scanin;
3469 wire bfd6_scanout;
3470 wire tcu_scan_en_bfd_7;
3471 wire tcu_pce_ov_bfd_7;
3472 wire ccx_aclk_bfd_7;
3473 wire ccx_bclk_bfd_7;
3474 wire [129:0] pcx_sctag7_data_px2_prebuf;
3475 wire bfd7_scanin;
3476 wire bfd7_scanout;
3477 wire [8:0] arb_grant_l_unused;
3478 wire [8:0] arb_q0_hold_l_unused;
3479 wire [8:0] arb_qsel0_l_unused;
3480 wire [8:0] arb_qsel1_l_unused;
3481 wire [8:0] arb_shift_l_unused;
3482 wire [8:0] arb_grant_r_unused;
3483 wire [8:0] arb_q0_hold_r_unused;
3484 wire [8:0] arb_qsel0_r_unused;
3485 wire [8:0] arb_qsel1_r_unused;
3486 wire [8:0] arb_shift_r_unused;
3487 wire sctag0_pcx_stall_lq_d1;
3488 wire [8:0] spc0_pcx_req_lq;
3489 wire [8:0] spc0_pcx_atm_lq;
3490 wire sctag1_pcx_stall_lq_d1;
3491 wire [8:0] spc1_pcx_req_lq;
3492 wire [8:0] spc1_pcx_atm_lq;
3493 wire io_pcx_stall_lq_d1;
3494 wire sctag2_pcx_stall_lq_d1;
3495 wire [8:0] spc2_pcx_req_lq;
3496 wire [8:0] spc2_pcx_atm_lq;
3497 wire sctag3_pcx_stall_lq_d1;
3498 wire [8:0] spc3_pcx_req_lq;
3499 wire [8:0] spc3_pcx_atm_lq;
3500 wire sctag4_pcx_stall_lq_d1;
3501 wire [8:0] spc4_pcx_req_lq;
3502 wire [8:0] spc4_pcx_atm_lq;
3503 wire sctag5_pcx_stall_lq_d1;
3504 wire [8:0] spc5_pcx_req_lq;
3505 wire [8:0] spc5_pcx_atm_lq;
3506 wire sctag6_pcx_stall_lq_d1;
3507 wire [8:0] spc6_pcx_req_lq;
3508 wire [8:0] spc6_pcx_atm_lq;
3509 wire sctag7_pcx_stall_lq_d1;
3510 wire [8:0] spc7_pcx_req_lq;
3511 wire [8:0] spc7_pcx_atm_lq;
3512 wire ccx_aclk_arb_lt;
3513 wire ccx_bclk_arb_lt;
3514 wire tcu_pce_ov_arb_lt;
3515 wire tcu_scan_en_arb_lt;
3516 wire ccx_aclk_arb_lb;
3517 wire ccx_bclk_arb_lb;
3518 wire tcu_pce_ov_arb_lb;
3519 wire tcu_scan_en_arb_lb;
3520 wire ccx_aclk_arb_rt;
3521 wire ccx_bclk_arb_rt;
3522 wire tcu_pce_ov_arb_rt;
3523 wire tcu_scan_en_arb_rt;
3524 wire ccx_aclk_arb_rb;
3525 wire ccx_bclk_arb_rb;
3526 wire tcu_pce_ov_arb_rb;
3527 wire tcu_scan_en_arb_rb;
3528 wire [8:0] pcx_spc4_grant_a_unused;
3529 wire [8:0] pcx_spc5_grant_a_unused;
3530 wire [8:0] pcx_spc6_grant_a_unused;
3531 wire [8:0] pcx_spc7_grant_a_unused;
3532 wire arb0_src8_grant_l_unused;
3533 wire pcx_arbl0_scanin;
3534 wire pcx_arbl0_scanout;
3535 wire arb1_src8_grant_l_unused;
3536 wire pcx_arbl1_scanin;
3537 wire pcx_arbl1_scanout;
3538 wire arb2_src8_grant_l_unused;
3539 wire pcx_arbl2_scanin;
3540 wire pcx_arbl2_scanout;
3541 wire arb3_src8_grant_l_unused;
3542 wire pcx_arbl3_scanin;
3543 wire pcx_arbl3_scanout;
3544 wire arb4_src8_grant_l_unused;
3545 wire pcx_sctag4_atm_a_unused;
3546 wire pcx_sctag4_data_rdy_a_unused;
3547 wire pcx_arbl4_scanin;
3548 wire pcx_arbl4_scanout;
3549 wire arb5_src8_grant_l_unused;
3550 wire pcx_sctag5_atm_a_unused;
3551 wire pcx_sctag5_data_rdy_a_unused;
3552 wire pcx_arbl5_scanin;
3553 wire pcx_arbl5_scanout;
3554 wire arb6_src8_grant_l_unused;
3555 wire pcx_sctag6_atm_a_unused;
3556 wire pcx_sctag6_data_rdy_a_unused;
3557 wire pcx_arbl6_scanin;
3558 wire pcx_arbl6_scanout;
3559 wire arb7_src8_grant_l_unused;
3560 wire pcx_sctag7_atm_a_unused;
3561 wire pcx_sctag7_data_rdy_a_unused;
3562 wire pcx_arbl7_scanin;
3563 wire pcx_arbl7_scanout;
3564 wire arb8_src8_grant_l_unused;
3565 wire arb8_dest_atom_l_unused;
3566 wire pcx_arbl8_scanin;
3567 wire pcx_arbl8_scanout;
3568 wire sctag0_pcx_stall_rq_d1;
3569 wire [8:0] spc0_pcx_req_rq;
3570 wire [8:0] spc0_pcx_atm_rq;
3571 wire sctag1_pcx_stall_rq_d1;
3572 wire [8:0] spc1_pcx_req_rq;
3573 wire [8:0] spc1_pcx_atm_rq;
3574 wire io_pcx_stall_rq_d1;
3575 wire sctag2_pcx_stall_rq_d1;
3576 wire [8:0] spc2_pcx_req_rq;
3577 wire [8:0] spc2_pcx_atm_rq;
3578 wire sctag3_pcx_stall_rq_d1;
3579 wire [8:0] spc3_pcx_req_rq;
3580 wire [8:0] spc3_pcx_atm_rq;
3581 wire sctag4_pcx_stall_rq_d1;
3582 wire [8:0] spc4_pcx_req_rq;
3583 wire [8:0] spc4_pcx_atm_rq;
3584 wire sctag5_pcx_stall_rq_d1;
3585 wire [8:0] spc5_pcx_req_rq;
3586 wire [8:0] spc5_pcx_atm_rq;
3587 wire sctag6_pcx_stall_rq_d1;
3588 wire [8:0] spc6_pcx_req_rq;
3589 wire [8:0] spc6_pcx_atm_rq;
3590 wire sctag7_pcx_stall_rq_d1;
3591 wire [8:0] spc7_pcx_req_rq;
3592 wire [8:0] spc7_pcx_atm_rq;
3593 wire [8:0] pcx_spc0_grant_a_unused;
3594 wire [8:0] pcx_spc1_grant_a_unused;
3595 wire [8:0] pcx_spc2_grant_a_unused;
3596 wire [8:0] pcx_spc3_grant_a_unused;
3597 wire arb0_src8_grant_r_unused;
3598 wire pcx_sctag0_atm_a_unused;
3599 wire pcx_sctag0_data_rdy_a_unused;
3600 wire pcx_arbr0_scanin;
3601 wire pcx_arbr0_scanout;
3602 wire arb1_src8_grant_r_unused;
3603 wire pcx_sctag1_atm_a_unused;
3604 wire pcx_sctag1_data_rdy_a_unused;
3605 wire pcx_arbr1_scanin;
3606 wire pcx_arbr1_scanout;
3607 wire arb2_src8_grant_r_unused;
3608 wire pcx_sctag2_atm_a_unused;
3609 wire pcx_sctag2_data_rdy_a_unused;
3610 wire pcx_arbr2_scanin;
3611 wire pcx_arbr2_scanout;
3612 wire arb3_src8_grant_r_unused;
3613 wire pcx_sctag3_atm_a_unused;
3614 wire pcx_sctag3_data_rdy_a_unused;
3615 wire pcx_arbr3_scanin;
3616 wire pcx_arbr3_scanout;
3617 wire arb4_src8_grant_r_unused;
3618 wire pcx_arbr4_scanin;
3619 wire pcx_arbr4_scanout;
3620 wire arb5_src8_grant_r_unused;
3621 wire pcx_arbr5_scanin;
3622 wire pcx_arbr5_scanout;
3623 wire arb6_src8_grant_r_unused;
3624 wire pcx_arbr6_scanin;
3625 wire pcx_arbr6_scanout;
3626 wire arb7_src8_grant_r_unused;
3627 wire pcx_arbr7_scanin;
3628 wire pcx_arbr7_scanout;
3629 wire arb8_src8_grant_r_unused;
3630 wire arb8_dest_atom_r_unused;
3631 wire pcx_fpio_data_rdy_a_unused;
3632 wire pcx_arbr8_scanin;
3633 wire pcx_arbr8_scanout;
3634
3635 assign arb_grant_l_unused[8:0] = {arb8_grant_l_a[8], arb7_grant_l_a[8],
3636 arb6_grant_l_a[8], arb5_grant_l_a[8], arb4_grant_l_a[8],
3637 arb3_grant_l_a[8], arb2_grant_l_a[8], arb1_grant_l_a[8],
3638 arb0_grant_l_a[8]};
3639 assign arb_q0_hold_l_unused[8:0] = {arb8_q0_holdbar_l_a[8],
3640 arb7_q0_holdbar_l_a[8], arb6_q0_holdbar_l_a[8],
3641 arb5_q0_holdbar_l_a[8], arb4_q0_holdbar_l_a[8],
3642 arb3_q0_holdbar_l_a[8], arb2_q0_holdbar_l_a[8],
3643 arb1_q0_holdbar_l_a[8], arb0_q0_holdbar_l_a[8]};
3644 assign arb_qsel0_l_unused[8:0] = {arb8_qsel0_l_a[8], arb7_qsel0_l_a[8],
3645 arb6_qsel0_l_a[8], arb5_qsel0_l_a[8], arb4_qsel0_l_a[8],
3646 arb3_qsel0_l_a[8], arb2_qsel0_l_a[8], arb1_qsel0_l_a[8],
3647 arb0_qsel0_l_a[8]};
3648 assign arb_qsel1_l_unused[8:0] = {arb8_qsel1_l_a[8], arb7_qsel1_l_a[8],
3649 arb6_qsel1_l_a[8], arb5_qsel1_l_a[8], arb4_qsel1_l_a[8],
3650 arb3_qsel1_l_a[8], arb2_qsel1_l_a[8], arb1_qsel1_l_a[8],
3651 arb0_qsel1_l_a[8]};
3652 assign arb_shift_l_unused[8:0] = {arb8_shift_l_a[8], arb7_shift_l_a[8],
3653 arb6_shift_l_a[8], arb5_shift_l_a[8], arb4_shift_l_a[8],
3654 arb3_shift_l_a[8], arb2_shift_l_a[8], arb1_shift_l_a[8],
3655 arb0_shift_l_a[8]};
3656 assign arb_grant_r_unused[8:0] = {arb8_grant_r_a[8], arb7_grant_r_a[8],
3657 arb6_grant_r_a[8], arb5_grant_r_a[8], arb4_grant_r_a[8],
3658 arb3_grant_r_a[8], arb2_grant_r_a[8], arb1_grant_r_a[8],
3659 arb0_grant_r_a[8]};
3660 assign arb_q0_hold_r_unused[8:0] = {arb8_q0_holdbar_r_a[8],
3661 arb7_q0_holdbar_r_a[8], arb6_q0_holdbar_r_a[8],
3662 arb5_q0_holdbar_r_a[8], arb4_q0_holdbar_r_a[8],
3663 arb3_q0_holdbar_r_a[8], arb2_q0_holdbar_r_a[8],
3664 arb1_q0_holdbar_r_a[8], arb0_q0_holdbar_r_a[8]};
3665 assign arb_qsel0_r_unused[8:0] = {arb8_qsel0_r_a[8], arb7_qsel0_r_a[8],
3666 arb6_qsel0_r_a[8], arb5_qsel0_r_a[8], arb4_qsel0_r_a[8],
3667 arb3_qsel0_r_a[8], arb2_qsel0_r_a[8], arb1_qsel0_r_a[8],
3668 arb0_qsel0_r_a[8]};
3669 assign arb_qsel1_r_unused[8:0] = {arb8_qsel1_r_a[8], arb7_qsel1_r_a[8],
3670 arb6_qsel1_r_a[8], arb5_qsel1_r_a[8], arb4_qsel1_r_a[8],
3671 arb3_qsel1_r_a[8], arb2_qsel1_r_a[8], arb1_qsel1_r_a[8],
3672 arb0_qsel1_r_a[8]};
3673 assign arb_shift_r_unused[8:0] = {arb8_shift_r_a[8], arb7_shift_r_a[8],
3674 arb6_shift_r_a[8], arb5_shift_r_a[8], arb4_shift_r_a[8],
3675 arb3_shift_r_a[8], arb2_shift_r_a[8], arb1_shift_r_a[8],
3676 arb0_shift_r_a[8]};
3677 assign bfd4_scanin = scan_in;
3678 assign bfd5_scanin = bfd4_scanout;
3679 assign bfg4_scanin = bfd5_scanout;
3680 assign bfg1_scanin = bfg4_scanout;
3681 assign bfd1_scanin = bfg1_scanout;
3682 assign bfd0_scanin = bfd1_scanout;
3683 assign bfd_io_scanin = bfd0_scanout;
3684 assign bfg8_scanin = bfd_io_scanout;
3685 assign bfg0_scanin = bfg8_scanout;
3686 assign pcx_arbl0_scanin = bfg0_scanout;
3687 assign pcx_arbl4_scanin = pcx_arbl0_scanout;
3688 assign pcx_arbl8_scanin = pcx_arbl4_scanout;
3689 assign pcx_arbl3_scanin = pcx_arbl8_scanout;
3690 assign pcx_arbl5_scanin = pcx_arbl3_scanout;
3691 assign pcx_arbl1_scanin = pcx_arbl5_scanout;
3692 assign pcx_arbl7_scanin = pcx_arbl1_scanout;
3693 assign pcx_arbl2_scanin = pcx_arbl7_scanout;
3694 assign pcx_arbl6_scanin = pcx_arbl2_scanout;
3695 assign bfg2_scanin = pcx_arbl6_scanout;
3696 assign bfd2_scanin = bfg2_scanout;
3697 assign bfd3_scanin = bfd2_scanout;
3698 assign bfg3_scanin = bfd3_scanout;
3699 assign bfg7_scanin = bfg3_scanout;
3700 assign bfd7_scanin = bfg7_scanout;
3701 assign bfd6_scanin = bfd7_scanout;
3702 assign bfg6_scanin = bfd6_scanout;
3703 assign pcx_arbr6_scanin = bfg6_scanout;
3704 assign pcx_arbr2_scanin = pcx_arbr6_scanout;
3705 assign pcx_arbr7_scanin = pcx_arbr2_scanout;
3706 assign pcx_arbr1_scanin = pcx_arbr7_scanout;
3707 assign pcx_arbr5_scanin = pcx_arbr1_scanout;
3708 assign pcx_arbr3_scanin = pcx_arbr5_scanout;
3709 assign pcx_arbr8_scanin = pcx_arbr3_scanout;
3710 assign pcx_arbr4_scanin = pcx_arbr8_scanout;
3711 assign pcx_arbr0_scanin = pcx_arbr4_scanout;
3712 assign bfg5_scanin = pcx_arbr0_scanout;
3713 assign pcx_dpa_scanin = bfg5_scanout;
3714 assign scan_out = pcx_dpa_scanout;
3715
3716 buff_macro__dbuff_32x__rep_1__stack_150c__width_130 i_bufd_io(
3717 .din (pcx_fpio_data_px2_0[129:0]),
3718 .dout (pcx_fpio_data_px2[129:0]));
3719 buff_macro__dbuff_32x__rep_1__stack_none__width_2 i_bufd_sct1_1(
3720 .din ({pcx_sctag1_data_rdy_px1_0,
3721 pcx_sctag1_atm_px1_0}),
3722 .dout ({pcx_sctag1_data_rdy_px1,
3723 pcx_sctag1_atm_px1}));
3724 buff_macro__dbuff_32x__rep_1__stack_none__width_2 i_bufd_sct3_1(
3725 .din ({pcx_sctag3_data_rdy_px1_0,
3726 pcx_sctag3_atm_px1_0}),
3727 .dout ({pcx_sctag3_data_rdy_px1,
3728 pcx_sctag3_atm_px1}));
3729 buff_macro__dbuff_32x__rep_1__stack_none__width_2 i_bufd_sct5_1(
3730 .din ({pcx_sctag5_data_rdy_px1_0,
3731 pcx_sctag5_atm_px1_0}),
3732 .dout ({pcx_sctag5_data_rdy_px1,
3733 pcx_sctag5_atm_px1}));
3734 buff_macro__dbuff_32x__rep_1__stack_none__width_2 i_bufd_sct7_1(
3735 .din ({pcx_sctag7_data_rdy_px1_0,
3736 pcx_sctag7_atm_px1_0}),
3737 .dout ({pcx_sctag7_data_rdy_px1,
3738 pcx_sctag7_atm_px1}));
3739 buff_macro__dbuff_32x__rep_1__stack_none__width_9 i_bufg_spc0(
3740 .din (pcx_spc0_grant_a[8:0]),
3741 .dout (pcx_spc0_grant_a_0[8:0]));
3742 buff_macro__dbuff_32x__rep_1__stack_none__width_9 i_bufg_spc1(
3743 .din (pcx_spc1_grant_a[8:0]),
3744 .dout (pcx_spc1_grant_a_0[8:0]));
3745 buff_macro__dbuff_32x__rep_1__stack_none__width_9 i_bufg_spc2(
3746 .din (pcx_spc2_grant_a[8:0]),
3747 .dout (pcx_spc2_grant_a_0[8:0]));
3748 buff_macro__dbuff_32x__rep_1__stack_none__width_9 i_bufg_spc3(
3749 .din (pcx_spc3_grant_a[8:0]),
3750 .dout (pcx_spc3_grant_a_0[8:0]));
3751 buff_macro__dbuff_32x__rep_1__stack_none__width_9 i_bufg_spc4(
3752 .din (pcx_spc4_grant_a[8:0]),
3753 .dout (pcx_spc4_grant_a_0[8:0]));
3754 buff_macro__dbuff_32x__rep_1__stack_none__width_9 i_bufg_spc5(
3755 .din (pcx_spc5_grant_a[8:0]),
3756 .dout (pcx_spc5_grant_a_0[8:0]));
3757 buff_macro__dbuff_32x__rep_1__stack_none__width_9 i_bufg_spc6(
3758 .din (pcx_spc6_grant_a[8:0]),
3759 .dout (pcx_spc6_grant_a_0[8:0]));
3760 buff_macro__dbuff_32x__rep_1__stack_none__width_9 i_bufg_spc7(
3761 .din (pcx_spc7_grant_a[8:0]),
3762 .dout (pcx_spc7_grant_a_0[8:0]));
3763 buff_macro__dbuff_16x__rep_1__stack_none__width_1 buf_io_stall(
3764 .din (io_pcx_stall_pq),
3765 .dout (io_pcx_stall_pq_buf));
3766 pcx_bfg_dp bfg8(
3767 .pcx_spc_grant_px ({fpio_atm1_unused,
3768 fpio_atm2_unused, pcx_fpio_data_rdy_px1, rdy8_1_unused,
3769 io_pcx_stall_pq_d1, grant8_unused[8:0]}),
3770 .tcu_scan_en_out (tcu_scan_en_bfg_8_unused),
3771 .tcu_pce_ov_out (tcu_pce_ov_bfg_8_unused),
3772 .ccx_aclk_out (ccx_aclk_bfg_8_unused),
3773 .ccx_bclk_out (ccx_bclk_bfg_8_unused),
3774 .pcx_spc_grant_pa ({2'b0, pcx_fpio_data_rdy_a,
3775 1'b0, io_pcx_stall_pq_buf, 9'b0}),
3776 .scan_in (bfg8_scanin),
3777 .scan_out (bfg8_scanout),
3778 .l2clk (l2clk),
3779 .tcu_scan_en (tcu_scan_en_bfd_lt),
3780 .tcu_pce_ov (tcu_pce_ov_bfd_lt),
3781 .ccx_aclk (ccx_aclk_bfd_lt),
3782 .ccx_bclk (ccx_bclk_bfd_lt));
3783 buff_macro__dbuff_16x__rep_1__stack_none__width_1 buf_sct0_stall(
3784 .din (sctag0_pcx_stall_pq),
3785 .dout (sctag0_pcx_stall_pq_buf));
3786 pcx_bfg_dp bfg0(
3787 .pcx_spc_grant_px ({pcx_sctag0_atm_px1,
3788 pcx_sctag1_atm_px1_0, pcx_sctag0_data_rdy_px1,
3789 pcx_sctag1_data_rdy_px1_0, sctag0_pcx_stall_pq_d1,
3790 pcx_spc0_grant_px[8:0]}),
3791 .tcu_scan_en_out (tcu_scan_en_bfg_0_unused),
3792 .tcu_pce_ov_out (tcu_pce_ov_bfg_0_unused),
3793 .ccx_aclk_out (ccx_aclk_bfg_0_unused),
3794 .ccx_bclk_out (ccx_bclk_bfg_0_unused),
3795 .pcx_spc_grant_pa ({pcx_sctag0_atm_a,
3796 pcx_sctag1_atm_a, pcx_sctag0_data_rdy_a, pcx_sctag1_data_rdy_a,
3797 sctag0_pcx_stall_pq_buf, pcx_spc0_grant_a_0[8:0]}),
3798 .scan_in (bfg0_scanin),
3799 .scan_out (bfg0_scanout),
3800 .l2clk (l2clk),
3801 .tcu_scan_en (tcu_scan_en_bfd_lt),
3802 .tcu_pce_ov (tcu_pce_ov_bfd_lt),
3803 .ccx_aclk (ccx_aclk_bfd_lt),
3804 .ccx_bclk (ccx_bclk_bfd_lt));
3805 buff_macro__dbuff_16x__rep_1__stack_none__width_1 buf_sct1_stall(
3806 .din (sctag1_pcx_stall_pq),
3807 .dout (sctag1_pcx_stall_pq_buf));
3808 pcx_bfg_dp bfg1(
3809 .pcx_spc_grant_px ({atm10_unused, atm11_unused,
3810 rdy10_unused, rdy11_unused, sctag1_pcx_stall_pq_d1,
3811 pcx_spc1_grant_px[8:0]}),
3812 .tcu_scan_en_out (tcu_scan_en_bfg_1_unused),
3813 .tcu_pce_ov_out (tcu_pce_ov_bfg_1_unused),
3814 .ccx_aclk_out (ccx_aclk_bfg_1_unused),
3815 .ccx_bclk_out (ccx_bclk_bfg_1_unused),
3816 .pcx_spc_grant_pa ({4'b0, sctag1_pcx_stall_pq_buf,
3817 pcx_spc1_grant_a_0[8:0]}),
3818 .scan_in (bfg1_scanin),
3819 .scan_out (bfg1_scanout),
3820 .l2clk (l2clk),
3821 .tcu_scan_en (tcu_scan_en_bfd_t),
3822 .tcu_pce_ov (tcu_pce_ov_bfd_t),
3823 .ccx_aclk (ccx_aclk_bfd_t),
3824 .ccx_bclk (ccx_bclk_bfd_t));
3825 buff_macro__dbuff_16x__rep_1__stack_none__width_1 buf_sct2_stall(
3826 .din (sctag2_pcx_stall_pq),
3827 .dout (sctag2_pcx_stall_pq_buf));
3828 pcx_bfg_dp bfg2(
3829 .pcx_spc_grant_px ({pcx_sctag2_atm_px1,
3830 pcx_sctag3_atm_px1_0, pcx_sctag2_data_rdy_px1,
3831 pcx_sctag3_data_rdy_px1_0, sctag2_pcx_stall_pq_d1,
3832 pcx_spc2_grant_px[8:0]}),
3833 .tcu_scan_en_out (tcu_scan_en_bfg_2_unused),
3834 .tcu_pce_ov_out (tcu_pce_ov_bfg_2_unused),
3835 .ccx_aclk_out (ccx_aclk_bfg_2_unused),
3836 .ccx_bclk_out (ccx_bclk_bfg_2_unused),
3837 .pcx_spc_grant_pa ({pcx_sctag2_atm_a,
3838 pcx_sctag3_atm_a, pcx_sctag2_data_rdy_a, pcx_sctag3_data_rdy_a,
3839 sctag2_pcx_stall_pq_buf, pcx_spc2_grant_a_0[8:0]}),
3840 .scan_in (bfg2_scanin),
3841 .scan_out (bfg2_scanout),
3842 .l2clk (l2clk),
3843 .tcu_scan_en (tcu_scan_en_bfd_lb),
3844 .tcu_pce_ov (tcu_pce_ov_bfd_lb),
3845 .ccx_aclk (ccx_aclk_bfd_lb),
3846 .ccx_bclk (ccx_bclk_bfd_lb));
3847 buff_macro__dbuff_16x__rep_1__stack_none__width_1 buf_sct3_stall(
3848 .din (sctag3_pcx_stall_pq),
3849 .dout (sctag3_pcx_stall_pq_buf));
3850 pcx_bfg_dp bfg3(
3851 .pcx_spc_grant_px ({atm30_unused, atm31_unused,
3852 rdy30_unused, rdy31_unused, sctag3_pcx_stall_pq_d1,
3853 pcx_spc3_grant_px[8:0]}),
3854 .tcu_scan_en_out (tcu_scan_en_bfg_3_unused),
3855 .tcu_pce_ov_out (tcu_pce_ov_bfg_3_unused),
3856 .ccx_aclk_out (ccx_aclk_bfg_3_unused),
3857 .ccx_bclk_out (ccx_bclk_bfg_3_unused),
3858 .pcx_spc_grant_pa ({4'b0, sctag3_pcx_stall_pq_buf,
3859 pcx_spc3_grant_a_0[8:0]}),
3860 .scan_in (bfg3_scanin),
3861 .scan_out (bfg3_scanout),
3862 .l2clk (l2clk),
3863 .tcu_scan_en (tcu_scan_en_bfd_b),
3864 .tcu_pce_ov (tcu_pce_ov_bfd_b),
3865 .ccx_aclk (ccx_aclk_bfd_b),
3866 .ccx_bclk (ccx_bclk_bfd_b));
3867 buff_macro__dbuff_16x__rep_1__stack_none__width_1 buf_sct4_stall(
3868 .din (sctag4_pcx_stall_pq),
3869 .dout (sctag4_pcx_stall_pq_buf));
3870 pcx_bfg_dp bfg4(
3871 .pcx_spc_grant_px ({pcx_sctag4_atm_px1,
3872 pcx_sctag5_atm_px1_0, pcx_sctag4_data_rdy_px1,
3873 pcx_sctag5_data_rdy_px1_0, sctag4_pcx_stall_pq_d1,
3874 pcx_spc4_grant_px[8:0]}),
3875 .tcu_scan_en_out (tcu_scan_en_bfg_4_unused),
3876 .tcu_pce_ov_out (tcu_pce_ov_bfg_4_unused),
3877 .ccx_aclk_out (ccx_aclk_bfg_4_unused),
3878 .ccx_bclk_out (ccx_bclk_bfg_4_unused),
3879 .pcx_spc_grant_pa ({pcx_sctag4_atm_a,
3880 pcx_sctag5_atm_a, pcx_sctag4_data_rdy_a, pcx_sctag5_data_rdy_a,
3881 sctag4_pcx_stall_pq_buf, pcx_spc4_grant_a_0[8:0]}),
3882 .scan_in (bfg4_scanin),
3883 .scan_out (bfg4_scanout),
3884 .l2clk (l2clk),
3885 .tcu_scan_en (tcu_scan_en_bfd_rt),
3886 .tcu_pce_ov (tcu_pce_ov_bfd_rt),
3887 .ccx_aclk (ccx_aclk_bfd_rt),
3888 .ccx_bclk (ccx_bclk_bfd_rt));
3889 buff_macro__dbuff_16x__rep_1__stack_none__width_1 buf_sct5_stall(
3890 .din (sctag5_pcx_stall_pq),
3891 .dout (sctag5_pcx_stall_pq_buf));
3892 pcx_bfg_dp bfg5(
3893 .pcx_spc_grant_px ({atm50_unused, atm51_unused,
3894 rdy50_unused, rdy51_unused, sctag5_pcx_stall_pq_d1,
3895 pcx_spc5_grant_px[8:0]}),
3896 .tcu_scan_en_out (tcu_scan_en_bfg_5_unused),
3897 .tcu_pce_ov_out (tcu_pce_ov_bfg_5_unused),
3898 .ccx_aclk_out (ccx_aclk_bfg_5_unused),
3899 .ccx_bclk_out (ccx_bclk_bfg_5_unused),
3900 .pcx_spc_grant_pa ({4'b0, sctag5_pcx_stall_pq_buf,
3901 pcx_spc5_grant_a_0[8:0]}),
3902 .scan_in (bfg5_scanin),
3903 .scan_out (bfg5_scanout),
3904 .l2clk (l2clk),
3905 .tcu_scan_en (tcu_scan_en_bfd_t),
3906 .tcu_pce_ov (tcu_pce_ov_bfd_t),
3907 .ccx_aclk (ccx_aclk_bfd_t),
3908 .ccx_bclk (ccx_bclk_bfd_t));
3909 buff_macro__dbuff_16x__rep_1__stack_none__width_1 buf_sct6_stall(
3910 .din (sctag6_pcx_stall_pq),
3911 .dout (sctag6_pcx_stall_pq_buf));
3912 pcx_bfg_dp bfg6(
3913 .pcx_spc_grant_px ({pcx_sctag6_atm_px1,
3914 pcx_sctag7_atm_px1_0, pcx_sctag6_data_rdy_px1,
3915 pcx_sctag7_data_rdy_px1_0, sctag6_pcx_stall_pq_d1,
3916 pcx_spc6_grant_px[8:0]}),
3917 .tcu_scan_en_out (tcu_scan_en_bfg_6_unused),
3918 .tcu_pce_ov_out (tcu_pce_ov_bfg_6_unused),
3919 .ccx_aclk_out (ccx_aclk_bfg_6_unused),
3920 .ccx_bclk_out (ccx_bclk_bfg_6_unused),
3921 .pcx_spc_grant_pa ({pcx_sctag6_atm_a,
3922 pcx_sctag7_atm_a, pcx_sctag6_data_rdy_a, pcx_sctag7_data_rdy_a,
3923 sctag6_pcx_stall_pq_buf, pcx_spc6_grant_a_0[8:0]}),
3924 .scan_in (bfg6_scanin),
3925 .scan_out (bfg6_scanout),
3926 .l2clk (l2clk),
3927 .tcu_scan_en (tcu_scan_en_bfd_rb),
3928 .tcu_pce_ov (tcu_pce_ov_bfd_rb),
3929 .ccx_aclk (ccx_aclk_bfd_rb),
3930 .ccx_bclk (ccx_bclk_bfd_rb));
3931 buff_macro__dbuff_16x__rep_1__stack_none__width_1 buf_sct7_stall(
3932 .din (sctag7_pcx_stall_pq),
3933 .dout (sctag7_pcx_stall_pq_buf));
3934 pcx_bfg_dp bfg7(
3935 .pcx_spc_grant_px ({atm70_unused, atm71_unused,
3936 rdy70_unused, rdy71_unused, sctag7_pcx_stall_pq_d1,
3937 pcx_spc7_grant_px[8:0]}),
3938 .tcu_scan_en_out (tcu_scan_en_bfg_7_unused),
3939 .tcu_pce_ov_out (tcu_pce_ov_bfg_7_unused),
3940 .ccx_aclk_out (ccx_aclk_bfg_7_unused),
3941 .ccx_bclk_out (ccx_bclk_bfg_7_unused),
3942 .pcx_spc_grant_pa ({4'b0, sctag7_pcx_stall_pq_buf,
3943 pcx_spc7_grant_a_0[8:0]}),
3944 .scan_in (bfg7_scanin),
3945 .scan_out (bfg7_scanout),
3946 .l2clk (l2clk),
3947 .tcu_scan_en (tcu_scan_en_bfd_b),
3948 .tcu_pce_ov (tcu_pce_ov_bfd_b),
3949 .ccx_aclk (ccx_aclk_bfd_b),
3950 .ccx_bclk (ccx_bclk_bfd_b));
3951 buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc0_l(
3952 .din ({spc0_pcx_req_pq[8:0],
3953 spc0_pcx_atm_pq[8:0]}),
3954 .dout ({spc0_pcx_req_pq_l_0[8:0],
3955 spc0_pcx_atm_pq_l_0[8:0]}));
3956 buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc0_r(
3957 .din ({spc0_pcx_req_pq[8:0],
3958 spc0_pcx_atm_pq[8:0]}),
3959 .dout ({spc0_pcx_req_pq_r_0[8:0],
3960 spc0_pcx_atm_pq_r_0[8:0]}));
3961 buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc1_l(
3962 .din ({spc1_pcx_req_pq[8:0],
3963 spc1_pcx_atm_pq[8:0]}),
3964 .dout ({spc1_pcx_req_pq_l_0[8:0],
3965 spc1_pcx_atm_pq_l_0[8:0]}));
3966 buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc1_r(
3967 .din ({spc1_pcx_req_pq[8:0],
3968 spc1_pcx_atm_pq[8:0]}),
3969 .dout ({spc1_pcx_req_pq_r_0[8:0],
3970 spc1_pcx_atm_pq_r_0[8:0]}));
3971 buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc2_l(
3972 .din ({spc2_pcx_req_pq[8:0],
3973 spc2_pcx_atm_pq[8:0]}),
3974 .dout ({spc2_pcx_req_pq_l_0[8:0],
3975 spc2_pcx_atm_pq_l_0[8:0]}));
3976 buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc2_r(
3977 .din ({spc2_pcx_req_pq[8:0],
3978 spc2_pcx_atm_pq[8:0]}),
3979 .dout ({spc2_pcx_req_pq_r_0[8:0],
3980 spc2_pcx_atm_pq_r_0[8:0]}));
3981 buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc3_l(
3982 .din ({spc3_pcx_req_pq[8:0],
3983 spc3_pcx_atm_pq[8:0]}),
3984 .dout ({spc3_pcx_req_pq_l_0[8:0],
3985 spc3_pcx_atm_pq_l_0[8:0]}));
3986 buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc3_r(
3987 .din ({spc3_pcx_req_pq[8:0],
3988 spc3_pcx_atm_pq[8:0]}),
3989 .dout ({spc3_pcx_req_pq_r_0[8:0],
3990 spc3_pcx_atm_pq_r_0[8:0]}));
3991 buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc4_l(
3992 .din ({spc4_pcx_req_pq[8:0],
3993 spc4_pcx_atm_pq[8:0]}),
3994 .dout ({spc4_pcx_req_pq_l_0[8:0],
3995 spc4_pcx_atm_pq_l_0[8:0]}));
3996 buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc4_r(
3997 .din ({spc4_pcx_req_pq[8:0],
3998 spc4_pcx_atm_pq[8:0]}),
3999 .dout ({spc4_pcx_req_pq_r_0[8:0],
4000 spc4_pcx_atm_pq_r_0[8:0]}));
4001 buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc5_l(
4002 .din ({spc5_pcx_req_pq[8:0],
4003 spc5_pcx_atm_pq[8:0]}),
4004 .dout ({spc5_pcx_req_pq_l_0[8:0],
4005 spc5_pcx_atm_pq_l_0[8:0]}));
4006 buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc5_r(
4007 .din ({spc5_pcx_req_pq[8:0],
4008 spc5_pcx_atm_pq[8:0]}),
4009 .dout ({spc5_pcx_req_pq_r_0[8:0],
4010 spc5_pcx_atm_pq_r_0[8:0]}));
4011 buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc6_l(
4012 .din ({spc6_pcx_req_pq[8:0],
4013 spc6_pcx_atm_pq[8:0]}),
4014 .dout ({spc6_pcx_req_pq_l_0[8:0],
4015 spc6_pcx_atm_pq_l_0[8:0]}));
4016 buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc6_r(
4017 .din ({spc6_pcx_req_pq[8:0],
4018 spc6_pcx_atm_pq[8:0]}),
4019 .dout ({spc6_pcx_req_pq_r_0[8:0],
4020 spc6_pcx_atm_pq_r_0[8:0]}));
4021 buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc7_l(
4022 .din ({spc7_pcx_req_pq[8:0],
4023 spc7_pcx_atm_pq[8:0]}),
4024 .dout ({spc7_pcx_req_pq_l_0[8:0],
4025 spc7_pcx_atm_pq_l_0[8:0]}));
4026 buff_macro__dbuff_48x__rep_1__stack_none__width_18 i_bufq_spc7_r(
4027 .din ({spc7_pcx_req_pq[8:0],
4028 spc7_pcx_atm_pq[8:0]}),
4029 .dout ({spc7_pcx_req_pq_r_0[8:0],
4030 spc7_pcx_atm_pq_r_0[8:0]}));
4031 buff_macro__dbuff_40x__rep_1__stack_130c__width_130 i_bufd_spc0_1(
4032 .din (spc0_pcx_data_pa[129:0]),
4033 .dout (spc0_pcx_data_a[129:0]));
4034 buff_macro__dbuff_40x__rep_1__stack_130c__width_130 i_bufd_spc1_1(
4035 .din (spc1_pcx_data_pa[129:0]),
4036 .dout (spc1_pcx_data_a[129:0]));
4037 buff_macro__dbuff_40x__rep_1__stack_130c__width_130 i_bufd_spc2_1(
4038 .din (spc2_pcx_data_pa[129:0]),
4039 .dout (spc2_pcx_data_a[129:0]));
4040 buff_macro__dbuff_40x__rep_1__stack_130c__width_130 i_bufd_spc3_1(
4041 .din (spc3_pcx_data_pa[129:0]),
4042 .dout (spc3_pcx_data_a[129:0]));
4043 buff_macro__dbuff_40x__rep_1__stack_130c__width_130 i_bufd_spc4_1(
4044 .din (spc4_pcx_data_pa[129:0]),
4045 .dout (spc4_pcx_data_a[129:0]));
4046 buff_macro__dbuff_40x__rep_1__stack_130c__width_130 i_bufd_spc5_1(
4047 .din (spc5_pcx_data_pa[129:0]),
4048 .dout (spc5_pcx_data_a[129:0]));
4049 buff_macro__dbuff_40x__rep_1__stack_130c__width_130 i_bufd_spc6_1(
4050 .din (spc6_pcx_data_pa[129:0]),
4051 .dout (spc6_pcx_data_a[129:0]));
4052 buff_macro__dbuff_40x__rep_1__stack_130c__width_130 i_bufd_spc7_1(
4053 .din (spc7_pcx_data_pa[129:0]),
4054 .dout (spc7_pcx_data_a[129:0]));
4055 buff_macro__dbuff_32x__rep_1__stack_none__width_4 buf_hfn_dpa_t(
4056 .din ({ccx_aclk, ccx_bclk,
4057 tcu_pce_ov, tcu_scan_en}),
4058 .dout ({ccx_aclk_dpa_t,
4059 ccx_bclk_dpa_t, tcu_pce_ov_dpa_t, tcu_scan_en_dpa_t}));
4060 buff_macro__dbuff_32x__rep_1__stack_none__width_4 buf_hfn_bfd_t(
4061 .din ({ccx_aclk, ccx_bclk,
4062 tcu_pce_ov, tcu_scan_en}),
4063 .dout ({ccx_aclk_bfd_t,
4064 ccx_bclk_bfd_t, tcu_pce_ov_bfd_t, tcu_scan_en_bfd_t}));
4065 buff_macro__dbuff_32x__rep_1__stack_none__width_4 buf_hfn_dpa_b(
4066 .din ({ccx_aclk, ccx_bclk,
4067 tcu_pce_ov, tcu_scan_en}),
4068 .dout ({ccx_aclk_dpa_b,
4069 ccx_bclk_dpa_b, tcu_pce_ov_dpa_b, tcu_scan_en_dpa_b}));
4070 buff_macro__dbuff_32x__rep_1__stack_none__width_4 buf_hfn_bfd_b(
4071 .din ({ccx_aclk, ccx_bclk,
4072 tcu_pce_ov, tcu_scan_en}),
4073 .dout ({ccx_aclk_bfd_b,
4074 ccx_bclk_bfd_b, tcu_pce_ov_bfd_b, tcu_scan_en_bfd_b}));
4075 pcx_dpa pcx_dpa(
4076 .pcx_io_data_x_ (pcx_fpio_data_x_l[129:0]),
4077 .pcx_scache0_data_x_ (pcx_scache0_data_x_l[129:0]),
4078 .pcx_scache1_data_x_ (pcx_scache1_data_x_l[129:0]),
4079 .pcx_scache2_data_x_ (pcx_scache2_data_x_l[129:0]),
4080 .pcx_scache3_data_x_ (pcx_scache3_data_x_l[129:0]),
4081 .pcx_scache4_data_x_ (pcx_scache4_data_x_l[129:0]),
4082 .pcx_scache5_data_x_ (pcx_scache5_data_x_l[129:0]),
4083 .pcx_scache6_data_x_ (pcx_scache6_data_x_l[129:0]),
4084 .pcx_scache7_data_x_ (pcx_scache7_data_x_l[129:0]),
4085 .arb0_grant_l_a (arb0_grant_l_a[7:0]),
4086 .arb0_q0_holdbar_l_a (arb0_q0_holdbar_l_a[7:0]),
4087 .arb0_qsel0_l_a (arb0_qsel0_l_a[7:0]),
4088 .arb0_qsel1_l_a (arb0_qsel1_l_a[7:0]),
4089 .arb0_shift_l_a (arb0_shift_l_a[7:0]),
4090 .arb1_grant_l_a (arb1_grant_l_a[7:0]),
4091 .arb1_q0_holdbar_l_a (arb1_q0_holdbar_l_a[7:0]),
4092 .arb1_qsel0_l_a (arb1_qsel0_l_a[7:0]),
4093 .arb1_qsel1_l_a (arb1_qsel1_l_a[7:0]),
4094 .arb1_shift_l_a (arb1_shift_l_a[7:0]),
4095 .arb2_grant_l_a (arb2_grant_l_a[7:0]),
4096 .arb2_q0_holdbar_l_a (arb2_q0_holdbar_l_a[7:0]),
4097 .arb2_qsel0_l_a (arb2_qsel0_l_a[7:0]),
4098 .arb2_qsel1_l_a (arb2_qsel1_l_a[7:0]),
4099 .arb2_shift_l_a (arb2_shift_l_a[7:0]),
4100 .arb3_grant_l_a (arb3_grant_l_a[7:0]),
4101 .arb3_q0_holdbar_l_a (arb3_q0_holdbar_l_a[7:0]),
4102 .arb3_qsel0_l_a (arb3_qsel0_l_a[7:0]),
4103 .arb3_qsel1_l_a (arb3_qsel1_l_a[7:0]),
4104 .arb3_shift_l_a (arb3_shift_l_a[7:0]),
4105 .arb4_grant_l_a (arb4_grant_l_a[7:0]),
4106 .arb4_q0_holdbar_l_a (arb4_q0_holdbar_l_a[7:0]),
4107 .arb4_qsel0_l_a (arb4_qsel0_l_a[7:0]),
4108 .arb4_qsel1_l_a (arb4_qsel1_l_a[7:0]),
4109 .arb4_shift_l_a (arb4_shift_l_a[7:0]),
4110 .arb5_grant_l_a (arb5_grant_l_a[7:0]),
4111 .arb5_q0_holdbar_l_a (arb5_q0_holdbar_l_a[7:0]),
4112 .arb5_qsel0_l_a (arb5_qsel0_l_a[7:0]),
4113 .arb5_qsel1_l_a (arb5_qsel1_l_a[7:0]),
4114 .arb5_shift_l_a (arb5_shift_l_a[7:0]),
4115 .arb6_grant_l_a (arb6_grant_l_a[7:0]),
4116 .arb6_q0_holdbar_l_a (arb6_q0_holdbar_l_a[7:0]),
4117 .arb6_qsel0_l_a (arb6_qsel0_l_a[7:0]),
4118 .arb6_qsel1_l_a (arb6_qsel1_l_a[7:0]),
4119 .arb6_shift_l_a (arb6_shift_l_a[7:0]),
4120 .arb7_grant_l_a (arb7_grant_l_a[7:0]),
4121 .arb7_q0_holdbar_l_a (arb7_q0_holdbar_l_a[7:0]),
4122 .arb7_qsel0_l_a (arb7_qsel0_l_a[7:0]),
4123 .arb7_qsel1_l_a (arb7_qsel1_l_a[7:0]),
4124 .arb7_shift_l_a (arb7_shift_l_a[7:0]),
4125 .arb8_grant_l_a (arb8_grant_l_a[7:0]),
4126 .arb8_q0_holdbar_l_a (arb8_q0_holdbar_l_a[7:0]),
4127 .arb8_qsel0_l_a (arb8_qsel0_l_a[7:0]),
4128 .arb8_qsel1_l_a (arb8_qsel1_l_a[7:0]),
4129 .arb8_shift_l_a (arb8_shift_l_a[7:0]),
4130 .arb0_grant_r_a (arb0_grant_r_a[7:0]),
4131 .arb0_q0_holdbar_r_a (arb0_q0_holdbar_r_a[7:0]),
4132 .arb0_qsel0_r_a (arb0_qsel0_r_a[7:0]),
4133 .arb0_qsel1_r_a (arb0_qsel1_r_a[7:0]),
4134 .arb0_shift_r_a (arb0_shift_r_a[7:0]),
4135 .arb1_grant_r_a (arb1_grant_r_a[7:0]),
4136 .arb1_q0_holdbar_r_a (arb1_q0_holdbar_r_a[7:0]),
4137 .arb1_qsel0_r_a (arb1_qsel0_r_a[7:0]),
4138 .arb1_qsel1_r_a (arb1_qsel1_r_a[7:0]),
4139 .arb1_shift_r_a (arb1_shift_r_a[7:0]),
4140 .arb2_grant_r_a (arb2_grant_r_a[7:0]),
4141 .arb2_q0_holdbar_r_a (arb2_q0_holdbar_r_a[7:0]),
4142 .arb2_qsel0_r_a (arb2_qsel0_r_a[7:0]),
4143 .arb2_qsel1_r_a (arb2_qsel1_r_a[7:0]),
4144 .arb2_shift_r_a (arb2_shift_r_a[7:0]),
4145 .arb3_grant_r_a (arb3_grant_r_a[7:0]),
4146 .arb3_q0_holdbar_r_a (arb3_q0_holdbar_r_a[7:0]),
4147 .arb3_qsel0_r_a (arb3_qsel0_r_a[7:0]),
4148 .arb3_qsel1_r_a (arb3_qsel1_r_a[7:0]),
4149 .arb3_shift_r_a (arb3_shift_r_a[7:0]),
4150 .arb4_grant_r_a (arb4_grant_r_a[7:0]),
4151 .arb4_q0_holdbar_r_a (arb4_q0_holdbar_r_a[7:0]),
4152 .arb4_qsel0_r_a (arb4_qsel0_r_a[7:0]),
4153 .arb4_qsel1_r_a (arb4_qsel1_r_a[7:0]),
4154 .arb4_shift_r_a (arb4_shift_r_a[7:0]),
4155 .arb5_grant_r_a (arb5_grant_r_a[7:0]),
4156 .arb5_q0_holdbar_r_a (arb5_q0_holdbar_r_a[7:0]),
4157 .arb5_qsel0_r_a (arb5_qsel0_r_a[7:0]),
4158 .arb5_qsel1_r_a (arb5_qsel1_r_a[7:0]),
4159 .arb5_shift_r_a (arb5_shift_r_a[7:0]),
4160 .arb6_grant_r_a (arb6_grant_r_a[7:0]),
4161 .arb6_q0_holdbar_r_a (arb6_q0_holdbar_r_a[7:0]),
4162 .arb6_qsel0_r_a (arb6_qsel0_r_a[7:0]),
4163 .arb6_qsel1_r_a (arb6_qsel1_r_a[7:0]),
4164 .arb6_shift_r_a (arb6_shift_r_a[7:0]),
4165 .arb7_grant_r_a (arb7_grant_r_a[7:0]),
4166 .arb7_q0_holdbar_r_a (arb7_q0_holdbar_r_a[7:0]),
4167 .arb7_qsel0_r_a (arb7_qsel0_r_a[7:0]),
4168 .arb7_qsel1_r_a (arb7_qsel1_r_a[7:0]),
4169 .arb7_shift_r_a (arb7_shift_r_a[7:0]),
4170 .arb8_grant_r_a (arb8_grant_r_a[7:0]),
4171 .arb8_q0_holdbar_r_a (arb8_q0_holdbar_r_a[7:0]),
4172 .arb8_qsel0_r_a (arb8_qsel0_r_a[7:0]),
4173 .arb8_qsel1_r_a (arb8_qsel1_r_a[7:0]),
4174 .arb8_shift_r_a (arb8_shift_r_a[7:0]),
4175 .spc0_pcx_data_a (spc0_pcx_data_a[129:0]),
4176 .spc1_pcx_data_a (spc1_pcx_data_a[129:0]),
4177 .spc2_pcx_data_a (spc2_pcx_data_a[129:0]),
4178 .spc3_pcx_data_a (spc3_pcx_data_a[129:0]),
4179 .spc4_pcx_data_a (spc4_pcx_data_a[129:0]),
4180 .spc5_pcx_data_a (spc5_pcx_data_a[129:0]),
4181 .spc6_pcx_data_a (spc6_pcx_data_a[129:0]),
4182 .spc7_pcx_data_a (spc7_pcx_data_a[129:0]),
4183 .scan_in (pcx_dpa_scanin),
4184 .scan_out (pcx_dpa_scanout),
4185 .l2clk (l2clk),
4186 .tcu_scan_en_t (tcu_scan_en_dpa_t),
4187 .tcu_pce_ov_t (tcu_pce_ov_dpa_t),
4188 .ccx_aclk_t (ccx_aclk_dpa_t),
4189 .ccx_bclk_t (ccx_bclk_dpa_t),
4190 .tcu_scan_en_b (tcu_scan_en_dpa_b),
4191 .tcu_pce_ov_b (tcu_pce_ov_dpa_b),
4192 .ccx_aclk_b (ccx_aclk_dpa_b),
4193 .ccx_bclk_b (ccx_bclk_dpa_b));
4194 pcx_bfd_dp bfd_io(
4195 .pcx_sctag_data_px2 (pcx_fpio_data_px2_0[129:0]),
4196 .tcu_scan_en_out (tcu_scan_en_bfd_lt),
4197 .tcu_pce_ov_out (tcu_pce_ov_bfd_lt),
4198 .ccx_aclk_out (ccx_aclk_bfd_lt),
4199 .ccx_bclk_out (ccx_bclk_bfd_lt),
4200 .pcx_scache_data_px_ (pcx_fpio_data_x_l[129:0]),
4201 .scan_in (bfd_io_scanin),
4202 .scan_out (bfd_io_scanout),
4203 .l2clk (l2clk),
4204 .tcu_scan_en (tcu_scan_en_bfd_0),
4205 .tcu_pce_ov (tcu_pce_ov_bfd_0),
4206 .ccx_aclk (ccx_aclk_bfd_0),
4207 .ccx_bclk (ccx_bclk_bfd_0));
4208 pcx_bfd_dp bfd0(
4209 .pcx_sctag_data_px2
4210 (pcx_sctag0_data_px2_prebuf[129:0]),
4211 .tcu_scan_en_out (tcu_scan_en_bfd_0),
4212 .tcu_pce_ov_out (tcu_pce_ov_bfd_0),
4213 .ccx_aclk_out (ccx_aclk_bfd_0),
4214 .ccx_bclk_out (ccx_bclk_bfd_0),
4215 .pcx_scache_data_px_ (pcx_scache0_data_x_l[129:0]),
4216 .scan_in (bfd0_scanin),
4217 .scan_out (bfd0_scanout),
4218 .l2clk (l2clk),
4219 .tcu_scan_en (tcu_scan_en_bfd_1),
4220 .tcu_pce_ov (tcu_pce_ov_bfd_1),
4221 .ccx_aclk (ccx_aclk_bfd_1),
4222 .ccx_bclk (ccx_bclk_bfd_1));
4223 pcx_ob1_dp ob_0(
4224 .pcx_sctag_data_px2 (pcx_sctag0_data_px2[129:0]),
4225 .pcx_sctag_data_px2_prebuf
4226 (pcx_sctag0_data_px2_prebuf[129:0]));
4227 pcx_bfd_dp bfd1(
4228 .pcx_sctag_data_px2
4229 (pcx_sctag1_data_px2_prebuf[129:0]),
4230 .tcu_scan_en_out (tcu_scan_en_bfd_1),
4231 .tcu_pce_ov_out (tcu_pce_ov_bfd_1),
4232 .ccx_aclk_out (ccx_aclk_bfd_1),
4233 .ccx_bclk_out (ccx_bclk_bfd_1),
4234 .pcx_scache_data_px_ (pcx_scache1_data_x_l[129:0]),
4235 .scan_in (bfd1_scanin),
4236 .scan_out (bfd1_scanout),
4237 .l2clk (l2clk),
4238 .tcu_scan_en (tcu_scan_en_bfd_t),
4239 .tcu_pce_ov (tcu_pce_ov_bfd_t),
4240 .ccx_aclk (ccx_aclk_bfd_t),
4241 .ccx_bclk (ccx_bclk_bfd_t));
4242 pcx_ob1_dp ob_1(
4243 .pcx_sctag_data_px2 (pcx_sctag1_data_px2[129:0]),
4244 .pcx_sctag_data_px2_prebuf
4245 (pcx_sctag1_data_px2_prebuf[129:0]));
4246 pcx_bfd_dp bfd2(
4247 .pcx_sctag_data_px2
4248 (pcx_sctag2_data_px2_prebuf[129:0]),
4249 .tcu_scan_en_out (tcu_scan_en_bfd_lb),
4250 .tcu_pce_ov_out (tcu_pce_ov_bfd_lb),
4251 .ccx_aclk_out (ccx_aclk_bfd_lb),
4252 .ccx_bclk_out (ccx_bclk_bfd_lb),
4253 .pcx_scache_data_px_ (pcx_scache2_data_x_l[129:0]),
4254 .scan_in (bfd2_scanin),
4255 .scan_out (bfd2_scanout),
4256 .l2clk (l2clk),
4257 .tcu_scan_en (tcu_scan_en_bfd_3),
4258 .tcu_pce_ov (tcu_pce_ov_bfd_3),
4259 .ccx_aclk (ccx_aclk_bfd_3),
4260 .ccx_bclk (ccx_bclk_bfd_3));
4261 pcx_ob1_dp ob_2(
4262 .pcx_sctag_data_px2 (pcx_sctag2_data_px2[129:0]),
4263 .pcx_sctag_data_px2_prebuf
4264 (pcx_sctag2_data_px2_prebuf[129:0]));
4265 pcx_bfd_dp bfd3(
4266 .pcx_sctag_data_px2
4267 (pcx_sctag3_data_px2_prebuf[129:0]),
4268 .tcu_scan_en_out (tcu_scan_en_bfd_3),
4269 .tcu_pce_ov_out (tcu_pce_ov_bfd_3),
4270 .ccx_aclk_out (ccx_aclk_bfd_3),
4271 .ccx_bclk_out (ccx_bclk_bfd_3),
4272 .pcx_scache_data_px_ (pcx_scache3_data_x_l[129:0]),
4273 .scan_in (bfd3_scanin),
4274 .scan_out (bfd3_scanout),
4275 .l2clk (l2clk),
4276 .tcu_scan_en (tcu_scan_en_bfd_b),
4277 .tcu_pce_ov (tcu_pce_ov_bfd_b),
4278 .ccx_aclk (ccx_aclk_bfd_b),
4279 .ccx_bclk (ccx_bclk_bfd_b));
4280 pcx_ob1_dp ob_3(
4281 .pcx_sctag_data_px2 (pcx_sctag3_data_px2[129:0]),
4282 .pcx_sctag_data_px2_prebuf
4283 (pcx_sctag3_data_px2_prebuf[129:0]));
4284 pcx_bfd_dp bfd4(
4285 .pcx_sctag_data_px2
4286 (pcx_sctag4_data_px2_prebuf[129:0]),
4287 .tcu_scan_en_out (tcu_scan_en_bfd_rt),
4288 .tcu_pce_ov_out (tcu_pce_ov_bfd_rt),
4289 .ccx_aclk_out (ccx_aclk_bfd_rt),
4290 .ccx_bclk_out (ccx_bclk_bfd_rt),
4291 .pcx_scache_data_px_ (pcx_scache4_data_x_l[129:0]),
4292 .scan_in (bfd4_scanin),
4293 .scan_out (bfd4_scanout),
4294 .l2clk (l2clk),
4295 .tcu_scan_en (tcu_scan_en_bfd_5),
4296 .tcu_pce_ov (tcu_pce_ov_bfd_5),
4297 .ccx_aclk (ccx_aclk_bfd_5),
4298 .ccx_bclk (ccx_bclk_bfd_5));
4299 pcx_ob1_dp ob_4(
4300 .pcx_sctag_data_px2 (pcx_sctag4_data_px2[129:0]),
4301 .pcx_sctag_data_px2_prebuf
4302 (pcx_sctag4_data_px2_prebuf[129:0]));
4303 pcx_bfd_dp bfd5(
4304 .pcx_sctag_data_px2
4305 (pcx_sctag5_data_px2_prebuf[129:0]),
4306 .tcu_scan_en_out (tcu_scan_en_bfd_5),
4307 .tcu_pce_ov_out (tcu_pce_ov_bfd_5),
4308 .ccx_aclk_out (ccx_aclk_bfd_5),
4309 .ccx_bclk_out (ccx_bclk_bfd_5),
4310 .pcx_scache_data_px_ (pcx_scache5_data_x_l[129:0]),
4311 .scan_in (bfd5_scanin),
4312 .scan_out (bfd5_scanout),
4313 .l2clk (l2clk),
4314 .tcu_scan_en (tcu_scan_en_bfd_t),
4315 .tcu_pce_ov (tcu_pce_ov_bfd_t),
4316 .ccx_aclk (ccx_aclk_bfd_t),
4317 .ccx_bclk (ccx_bclk_bfd_t));
4318 pcx_ob1_dp ob_5(
4319 .pcx_sctag_data_px2 (pcx_sctag5_data_px2[129:0]),
4320 .pcx_sctag_data_px2_prebuf
4321 (pcx_sctag5_data_px2_prebuf[129:0]));
4322 pcx_bfd_dp bfd6(
4323 .pcx_sctag_data_px2
4324 (pcx_sctag6_data_px2_prebuf[129:0]),
4325 .tcu_scan_en_out (tcu_scan_en_bfd_rb),
4326 .tcu_pce_ov_out (tcu_pce_ov_bfd_rb),
4327 .ccx_aclk_out (ccx_aclk_bfd_rb),
4328 .ccx_bclk_out (ccx_bclk_bfd_rb),
4329 .pcx_scache_data_px_ (pcx_scache6_data_x_l[129:0]),
4330 .scan_in (bfd6_scanin),
4331 .scan_out (bfd6_scanout),
4332 .l2clk (l2clk),
4333 .tcu_scan_en (tcu_scan_en_bfd_7),
4334 .tcu_pce_ov (tcu_pce_ov_bfd_7),
4335 .ccx_aclk (ccx_aclk_bfd_7),
4336 .ccx_bclk (ccx_bclk_bfd_7));
4337 pcx_ob1_dp ob_6(
4338 .pcx_sctag_data_px2 (pcx_sctag6_data_px2[129:0]),
4339 .pcx_sctag_data_px2_prebuf
4340 (pcx_sctag6_data_px2_prebuf[129:0]));
4341 pcx_bfd_dp bfd7(
4342 .pcx_sctag_data_px2
4343 (pcx_sctag7_data_px2_prebuf[129:0]),
4344 .tcu_scan_en_out (tcu_scan_en_bfd_7),
4345 .tcu_pce_ov_out (tcu_pce_ov_bfd_7),
4346 .ccx_aclk_out (ccx_aclk_bfd_7),
4347 .ccx_bclk_out (ccx_bclk_bfd_7),
4348 .pcx_scache_data_px_ (pcx_scache7_data_x_l[129:0]),
4349 .scan_in (bfd7_scanin),
4350 .scan_out (bfd7_scanout),
4351 .l2clk (l2clk),
4352 .tcu_scan_en (tcu_scan_en_bfd_b),
4353 .tcu_pce_ov (tcu_pce_ov_bfd_b),
4354 .ccx_aclk (ccx_aclk_bfd_b),
4355 .ccx_bclk (ccx_bclk_bfd_b));
4356 pcx_ob1_dp ob_7(
4357 .pcx_sctag_data_px2 (pcx_sctag7_data_px2[129:0]),
4358 .pcx_sctag_data_px2_prebuf
4359 (pcx_sctag7_data_px2_prebuf[129:0]));
4360 buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_l_spc0(
4361 .din ({sctag0_pcx_stall_pq_d1,
4362 spc0_pcx_req_pq_l_0[8:0], spc0_pcx_atm_pq_l_0[8:0]}),
4363 .dout ({sctag0_pcx_stall_lq_d1,
4364 spc0_pcx_req_lq[8:0], spc0_pcx_atm_lq[8:0]}));
4365 buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_l_spc1(
4366 .din ({sctag1_pcx_stall_pq_d1,
4367 spc1_pcx_req_pq_l_0[8:0], spc1_pcx_atm_pq_l_0[8:0]}),
4368 .dout ({sctag1_pcx_stall_lq_d1,
4369 spc1_pcx_req_lq[8:0], spc1_pcx_atm_lq[8:0]}));
4370 buff_macro__dbuff_48x__rep_1__stack_20r__width_20 i_bufq_l_spc2(
4371 .din ({io_pcx_stall_pq_d1,
4372 sctag2_pcx_stall_pq_d1, spc2_pcx_req_pq_l_0[8:0],
4373 spc2_pcx_atm_pq_l_0[8:0]}),
4374 .dout ({io_pcx_stall_lq_d1,
4375 sctag2_pcx_stall_lq_d1, spc2_pcx_req_lq[8:0],
4376 spc2_pcx_atm_lq[8:0]}));
4377 buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_l_spc3(
4378 .din ({sctag3_pcx_stall_pq_d1,
4379 spc3_pcx_req_pq_l_0[8:0], spc3_pcx_atm_pq_l_0[8:0]}),
4380 .dout ({sctag3_pcx_stall_lq_d1,
4381 spc3_pcx_req_lq[8:0], spc3_pcx_atm_lq[8:0]}));
4382 buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_l_spc4(
4383 .din ({sctag4_pcx_stall_pq_d1,
4384 spc4_pcx_req_pq_l_0[8:0], spc4_pcx_atm_pq_l_0[8:0]}),
4385 .dout ({sctag4_pcx_stall_lq_d1,
4386 spc4_pcx_req_lq[8:0], spc4_pcx_atm_lq[8:0]}));
4387 buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_l_spc5(
4388 .din ({sctag5_pcx_stall_pq_d1,
4389 spc5_pcx_req_pq_l_0[8:0], spc5_pcx_atm_pq_l_0[8:0]}),
4390 .dout ({sctag5_pcx_stall_lq_d1,
4391 spc5_pcx_req_lq[8:0], spc5_pcx_atm_lq[8:0]}));
4392 buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_l_spc6(
4393 .din ({sctag6_pcx_stall_pq_d1,
4394 spc6_pcx_req_pq_l_0[8:0], spc6_pcx_atm_pq_l_0[8:0]}),
4395 .dout ({sctag6_pcx_stall_lq_d1,
4396 spc6_pcx_req_lq[8:0], spc6_pcx_atm_lq[8:0]}));
4397 buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_l_spc7(
4398 .din ({sctag7_pcx_stall_pq_d1,
4399 spc7_pcx_req_pq_l_0[8:0], spc7_pcx_atm_pq_l_0[8:0]}),
4400 .dout ({sctag7_pcx_stall_lq_d1,
4401 spc7_pcx_req_lq[8:0], spc7_pcx_atm_lq[8:0]}));
4402 buff_macro__dbuff_32x__rep_1__stack_none__width_4 buf_hfn_arb_lt(
4403 .din ({ccx_aclk_bfd_lt,
4404 ccx_bclk_bfd_lt, tcu_pce_ov_bfd_lt, tcu_scan_en_bfd_lt}),
4405 .dout ({ccx_aclk_arb_lt,
4406 ccx_bclk_arb_lt, tcu_pce_ov_arb_lt, tcu_scan_en_arb_lt}));
4407 buff_macro__dbuff_32x__rep_1__stack_none__width_4 buf_hfn_arb_lb(
4408 .din ({ccx_aclk_bfd_lb,
4409 ccx_bclk_bfd_lb, tcu_pce_ov_bfd_lb, tcu_scan_en_bfd_lb}),
4410 .dout ({ccx_aclk_arb_lb,
4411 ccx_bclk_arb_lb, tcu_pce_ov_arb_lb, tcu_scan_en_arb_lb}));
4412 buff_macro__dbuff_32x__rep_1__stack_none__width_4 buf_hfn_arb_rt(
4413 .din ({ccx_aclk_bfd_rt,
4414 ccx_bclk_bfd_rt, tcu_pce_ov_bfd_rt, tcu_scan_en_bfd_rt}),
4415 .dout ({ccx_aclk_arb_rt,
4416 ccx_bclk_arb_rt, tcu_pce_ov_arb_rt, tcu_scan_en_arb_rt}));
4417 buff_macro__dbuff_32x__rep_1__stack_none__width_4 buf_hfn_arb_rb(
4418 .din ({ccx_aclk_bfd_rb,
4419 ccx_bclk_bfd_rb, tcu_pce_ov_bfd_rb, tcu_scan_en_bfd_rb}),
4420 .dout ({ccx_aclk_arb_rb,
4421 ccx_bclk_arb_rb, tcu_pce_ov_arb_rb, tcu_scan_en_arb_rb}));
4422 ccx_arb pcx_arbl0(
4423 .arb_grant_a (arb0_grant_l_a[8:0]),
4424 .arb_q0_holdbar_a (arb0_q0_holdbar_l_a[8:0]),
4425 .arb_qsel0_a (arb0_qsel0_l_a[8:0]),
4426 .arb_qsel1_a (arb0_qsel1_l_a[8:0]),
4427 .arb_shift_a (arb0_shift_l_a[8:0]),
4428 .arb_src0_grant_a (pcx_spc0_grant_a[0]),
4429 .arb_src1_grant_a (pcx_spc1_grant_a[0]),
4430 .arb_src2_grant_a (pcx_spc2_grant_a[0]),
4431 .arb_src3_grant_a (pcx_spc3_grant_a[0]),
4432 .arb_src4_grant_a (pcx_spc4_grant_a_unused[0]),
4433 .arb_src5_grant_a (pcx_spc5_grant_a_unused[0]),
4434 .arb_src6_grant_a (pcx_spc6_grant_a_unused[0]),
4435 .arb_src7_grant_a (pcx_spc7_grant_a_unused[0]),
4436 .arb_src8_grant_a (arb0_src8_grant_l_unused),
4437 .ccx_dest_atom_a (pcx_sctag0_atm_a),
4438 .ccx_dest_data_rdy_a (pcx_sctag0_data_rdy_a),
4439 .src0_arb_atom_q (spc0_pcx_atm_lq[0]),
4440 .src0_arb_req_q (spc0_pcx_req_lq[0]),
4441 .src1_arb_atom_q (spc1_pcx_atm_lq[0]),
4442 .src1_arb_req_q (spc1_pcx_req_lq[0]),
4443 .src2_arb_atom_q (spc2_pcx_atm_lq[0]),
4444 .src2_arb_req_q (spc2_pcx_req_lq[0]),
4445 .src3_arb_atom_q (spc3_pcx_atm_lq[0]),
4446 .src3_arb_req_q (spc3_pcx_req_lq[0]),
4447 .src4_arb_atom_q (spc4_pcx_atm_lq[0]),
4448 .src4_arb_req_q (spc4_pcx_req_lq[0]),
4449 .src5_arb_atom_q (spc5_pcx_atm_lq[0]),
4450 .src5_arb_req_q (spc5_pcx_req_lq[0]),
4451 .src6_arb_atom_q (spc6_pcx_atm_lq[0]),
4452 .src6_arb_req_q (spc6_pcx_req_lq[0]),
4453 .src7_arb_atom_q (spc7_pcx_atm_lq[0]),
4454 .src7_arb_req_q (spc7_pcx_req_lq[0]),
4455 .src8_arb_atom_q (1'b0),
4456 .src8_arb_req_q (1'b0),
4457 .stall_q_d1 (sctag0_pcx_stall_lq_d1),
4458 .scan_in (pcx_arbl0_scanin),
4459 .scan_out (pcx_arbl0_scanout),
4460 .l2clk (l2clk),
4461 .tcu_scan_en (tcu_scan_en_arb_lt),
4462 .tcu_pce_ov (tcu_pce_ov_arb_lt),
4463 .ccx_aclk (ccx_aclk_arb_lt),
4464 .ccx_bclk (ccx_bclk_arb_lt));
4465 ccx_arb pcx_arbl1(
4466 .arb_grant_a (arb1_grant_l_a[8:0]),
4467 .arb_q0_holdbar_a (arb1_q0_holdbar_l_a[8:0]),
4468 .arb_qsel0_a (arb1_qsel0_l_a[8:0]),
4469 .arb_qsel1_a (arb1_qsel1_l_a[8:0]),
4470 .arb_shift_a (arb1_shift_l_a[8:0]),
4471 .arb_src0_grant_a (pcx_spc0_grant_a[1]),
4472 .arb_src1_grant_a (pcx_spc1_grant_a[1]),
4473 .arb_src2_grant_a (pcx_spc2_grant_a[1]),
4474 .arb_src3_grant_a (pcx_spc3_grant_a[1]),
4475 .arb_src4_grant_a (pcx_spc4_grant_a_unused[1]),
4476 .arb_src5_grant_a (pcx_spc5_grant_a_unused[1]),
4477 .arb_src6_grant_a (pcx_spc6_grant_a_unused[1]),
4478 .arb_src7_grant_a (pcx_spc7_grant_a_unused[1]),
4479 .arb_src8_grant_a (arb1_src8_grant_l_unused),
4480 .ccx_dest_atom_a (pcx_sctag1_atm_a),
4481 .ccx_dest_data_rdy_a (pcx_sctag1_data_rdy_a),
4482 .src0_arb_atom_q (spc0_pcx_atm_lq[1]),
4483 .src0_arb_req_q (spc0_pcx_req_lq[1]),
4484 .src1_arb_atom_q (spc1_pcx_atm_lq[1]),
4485 .src1_arb_req_q (spc1_pcx_req_lq[1]),
4486 .src2_arb_atom_q (spc2_pcx_atm_lq[1]),
4487 .src2_arb_req_q (spc2_pcx_req_lq[1]),
4488 .src3_arb_atom_q (spc3_pcx_atm_lq[1]),
4489 .src3_arb_req_q (spc3_pcx_req_lq[1]),
4490 .src4_arb_atom_q (spc4_pcx_atm_lq[1]),
4491 .src4_arb_req_q (spc4_pcx_req_lq[1]),
4492 .src5_arb_atom_q (spc5_pcx_atm_lq[1]),
4493 .src5_arb_req_q (spc5_pcx_req_lq[1]),
4494 .src6_arb_atom_q (spc6_pcx_atm_lq[1]),
4495 .src6_arb_req_q (spc6_pcx_req_lq[1]),
4496 .src7_arb_atom_q (spc7_pcx_atm_lq[1]),
4497 .src7_arb_req_q (spc7_pcx_req_lq[1]),
4498 .src8_arb_atom_q (1'b0),
4499 .src8_arb_req_q (1'b0),
4500 .stall_q_d1 (sctag1_pcx_stall_lq_d1),
4501 .scan_in (pcx_arbl1_scanin),
4502 .scan_out (pcx_arbl1_scanout),
4503 .l2clk (l2clk),
4504 .tcu_scan_en (tcu_scan_en_arb_lt),
4505 .tcu_pce_ov (tcu_pce_ov_arb_lt),
4506 .ccx_aclk (ccx_aclk_arb_lt),
4507 .ccx_bclk (ccx_bclk_arb_lt));
4508 ccx_arb pcx_arbl2(
4509 .arb_grant_a (arb2_grant_l_a[8:0]),
4510 .arb_q0_holdbar_a (arb2_q0_holdbar_l_a[8:0]),
4511 .arb_qsel0_a (arb2_qsel0_l_a[8:0]),
4512 .arb_qsel1_a (arb2_qsel1_l_a[8:0]),
4513 .arb_shift_a (arb2_shift_l_a[8:0]),
4514 .arb_src0_grant_a (pcx_spc0_grant_a[2]),
4515 .arb_src1_grant_a (pcx_spc1_grant_a[2]),
4516 .arb_src2_grant_a (pcx_spc2_grant_a[2]),
4517 .arb_src3_grant_a (pcx_spc3_grant_a[2]),
4518 .arb_src4_grant_a (pcx_spc4_grant_a_unused[2]),
4519 .arb_src5_grant_a (pcx_spc5_grant_a_unused[2]),
4520 .arb_src6_grant_a (pcx_spc6_grant_a_unused[2]),
4521 .arb_src7_grant_a (pcx_spc7_grant_a_unused[2]),
4522 .arb_src8_grant_a (arb2_src8_grant_l_unused),
4523 .ccx_dest_atom_a (pcx_sctag2_atm_a),
4524 .ccx_dest_data_rdy_a (pcx_sctag2_data_rdy_a),
4525 .src0_arb_atom_q (spc0_pcx_atm_lq[2]),
4526 .src0_arb_req_q (spc0_pcx_req_lq[2]),
4527 .src1_arb_atom_q (spc1_pcx_atm_lq[2]),
4528 .src1_arb_req_q (spc1_pcx_req_lq[2]),
4529 .src2_arb_atom_q (spc2_pcx_atm_lq[2]),
4530 .src2_arb_req_q (spc2_pcx_req_lq[2]),
4531 .src3_arb_atom_q (spc3_pcx_atm_lq[2]),
4532 .src3_arb_req_q (spc3_pcx_req_lq[2]),
4533 .src4_arb_atom_q (spc4_pcx_atm_lq[2]),
4534 .src4_arb_req_q (spc4_pcx_req_lq[2]),
4535 .src5_arb_atom_q (spc5_pcx_atm_lq[2]),
4536 .src5_arb_req_q (spc5_pcx_req_lq[2]),
4537 .src6_arb_atom_q (spc6_pcx_atm_lq[2]),
4538 .src6_arb_req_q (spc6_pcx_req_lq[2]),
4539 .src7_arb_atom_q (spc7_pcx_atm_lq[2]),
4540 .src7_arb_req_q (spc7_pcx_req_lq[2]),
4541 .src8_arb_atom_q (1'b0),
4542 .src8_arb_req_q (1'b0),
4543 .stall_q_d1 (sctag2_pcx_stall_lq_d1),
4544 .scan_in (pcx_arbl2_scanin),
4545 .scan_out (pcx_arbl2_scanout),
4546 .l2clk (l2clk),
4547 .tcu_scan_en (tcu_scan_en_arb_lb),
4548 .tcu_pce_ov (tcu_pce_ov_arb_lb),
4549 .ccx_aclk (ccx_aclk_arb_lb),
4550 .ccx_bclk (ccx_bclk_arb_lb));
4551 ccx_arb pcx_arbl3(
4552 .arb_grant_a (arb3_grant_l_a[8:0]),
4553 .arb_q0_holdbar_a (arb3_q0_holdbar_l_a[8:0]),
4554 .arb_qsel0_a (arb3_qsel0_l_a[8:0]),
4555 .arb_qsel1_a (arb3_qsel1_l_a[8:0]),
4556 .arb_shift_a (arb3_shift_l_a[8:0]),
4557 .arb_src0_grant_a (pcx_spc0_grant_a[3]),
4558 .arb_src1_grant_a (pcx_spc1_grant_a[3]),
4559 .arb_src2_grant_a (pcx_spc2_grant_a[3]),
4560 .arb_src3_grant_a (pcx_spc3_grant_a[3]),
4561 .arb_src4_grant_a (pcx_spc4_grant_a_unused[3]),
4562 .arb_src5_grant_a (pcx_spc5_grant_a_unused[3]),
4563 .arb_src6_grant_a (pcx_spc6_grant_a_unused[3]),
4564 .arb_src7_grant_a (pcx_spc7_grant_a_unused[3]),
4565 .arb_src8_grant_a (arb3_src8_grant_l_unused),
4566 .ccx_dest_atom_a (pcx_sctag3_atm_a),
4567 .ccx_dest_data_rdy_a (pcx_sctag3_data_rdy_a),
4568 .src0_arb_atom_q (spc0_pcx_atm_lq[3]),
4569 .src0_arb_req_q (spc0_pcx_req_lq[3]),
4570 .src1_arb_atom_q (spc1_pcx_atm_lq[3]),
4571 .src1_arb_req_q (spc1_pcx_req_lq[3]),
4572 .src2_arb_atom_q (spc2_pcx_atm_lq[3]),
4573 .src2_arb_req_q (spc2_pcx_req_lq[3]),
4574 .src3_arb_atom_q (spc3_pcx_atm_lq[3]),
4575 .src3_arb_req_q (spc3_pcx_req_lq[3]),
4576 .src4_arb_atom_q (spc4_pcx_atm_lq[3]),
4577 .src4_arb_req_q (spc4_pcx_req_lq[3]),
4578 .src5_arb_atom_q (spc5_pcx_atm_lq[3]),
4579 .src5_arb_req_q (spc5_pcx_req_lq[3]),
4580 .src6_arb_atom_q (spc6_pcx_atm_lq[3]),
4581 .src6_arb_req_q (spc6_pcx_req_lq[3]),
4582 .src7_arb_atom_q (spc7_pcx_atm_lq[3]),
4583 .src7_arb_req_q (spc7_pcx_req_lq[3]),
4584 .src8_arb_atom_q (1'b0),
4585 .src8_arb_req_q (1'b0),
4586 .stall_q_d1 (sctag3_pcx_stall_lq_d1),
4587 .scan_in (pcx_arbl3_scanin),
4588 .scan_out (pcx_arbl3_scanout),
4589 .l2clk (l2clk),
4590 .tcu_scan_en (tcu_scan_en_arb_lb),
4591 .tcu_pce_ov (tcu_pce_ov_arb_lb),
4592 .ccx_aclk (ccx_aclk_arb_lb),
4593 .ccx_bclk (ccx_bclk_arb_lb));
4594 ccx_arb pcx_arbl4(
4595 .arb_grant_a (arb4_grant_l_a[8:0]),
4596 .arb_q0_holdbar_a (arb4_q0_holdbar_l_a[8:0]),
4597 .arb_qsel0_a (arb4_qsel0_l_a[8:0]),
4598 .arb_qsel1_a (arb4_qsel1_l_a[8:0]),
4599 .arb_shift_a (arb4_shift_l_a[8:0]),
4600 .arb_src0_grant_a (pcx_spc0_grant_a[4]),
4601 .arb_src1_grant_a (pcx_spc1_grant_a[4]),
4602 .arb_src2_grant_a (pcx_spc2_grant_a[4]),
4603 .arb_src3_grant_a (pcx_spc3_grant_a[4]),
4604 .arb_src4_grant_a (pcx_spc4_grant_a_unused[4]),
4605 .arb_src5_grant_a (pcx_spc5_grant_a_unused[4]),
4606 .arb_src6_grant_a (pcx_spc6_grant_a_unused[4]),
4607 .arb_src7_grant_a (pcx_spc7_grant_a_unused[4]),
4608 .arb_src8_grant_a (arb4_src8_grant_l_unused),
4609 .ccx_dest_atom_a (pcx_sctag4_atm_a_unused),
4610 .ccx_dest_data_rdy_a (pcx_sctag4_data_rdy_a_unused),
4611 .src0_arb_atom_q (spc0_pcx_atm_lq[4]),
4612 .src0_arb_req_q (spc0_pcx_req_lq[4]),
4613 .src1_arb_atom_q (spc1_pcx_atm_lq[4]),
4614 .src1_arb_req_q (spc1_pcx_req_lq[4]),
4615 .src2_arb_atom_q (spc2_pcx_atm_lq[4]),
4616 .src2_arb_req_q (spc2_pcx_req_lq[4]),
4617 .src3_arb_atom_q (spc3_pcx_atm_lq[4]),
4618 .src3_arb_req_q (spc3_pcx_req_lq[4]),
4619 .src4_arb_atom_q (spc4_pcx_atm_lq[4]),
4620 .src4_arb_req_q (spc4_pcx_req_lq[4]),
4621 .src5_arb_atom_q (spc5_pcx_atm_lq[4]),
4622 .src5_arb_req_q (spc5_pcx_req_lq[4]),
4623 .src6_arb_atom_q (spc6_pcx_atm_lq[4]),
4624 .src6_arb_req_q (spc6_pcx_req_lq[4]),
4625 .src7_arb_atom_q (spc7_pcx_atm_lq[4]),
4626 .src7_arb_req_q (spc7_pcx_req_lq[4]),
4627 .src8_arb_atom_q (1'b0),
4628 .src8_arb_req_q (1'b0),
4629 .stall_q_d1 (sctag4_pcx_stall_lq_d1),
4630 .scan_in (pcx_arbl4_scanin),
4631 .scan_out (pcx_arbl4_scanout),
4632 .l2clk (l2clk),
4633 .tcu_scan_en (tcu_scan_en_arb_lt),
4634 .tcu_pce_ov (tcu_pce_ov_arb_lt),
4635 .ccx_aclk (ccx_aclk_arb_lt),
4636 .ccx_bclk (ccx_bclk_arb_lt));
4637 ccx_arb pcx_arbl5(
4638 .arb_grant_a (arb5_grant_l_a[8:0]),
4639 .arb_q0_holdbar_a (arb5_q0_holdbar_l_a[8:0]),
4640 .arb_qsel0_a (arb5_qsel0_l_a[8:0]),
4641 .arb_qsel1_a (arb5_qsel1_l_a[8:0]),
4642 .arb_shift_a (arb5_shift_l_a[8:0]),
4643 .arb_src0_grant_a (pcx_spc0_grant_a[5]),
4644 .arb_src1_grant_a (pcx_spc1_grant_a[5]),
4645 .arb_src2_grant_a (pcx_spc2_grant_a[5]),
4646 .arb_src3_grant_a (pcx_spc3_grant_a[5]),
4647 .arb_src4_grant_a (pcx_spc4_grant_a_unused[5]),
4648 .arb_src5_grant_a (pcx_spc5_grant_a_unused[5]),
4649 .arb_src6_grant_a (pcx_spc6_grant_a_unused[5]),
4650 .arb_src7_grant_a (pcx_spc7_grant_a_unused[5]),
4651 .arb_src8_grant_a (arb5_src8_grant_l_unused),
4652 .ccx_dest_atom_a (pcx_sctag5_atm_a_unused),
4653 .ccx_dest_data_rdy_a (pcx_sctag5_data_rdy_a_unused),
4654 .src0_arb_atom_q (spc0_pcx_atm_lq[5]),
4655 .src0_arb_req_q (spc0_pcx_req_lq[5]),
4656 .src1_arb_atom_q (spc1_pcx_atm_lq[5]),
4657 .src1_arb_req_q (spc1_pcx_req_lq[5]),
4658 .src2_arb_atom_q (spc2_pcx_atm_lq[5]),
4659 .src2_arb_req_q (spc2_pcx_req_lq[5]),
4660 .src3_arb_atom_q (spc3_pcx_atm_lq[5]),
4661 .src3_arb_req_q (spc3_pcx_req_lq[5]),
4662 .src4_arb_atom_q (spc4_pcx_atm_lq[5]),
4663 .src4_arb_req_q (spc4_pcx_req_lq[5]),
4664 .src5_arb_atom_q (spc5_pcx_atm_lq[5]),
4665 .src5_arb_req_q (spc5_pcx_req_lq[5]),
4666 .src6_arb_atom_q (spc6_pcx_atm_lq[5]),
4667 .src6_arb_req_q (spc6_pcx_req_lq[5]),
4668 .src7_arb_atom_q (spc7_pcx_atm_lq[5]),
4669 .src7_arb_req_q (spc7_pcx_req_lq[5]),
4670 .src8_arb_atom_q (1'b0),
4671 .src8_arb_req_q (1'b0),
4672 .stall_q_d1 (sctag5_pcx_stall_lq_d1),
4673 .scan_in (pcx_arbl5_scanin),
4674 .scan_out (pcx_arbl5_scanout),
4675 .l2clk (l2clk),
4676 .tcu_scan_en (tcu_scan_en_arb_lt),
4677 .tcu_pce_ov (tcu_pce_ov_arb_lt),
4678 .ccx_aclk (ccx_aclk_arb_lt),
4679 .ccx_bclk (ccx_bclk_arb_lt));
4680 ccx_arb pcx_arbl6(
4681 .arb_grant_a (arb6_grant_l_a[8:0]),
4682 .arb_q0_holdbar_a (arb6_q0_holdbar_l_a[8:0]),
4683 .arb_qsel0_a (arb6_qsel0_l_a[8:0]),
4684 .arb_qsel1_a (arb6_qsel1_l_a[8:0]),
4685 .arb_shift_a (arb6_shift_l_a[8:0]),
4686 .arb_src0_grant_a (pcx_spc0_grant_a[6]),
4687 .arb_src1_grant_a (pcx_spc1_grant_a[6]),
4688 .arb_src2_grant_a (pcx_spc2_grant_a[6]),
4689 .arb_src3_grant_a (pcx_spc3_grant_a[6]),
4690 .arb_src4_grant_a (pcx_spc4_grant_a_unused[6]),
4691 .arb_src5_grant_a (pcx_spc5_grant_a_unused[6]),
4692 .arb_src6_grant_a (pcx_spc6_grant_a_unused[6]),
4693 .arb_src7_grant_a (pcx_spc7_grant_a_unused[6]),
4694 .arb_src8_grant_a (arb6_src8_grant_l_unused),
4695 .ccx_dest_atom_a (pcx_sctag6_atm_a_unused),
4696 .ccx_dest_data_rdy_a (pcx_sctag6_data_rdy_a_unused),
4697 .src0_arb_atom_q (spc0_pcx_atm_lq[6]),
4698 .src0_arb_req_q (spc0_pcx_req_lq[6]),
4699 .src1_arb_atom_q (spc1_pcx_atm_lq[6]),
4700 .src1_arb_req_q (spc1_pcx_req_lq[6]),
4701 .src2_arb_atom_q (spc2_pcx_atm_lq[6]),
4702 .src2_arb_req_q (spc2_pcx_req_lq[6]),
4703 .src3_arb_atom_q (spc3_pcx_atm_lq[6]),
4704 .src3_arb_req_q (spc3_pcx_req_lq[6]),
4705 .src4_arb_atom_q (spc4_pcx_atm_lq[6]),
4706 .src4_arb_req_q (spc4_pcx_req_lq[6]),
4707 .src5_arb_atom_q (spc5_pcx_atm_lq[6]),
4708 .src5_arb_req_q (spc5_pcx_req_lq[6]),
4709 .src6_arb_atom_q (spc6_pcx_atm_lq[6]),
4710 .src6_arb_req_q (spc6_pcx_req_lq[6]),
4711 .src7_arb_atom_q (spc7_pcx_atm_lq[6]),
4712 .src7_arb_req_q (spc7_pcx_req_lq[6]),
4713 .src8_arb_atom_q (1'b0),
4714 .src8_arb_req_q (1'b0),
4715 .stall_q_d1 (sctag6_pcx_stall_lq_d1),
4716 .scan_in (pcx_arbl6_scanin),
4717 .scan_out (pcx_arbl6_scanout),
4718 .l2clk (l2clk),
4719 .tcu_scan_en (tcu_scan_en_arb_lb),
4720 .tcu_pce_ov (tcu_pce_ov_arb_lb),
4721 .ccx_aclk (ccx_aclk_arb_lb),
4722 .ccx_bclk (ccx_bclk_arb_lb));
4723 ccx_arb pcx_arbl7(
4724 .arb_grant_a (arb7_grant_l_a[8:0]),
4725 .arb_q0_holdbar_a (arb7_q0_holdbar_l_a[8:0]),
4726 .arb_qsel0_a (arb7_qsel0_l_a[8:0]),
4727 .arb_qsel1_a (arb7_qsel1_l_a[8:0]),
4728 .arb_shift_a (arb7_shift_l_a[8:0]),
4729 .arb_src0_grant_a (pcx_spc0_grant_a[7]),
4730 .arb_src1_grant_a (pcx_spc1_grant_a[7]),
4731 .arb_src2_grant_a (pcx_spc2_grant_a[7]),
4732 .arb_src3_grant_a (pcx_spc3_grant_a[7]),
4733 .arb_src4_grant_a (pcx_spc4_grant_a_unused[7]),
4734 .arb_src5_grant_a (pcx_spc5_grant_a_unused[7]),
4735 .arb_src6_grant_a (pcx_spc6_grant_a_unused[7]),
4736 .arb_src7_grant_a (pcx_spc7_grant_a_unused[7]),
4737 .arb_src8_grant_a (arb7_src8_grant_l_unused),
4738 .ccx_dest_atom_a (pcx_sctag7_atm_a_unused),
4739 .ccx_dest_data_rdy_a (pcx_sctag7_data_rdy_a_unused),
4740 .src0_arb_atom_q (spc0_pcx_atm_lq[7]),
4741 .src0_arb_req_q (spc0_pcx_req_lq[7]),
4742 .src1_arb_atom_q (spc1_pcx_atm_lq[7]),
4743 .src1_arb_req_q (spc1_pcx_req_lq[7]),
4744 .src2_arb_atom_q (spc2_pcx_atm_lq[7]),
4745 .src2_arb_req_q (spc2_pcx_req_lq[7]),
4746 .src3_arb_atom_q (spc3_pcx_atm_lq[7]),
4747 .src3_arb_req_q (spc3_pcx_req_lq[7]),
4748 .src4_arb_atom_q (spc4_pcx_atm_lq[7]),
4749 .src4_arb_req_q (spc4_pcx_req_lq[7]),
4750 .src5_arb_atom_q (spc5_pcx_atm_lq[7]),
4751 .src5_arb_req_q (spc5_pcx_req_lq[7]),
4752 .src6_arb_atom_q (spc6_pcx_atm_lq[7]),
4753 .src6_arb_req_q (spc6_pcx_req_lq[7]),
4754 .src7_arb_atom_q (spc7_pcx_atm_lq[7]),
4755 .src7_arb_req_q (spc7_pcx_req_lq[7]),
4756 .src8_arb_atom_q (1'b0),
4757 .src8_arb_req_q (1'b0),
4758 .stall_q_d1 (sctag7_pcx_stall_lq_d1),
4759 .scan_in (pcx_arbl7_scanin),
4760 .scan_out (pcx_arbl7_scanout),
4761 .l2clk (l2clk),
4762 .tcu_scan_en (tcu_scan_en_arb_lb),
4763 .tcu_pce_ov (tcu_pce_ov_arb_lb),
4764 .ccx_aclk (ccx_aclk_arb_lb),
4765 .ccx_bclk (ccx_bclk_arb_lb));
4766 ccx_arb pcx_arbl8(
4767 .arb_grant_a (arb8_grant_l_a[8:0]),
4768 .arb_q0_holdbar_a (arb8_q0_holdbar_l_a[8:0]),
4769 .arb_qsel0_a (arb8_qsel0_l_a[8:0]),
4770 .arb_qsel1_a (arb8_qsel1_l_a[8:0]),
4771 .arb_shift_a (arb8_shift_l_a[8:0]),
4772 .arb_src0_grant_a (pcx_spc0_grant_a[8]),
4773 .arb_src1_grant_a (pcx_spc1_grant_a[8]),
4774 .arb_src2_grant_a (pcx_spc2_grant_a[8]),
4775 .arb_src3_grant_a (pcx_spc3_grant_a[8]),
4776 .arb_src4_grant_a (pcx_spc4_grant_a_unused[8]),
4777 .arb_src5_grant_a (pcx_spc5_grant_a_unused[8]),
4778 .arb_src6_grant_a (pcx_spc6_grant_a_unused[8]),
4779 .arb_src7_grant_a (pcx_spc7_grant_a_unused[8]),
4780 .arb_src8_grant_a (arb8_src8_grant_l_unused),
4781 .ccx_dest_atom_a (arb8_dest_atom_l_unused),
4782 .ccx_dest_data_rdy_a (pcx_fpio_data_rdy_a),
4783 .src0_arb_atom_q (spc0_pcx_atm_lq[8]),
4784 .src0_arb_req_q (spc0_pcx_req_lq[8]),
4785 .src1_arb_atom_q (spc1_pcx_atm_lq[8]),
4786 .src1_arb_req_q (spc1_pcx_req_lq[8]),
4787 .src2_arb_atom_q (spc2_pcx_atm_lq[8]),
4788 .src2_arb_req_q (spc2_pcx_req_lq[8]),
4789 .src3_arb_atom_q (spc3_pcx_atm_lq[8]),
4790 .src3_arb_req_q (spc3_pcx_req_lq[8]),
4791 .src4_arb_atom_q (spc4_pcx_atm_lq[8]),
4792 .src4_arb_req_q (spc4_pcx_req_lq[8]),
4793 .src5_arb_atom_q (spc5_pcx_atm_lq[8]),
4794 .src5_arb_req_q (spc5_pcx_req_lq[8]),
4795 .src6_arb_atom_q (spc6_pcx_atm_lq[8]),
4796 .src6_arb_req_q (spc6_pcx_req_lq[8]),
4797 .src7_arb_atom_q (spc7_pcx_atm_lq[8]),
4798 .src7_arb_req_q (spc7_pcx_req_lq[8]),
4799 .src8_arb_atom_q (1'b0),
4800 .src8_arb_req_q (1'b0),
4801 .stall_q_d1 (io_pcx_stall_lq_d1),
4802 .scan_in (pcx_arbl8_scanin),
4803 .scan_out (pcx_arbl8_scanout),
4804 .l2clk (l2clk),
4805 .tcu_scan_en (tcu_scan_en_arb_lt),
4806 .tcu_pce_ov (tcu_pce_ov_arb_lt),
4807 .ccx_aclk (ccx_aclk_arb_lt),
4808 .ccx_bclk (ccx_bclk_arb_lt));
4809 buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_r_spc0(
4810 .din ({sctag0_pcx_stall_pq_d1,
4811 spc0_pcx_req_pq_r_0[8:0], spc0_pcx_atm_pq_r_0[8:0]}),
4812 .dout ({sctag0_pcx_stall_rq_d1,
4813 spc0_pcx_req_rq[8:0], spc0_pcx_atm_rq[8:0]}));
4814 buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_r_spc1(
4815 .din ({sctag1_pcx_stall_pq_d1,
4816 spc1_pcx_req_pq_r_0[8:0], spc1_pcx_atm_pq_r_0[8:0]}),
4817 .dout ({sctag1_pcx_stall_rq_d1,
4818 spc1_pcx_req_rq[8:0], spc1_pcx_atm_rq[8:0]}));
4819 buff_macro__dbuff_48x__rep_1__stack_20r__width_20 i_bufq_r_spc2(
4820 .din ({io_pcx_stall_pq_d1,
4821 sctag2_pcx_stall_pq_d1, spc2_pcx_req_pq_r_0[8:0],
4822 spc2_pcx_atm_pq_r_0[8:0]}),
4823 .dout ({io_pcx_stall_rq_d1,
4824 sctag2_pcx_stall_rq_d1, spc2_pcx_req_rq[8:0],
4825 spc2_pcx_atm_rq[8:0]}));
4826 buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_r_spc3(
4827 .din ({sctag3_pcx_stall_pq_d1,
4828 spc3_pcx_req_pq_r_0[8:0], spc3_pcx_atm_pq_r_0[8:0]}),
4829 .dout ({sctag3_pcx_stall_rq_d1,
4830 spc3_pcx_req_rq[8:0], spc3_pcx_atm_rq[8:0]}));
4831 buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_r_spc4(
4832 .din ({sctag4_pcx_stall_pq_d1,
4833 spc4_pcx_req_pq_r_0[8:0], spc4_pcx_atm_pq_r_0[8:0]}),
4834 .dout ({sctag4_pcx_stall_rq_d1,
4835 spc4_pcx_req_rq[8:0], spc4_pcx_atm_rq[8:0]}));
4836 buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_r_spc5(
4837 .din ({sctag5_pcx_stall_pq_d1,
4838 spc5_pcx_req_pq_r_0[8:0], spc5_pcx_atm_pq_r_0[8:0]}),
4839 .dout ({sctag5_pcx_stall_rq_d1,
4840 spc5_pcx_req_rq[8:0], spc5_pcx_atm_rq[8:0]}));
4841 buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_r_spc6(
4842 .din ({sctag6_pcx_stall_pq_d1,
4843 spc6_pcx_req_pq_r_0[8:0], spc6_pcx_atm_pq_r_0[8:0]}),
4844 .dout ({sctag6_pcx_stall_rq_d1,
4845 spc6_pcx_req_rq[8:0], spc6_pcx_atm_rq[8:0]}));
4846 buff_macro__dbuff_48x__rep_1__stack_20r__width_19 i_bufq_r_spc7(
4847 .din ({sctag7_pcx_stall_pq_d1,
4848 spc7_pcx_req_pq_r_0[8:0], spc7_pcx_atm_pq_r_0[8:0]}),
4849 .dout ({sctag7_pcx_stall_rq_d1,
4850 spc7_pcx_req_rq[8:0], spc7_pcx_atm_rq[8:0]}));
4851 ccx_arb pcx_arbr0(
4852 .arb_grant_a (arb0_grant_r_a[8:0]),
4853 .arb_q0_holdbar_a (arb0_q0_holdbar_r_a[8:0]),
4854 .arb_qsel0_a (arb0_qsel0_r_a[8:0]),
4855 .arb_qsel1_a (arb0_qsel1_r_a[8:0]),
4856 .arb_shift_a (arb0_shift_r_a[8:0]),
4857 .arb_src0_grant_a (pcx_spc0_grant_a_unused[0]),
4858 .arb_src1_grant_a (pcx_spc1_grant_a_unused[0]),
4859 .arb_src2_grant_a (pcx_spc2_grant_a_unused[0]),
4860 .arb_src3_grant_a (pcx_spc3_grant_a_unused[0]),
4861 .arb_src4_grant_a (pcx_spc4_grant_a[0]),
4862 .arb_src5_grant_a (pcx_spc5_grant_a[0]),
4863 .arb_src6_grant_a (pcx_spc6_grant_a[0]),
4864 .arb_src7_grant_a (pcx_spc7_grant_a[0]),
4865 .arb_src8_grant_a (arb0_src8_grant_r_unused),
4866 .ccx_dest_atom_a (pcx_sctag0_atm_a_unused),
4867 .ccx_dest_data_rdy_a (pcx_sctag0_data_rdy_a_unused),
4868 .src0_arb_atom_q (spc0_pcx_atm_rq[0]),
4869 .src0_arb_req_q (spc0_pcx_req_rq[0]),
4870 .src1_arb_atom_q (spc1_pcx_atm_rq[0]),
4871 .src1_arb_req_q (spc1_pcx_req_rq[0]),
4872 .src2_arb_atom_q (spc2_pcx_atm_rq[0]),
4873 .src2_arb_req_q (spc2_pcx_req_rq[0]),
4874 .src3_arb_atom_q (spc3_pcx_atm_rq[0]),
4875 .src3_arb_req_q (spc3_pcx_req_rq[0]),
4876 .src4_arb_atom_q (spc4_pcx_atm_rq[0]),
4877 .src4_arb_req_q (spc4_pcx_req_rq[0]),
4878 .src5_arb_atom_q (spc5_pcx_atm_rq[0]),
4879 .src5_arb_req_q (spc5_pcx_req_rq[0]),
4880 .src6_arb_atom_q (spc6_pcx_atm_rq[0]),
4881 .src6_arb_req_q (spc6_pcx_req_rq[0]),
4882 .src7_arb_atom_q (spc7_pcx_atm_rq[0]),
4883 .src7_arb_req_q (spc7_pcx_req_rq[0]),
4884 .src8_arb_atom_q (1'b0),
4885 .src8_arb_req_q (1'b0),
4886 .stall_q_d1 (sctag0_pcx_stall_rq_d1),
4887 .scan_in (pcx_arbr0_scanin),
4888 .scan_out (pcx_arbr0_scanout),
4889 .l2clk (l2clk),
4890 .tcu_scan_en (tcu_scan_en_arb_rt),
4891 .tcu_pce_ov (tcu_pce_ov_arb_rt),
4892 .ccx_aclk (ccx_aclk_arb_rt),
4893 .ccx_bclk (ccx_bclk_arb_rt));
4894 ccx_arb pcx_arbr1(
4895 .arb_grant_a (arb1_grant_r_a[8:0]),
4896 .arb_q0_holdbar_a (arb1_q0_holdbar_r_a[8:0]),
4897 .arb_qsel0_a (arb1_qsel0_r_a[8:0]),
4898 .arb_qsel1_a (arb1_qsel1_r_a[8:0]),
4899 .arb_shift_a (arb1_shift_r_a[8:0]),
4900 .arb_src0_grant_a (pcx_spc0_grant_a_unused[1]),
4901 .arb_src1_grant_a (pcx_spc1_grant_a_unused[1]),
4902 .arb_src2_grant_a (pcx_spc2_grant_a_unused[1]),
4903 .arb_src3_grant_a (pcx_spc3_grant_a_unused[1]),
4904 .arb_src4_grant_a (pcx_spc4_grant_a[1]),
4905 .arb_src5_grant_a (pcx_spc5_grant_a[1]),
4906 .arb_src6_grant_a (pcx_spc6_grant_a[1]),
4907 .arb_src7_grant_a (pcx_spc7_grant_a[1]),
4908 .arb_src8_grant_a (arb1_src8_grant_r_unused),
4909 .ccx_dest_atom_a (pcx_sctag1_atm_a_unused),
4910 .ccx_dest_data_rdy_a (pcx_sctag1_data_rdy_a_unused),
4911 .src0_arb_atom_q (spc0_pcx_atm_rq[1]),
4912 .src0_arb_req_q (spc0_pcx_req_rq[1]),
4913 .src1_arb_atom_q (spc1_pcx_atm_rq[1]),
4914 .src1_arb_req_q (spc1_pcx_req_rq[1]),
4915 .src2_arb_atom_q (spc2_pcx_atm_rq[1]),
4916 .src2_arb_req_q (spc2_pcx_req_rq[1]),
4917 .src3_arb_atom_q (spc3_pcx_atm_rq[1]),
4918 .src3_arb_req_q (spc3_pcx_req_rq[1]),
4919 .src4_arb_atom_q (spc4_pcx_atm_rq[1]),
4920 .src4_arb_req_q (spc4_pcx_req_rq[1]),
4921 .src5_arb_atom_q (spc5_pcx_atm_rq[1]),
4922 .src5_arb_req_q (spc5_pcx_req_rq[1]),
4923 .src6_arb_atom_q (spc6_pcx_atm_rq[1]),
4924 .src6_arb_req_q (spc6_pcx_req_rq[1]),
4925 .src7_arb_atom_q (spc7_pcx_atm_rq[1]),
4926 .src7_arb_req_q (spc7_pcx_req_rq[1]),
4927 .src8_arb_atom_q (1'b0),
4928 .src8_arb_req_q (1'b0),
4929 .stall_q_d1 (sctag1_pcx_stall_rq_d1),
4930 .scan_in (pcx_arbr1_scanin),
4931 .scan_out (pcx_arbr1_scanout),
4932 .l2clk (l2clk),
4933 .tcu_scan_en (tcu_scan_en_arb_rt),
4934 .tcu_pce_ov (tcu_pce_ov_arb_rt),
4935 .ccx_aclk (ccx_aclk_arb_rt),
4936 .ccx_bclk (ccx_bclk_arb_rt));
4937 ccx_arb pcx_arbr2(
4938 .arb_grant_a (arb2_grant_r_a[8:0]),
4939 .arb_q0_holdbar_a (arb2_q0_holdbar_r_a[8:0]),
4940 .arb_qsel0_a (arb2_qsel0_r_a[8:0]),
4941 .arb_qsel1_a (arb2_qsel1_r_a[8:0]),
4942 .arb_shift_a (arb2_shift_r_a[8:0]),
4943 .arb_src0_grant_a (pcx_spc0_grant_a_unused[2]),
4944 .arb_src1_grant_a (pcx_spc1_grant_a_unused[2]),
4945 .arb_src2_grant_a (pcx_spc2_grant_a_unused[2]),
4946 .arb_src3_grant_a (pcx_spc3_grant_a_unused[2]),
4947 .arb_src4_grant_a (pcx_spc4_grant_a[2]),
4948 .arb_src5_grant_a (pcx_spc5_grant_a[2]),
4949 .arb_src6_grant_a (pcx_spc6_grant_a[2]),
4950 .arb_src7_grant_a (pcx_spc7_grant_a[2]),
4951 .arb_src8_grant_a (arb2_src8_grant_r_unused),
4952 .ccx_dest_atom_a (pcx_sctag2_atm_a_unused),
4953 .ccx_dest_data_rdy_a (pcx_sctag2_data_rdy_a_unused),
4954 .src0_arb_atom_q (spc0_pcx_atm_rq[2]),
4955 .src0_arb_req_q (spc0_pcx_req_rq[2]),
4956 .src1_arb_atom_q (spc1_pcx_atm_rq[2]),
4957 .src1_arb_req_q (spc1_pcx_req_rq[2]),
4958 .src2_arb_atom_q (spc2_pcx_atm_rq[2]),
4959 .src2_arb_req_q (spc2_pcx_req_rq[2]),
4960 .src3_arb_atom_q (spc3_pcx_atm_rq[2]),
4961 .src3_arb_req_q (spc3_pcx_req_rq[2]),
4962 .src4_arb_atom_q (spc4_pcx_atm_rq[2]),
4963 .src4_arb_req_q (spc4_pcx_req_rq[2]),
4964 .src5_arb_atom_q (spc5_pcx_atm_rq[2]),
4965 .src5_arb_req_q (spc5_pcx_req_rq[2]),
4966 .src6_arb_atom_q (spc6_pcx_atm_rq[2]),
4967 .src6_arb_req_q (spc6_pcx_req_rq[2]),
4968 .src7_arb_atom_q (spc7_pcx_atm_rq[2]),
4969 .src7_arb_req_q (spc7_pcx_req_rq[2]),
4970 .src8_arb_atom_q (1'b0),
4971 .src8_arb_req_q (1'b0),
4972 .stall_q_d1 (sctag2_pcx_stall_rq_d1),
4973 .scan_in (pcx_arbr2_scanin),
4974 .scan_out (pcx_arbr2_scanout),
4975 .l2clk (l2clk),
4976 .tcu_scan_en (tcu_scan_en_arb_rb),
4977 .tcu_pce_ov (tcu_pce_ov_arb_rb),
4978 .ccx_aclk (ccx_aclk_arb_rb),
4979 .ccx_bclk (ccx_bclk_arb_rb));
4980 ccx_arb pcx_arbr3(
4981 .arb_grant_a (arb3_grant_r_a[8:0]),
4982 .arb_q0_holdbar_a (arb3_q0_holdbar_r_a[8:0]),
4983 .arb_qsel0_a (arb3_qsel0_r_a[8:0]),
4984 .arb_qsel1_a (arb3_qsel1_r_a[8:0]),
4985 .arb_shift_a (arb3_shift_r_a[8:0]),
4986 .arb_src0_grant_a (pcx_spc0_grant_a_unused[3]),
4987 .arb_src1_grant_a (pcx_spc1_grant_a_unused[3]),
4988 .arb_src2_grant_a (pcx_spc2_grant_a_unused[3]),
4989 .arb_src3_grant_a (pcx_spc3_grant_a_unused[3]),
4990 .arb_src4_grant_a (pcx_spc4_grant_a[3]),
4991 .arb_src5_grant_a (pcx_spc5_grant_a[3]),
4992 .arb_src6_grant_a (pcx_spc6_grant_a[3]),
4993 .arb_src7_grant_a (pcx_spc7_grant_a[3]),
4994 .arb_src8_grant_a (arb3_src8_grant_r_unused),
4995 .ccx_dest_atom_a (pcx_sctag3_atm_a_unused),
4996 .ccx_dest_data_rdy_a (pcx_sctag3_data_rdy_a_unused),
4997 .src0_arb_atom_q (spc0_pcx_atm_rq[3]),
4998 .src0_arb_req_q (spc0_pcx_req_rq[3]),
4999 .src1_arb_atom_q (spc1_pcx_atm_rq[3]),
5000 .src1_arb_req_q (spc1_pcx_req_rq[3]),
5001 .src2_arb_atom_q (spc2_pcx_atm_rq[3]),
5002 .src2_arb_req_q (spc2_pcx_req_rq[3]),
5003 .src3_arb_atom_q (spc3_pcx_atm_rq[3]),
5004 .src3_arb_req_q (spc3_pcx_req_rq[3]),
5005 .src4_arb_atom_q (spc4_pcx_atm_rq[3]),
5006 .src4_arb_req_q (spc4_pcx_req_rq[3]),
5007 .src5_arb_atom_q (spc5_pcx_atm_rq[3]),
5008 .src5_arb_req_q (spc5_pcx_req_rq[3]),
5009 .src6_arb_atom_q (spc6_pcx_atm_rq[3]),
5010 .src6_arb_req_q (spc6_pcx_req_rq[3]),
5011 .src7_arb_atom_q (spc7_pcx_atm_rq[3]),
5012 .src7_arb_req_q (spc7_pcx_req_rq[3]),
5013 .src8_arb_atom_q (1'b0),
5014 .src8_arb_req_q (1'b0),
5015 .stall_q_d1 (sctag3_pcx_stall_rq_d1),
5016 .scan_in (pcx_arbr3_scanin),
5017 .scan_out (pcx_arbr3_scanout),
5018 .l2clk (l2clk),
5019 .tcu_scan_en (tcu_scan_en_arb_rb),
5020 .tcu_pce_ov (tcu_pce_ov_arb_rb),
5021 .ccx_aclk (ccx_aclk_arb_rb),
5022 .ccx_bclk (ccx_bclk_arb_rb));
5023 ccx_arb pcx_arbr4(
5024 .arb_grant_a (arb4_grant_r_a[8:0]),
5025 .arb_q0_holdbar_a (arb4_q0_holdbar_r_a[8:0]),
5026 .arb_qsel0_a (arb4_qsel0_r_a[8:0]),
5027 .arb_qsel1_a (arb4_qsel1_r_a[8:0]),
5028 .arb_shift_a (arb4_shift_r_a[8:0]),
5029 .arb_src0_grant_a (pcx_spc0_grant_a_unused[4]),
5030 .arb_src1_grant_a (pcx_spc1_grant_a_unused[4]),
5031 .arb_src2_grant_a (pcx_spc2_grant_a_unused[4]),
5032 .arb_src3_grant_a (pcx_spc3_grant_a_unused[4]),
5033 .arb_src4_grant_a (pcx_spc4_grant_a[4]),
5034 .arb_src5_grant_a (pcx_spc5_grant_a[4]),
5035 .arb_src6_grant_a (pcx_spc6_grant_a[4]),
5036 .arb_src7_grant_a (pcx_spc7_grant_a[4]),
5037 .arb_src8_grant_a (arb4_src8_grant_r_unused),
5038 .ccx_dest_atom_a (pcx_sctag4_atm_a),
5039 .ccx_dest_data_rdy_a (pcx_sctag4_data_rdy_a),
5040 .src0_arb_atom_q (spc0_pcx_atm_rq[4]),
5041 .src0_arb_req_q (spc0_pcx_req_rq[4]),
5042 .src1_arb_atom_q (spc1_pcx_atm_rq[4]),
5043 .src1_arb_req_q (spc1_pcx_req_rq[4]),
5044 .src2_arb_atom_q (spc2_pcx_atm_rq[4]),
5045 .src2_arb_req_q (spc2_pcx_req_rq[4]),
5046 .src3_arb_atom_q (spc3_pcx_atm_rq[4]),
5047 .src3_arb_req_q (spc3_pcx_req_rq[4]),
5048 .src4_arb_atom_q (spc4_pcx_atm_rq[4]),
5049 .src4_arb_req_q (spc4_pcx_req_rq[4]),
5050 .src5_arb_atom_q (spc5_pcx_atm_rq[4]),
5051 .src5_arb_req_q (spc5_pcx_req_rq[4]),
5052 .src6_arb_atom_q (spc6_pcx_atm_rq[4]),
5053 .src6_arb_req_q (spc6_pcx_req_rq[4]),
5054 .src7_arb_atom_q (spc7_pcx_atm_rq[4]),
5055 .src7_arb_req_q (spc7_pcx_req_rq[4]),
5056 .src8_arb_atom_q (1'b0),
5057 .src8_arb_req_q (1'b0),
5058 .stall_q_d1 (sctag4_pcx_stall_rq_d1),
5059 .scan_in (pcx_arbr4_scanin),
5060 .scan_out (pcx_arbr4_scanout),
5061 .l2clk (l2clk),
5062 .tcu_scan_en (tcu_scan_en_arb_rt),
5063 .tcu_pce_ov (tcu_pce_ov_arb_rt),
5064 .ccx_aclk (ccx_aclk_arb_rt),
5065 .ccx_bclk (ccx_bclk_arb_rt));
5066 ccx_arb pcx_arbr5(
5067 .arb_grant_a (arb5_grant_r_a[8:0]),
5068 .arb_q0_holdbar_a (arb5_q0_holdbar_r_a[8:0]),
5069 .arb_qsel0_a (arb5_qsel0_r_a[8:0]),
5070 .arb_qsel1_a (arb5_qsel1_r_a[8:0]),
5071 .arb_shift_a (arb5_shift_r_a[8:0]),
5072 .arb_src0_grant_a (pcx_spc0_grant_a_unused[5]),
5073 .arb_src1_grant_a (pcx_spc1_grant_a_unused[5]),
5074 .arb_src2_grant_a (pcx_spc2_grant_a_unused[5]),
5075 .arb_src3_grant_a (pcx_spc3_grant_a_unused[5]),
5076 .arb_src4_grant_a (pcx_spc4_grant_a[5]),
5077 .arb_src5_grant_a (pcx_spc5_grant_a[5]),
5078 .arb_src6_grant_a (pcx_spc6_grant_a[5]),
5079 .arb_src7_grant_a (pcx_spc7_grant_a[5]),
5080 .arb_src8_grant_a (arb5_src8_grant_r_unused),
5081 .ccx_dest_atom_a (pcx_sctag5_atm_a),
5082 .ccx_dest_data_rdy_a (pcx_sctag5_data_rdy_a),
5083 .src0_arb_atom_q (spc0_pcx_atm_rq[5]),
5084 .src0_arb_req_q (spc0_pcx_req_rq[5]),
5085 .src1_arb_atom_q (spc1_pcx_atm_rq[5]),
5086 .src1_arb_req_q (spc1_pcx_req_rq[5]),
5087 .src2_arb_atom_q (spc2_pcx_atm_rq[5]),
5088 .src2_arb_req_q (spc2_pcx_req_rq[5]),
5089 .src3_arb_atom_q (spc3_pcx_atm_rq[5]),
5090 .src3_arb_req_q (spc3_pcx_req_rq[5]),
5091 .src4_arb_atom_q (spc4_pcx_atm_rq[5]),
5092 .src4_arb_req_q (spc4_pcx_req_rq[5]),
5093 .src5_arb_atom_q (spc5_pcx_atm_rq[5]),
5094 .src5_arb_req_q (spc5_pcx_req_rq[5]),
5095 .src6_arb_atom_q (spc6_pcx_atm_rq[5]),
5096 .src6_arb_req_q (spc6_pcx_req_rq[5]),
5097 .src7_arb_atom_q (spc7_pcx_atm_rq[5]),
5098 .src7_arb_req_q (spc7_pcx_req_rq[5]),
5099 .src8_arb_atom_q (1'b0),
5100 .src8_arb_req_q (1'b0),
5101 .stall_q_d1 (sctag5_pcx_stall_rq_d1),
5102 .scan_in (pcx_arbr5_scanin),
5103 .scan_out (pcx_arbr5_scanout),
5104 .l2clk (l2clk),
5105 .tcu_scan_en (tcu_scan_en_arb_rt),
5106 .tcu_pce_ov (tcu_pce_ov_arb_rt),
5107 .ccx_aclk (ccx_aclk_arb_rt),
5108 .ccx_bclk (ccx_bclk_arb_rt));
5109 ccx_arb pcx_arbr6(
5110 .arb_grant_a (arb6_grant_r_a[8:0]),
5111 .arb_q0_holdbar_a (arb6_q0_holdbar_r_a[8:0]),
5112 .arb_qsel0_a (arb6_qsel0_r_a[8:0]),
5113 .arb_qsel1_a (arb6_qsel1_r_a[8:0]),
5114 .arb_shift_a (arb6_shift_r_a[8:0]),
5115 .arb_src0_grant_a (pcx_spc0_grant_a_unused[6]),
5116 .arb_src1_grant_a (pcx_spc1_grant_a_unused[6]),
5117 .arb_src2_grant_a (pcx_spc2_grant_a_unused[6]),
5118 .arb_src3_grant_a (pcx_spc3_grant_a_unused[6]),
5119 .arb_src4_grant_a (pcx_spc4_grant_a[6]),
5120 .arb_src5_grant_a (pcx_spc5_grant_a[6]),
5121 .arb_src6_grant_a (pcx_spc6_grant_a[6]),
5122 .arb_src7_grant_a (pcx_spc7_grant_a[6]),
5123 .arb_src8_grant_a (arb6_src8_grant_r_unused),
5124 .ccx_dest_atom_a (pcx_sctag6_atm_a),
5125 .ccx_dest_data_rdy_a (pcx_sctag6_data_rdy_a),
5126 .src0_arb_atom_q (spc0_pcx_atm_rq[6]),
5127 .src0_arb_req_q (spc0_pcx_req_rq[6]),
5128 .src1_arb_atom_q (spc1_pcx_atm_rq[6]),
5129 .src1_arb_req_q (spc1_pcx_req_rq[6]),
5130 .src2_arb_atom_q (spc2_pcx_atm_rq[6]),
5131 .src2_arb_req_q (spc2_pcx_req_rq[6]),
5132 .src3_arb_atom_q (spc3_pcx_atm_rq[6]),
5133 .src3_arb_req_q (spc3_pcx_req_rq[6]),
5134 .src4_arb_atom_q (spc4_pcx_atm_rq[6]),
5135 .src4_arb_req_q (spc4_pcx_req_rq[6]),
5136 .src5_arb_atom_q (spc5_pcx_atm_rq[6]),
5137 .src5_arb_req_q (spc5_pcx_req_rq[6]),
5138 .src6_arb_atom_q (spc6_pcx_atm_rq[6]),
5139 .src6_arb_req_q (spc6_pcx_req_rq[6]),
5140 .src7_arb_atom_q (spc7_pcx_atm_rq[6]),
5141 .src7_arb_req_q (spc7_pcx_req_rq[6]),
5142 .src8_arb_atom_q (1'b0),
5143 .src8_arb_req_q (1'b0),
5144 .stall_q_d1 (sctag6_pcx_stall_rq_d1),
5145 .scan_in (pcx_arbr6_scanin),
5146 .scan_out (pcx_arbr6_scanout),
5147 .l2clk (l2clk),
5148 .tcu_scan_en (tcu_scan_en_arb_rb),
5149 .tcu_pce_ov (tcu_pce_ov_arb_rb),
5150 .ccx_aclk (ccx_aclk_arb_rb),
5151 .ccx_bclk (ccx_bclk_arb_rb));
5152 ccx_arb pcx_arbr7(
5153 .arb_grant_a (arb7_grant_r_a[8:0]),
5154 .arb_q0_holdbar_a (arb7_q0_holdbar_r_a[8:0]),
5155 .arb_qsel0_a (arb7_qsel0_r_a[8:0]),
5156 .arb_qsel1_a (arb7_qsel1_r_a[8:0]),
5157 .arb_shift_a (arb7_shift_r_a[8:0]),
5158 .arb_src0_grant_a (pcx_spc0_grant_a_unused[7]),
5159 .arb_src1_grant_a (pcx_spc1_grant_a_unused[7]),
5160 .arb_src2_grant_a (pcx_spc2_grant_a_unused[7]),
5161 .arb_src3_grant_a (pcx_spc3_grant_a_unused[7]),
5162 .arb_src4_grant_a (pcx_spc4_grant_a[7]),
5163 .arb_src5_grant_a (pcx_spc5_grant_a[7]),
5164 .arb_src6_grant_a (pcx_spc6_grant_a[7]),
5165 .arb_src7_grant_a (pcx_spc7_grant_a[7]),
5166 .arb_src8_grant_a (arb7_src8_grant_r_unused),
5167 .ccx_dest_atom_a (pcx_sctag7_atm_a),
5168 .ccx_dest_data_rdy_a (pcx_sctag7_data_rdy_a),
5169 .src0_arb_atom_q (spc0_pcx_atm_rq[7]),
5170 .src0_arb_req_q (spc0_pcx_req_rq[7]),
5171 .src1_arb_atom_q (spc1_pcx_atm_rq[7]),
5172 .src1_arb_req_q (spc1_pcx_req_rq[7]),
5173 .src2_arb_atom_q (spc2_pcx_atm_rq[7]),
5174 .src2_arb_req_q (spc2_pcx_req_rq[7]),
5175 .src3_arb_atom_q (spc3_pcx_atm_rq[7]),
5176 .src3_arb_req_q (spc3_pcx_req_rq[7]),
5177 .src4_arb_atom_q (spc4_pcx_atm_rq[7]),
5178 .src4_arb_req_q (spc4_pcx_req_rq[7]),
5179 .src5_arb_atom_q (spc5_pcx_atm_rq[7]),
5180 .src5_arb_req_q (spc5_pcx_req_rq[7]),
5181 .src6_arb_atom_q (spc6_pcx_atm_rq[7]),
5182 .src6_arb_req_q (spc6_pcx_req_rq[7]),
5183 .src7_arb_atom_q (spc7_pcx_atm_rq[7]),
5184 .src7_arb_req_q (spc7_pcx_req_rq[7]),
5185 .src8_arb_atom_q (1'b0),
5186 .src8_arb_req_q (1'b0),
5187 .stall_q_d1 (sctag7_pcx_stall_rq_d1),
5188 .scan_in (pcx_arbr7_scanin),
5189 .scan_out (pcx_arbr7_scanout),
5190 .l2clk (l2clk),
5191 .tcu_scan_en (tcu_scan_en_arb_rb),
5192 .tcu_pce_ov (tcu_pce_ov_arb_rb),
5193 .ccx_aclk (ccx_aclk_arb_rb),
5194 .ccx_bclk (ccx_bclk_arb_rb));
5195 ccx_arb pcx_arbr8(
5196 .arb_grant_a (arb8_grant_r_a[8:0]),
5197 .arb_q0_holdbar_a (arb8_q0_holdbar_r_a[8:0]),
5198 .arb_qsel0_a (arb8_qsel0_r_a[8:0]),
5199 .arb_qsel1_a (arb8_qsel1_r_a[8:0]),
5200 .arb_shift_a (arb8_shift_r_a[8:0]),
5201 .arb_src0_grant_a (pcx_spc0_grant_a_unused[8]),
5202 .arb_src1_grant_a (pcx_spc1_grant_a_unused[8]),
5203 .arb_src2_grant_a (pcx_spc2_grant_a_unused[8]),
5204 .arb_src3_grant_a (pcx_spc3_grant_a_unused[8]),
5205 .arb_src4_grant_a (pcx_spc4_grant_a[8]),
5206 .arb_src5_grant_a (pcx_spc5_grant_a[8]),
5207 .arb_src6_grant_a (pcx_spc6_grant_a[8]),
5208 .arb_src7_grant_a (pcx_spc7_grant_a[8]),
5209 .arb_src8_grant_a (arb8_src8_grant_r_unused),
5210 .ccx_dest_atom_a (arb8_dest_atom_r_unused),
5211 .ccx_dest_data_rdy_a (pcx_fpio_data_rdy_a_unused),
5212 .src0_arb_atom_q (spc0_pcx_atm_rq[8]),
5213 .src0_arb_req_q (spc0_pcx_req_rq[8]),
5214 .src1_arb_atom_q (spc1_pcx_atm_rq[8]),
5215 .src1_arb_req_q (spc1_pcx_req_rq[8]),
5216 .src2_arb_atom_q (spc2_pcx_atm_rq[8]),
5217 .src2_arb_req_q (spc2_pcx_req_rq[8]),
5218 .src3_arb_atom_q (spc3_pcx_atm_rq[8]),
5219 .src3_arb_req_q (spc3_pcx_req_rq[8]),
5220 .src4_arb_atom_q (spc4_pcx_atm_rq[8]),
5221 .src4_arb_req_q (spc4_pcx_req_rq[8]),
5222 .src5_arb_atom_q (spc5_pcx_atm_rq[8]),
5223 .src5_arb_req_q (spc5_pcx_req_rq[8]),
5224 .src6_arb_atom_q (spc6_pcx_atm_rq[8]),
5225 .src6_arb_req_q (spc6_pcx_req_rq[8]),
5226 .src7_arb_atom_q (spc7_pcx_atm_rq[8]),
5227 .src7_arb_req_q (spc7_pcx_req_rq[8]),
5228 .src8_arb_atom_q (1'b0),
5229 .src8_arb_req_q (1'b0),
5230 .stall_q_d1 (io_pcx_stall_rq_d1),
5231 .scan_in (pcx_arbr8_scanin),
5232 .scan_out (pcx_arbr8_scanout),
5233 .l2clk (l2clk),
5234 .tcu_scan_en (tcu_scan_en_arb_rt),
5235 .tcu_pce_ov (tcu_pce_ov_arb_rt),
5236 .ccx_aclk (ccx_aclk_arb_rt),
5237 .ccx_bclk (ccx_bclk_arb_rt));
5238endmodule
5239`endcelldefine
5240
5241module buff_macro__dbuff_48x__rep_1__stack_20r__width_19(din, dout);
5242
5243 input [18:0] din;
5244 output [18:0] dout;
5245
5246 buff #(19) d0_0(
5247 .in (din[18:0]),
5248 .out (dout[18:0]));
5249endmodule
5250
5251module buff_macro__dbuff_32x__rep_1__stack_none__width_4(din, dout);
5252
5253 input [3:0] din;
5254 output [3:0] dout;
5255
5256 buff #(4) d0_0(
5257 .in (din[3:0]),
5258 .out (dout[3:0]));
5259endmodule
5260module buff_macro__dbuff_40x__rep_1__stack_130c__width_130(din, dout);
5261
5262 input [129:0] din;
5263 output [129:0] dout;
5264
5265 buff #(130) d0_0(
5266 .in (din[129:0]),
5267 .out (dout[129:0]));
5268endmodule
5269
5270
5271module buff_macro__dbuff_48x__rep_1__stack_none__width_18(din, dout);
5272
5273 input [17:0] din;
5274 output [17:0] dout;
5275
5276 buff #(18) d0_0(
5277 .in (din[17:0]),
5278 .out (dout[17:0]));
5279endmodule
5280
5281module buff_macro__dbuff_16x__rep_1__stack_none__width_1(din, dout);
5282
5283 input [0:0] din;
5284 output [0:0] dout;
5285
5286 buff #(1) d0_0(
5287 .in (din[0]),
5288 .out (dout[0]));
5289endmodule
5290
5291module buff_macro__dbuff_32x__rep_1__stack_none__width_2(din, dout);
5292
5293 input [1:0] din;
5294 output [1:0] dout;
5295
5296 buff #(2) d0_0(
5297 .in (din[1:0]),
5298 .out (dout[1:0]));
5299endmodule
5300
5301module buff_macro__dbuff_48x__rep_1__stack_20r__width_20(din, dout);
5302
5303 input [19:0] din;
5304 output [19:0] dout;
5305
5306 buff #(20) d0_0(
5307 .in (din[19:0]),
5308 .out (dout[19:0]));
5309endmodule
5310
5311
5312module buff_macro__dbuff_32x__rep_1__stack_150c__width_130(din, dout);
5313
5314 input [129:0] din;
5315 output [129:0] dout;
5316
5317 buff #(130) d0_0(
5318 .in (din[129:0]),
5319 .out (dout[129:0]));
5320endmodule
5321
5322
5323
5324
5325
5326`endif // `ifdef FPGA
5327