Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / dmu / rtl / dmu_cmu_ctx_pkseqaloc.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: dmu_cmu_ctx_pkseqaloc.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35module dmu_cmu_ctx_pkseqaloc (
36 clk,
37 rst_l,
38 enq,
39 data_in,
40 deq,
41 data_out,
42 valid
43 );
44
45//************************************************
46// PARAMETERS
47//************************************************
48 parameter WIDTH = 6; // max width supported
49 parameter DEPTH = 64; // max depth supported
50
51 integer n;
52
53//************************************************
54// PORTS
55//************************************************
56
57 input clk; // The input clock
58 input rst_l; // synopsys sync_set_reset "rst_l"
59
60 input enq; // enqueue into list
61 input [WIDTH - 1:0] data_in; // data to put in
62
63 input deq; // dequeue outof list
64 output [WIDTH - 1:0] data_out; // data taken out
65 output valid; // next address Ok to allocate
66
67//************************************************
68// SIGNALS
69//************************************************
70 reg [DEPTH -1 :0] vld; // =1 -> address available
71 // =0 -> address allocated already
72
73 reg [WIDTH -1 :0] count; // addresses to output
74
75
76//*********************************************
77// list counter, updates on deq asserted
78//*********************************************
79
80always @ (posedge clk)
81begin
82 if (!rst_l) begin
83 count <= 0;
84 end
85 else begin
86 case (deq)
87 1'b0: count <= count;
88 1'b1: count <= count + 1'b1;
89 endcase
90 end
91end
92
93//*********************************************
94// valid contents, updates when enq asserted
95//*********************************************
96
97always @ (posedge clk)
98begin
99 if (!rst_l) begin
100 for ( n = 0; n < DEPTH ; n = n+1)
101 vld[n] <= 1'b1;
102 end
103 else begin
104 case ({enq, deq}) // synopsys full_case parallel_case
105 2'b01 : vld[count] <= 1'b0;
106 2'b10 : vld[data_in] <= 1'b1;
107 2'b11 : begin
108 vld[data_in] <= 1'b1;
109//bug 1908
110 vld[count] <= 1'b0;
111//
112 end
113 default : begin
114 for ( n = 0; n < DEPTH ; n = n+1)
115 vld[n] <= vld[n];
116 end
117 endcase // case({enq, deq})
118 end // else: !if(!rst_l)
119end // always @ (posedge clk)
120
121
122//************************************************
123// Outputs
124//************************************************
125
126 assign data_out = count[WIDTH -1 :0];
127 assign valid = vld[count];
128
129endmodule
130