Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / dmu / rtl / dmu_ilu_cib_csr_ilu_log_en.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: dmu_ilu_cib_csr_ilu_log_en.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35module dmu_ilu_cib_csr_ilu_log_en
36 (
37 clk,
38 por_l,
39 ilu_log_en_w_ld,
40 csrbus_wr_data,
41 ilu_log_en_csrbus_read_data,
42 ilu_log_en_spare3_hw_read,
43 ilu_log_en_spare2_hw_read,
44 ilu_log_en_spare1_hw_read,
45 ilu_log_en_ihb_pe_hw_read
46 );
47
48//====================================================================
49// Polarity declarations
50//====================================================================
51input clk; // Clock
52input por_l; // Reset signal
53input ilu_log_en_w_ld; // SW load bus
54input [`FIRE_CSRBUS_DATA_WIDTH-1:0] csrbus_wr_data; // SW write data
55output [`FIRE_DLC_ILU_CIB_CSR_ILU_LOG_EN_WIDTH-1:0] ilu_log_en_csrbus_read_data;
56 // SW read data
57output ilu_log_en_spare3_hw_read; // This signal provides the current value of
58 // ilu_log_en_spare3.
59output ilu_log_en_spare2_hw_read; // This signal provides the current value of
60 // ilu_log_en_spare2.
61output ilu_log_en_spare1_hw_read; // This signal provides the current value of
62 // ilu_log_en_spare1.
63output ilu_log_en_ihb_pe_hw_read; // This signal provides the current value of
64 // ilu_log_en_ihb_pe.
65
66//====================================================================
67// Type declarations
68//====================================================================
69wire clk; // Clock
70wire por_l; // Reset signal
71wire ilu_log_en_w_ld; // SW load bus
72wire [`FIRE_CSRBUS_DATA_WIDTH-1:0] csrbus_wr_data; // SW write data
73wire [`FIRE_DLC_ILU_CIB_CSR_ILU_LOG_EN_WIDTH-1:0] ilu_log_en_csrbus_read_data;
74 // SW read data
75wire ilu_log_en_spare3_hw_read; // This signal provides the current value of
76 // ilu_log_en_spare3.
77wire ilu_log_en_spare2_hw_read; // This signal provides the current value of
78 // ilu_log_en_spare2.
79wire ilu_log_en_spare1_hw_read; // This signal provides the current value of
80 // ilu_log_en_spare1.
81wire ilu_log_en_ihb_pe_hw_read; // This signal provides the current value of
82 // ilu_log_en_ihb_pe.
83
84//====================================================================
85// Logic
86//====================================================================
87
88// synopsys translate_off
89// verilint 123 off
90// verilint 498 off
91reg omni_ld;
92reg [`FIRE_DLC_ILU_CIB_CSR_ILU_LOG_EN_WIDTH-1:0] omni_data;
93
94// vlint flag_unsynthesizable_initial off
95initial
96 begin
97 omni_ld = 1'b0;
98 omni_data = `FIRE_DLC_ILU_CIB_CSR_ILU_LOG_EN_WIDTH'b0;
99 end// vlint flag_unsynthesizable_initial on
100
101// verilint 123 on
102// verilint 498 on
103// synopsys translate_on
104
105//----- Hardware Data Out Mux Assignments
106assign ilu_log_en_spare3_hw_read=
107 ilu_log_en_csrbus_read_data [7];
108assign ilu_log_en_spare2_hw_read=
109 ilu_log_en_csrbus_read_data [6];
110assign ilu_log_en_spare1_hw_read=
111 ilu_log_en_csrbus_read_data [5];
112assign ilu_log_en_ihb_pe_hw_read=
113 ilu_log_en_csrbus_read_data [4];
114
115//====================================================================
116// Instantiation of entries
117//====================================================================
118
119//----- Entry 0
120dmu_ilu_cib_csr_ilu_log_en_entry ilu_log_en_0
121 (
122 // synopsys translate_off
123 .omni_ld (omni_ld),
124 .omni_data (omni_data),
125 // synopsys translate_on
126 .clk (clk),
127 .por_l (por_l),
128 .w_ld (ilu_log_en_w_ld),
129 .csrbus_wr_data (csrbus_wr_data),
130 .ilu_log_en_csrbus_read_data (ilu_log_en_csrbus_read_data)
131 );
132
133endmodule // dmu_ilu_cib_csr_ilu_log_en