Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / dmu / rtl / dmu_imu_ics_csr_mem_64_pcie_offset_reg_entry.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: dmu_imu_ics_csr_mem_64_pcie_offset_reg_entry.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35module dmu_imu_ics_csr_mem_64_pcie_offset_reg_entry
36 (
37 // synopsys translate_off
38 omni_ld,
39 omni_data,
40 // synopsys translate_on
41 clk,
42 rst_l,
43 w_ld,
44 csrbus_wr_data,
45 mem_64_pcie_offset_reg_csrbus_read_data,
46 mem_64_pcie_offset_reg_spare_control_load_7_hw_ld,
47 mem_64_pcie_offset_reg_spare_control_load_7_hw_write,
48 mem_64_pcie_offset_reg_spare_control_load_6_hw_ld,
49 mem_64_pcie_offset_reg_spare_control_load_6_hw_write,
50 mem_64_pcie_offset_reg_spare_control_load_5_hw_ld,
51 mem_64_pcie_offset_reg_spare_control_load_5_hw_write,
52 mem_64_pcie_offset_reg_spare_control_load_4_hw_ld,
53 mem_64_pcie_offset_reg_spare_control_load_4_hw_write,
54 mem_64_pcie_offset_reg_spare_control_load_3_hw_ld,
55 mem_64_pcie_offset_reg_spare_control_load_3_hw_write,
56 mem_64_pcie_offset_reg_spare_control_load_2_hw_ld,
57 mem_64_pcie_offset_reg_spare_control_load_2_hw_write,
58 mem_64_pcie_offset_reg_spare_control_load_1_hw_ld,
59 mem_64_pcie_offset_reg_spare_control_load_1_hw_write,
60 mem_64_pcie_offset_reg_spare_control_load_0_hw_ld,
61 mem_64_pcie_offset_reg_spare_control_load_0_hw_write,
62 mem_64_pcie_offset_reg_spare_control_hw_write
63 );
64
65//====================================================================
66// Polarity declarations
67//====================================================================
68// synopsys translate_off
69 input omni_ld; // Omni load
70// vlint flag_input_port_not_connected off
71 input [`FIRE_DLC_IMU_ICS_CSR_MEM_64_PCIE_OFFSET_REG_WIDTH - 1:0] omni_data;
72 // Omni write data
73// synopsys translate_on
74// vlint flag_input_port_not_connected on
75input clk; // Clock signal
76input rst_l; // Reset signal
77input w_ld; // SW load
78// vlint flag_input_port_not_connected off
79input [`FIRE_CSRBUS_DATA_WIDTH-1:0] csrbus_wr_data; // SW write data
80// vlint flag_input_port_not_connected on
81output [`FIRE_DLC_IMU_ICS_CSR_MEM_64_PCIE_OFFSET_REG_WIDTH-1:0] mem_64_pcie_offset_reg_csrbus_read_data;
82 // SW read data
83input mem_64_pcie_offset_reg_spare_control_load_7_hw_ld; // Hardware load
84 // enable for
85 // mem_64_pcie_offset_reg_spare_control_load_7.
86 // When set, <hw
87 // write signal>
88 // will be loaded
89 // into
90 // mem_64_pcie_offset_reg.
91input mem_64_pcie_offset_reg_spare_control_load_7_hw_write; // data bus for hw
92 // loading of
93 // mem_64_pcie_offset_reg_spare_control_load_7.
94input mem_64_pcie_offset_reg_spare_control_load_6_hw_ld; // Hardware load
95 // enable for
96 // mem_64_pcie_offset_reg_spare_control_load_6.
97 // When set, <hw
98 // write signal>
99 // will be loaded
100 // into
101 // mem_64_pcie_offset_reg.
102input mem_64_pcie_offset_reg_spare_control_load_6_hw_write; // data bus for hw
103 // loading of
104 // mem_64_pcie_offset_reg_spare_control_load_6.
105input mem_64_pcie_offset_reg_spare_control_load_5_hw_ld; // Hardware load
106 // enable for
107 // mem_64_pcie_offset_reg_spare_control_load_5.
108 // When set, <hw
109 // write signal>
110 // will be loaded
111 // into
112 // mem_64_pcie_offset_reg.
113input mem_64_pcie_offset_reg_spare_control_load_5_hw_write; // data bus for hw
114 // loading of
115 // mem_64_pcie_offset_reg_spare_control_load_5.
116input mem_64_pcie_offset_reg_spare_control_load_4_hw_ld; // Hardware load
117 // enable for
118 // mem_64_pcie_offset_reg_spare_control_load_4.
119 // When set, <hw
120 // write signal>
121 // will be loaded
122 // into
123 // mem_64_pcie_offset_reg.
124input mem_64_pcie_offset_reg_spare_control_load_4_hw_write; // data bus for hw
125 // loading of
126 // mem_64_pcie_offset_reg_spare_control_load_4.
127input mem_64_pcie_offset_reg_spare_control_load_3_hw_ld; // Hardware load
128 // enable for
129 // mem_64_pcie_offset_reg_spare_control_load_3.
130 // When set, <hw
131 // write signal>
132 // will be loaded
133 // into
134 // mem_64_pcie_offset_reg.
135input mem_64_pcie_offset_reg_spare_control_load_3_hw_write; // data bus for hw
136 // loading of
137 // mem_64_pcie_offset_reg_spare_control_load_3.
138input mem_64_pcie_offset_reg_spare_control_load_2_hw_ld; // Hardware load
139 // enable for
140 // mem_64_pcie_offset_reg_spare_control_load_2.
141 // When set, <hw
142 // write signal>
143 // will be loaded
144 // into
145 // mem_64_pcie_offset_reg.
146input mem_64_pcie_offset_reg_spare_control_load_2_hw_write; // data bus for hw
147 // loading of
148 // mem_64_pcie_offset_reg_spare_control_load_2.
149input mem_64_pcie_offset_reg_spare_control_load_1_hw_ld; // Hardware load
150 // enable for
151 // mem_64_pcie_offset_reg_spare_control_load_1.
152 // When set, <hw
153 // write signal>
154 // will be loaded
155 // into
156 // mem_64_pcie_offset_reg.
157input mem_64_pcie_offset_reg_spare_control_load_1_hw_write; // data bus for hw
158 // loading of
159 // mem_64_pcie_offset_reg_spare_control_load_1.
160input mem_64_pcie_offset_reg_spare_control_load_0_hw_ld; // Hardware load
161 // enable for
162 // mem_64_pcie_offset_reg_spare_control_load_0.
163 // When set, <hw
164 // write signal>
165 // will be loaded
166 // into
167 // mem_64_pcie_offset_reg.
168input mem_64_pcie_offset_reg_spare_control_load_0_hw_write; // data bus for hw
169 // loading of
170 // mem_64_pcie_offset_reg_spare_control_load_0.
171input [`FIRE_DLC_IMU_ICS_CSR_MEM_64_PCIE_OFFSET_REG_SPARE_CONTROL_INT_SLC]
172 mem_64_pcie_offset_reg_spare_control_hw_write; // data bus for hw loading of
173 // mem_64_pcie_offset_reg_spare_control.
174
175//====================================================================
176// Type declarations
177//====================================================================
178// synopsys translate_off
179 wire omni_ld; // Omni load
180// vlint flag_dangling_net_within_module off
181// vlint flag_net_has_no_load off
182 wire [`FIRE_DLC_IMU_ICS_CSR_MEM_64_PCIE_OFFSET_REG_WIDTH - 1:0] omni_data;
183 // Omni write data
184// synopsys translate_on
185// vlint flag_dangling_net_within_module on
186// vlint flag_net_has_no_load on
187wire clk; // Clock signal
188wire rst_l; // Reset signal
189wire w_ld; // SW load
190// vlint flag_dangling_net_within_module off
191// vlint flag_net_has_no_load off
192wire [`FIRE_CSRBUS_DATA_WIDTH-1:0] csrbus_wr_data; // SW write data
193// vlint flag_dangling_net_within_module on
194// vlint flag_net_has_no_load on
195wire [`FIRE_DLC_IMU_ICS_CSR_MEM_64_PCIE_OFFSET_REG_WIDTH-1:0] mem_64_pcie_offset_reg_csrbus_read_data;
196 // SW read data
197wire mem_64_pcie_offset_reg_spare_control_load_7_hw_ld; // Hardware load enable
198 // for
199 // mem_64_pcie_offset_reg_spare_control_load_7.
200 // When set, <hw write
201 // signal> will be
202 // loaded into
203 // mem_64_pcie_offset_reg.
204wire mem_64_pcie_offset_reg_spare_control_load_7_hw_write; // data bus for hw
205 // loading of
206 // mem_64_pcie_offset_reg_spare_control_load_7.
207wire mem_64_pcie_offset_reg_spare_control_load_6_hw_ld; // Hardware load enable
208 // for
209 // mem_64_pcie_offset_reg_spare_control_load_6.
210 // When set, <hw write
211 // signal> will be
212 // loaded into
213 // mem_64_pcie_offset_reg.
214wire mem_64_pcie_offset_reg_spare_control_load_6_hw_write; // data bus for hw
215 // loading of
216 // mem_64_pcie_offset_reg_spare_control_load_6.
217wire mem_64_pcie_offset_reg_spare_control_load_5_hw_ld; // Hardware load enable
218 // for
219 // mem_64_pcie_offset_reg_spare_control_load_5.
220 // When set, <hw write
221 // signal> will be
222 // loaded into
223 // mem_64_pcie_offset_reg.
224wire mem_64_pcie_offset_reg_spare_control_load_5_hw_write; // data bus for hw
225 // loading of
226 // mem_64_pcie_offset_reg_spare_control_load_5.
227wire mem_64_pcie_offset_reg_spare_control_load_4_hw_ld; // Hardware load enable
228 // for
229 // mem_64_pcie_offset_reg_spare_control_load_4.
230 // When set, <hw write
231 // signal> will be
232 // loaded into
233 // mem_64_pcie_offset_reg.
234wire mem_64_pcie_offset_reg_spare_control_load_4_hw_write; // data bus for hw
235 // loading of
236 // mem_64_pcie_offset_reg_spare_control_load_4.
237wire mem_64_pcie_offset_reg_spare_control_load_3_hw_ld; // Hardware load enable
238 // for
239 // mem_64_pcie_offset_reg_spare_control_load_3.
240 // When set, <hw write
241 // signal> will be
242 // loaded into
243 // mem_64_pcie_offset_reg.
244wire mem_64_pcie_offset_reg_spare_control_load_3_hw_write; // data bus for hw
245 // loading of
246 // mem_64_pcie_offset_reg_spare_control_load_3.
247wire mem_64_pcie_offset_reg_spare_control_load_2_hw_ld; // Hardware load enable
248 // for
249 // mem_64_pcie_offset_reg_spare_control_load_2.
250 // When set, <hw write
251 // signal> will be
252 // loaded into
253 // mem_64_pcie_offset_reg.
254wire mem_64_pcie_offset_reg_spare_control_load_2_hw_write; // data bus for hw
255 // loading of
256 // mem_64_pcie_offset_reg_spare_control_load_2.
257wire mem_64_pcie_offset_reg_spare_control_load_1_hw_ld; // Hardware load enable
258 // for
259 // mem_64_pcie_offset_reg_spare_control_load_1.
260 // When set, <hw write
261 // signal> will be
262 // loaded into
263 // mem_64_pcie_offset_reg.
264wire mem_64_pcie_offset_reg_spare_control_load_1_hw_write; // data bus for hw
265 // loading of
266 // mem_64_pcie_offset_reg_spare_control_load_1.
267wire mem_64_pcie_offset_reg_spare_control_load_0_hw_ld; // Hardware load enable
268 // for
269 // mem_64_pcie_offset_reg_spare_control_load_0.
270 // When set, <hw write
271 // signal> will be
272 // loaded into
273 // mem_64_pcie_offset_reg.
274wire mem_64_pcie_offset_reg_spare_control_load_0_hw_write; // data bus for hw
275 // loading of
276 // mem_64_pcie_offset_reg_spare_control_load_0.
277wire [`FIRE_DLC_IMU_ICS_CSR_MEM_64_PCIE_OFFSET_REG_SPARE_CONTROL_INT_SLC] mem_64_pcie_offset_reg_spare_control_hw_write;
278 // data bus for hw loading of mem_64_pcie_offset_reg_spare_control.
279
280//====================================================================
281// Logic
282//====================================================================
283
284//----- Reset values
285// verilint 531 off
286wire [39:0] reset_addr = 40'h0;
287wire [0:0] reset_spare_control_load_7 = 1'h0;
288wire [0:0] reset_spare_control_load_6 = 1'h0;
289wire [0:0] reset_spare_control_load_5 = 1'h0;
290wire [0:0] reset_spare_control_load_4 = 1'h0;
291wire [0:0] reset_spare_control_load_3 = 1'h0;
292wire [0:0] reset_spare_control_load_2 = 1'h0;
293wire [0:0] reset_spare_control_load_1 = 1'h0;
294wire [0:0] reset_spare_control_load_0 = 1'h0;
295wire [7:0] reset_spare_control = 8'h0;
296wire [7:0] reset_spare_status = 8'h0;
297// verilint 531 on
298
299//----- Active high reset wires
300wire rst_l_active_high = ~rst_l;
301
302//====================================================
303// Instantiation of flops
304//====================================================
305
306// bit 0
307csr_sw csr_sw_0
308 (
309 // synopsys translate_off
310 .omni_ld (omni_ld),
311 .omni_data (omni_data[0]),
312 .omni_rw_alias (1'b1),
313 .omni_rw1c_alias (1'b0),
314 .omni_rw1s_alias (1'b0),
315 // synopsys translate_on
316 .rst (rst_l_active_high),
317 .rst_val (reset_spare_status[0]),
318 .csr_ld (w_ld),
319 .csr_data (csrbus_wr_data[0]),
320 .rw_alias (1'b1),
321 .rw1c_alias (1'b0),
322 .rw1s_alias (1'b0),
323 .hw_ld (1'b0),
324 .hw_data (1'b0),
325 .cp (clk),
326 .q (mem_64_pcie_offset_reg_csrbus_read_data[0])
327 );
328
329// bit 1
330csr_sw csr_sw_1
331 (
332 // synopsys translate_off
333 .omni_ld (omni_ld),
334 .omni_data (omni_data[1]),
335 .omni_rw_alias (1'b1),
336 .omni_rw1c_alias (1'b0),
337 .omni_rw1s_alias (1'b0),
338 // synopsys translate_on
339 .rst (rst_l_active_high),
340 .rst_val (reset_spare_status[1]),
341 .csr_ld (w_ld),
342 .csr_data (csrbus_wr_data[1]),
343 .rw_alias (1'b1),
344 .rw1c_alias (1'b0),
345 .rw1s_alias (1'b0),
346 .hw_ld (1'b0),
347 .hw_data (1'b0),
348 .cp (clk),
349 .q (mem_64_pcie_offset_reg_csrbus_read_data[1])
350 );
351
352// bit 2
353csr_sw csr_sw_2
354 (
355 // synopsys translate_off
356 .omni_ld (omni_ld),
357 .omni_data (omni_data[2]),
358 .omni_rw_alias (1'b1),
359 .omni_rw1c_alias (1'b0),
360 .omni_rw1s_alias (1'b0),
361 // synopsys translate_on
362 .rst (rst_l_active_high),
363 .rst_val (reset_spare_status[2]),
364 .csr_ld (w_ld),
365 .csr_data (csrbus_wr_data[2]),
366 .rw_alias (1'b1),
367 .rw1c_alias (1'b0),
368 .rw1s_alias (1'b0),
369 .hw_ld (1'b0),
370 .hw_data (1'b0),
371 .cp (clk),
372 .q (mem_64_pcie_offset_reg_csrbus_read_data[2])
373 );
374
375// bit 3
376csr_sw csr_sw_3
377 (
378 // synopsys translate_off
379 .omni_ld (omni_ld),
380 .omni_data (omni_data[3]),
381 .omni_rw_alias (1'b1),
382 .omni_rw1c_alias (1'b0),
383 .omni_rw1s_alias (1'b0),
384 // synopsys translate_on
385 .rst (rst_l_active_high),
386 .rst_val (reset_spare_status[3]),
387 .csr_ld (w_ld),
388 .csr_data (csrbus_wr_data[3]),
389 .rw_alias (1'b1),
390 .rw1c_alias (1'b0),
391 .rw1s_alias (1'b0),
392 .hw_ld (1'b0),
393 .hw_data (1'b0),
394 .cp (clk),
395 .q (mem_64_pcie_offset_reg_csrbus_read_data[3])
396 );
397
398// bit 4
399csr_sw csr_sw_4
400 (
401 // synopsys translate_off
402 .omni_ld (omni_ld),
403 .omni_data (omni_data[4]),
404 .omni_rw_alias (1'b1),
405 .omni_rw1c_alias (1'b0),
406 .omni_rw1s_alias (1'b0),
407 // synopsys translate_on
408 .rst (rst_l_active_high),
409 .rst_val (reset_spare_status[4]),
410 .csr_ld (w_ld),
411 .csr_data (csrbus_wr_data[4]),
412 .rw_alias (1'b1),
413 .rw1c_alias (1'b0),
414 .rw1s_alias (1'b0),
415 .hw_ld (1'b0),
416 .hw_data (1'b0),
417 .cp (clk),
418 .q (mem_64_pcie_offset_reg_csrbus_read_data[4])
419 );
420
421// bit 5
422csr_sw csr_sw_5
423 (
424 // synopsys translate_off
425 .omni_ld (omni_ld),
426 .omni_data (omni_data[5]),
427 .omni_rw_alias (1'b1),
428 .omni_rw1c_alias (1'b0),
429 .omni_rw1s_alias (1'b0),
430 // synopsys translate_on
431 .rst (rst_l_active_high),
432 .rst_val (reset_spare_status[5]),
433 .csr_ld (w_ld),
434 .csr_data (csrbus_wr_data[5]),
435 .rw_alias (1'b1),
436 .rw1c_alias (1'b0),
437 .rw1s_alias (1'b0),
438 .hw_ld (1'b0),
439 .hw_data (1'b0),
440 .cp (clk),
441 .q (mem_64_pcie_offset_reg_csrbus_read_data[5])
442 );
443
444// bit 6
445csr_sw csr_sw_6
446 (
447 // synopsys translate_off
448 .omni_ld (omni_ld),
449 .omni_data (omni_data[6]),
450 .omni_rw_alias (1'b1),
451 .omni_rw1c_alias (1'b0),
452 .omni_rw1s_alias (1'b0),
453 // synopsys translate_on
454 .rst (rst_l_active_high),
455 .rst_val (reset_spare_status[6]),
456 .csr_ld (w_ld),
457 .csr_data (csrbus_wr_data[6]),
458 .rw_alias (1'b1),
459 .rw1c_alias (1'b0),
460 .rw1s_alias (1'b0),
461 .hw_ld (1'b0),
462 .hw_data (1'b0),
463 .cp (clk),
464 .q (mem_64_pcie_offset_reg_csrbus_read_data[6])
465 );
466
467// bit 7
468csr_sw csr_sw_7
469 (
470 // synopsys translate_off
471 .omni_ld (omni_ld),
472 .omni_data (omni_data[7]),
473 .omni_rw_alias (1'b1),
474 .omni_rw1c_alias (1'b0),
475 .omni_rw1s_alias (1'b0),
476 // synopsys translate_on
477 .rst (rst_l_active_high),
478 .rst_val (reset_spare_status[7]),
479 .csr_ld (w_ld),
480 .csr_data (csrbus_wr_data[7]),
481 .rw_alias (1'b1),
482 .rw1c_alias (1'b0),
483 .rw1s_alias (1'b0),
484 .hw_ld (1'b0),
485 .hw_data (1'b0),
486 .cp (clk),
487 .q (mem_64_pcie_offset_reg_csrbus_read_data[7])
488 );
489
490// bit 8
491csr_sw csr_sw_8
492 (
493 // synopsys translate_off
494 .omni_ld (omni_ld),
495 .omni_data (omni_data[8]),
496 .omni_rw_alias (1'b1),
497 .omni_rw1c_alias (1'b0),
498 .omni_rw1s_alias (1'b0),
499 // synopsys translate_on
500 .rst (rst_l_active_high),
501 .rst_val (reset_spare_control[0]),
502 .csr_ld (w_ld),
503 .csr_data (csrbus_wr_data[8]),
504 .rw_alias (1'b1),
505 .rw1c_alias (1'b0),
506 .rw1s_alias (1'b0),
507 .hw_ld (1'b1),
508 .hw_data (mem_64_pcie_offset_reg_spare_control_hw_write[0]),
509 .cp (clk),
510 .q (mem_64_pcie_offset_reg_csrbus_read_data[8])
511 );
512
513// bit 9
514csr_sw csr_sw_9
515 (
516 // synopsys translate_off
517 .omni_ld (omni_ld),
518 .omni_data (omni_data[9]),
519 .omni_rw_alias (1'b1),
520 .omni_rw1c_alias (1'b0),
521 .omni_rw1s_alias (1'b0),
522 // synopsys translate_on
523 .rst (rst_l_active_high),
524 .rst_val (reset_spare_control[1]),
525 .csr_ld (w_ld),
526 .csr_data (csrbus_wr_data[9]),
527 .rw_alias (1'b1),
528 .rw1c_alias (1'b0),
529 .rw1s_alias (1'b0),
530 .hw_ld (1'b1),
531 .hw_data (mem_64_pcie_offset_reg_spare_control_hw_write[1]),
532 .cp (clk),
533 .q (mem_64_pcie_offset_reg_csrbus_read_data[9])
534 );
535
536// bit 10
537csr_sw csr_sw_10
538 (
539 // synopsys translate_off
540 .omni_ld (omni_ld),
541 .omni_data (omni_data[10]),
542 .omni_rw_alias (1'b1),
543 .omni_rw1c_alias (1'b0),
544 .omni_rw1s_alias (1'b0),
545 // synopsys translate_on
546 .rst (rst_l_active_high),
547 .rst_val (reset_spare_control[2]),
548 .csr_ld (w_ld),
549 .csr_data (csrbus_wr_data[10]),
550 .rw_alias (1'b1),
551 .rw1c_alias (1'b0),
552 .rw1s_alias (1'b0),
553 .hw_ld (1'b1),
554 .hw_data (mem_64_pcie_offset_reg_spare_control_hw_write[2]),
555 .cp (clk),
556 .q (mem_64_pcie_offset_reg_csrbus_read_data[10])
557 );
558
559// bit 11
560csr_sw csr_sw_11
561 (
562 // synopsys translate_off
563 .omni_ld (omni_ld),
564 .omni_data (omni_data[11]),
565 .omni_rw_alias (1'b1),
566 .omni_rw1c_alias (1'b0),
567 .omni_rw1s_alias (1'b0),
568 // synopsys translate_on
569 .rst (rst_l_active_high),
570 .rst_val (reset_spare_control[3]),
571 .csr_ld (w_ld),
572 .csr_data (csrbus_wr_data[11]),
573 .rw_alias (1'b1),
574 .rw1c_alias (1'b0),
575 .rw1s_alias (1'b0),
576 .hw_ld (1'b1),
577 .hw_data (mem_64_pcie_offset_reg_spare_control_hw_write[3]),
578 .cp (clk),
579 .q (mem_64_pcie_offset_reg_csrbus_read_data[11])
580 );
581
582// bit 12
583csr_sw csr_sw_12
584 (
585 // synopsys translate_off
586 .omni_ld (omni_ld),
587 .omni_data (omni_data[12]),
588 .omni_rw_alias (1'b1),
589 .omni_rw1c_alias (1'b0),
590 .omni_rw1s_alias (1'b0),
591 // synopsys translate_on
592 .rst (rst_l_active_high),
593 .rst_val (reset_spare_control[4]),
594 .csr_ld (w_ld),
595 .csr_data (csrbus_wr_data[12]),
596 .rw_alias (1'b1),
597 .rw1c_alias (1'b0),
598 .rw1s_alias (1'b0),
599 .hw_ld (1'b1),
600 .hw_data (mem_64_pcie_offset_reg_spare_control_hw_write[4]),
601 .cp (clk),
602 .q (mem_64_pcie_offset_reg_csrbus_read_data[12])
603 );
604
605// bit 13
606csr_sw csr_sw_13
607 (
608 // synopsys translate_off
609 .omni_ld (omni_ld),
610 .omni_data (omni_data[13]),
611 .omni_rw_alias (1'b1),
612 .omni_rw1c_alias (1'b0),
613 .omni_rw1s_alias (1'b0),
614 // synopsys translate_on
615 .rst (rst_l_active_high),
616 .rst_val (reset_spare_control[5]),
617 .csr_ld (w_ld),
618 .csr_data (csrbus_wr_data[13]),
619 .rw_alias (1'b1),
620 .rw1c_alias (1'b0),
621 .rw1s_alias (1'b0),
622 .hw_ld (1'b1),
623 .hw_data (mem_64_pcie_offset_reg_spare_control_hw_write[5]),
624 .cp (clk),
625 .q (mem_64_pcie_offset_reg_csrbus_read_data[13])
626 );
627
628// bit 14
629csr_sw csr_sw_14
630 (
631 // synopsys translate_off
632 .omni_ld (omni_ld),
633 .omni_data (omni_data[14]),
634 .omni_rw_alias (1'b1),
635 .omni_rw1c_alias (1'b0),
636 .omni_rw1s_alias (1'b0),
637 // synopsys translate_on
638 .rst (rst_l_active_high),
639 .rst_val (reset_spare_control[6]),
640 .csr_ld (w_ld),
641 .csr_data (csrbus_wr_data[14]),
642 .rw_alias (1'b1),
643 .rw1c_alias (1'b0),
644 .rw1s_alias (1'b0),
645 .hw_ld (1'b1),
646 .hw_data (mem_64_pcie_offset_reg_spare_control_hw_write[6]),
647 .cp (clk),
648 .q (mem_64_pcie_offset_reg_csrbus_read_data[14])
649 );
650
651// bit 15
652csr_sw csr_sw_15
653 (
654 // synopsys translate_off
655 .omni_ld (omni_ld),
656 .omni_data (omni_data[15]),
657 .omni_rw_alias (1'b1),
658 .omni_rw1c_alias (1'b0),
659 .omni_rw1s_alias (1'b0),
660 // synopsys translate_on
661 .rst (rst_l_active_high),
662 .rst_val (reset_spare_control[7]),
663 .csr_ld (w_ld),
664 .csr_data (csrbus_wr_data[15]),
665 .rw_alias (1'b1),
666 .rw1c_alias (1'b0),
667 .rw1s_alias (1'b0),
668 .hw_ld (1'b1),
669 .hw_data (mem_64_pcie_offset_reg_spare_control_hw_write[7]),
670 .cp (clk),
671 .q (mem_64_pcie_offset_reg_csrbus_read_data[15])
672 );
673
674// bit 16
675csr_sw csr_sw_16
676 (
677 // synopsys translate_off
678 .omni_ld (omni_ld),
679 .omni_data (omni_data[16]),
680 .omni_rw_alias (1'b1),
681 .omni_rw1c_alias (1'b0),
682 .omni_rw1s_alias (1'b0),
683 // synopsys translate_on
684 .rst (rst_l_active_high),
685 .rst_val (reset_spare_control_load_0[0]),
686 .csr_ld (w_ld),
687 .csr_data (csrbus_wr_data[16]),
688 .rw_alias (1'b1),
689 .rw1c_alias (1'b0),
690 .rw1s_alias (1'b0),
691 .hw_ld (mem_64_pcie_offset_reg_spare_control_load_0_hw_ld),
692 .hw_data (mem_64_pcie_offset_reg_spare_control_load_0_hw_write),
693 .cp (clk),
694 .q (mem_64_pcie_offset_reg_csrbus_read_data[16])
695 );
696
697// bit 17
698csr_sw csr_sw_17
699 (
700 // synopsys translate_off
701 .omni_ld (omni_ld),
702 .omni_data (omni_data[17]),
703 .omni_rw_alias (1'b1),
704 .omni_rw1c_alias (1'b0),
705 .omni_rw1s_alias (1'b0),
706 // synopsys translate_on
707 .rst (rst_l_active_high),
708 .rst_val (reset_spare_control_load_1[0]),
709 .csr_ld (w_ld),
710 .csr_data (csrbus_wr_data[17]),
711 .rw_alias (1'b1),
712 .rw1c_alias (1'b0),
713 .rw1s_alias (1'b0),
714 .hw_ld (mem_64_pcie_offset_reg_spare_control_load_1_hw_ld),
715 .hw_data (mem_64_pcie_offset_reg_spare_control_load_1_hw_write),
716 .cp (clk),
717 .q (mem_64_pcie_offset_reg_csrbus_read_data[17])
718 );
719
720// bit 18
721csr_sw csr_sw_18
722 (
723 // synopsys translate_off
724 .omni_ld (omni_ld),
725 .omni_data (omni_data[18]),
726 .omni_rw_alias (1'b1),
727 .omni_rw1c_alias (1'b0),
728 .omni_rw1s_alias (1'b0),
729 // synopsys translate_on
730 .rst (rst_l_active_high),
731 .rst_val (reset_spare_control_load_2[0]),
732 .csr_ld (w_ld),
733 .csr_data (csrbus_wr_data[18]),
734 .rw_alias (1'b1),
735 .rw1c_alias (1'b0),
736 .rw1s_alias (1'b0),
737 .hw_ld (mem_64_pcie_offset_reg_spare_control_load_2_hw_ld),
738 .hw_data (mem_64_pcie_offset_reg_spare_control_load_2_hw_write),
739 .cp (clk),
740 .q (mem_64_pcie_offset_reg_csrbus_read_data[18])
741 );
742
743// bit 19
744csr_sw csr_sw_19
745 (
746 // synopsys translate_off
747 .omni_ld (omni_ld),
748 .omni_data (omni_data[19]),
749 .omni_rw_alias (1'b1),
750 .omni_rw1c_alias (1'b0),
751 .omni_rw1s_alias (1'b0),
752 // synopsys translate_on
753 .rst (rst_l_active_high),
754 .rst_val (reset_spare_control_load_3[0]),
755 .csr_ld (w_ld),
756 .csr_data (csrbus_wr_data[19]),
757 .rw_alias (1'b1),
758 .rw1c_alias (1'b0),
759 .rw1s_alias (1'b0),
760 .hw_ld (mem_64_pcie_offset_reg_spare_control_load_3_hw_ld),
761 .hw_data (mem_64_pcie_offset_reg_spare_control_load_3_hw_write),
762 .cp (clk),
763 .q (mem_64_pcie_offset_reg_csrbus_read_data[19])
764 );
765
766// bit 20
767csr_sw csr_sw_20
768 (
769 // synopsys translate_off
770 .omni_ld (omni_ld),
771 .omni_data (omni_data[20]),
772 .omni_rw_alias (1'b1),
773 .omni_rw1c_alias (1'b0),
774 .omni_rw1s_alias (1'b0),
775 // synopsys translate_on
776 .rst (rst_l_active_high),
777 .rst_val (reset_spare_control_load_4[0]),
778 .csr_ld (w_ld),
779 .csr_data (csrbus_wr_data[20]),
780 .rw_alias (1'b1),
781 .rw1c_alias (1'b0),
782 .rw1s_alias (1'b0),
783 .hw_ld (mem_64_pcie_offset_reg_spare_control_load_4_hw_ld),
784 .hw_data (mem_64_pcie_offset_reg_spare_control_load_4_hw_write),
785 .cp (clk),
786 .q (mem_64_pcie_offset_reg_csrbus_read_data[20])
787 );
788
789// bit 21
790csr_sw csr_sw_21
791 (
792 // synopsys translate_off
793 .omni_ld (omni_ld),
794 .omni_data (omni_data[21]),
795 .omni_rw_alias (1'b1),
796 .omni_rw1c_alias (1'b0),
797 .omni_rw1s_alias (1'b0),
798 // synopsys translate_on
799 .rst (rst_l_active_high),
800 .rst_val (reset_spare_control_load_5[0]),
801 .csr_ld (w_ld),
802 .csr_data (csrbus_wr_data[21]),
803 .rw_alias (1'b1),
804 .rw1c_alias (1'b0),
805 .rw1s_alias (1'b0),
806 .hw_ld (mem_64_pcie_offset_reg_spare_control_load_5_hw_ld),
807 .hw_data (mem_64_pcie_offset_reg_spare_control_load_5_hw_write),
808 .cp (clk),
809 .q (mem_64_pcie_offset_reg_csrbus_read_data[21])
810 );
811
812// bit 22
813csr_sw csr_sw_22
814 (
815 // synopsys translate_off
816 .omni_ld (omni_ld),
817 .omni_data (omni_data[22]),
818 .omni_rw_alias (1'b1),
819 .omni_rw1c_alias (1'b0),
820 .omni_rw1s_alias (1'b0),
821 // synopsys translate_on
822 .rst (rst_l_active_high),
823 .rst_val (reset_spare_control_load_6[0]),
824 .csr_ld (w_ld),
825 .csr_data (csrbus_wr_data[22]),
826 .rw_alias (1'b1),
827 .rw1c_alias (1'b0),
828 .rw1s_alias (1'b0),
829 .hw_ld (mem_64_pcie_offset_reg_spare_control_load_6_hw_ld),
830 .hw_data (mem_64_pcie_offset_reg_spare_control_load_6_hw_write),
831 .cp (clk),
832 .q (mem_64_pcie_offset_reg_csrbus_read_data[22])
833 );
834
835// bit 23
836csr_sw csr_sw_23
837 (
838 // synopsys translate_off
839 .omni_ld (omni_ld),
840 .omni_data (omni_data[23]),
841 .omni_rw_alias (1'b1),
842 .omni_rw1c_alias (1'b0),
843 .omni_rw1s_alias (1'b0),
844 // synopsys translate_on
845 .rst (rst_l_active_high),
846 .rst_val (reset_spare_control_load_7[0]),
847 .csr_ld (w_ld),
848 .csr_data (csrbus_wr_data[23]),
849 .rw_alias (1'b1),
850 .rw1c_alias (1'b0),
851 .rw1s_alias (1'b0),
852 .hw_ld (mem_64_pcie_offset_reg_spare_control_load_7_hw_ld),
853 .hw_data (mem_64_pcie_offset_reg_spare_control_load_7_hw_write),
854 .cp (clk),
855 .q (mem_64_pcie_offset_reg_csrbus_read_data[23])
856 );
857
858// bit 24
859csr_sw csr_sw_24
860 (
861 // synopsys translate_off
862 .omni_ld (omni_ld),
863 .omni_data (omni_data[24]),
864 .omni_rw_alias (1'b1),
865 .omni_rw1c_alias (1'b0),
866 .omni_rw1s_alias (1'b0),
867 // synopsys translate_on
868 .rst (rst_l_active_high),
869 .rst_val (reset_addr[0]),
870 .csr_ld (w_ld),
871 .csr_data (csrbus_wr_data[24]),
872 .rw_alias (1'b1),
873 .rw1c_alias (1'b0),
874 .rw1s_alias (1'b0),
875 .hw_ld (1'b0),
876 .hw_data (1'b0),
877 .cp (clk),
878 .q (mem_64_pcie_offset_reg_csrbus_read_data[24])
879 );
880
881// bit 25
882csr_sw csr_sw_25
883 (
884 // synopsys translate_off
885 .omni_ld (omni_ld),
886 .omni_data (omni_data[25]),
887 .omni_rw_alias (1'b1),
888 .omni_rw1c_alias (1'b0),
889 .omni_rw1s_alias (1'b0),
890 // synopsys translate_on
891 .rst (rst_l_active_high),
892 .rst_val (reset_addr[1]),
893 .csr_ld (w_ld),
894 .csr_data (csrbus_wr_data[25]),
895 .rw_alias (1'b1),
896 .rw1c_alias (1'b0),
897 .rw1s_alias (1'b0),
898 .hw_ld (1'b0),
899 .hw_data (1'b0),
900 .cp (clk),
901 .q (mem_64_pcie_offset_reg_csrbus_read_data[25])
902 );
903
904// bit 26
905csr_sw csr_sw_26
906 (
907 // synopsys translate_off
908 .omni_ld (omni_ld),
909 .omni_data (omni_data[26]),
910 .omni_rw_alias (1'b1),
911 .omni_rw1c_alias (1'b0),
912 .omni_rw1s_alias (1'b0),
913 // synopsys translate_on
914 .rst (rst_l_active_high),
915 .rst_val (reset_addr[2]),
916 .csr_ld (w_ld),
917 .csr_data (csrbus_wr_data[26]),
918 .rw_alias (1'b1),
919 .rw1c_alias (1'b0),
920 .rw1s_alias (1'b0),
921 .hw_ld (1'b0),
922 .hw_data (1'b0),
923 .cp (clk),
924 .q (mem_64_pcie_offset_reg_csrbus_read_data[26])
925 );
926
927// bit 27
928csr_sw csr_sw_27
929 (
930 // synopsys translate_off
931 .omni_ld (omni_ld),
932 .omni_data (omni_data[27]),
933 .omni_rw_alias (1'b1),
934 .omni_rw1c_alias (1'b0),
935 .omni_rw1s_alias (1'b0),
936 // synopsys translate_on
937 .rst (rst_l_active_high),
938 .rst_val (reset_addr[3]),
939 .csr_ld (w_ld),
940 .csr_data (csrbus_wr_data[27]),
941 .rw_alias (1'b1),
942 .rw1c_alias (1'b0),
943 .rw1s_alias (1'b0),
944 .hw_ld (1'b0),
945 .hw_data (1'b0),
946 .cp (clk),
947 .q (mem_64_pcie_offset_reg_csrbus_read_data[27])
948 );
949
950// bit 28
951csr_sw csr_sw_28
952 (
953 // synopsys translate_off
954 .omni_ld (omni_ld),
955 .omni_data (omni_data[28]),
956 .omni_rw_alias (1'b1),
957 .omni_rw1c_alias (1'b0),
958 .omni_rw1s_alias (1'b0),
959 // synopsys translate_on
960 .rst (rst_l_active_high),
961 .rst_val (reset_addr[4]),
962 .csr_ld (w_ld),
963 .csr_data (csrbus_wr_data[28]),
964 .rw_alias (1'b1),
965 .rw1c_alias (1'b0),
966 .rw1s_alias (1'b0),
967 .hw_ld (1'b0),
968 .hw_data (1'b0),
969 .cp (clk),
970 .q (mem_64_pcie_offset_reg_csrbus_read_data[28])
971 );
972
973// bit 29
974csr_sw csr_sw_29
975 (
976 // synopsys translate_off
977 .omni_ld (omni_ld),
978 .omni_data (omni_data[29]),
979 .omni_rw_alias (1'b1),
980 .omni_rw1c_alias (1'b0),
981 .omni_rw1s_alias (1'b0),
982 // synopsys translate_on
983 .rst (rst_l_active_high),
984 .rst_val (reset_addr[5]),
985 .csr_ld (w_ld),
986 .csr_data (csrbus_wr_data[29]),
987 .rw_alias (1'b1),
988 .rw1c_alias (1'b0),
989 .rw1s_alias (1'b0),
990 .hw_ld (1'b0),
991 .hw_data (1'b0),
992 .cp (clk),
993 .q (mem_64_pcie_offset_reg_csrbus_read_data[29])
994 );
995
996// bit 30
997csr_sw csr_sw_30
998 (
999 // synopsys translate_off
1000 .omni_ld (omni_ld),
1001 .omni_data (omni_data[30]),
1002 .omni_rw_alias (1'b1),
1003 .omni_rw1c_alias (1'b0),
1004 .omni_rw1s_alias (1'b0),
1005 // synopsys translate_on
1006 .rst (rst_l_active_high),
1007 .rst_val (reset_addr[6]),
1008 .csr_ld (w_ld),
1009 .csr_data (csrbus_wr_data[30]),
1010 .rw_alias (1'b1),
1011 .rw1c_alias (1'b0),
1012 .rw1s_alias (1'b0),
1013 .hw_ld (1'b0),
1014 .hw_data (1'b0),
1015 .cp (clk),
1016 .q (mem_64_pcie_offset_reg_csrbus_read_data[30])
1017 );
1018
1019// bit 31
1020csr_sw csr_sw_31
1021 (
1022 // synopsys translate_off
1023 .omni_ld (omni_ld),
1024 .omni_data (omni_data[31]),
1025 .omni_rw_alias (1'b1),
1026 .omni_rw1c_alias (1'b0),
1027 .omni_rw1s_alias (1'b0),
1028 // synopsys translate_on
1029 .rst (rst_l_active_high),
1030 .rst_val (reset_addr[7]),
1031 .csr_ld (w_ld),
1032 .csr_data (csrbus_wr_data[31]),
1033 .rw_alias (1'b1),
1034 .rw1c_alias (1'b0),
1035 .rw1s_alias (1'b0),
1036 .hw_ld (1'b0),
1037 .hw_data (1'b0),
1038 .cp (clk),
1039 .q (mem_64_pcie_offset_reg_csrbus_read_data[31])
1040 );
1041
1042// bit 32
1043csr_sw csr_sw_32
1044 (
1045 // synopsys translate_off
1046 .omni_ld (omni_ld),
1047 .omni_data (omni_data[32]),
1048 .omni_rw_alias (1'b1),
1049 .omni_rw1c_alias (1'b0),
1050 .omni_rw1s_alias (1'b0),
1051 // synopsys translate_on
1052 .rst (rst_l_active_high),
1053 .rst_val (reset_addr[8]),
1054 .csr_ld (w_ld),
1055 .csr_data (csrbus_wr_data[32]),
1056 .rw_alias (1'b1),
1057 .rw1c_alias (1'b0),
1058 .rw1s_alias (1'b0),
1059 .hw_ld (1'b0),
1060 .hw_data (1'b0),
1061 .cp (clk),
1062 .q (mem_64_pcie_offset_reg_csrbus_read_data[32])
1063 );
1064
1065// bit 33
1066csr_sw csr_sw_33
1067 (
1068 // synopsys translate_off
1069 .omni_ld (omni_ld),
1070 .omni_data (omni_data[33]),
1071 .omni_rw_alias (1'b1),
1072 .omni_rw1c_alias (1'b0),
1073 .omni_rw1s_alias (1'b0),
1074 // synopsys translate_on
1075 .rst (rst_l_active_high),
1076 .rst_val (reset_addr[9]),
1077 .csr_ld (w_ld),
1078 .csr_data (csrbus_wr_data[33]),
1079 .rw_alias (1'b1),
1080 .rw1c_alias (1'b0),
1081 .rw1s_alias (1'b0),
1082 .hw_ld (1'b0),
1083 .hw_data (1'b0),
1084 .cp (clk),
1085 .q (mem_64_pcie_offset_reg_csrbus_read_data[33])
1086 );
1087
1088// bit 34
1089csr_sw csr_sw_34
1090 (
1091 // synopsys translate_off
1092 .omni_ld (omni_ld),
1093 .omni_data (omni_data[34]),
1094 .omni_rw_alias (1'b1),
1095 .omni_rw1c_alias (1'b0),
1096 .omni_rw1s_alias (1'b0),
1097 // synopsys translate_on
1098 .rst (rst_l_active_high),
1099 .rst_val (reset_addr[10]),
1100 .csr_ld (w_ld),
1101 .csr_data (csrbus_wr_data[34]),
1102 .rw_alias (1'b1),
1103 .rw1c_alias (1'b0),
1104 .rw1s_alias (1'b0),
1105 .hw_ld (1'b0),
1106 .hw_data (1'b0),
1107 .cp (clk),
1108 .q (mem_64_pcie_offset_reg_csrbus_read_data[34])
1109 );
1110
1111// bit 35
1112csr_sw csr_sw_35
1113 (
1114 // synopsys translate_off
1115 .omni_ld (omni_ld),
1116 .omni_data (omni_data[35]),
1117 .omni_rw_alias (1'b1),
1118 .omni_rw1c_alias (1'b0),
1119 .omni_rw1s_alias (1'b0),
1120 // synopsys translate_on
1121 .rst (rst_l_active_high),
1122 .rst_val (reset_addr[11]),
1123 .csr_ld (w_ld),
1124 .csr_data (csrbus_wr_data[35]),
1125 .rw_alias (1'b1),
1126 .rw1c_alias (1'b0),
1127 .rw1s_alias (1'b0),
1128 .hw_ld (1'b0),
1129 .hw_data (1'b0),
1130 .cp (clk),
1131 .q (mem_64_pcie_offset_reg_csrbus_read_data[35])
1132 );
1133
1134// bit 36
1135csr_sw csr_sw_36
1136 (
1137 // synopsys translate_off
1138 .omni_ld (omni_ld),
1139 .omni_data (omni_data[36]),
1140 .omni_rw_alias (1'b1),
1141 .omni_rw1c_alias (1'b0),
1142 .omni_rw1s_alias (1'b0),
1143 // synopsys translate_on
1144 .rst (rst_l_active_high),
1145 .rst_val (reset_addr[12]),
1146 .csr_ld (w_ld),
1147 .csr_data (csrbus_wr_data[36]),
1148 .rw_alias (1'b1),
1149 .rw1c_alias (1'b0),
1150 .rw1s_alias (1'b0),
1151 .hw_ld (1'b0),
1152 .hw_data (1'b0),
1153 .cp (clk),
1154 .q (mem_64_pcie_offset_reg_csrbus_read_data[36])
1155 );
1156
1157// bit 37
1158csr_sw csr_sw_37
1159 (
1160 // synopsys translate_off
1161 .omni_ld (omni_ld),
1162 .omni_data (omni_data[37]),
1163 .omni_rw_alias (1'b1),
1164 .omni_rw1c_alias (1'b0),
1165 .omni_rw1s_alias (1'b0),
1166 // synopsys translate_on
1167 .rst (rst_l_active_high),
1168 .rst_val (reset_addr[13]),
1169 .csr_ld (w_ld),
1170 .csr_data (csrbus_wr_data[37]),
1171 .rw_alias (1'b1),
1172 .rw1c_alias (1'b0),
1173 .rw1s_alias (1'b0),
1174 .hw_ld (1'b0),
1175 .hw_data (1'b0),
1176 .cp (clk),
1177 .q (mem_64_pcie_offset_reg_csrbus_read_data[37])
1178 );
1179
1180// bit 38
1181csr_sw csr_sw_38
1182 (
1183 // synopsys translate_off
1184 .omni_ld (omni_ld),
1185 .omni_data (omni_data[38]),
1186 .omni_rw_alias (1'b1),
1187 .omni_rw1c_alias (1'b0),
1188 .omni_rw1s_alias (1'b0),
1189 // synopsys translate_on
1190 .rst (rst_l_active_high),
1191 .rst_val (reset_addr[14]),
1192 .csr_ld (w_ld),
1193 .csr_data (csrbus_wr_data[38]),
1194 .rw_alias (1'b1),
1195 .rw1c_alias (1'b0),
1196 .rw1s_alias (1'b0),
1197 .hw_ld (1'b0),
1198 .hw_data (1'b0),
1199 .cp (clk),
1200 .q (mem_64_pcie_offset_reg_csrbus_read_data[38])
1201 );
1202
1203// bit 39
1204csr_sw csr_sw_39
1205 (
1206 // synopsys translate_off
1207 .omni_ld (omni_ld),
1208 .omni_data (omni_data[39]),
1209 .omni_rw_alias (1'b1),
1210 .omni_rw1c_alias (1'b0),
1211 .omni_rw1s_alias (1'b0),
1212 // synopsys translate_on
1213 .rst (rst_l_active_high),
1214 .rst_val (reset_addr[15]),
1215 .csr_ld (w_ld),
1216 .csr_data (csrbus_wr_data[39]),
1217 .rw_alias (1'b1),
1218 .rw1c_alias (1'b0),
1219 .rw1s_alias (1'b0),
1220 .hw_ld (1'b0),
1221 .hw_data (1'b0),
1222 .cp (clk),
1223 .q (mem_64_pcie_offset_reg_csrbus_read_data[39])
1224 );
1225
1226// bit 40
1227csr_sw csr_sw_40
1228 (
1229 // synopsys translate_off
1230 .omni_ld (omni_ld),
1231 .omni_data (omni_data[40]),
1232 .omni_rw_alias (1'b1),
1233 .omni_rw1c_alias (1'b0),
1234 .omni_rw1s_alias (1'b0),
1235 // synopsys translate_on
1236 .rst (rst_l_active_high),
1237 .rst_val (reset_addr[16]),
1238 .csr_ld (w_ld),
1239 .csr_data (csrbus_wr_data[40]),
1240 .rw_alias (1'b1),
1241 .rw1c_alias (1'b0),
1242 .rw1s_alias (1'b0),
1243 .hw_ld (1'b0),
1244 .hw_data (1'b0),
1245 .cp (clk),
1246 .q (mem_64_pcie_offset_reg_csrbus_read_data[40])
1247 );
1248
1249// bit 41
1250csr_sw csr_sw_41
1251 (
1252 // synopsys translate_off
1253 .omni_ld (omni_ld),
1254 .omni_data (omni_data[41]),
1255 .omni_rw_alias (1'b1),
1256 .omni_rw1c_alias (1'b0),
1257 .omni_rw1s_alias (1'b0),
1258 // synopsys translate_on
1259 .rst (rst_l_active_high),
1260 .rst_val (reset_addr[17]),
1261 .csr_ld (w_ld),
1262 .csr_data (csrbus_wr_data[41]),
1263 .rw_alias (1'b1),
1264 .rw1c_alias (1'b0),
1265 .rw1s_alias (1'b0),
1266 .hw_ld (1'b0),
1267 .hw_data (1'b0),
1268 .cp (clk),
1269 .q (mem_64_pcie_offset_reg_csrbus_read_data[41])
1270 );
1271
1272// bit 42
1273csr_sw csr_sw_42
1274 (
1275 // synopsys translate_off
1276 .omni_ld (omni_ld),
1277 .omni_data (omni_data[42]),
1278 .omni_rw_alias (1'b1),
1279 .omni_rw1c_alias (1'b0),
1280 .omni_rw1s_alias (1'b0),
1281 // synopsys translate_on
1282 .rst (rst_l_active_high),
1283 .rst_val (reset_addr[18]),
1284 .csr_ld (w_ld),
1285 .csr_data (csrbus_wr_data[42]),
1286 .rw_alias (1'b1),
1287 .rw1c_alias (1'b0),
1288 .rw1s_alias (1'b0),
1289 .hw_ld (1'b0),
1290 .hw_data (1'b0),
1291 .cp (clk),
1292 .q (mem_64_pcie_offset_reg_csrbus_read_data[42])
1293 );
1294
1295// bit 43
1296csr_sw csr_sw_43
1297 (
1298 // synopsys translate_off
1299 .omni_ld (omni_ld),
1300 .omni_data (omni_data[43]),
1301 .omni_rw_alias (1'b1),
1302 .omni_rw1c_alias (1'b0),
1303 .omni_rw1s_alias (1'b0),
1304 // synopsys translate_on
1305 .rst (rst_l_active_high),
1306 .rst_val (reset_addr[19]),
1307 .csr_ld (w_ld),
1308 .csr_data (csrbus_wr_data[43]),
1309 .rw_alias (1'b1),
1310 .rw1c_alias (1'b0),
1311 .rw1s_alias (1'b0),
1312 .hw_ld (1'b0),
1313 .hw_data (1'b0),
1314 .cp (clk),
1315 .q (mem_64_pcie_offset_reg_csrbus_read_data[43])
1316 );
1317
1318// bit 44
1319csr_sw csr_sw_44
1320 (
1321 // synopsys translate_off
1322 .omni_ld (omni_ld),
1323 .omni_data (omni_data[44]),
1324 .omni_rw_alias (1'b1),
1325 .omni_rw1c_alias (1'b0),
1326 .omni_rw1s_alias (1'b0),
1327 // synopsys translate_on
1328 .rst (rst_l_active_high),
1329 .rst_val (reset_addr[20]),
1330 .csr_ld (w_ld),
1331 .csr_data (csrbus_wr_data[44]),
1332 .rw_alias (1'b1),
1333 .rw1c_alias (1'b0),
1334 .rw1s_alias (1'b0),
1335 .hw_ld (1'b0),
1336 .hw_data (1'b0),
1337 .cp (clk),
1338 .q (mem_64_pcie_offset_reg_csrbus_read_data[44])
1339 );
1340
1341// bit 45
1342csr_sw csr_sw_45
1343 (
1344 // synopsys translate_off
1345 .omni_ld (omni_ld),
1346 .omni_data (omni_data[45]),
1347 .omni_rw_alias (1'b1),
1348 .omni_rw1c_alias (1'b0),
1349 .omni_rw1s_alias (1'b0),
1350 // synopsys translate_on
1351 .rst (rst_l_active_high),
1352 .rst_val (reset_addr[21]),
1353 .csr_ld (w_ld),
1354 .csr_data (csrbus_wr_data[45]),
1355 .rw_alias (1'b1),
1356 .rw1c_alias (1'b0),
1357 .rw1s_alias (1'b0),
1358 .hw_ld (1'b0),
1359 .hw_data (1'b0),
1360 .cp (clk),
1361 .q (mem_64_pcie_offset_reg_csrbus_read_data[45])
1362 );
1363
1364// bit 46
1365csr_sw csr_sw_46
1366 (
1367 // synopsys translate_off
1368 .omni_ld (omni_ld),
1369 .omni_data (omni_data[46]),
1370 .omni_rw_alias (1'b1),
1371 .omni_rw1c_alias (1'b0),
1372 .omni_rw1s_alias (1'b0),
1373 // synopsys translate_on
1374 .rst (rst_l_active_high),
1375 .rst_val (reset_addr[22]),
1376 .csr_ld (w_ld),
1377 .csr_data (csrbus_wr_data[46]),
1378 .rw_alias (1'b1),
1379 .rw1c_alias (1'b0),
1380 .rw1s_alias (1'b0),
1381 .hw_ld (1'b0),
1382 .hw_data (1'b0),
1383 .cp (clk),
1384 .q (mem_64_pcie_offset_reg_csrbus_read_data[46])
1385 );
1386
1387// bit 47
1388csr_sw csr_sw_47
1389 (
1390 // synopsys translate_off
1391 .omni_ld (omni_ld),
1392 .omni_data (omni_data[47]),
1393 .omni_rw_alias (1'b1),
1394 .omni_rw1c_alias (1'b0),
1395 .omni_rw1s_alias (1'b0),
1396 // synopsys translate_on
1397 .rst (rst_l_active_high),
1398 .rst_val (reset_addr[23]),
1399 .csr_ld (w_ld),
1400 .csr_data (csrbus_wr_data[47]),
1401 .rw_alias (1'b1),
1402 .rw1c_alias (1'b0),
1403 .rw1s_alias (1'b0),
1404 .hw_ld (1'b0),
1405 .hw_data (1'b0),
1406 .cp (clk),
1407 .q (mem_64_pcie_offset_reg_csrbus_read_data[47])
1408 );
1409
1410// bit 48
1411csr_sw csr_sw_48
1412 (
1413 // synopsys translate_off
1414 .omni_ld (omni_ld),
1415 .omni_data (omni_data[48]),
1416 .omni_rw_alias (1'b1),
1417 .omni_rw1c_alias (1'b0),
1418 .omni_rw1s_alias (1'b0),
1419 // synopsys translate_on
1420 .rst (rst_l_active_high),
1421 .rst_val (reset_addr[24]),
1422 .csr_ld (w_ld),
1423 .csr_data (csrbus_wr_data[48]),
1424 .rw_alias (1'b1),
1425 .rw1c_alias (1'b0),
1426 .rw1s_alias (1'b0),
1427 .hw_ld (1'b0),
1428 .hw_data (1'b0),
1429 .cp (clk),
1430 .q (mem_64_pcie_offset_reg_csrbus_read_data[48])
1431 );
1432
1433// bit 49
1434csr_sw csr_sw_49
1435 (
1436 // synopsys translate_off
1437 .omni_ld (omni_ld),
1438 .omni_data (omni_data[49]),
1439 .omni_rw_alias (1'b1),
1440 .omni_rw1c_alias (1'b0),
1441 .omni_rw1s_alias (1'b0),
1442 // synopsys translate_on
1443 .rst (rst_l_active_high),
1444 .rst_val (reset_addr[25]),
1445 .csr_ld (w_ld),
1446 .csr_data (csrbus_wr_data[49]),
1447 .rw_alias (1'b1),
1448 .rw1c_alias (1'b0),
1449 .rw1s_alias (1'b0),
1450 .hw_ld (1'b0),
1451 .hw_data (1'b0),
1452 .cp (clk),
1453 .q (mem_64_pcie_offset_reg_csrbus_read_data[49])
1454 );
1455
1456// bit 50
1457csr_sw csr_sw_50
1458 (
1459 // synopsys translate_off
1460 .omni_ld (omni_ld),
1461 .omni_data (omni_data[50]),
1462 .omni_rw_alias (1'b1),
1463 .omni_rw1c_alias (1'b0),
1464 .omni_rw1s_alias (1'b0),
1465 // synopsys translate_on
1466 .rst (rst_l_active_high),
1467 .rst_val (reset_addr[26]),
1468 .csr_ld (w_ld),
1469 .csr_data (csrbus_wr_data[50]),
1470 .rw_alias (1'b1),
1471 .rw1c_alias (1'b0),
1472 .rw1s_alias (1'b0),
1473 .hw_ld (1'b0),
1474 .hw_data (1'b0),
1475 .cp (clk),
1476 .q (mem_64_pcie_offset_reg_csrbus_read_data[50])
1477 );
1478
1479// bit 51
1480csr_sw csr_sw_51
1481 (
1482 // synopsys translate_off
1483 .omni_ld (omni_ld),
1484 .omni_data (omni_data[51]),
1485 .omni_rw_alias (1'b1),
1486 .omni_rw1c_alias (1'b0),
1487 .omni_rw1s_alias (1'b0),
1488 // synopsys translate_on
1489 .rst (rst_l_active_high),
1490 .rst_val (reset_addr[27]),
1491 .csr_ld (w_ld),
1492 .csr_data (csrbus_wr_data[51]),
1493 .rw_alias (1'b1),
1494 .rw1c_alias (1'b0),
1495 .rw1s_alias (1'b0),
1496 .hw_ld (1'b0),
1497 .hw_data (1'b0),
1498 .cp (clk),
1499 .q (mem_64_pcie_offset_reg_csrbus_read_data[51])
1500 );
1501
1502// bit 52
1503csr_sw csr_sw_52
1504 (
1505 // synopsys translate_off
1506 .omni_ld (omni_ld),
1507 .omni_data (omni_data[52]),
1508 .omni_rw_alias (1'b1),
1509 .omni_rw1c_alias (1'b0),
1510 .omni_rw1s_alias (1'b0),
1511 // synopsys translate_on
1512 .rst (rst_l_active_high),
1513 .rst_val (reset_addr[28]),
1514 .csr_ld (w_ld),
1515 .csr_data (csrbus_wr_data[52]),
1516 .rw_alias (1'b1),
1517 .rw1c_alias (1'b0),
1518 .rw1s_alias (1'b0),
1519 .hw_ld (1'b0),
1520 .hw_data (1'b0),
1521 .cp (clk),
1522 .q (mem_64_pcie_offset_reg_csrbus_read_data[52])
1523 );
1524
1525// bit 53
1526csr_sw csr_sw_53
1527 (
1528 // synopsys translate_off
1529 .omni_ld (omni_ld),
1530 .omni_data (omni_data[53]),
1531 .omni_rw_alias (1'b1),
1532 .omni_rw1c_alias (1'b0),
1533 .omni_rw1s_alias (1'b0),
1534 // synopsys translate_on
1535 .rst (rst_l_active_high),
1536 .rst_val (reset_addr[29]),
1537 .csr_ld (w_ld),
1538 .csr_data (csrbus_wr_data[53]),
1539 .rw_alias (1'b1),
1540 .rw1c_alias (1'b0),
1541 .rw1s_alias (1'b0),
1542 .hw_ld (1'b0),
1543 .hw_data (1'b0),
1544 .cp (clk),
1545 .q (mem_64_pcie_offset_reg_csrbus_read_data[53])
1546 );
1547
1548// bit 54
1549csr_sw csr_sw_54
1550 (
1551 // synopsys translate_off
1552 .omni_ld (omni_ld),
1553 .omni_data (omni_data[54]),
1554 .omni_rw_alias (1'b1),
1555 .omni_rw1c_alias (1'b0),
1556 .omni_rw1s_alias (1'b0),
1557 // synopsys translate_on
1558 .rst (rst_l_active_high),
1559 .rst_val (reset_addr[30]),
1560 .csr_ld (w_ld),
1561 .csr_data (csrbus_wr_data[54]),
1562 .rw_alias (1'b1),
1563 .rw1c_alias (1'b0),
1564 .rw1s_alias (1'b0),
1565 .hw_ld (1'b0),
1566 .hw_data (1'b0),
1567 .cp (clk),
1568 .q (mem_64_pcie_offset_reg_csrbus_read_data[54])
1569 );
1570
1571// bit 55
1572csr_sw csr_sw_55
1573 (
1574 // synopsys translate_off
1575 .omni_ld (omni_ld),
1576 .omni_data (omni_data[55]),
1577 .omni_rw_alias (1'b1),
1578 .omni_rw1c_alias (1'b0),
1579 .omni_rw1s_alias (1'b0),
1580 // synopsys translate_on
1581 .rst (rst_l_active_high),
1582 .rst_val (reset_addr[31]),
1583 .csr_ld (w_ld),
1584 .csr_data (csrbus_wr_data[55]),
1585 .rw_alias (1'b1),
1586 .rw1c_alias (1'b0),
1587 .rw1s_alias (1'b0),
1588 .hw_ld (1'b0),
1589 .hw_data (1'b0),
1590 .cp (clk),
1591 .q (mem_64_pcie_offset_reg_csrbus_read_data[55])
1592 );
1593
1594// bit 56
1595csr_sw csr_sw_56
1596 (
1597 // synopsys translate_off
1598 .omni_ld (omni_ld),
1599 .omni_data (omni_data[56]),
1600 .omni_rw_alias (1'b1),
1601 .omni_rw1c_alias (1'b0),
1602 .omni_rw1s_alias (1'b0),
1603 // synopsys translate_on
1604 .rst (rst_l_active_high),
1605 .rst_val (reset_addr[32]),
1606 .csr_ld (w_ld),
1607 .csr_data (csrbus_wr_data[56]),
1608 .rw_alias (1'b1),
1609 .rw1c_alias (1'b0),
1610 .rw1s_alias (1'b0),
1611 .hw_ld (1'b0),
1612 .hw_data (1'b0),
1613 .cp (clk),
1614 .q (mem_64_pcie_offset_reg_csrbus_read_data[56])
1615 );
1616
1617// bit 57
1618csr_sw csr_sw_57
1619 (
1620 // synopsys translate_off
1621 .omni_ld (omni_ld),
1622 .omni_data (omni_data[57]),
1623 .omni_rw_alias (1'b1),
1624 .omni_rw1c_alias (1'b0),
1625 .omni_rw1s_alias (1'b0),
1626 // synopsys translate_on
1627 .rst (rst_l_active_high),
1628 .rst_val (reset_addr[33]),
1629 .csr_ld (w_ld),
1630 .csr_data (csrbus_wr_data[57]),
1631 .rw_alias (1'b1),
1632 .rw1c_alias (1'b0),
1633 .rw1s_alias (1'b0),
1634 .hw_ld (1'b0),
1635 .hw_data (1'b0),
1636 .cp (clk),
1637 .q (mem_64_pcie_offset_reg_csrbus_read_data[57])
1638 );
1639
1640// bit 58
1641csr_sw csr_sw_58
1642 (
1643 // synopsys translate_off
1644 .omni_ld (omni_ld),
1645 .omni_data (omni_data[58]),
1646 .omni_rw_alias (1'b1),
1647 .omni_rw1c_alias (1'b0),
1648 .omni_rw1s_alias (1'b0),
1649 // synopsys translate_on
1650 .rst (rst_l_active_high),
1651 .rst_val (reset_addr[34]),
1652 .csr_ld (w_ld),
1653 .csr_data (csrbus_wr_data[58]),
1654 .rw_alias (1'b1),
1655 .rw1c_alias (1'b0),
1656 .rw1s_alias (1'b0),
1657 .hw_ld (1'b0),
1658 .hw_data (1'b0),
1659 .cp (clk),
1660 .q (mem_64_pcie_offset_reg_csrbus_read_data[58])
1661 );
1662
1663// bit 59
1664csr_sw csr_sw_59
1665 (
1666 // synopsys translate_off
1667 .omni_ld (omni_ld),
1668 .omni_data (omni_data[59]),
1669 .omni_rw_alias (1'b1),
1670 .omni_rw1c_alias (1'b0),
1671 .omni_rw1s_alias (1'b0),
1672 // synopsys translate_on
1673 .rst (rst_l_active_high),
1674 .rst_val (reset_addr[35]),
1675 .csr_ld (w_ld),
1676 .csr_data (csrbus_wr_data[59]),
1677 .rw_alias (1'b1),
1678 .rw1c_alias (1'b0),
1679 .rw1s_alias (1'b0),
1680 .hw_ld (1'b0),
1681 .hw_data (1'b0),
1682 .cp (clk),
1683 .q (mem_64_pcie_offset_reg_csrbus_read_data[59])
1684 );
1685
1686// bit 60
1687csr_sw csr_sw_60
1688 (
1689 // synopsys translate_off
1690 .omni_ld (omni_ld),
1691 .omni_data (omni_data[60]),
1692 .omni_rw_alias (1'b1),
1693 .omni_rw1c_alias (1'b0),
1694 .omni_rw1s_alias (1'b0),
1695 // synopsys translate_on
1696 .rst (rst_l_active_high),
1697 .rst_val (reset_addr[36]),
1698 .csr_ld (w_ld),
1699 .csr_data (csrbus_wr_data[60]),
1700 .rw_alias (1'b1),
1701 .rw1c_alias (1'b0),
1702 .rw1s_alias (1'b0),
1703 .hw_ld (1'b0),
1704 .hw_data (1'b0),
1705 .cp (clk),
1706 .q (mem_64_pcie_offset_reg_csrbus_read_data[60])
1707 );
1708
1709// bit 61
1710csr_sw csr_sw_61
1711 (
1712 // synopsys translate_off
1713 .omni_ld (omni_ld),
1714 .omni_data (omni_data[61]),
1715 .omni_rw_alias (1'b1),
1716 .omni_rw1c_alias (1'b0),
1717 .omni_rw1s_alias (1'b0),
1718 // synopsys translate_on
1719 .rst (rst_l_active_high),
1720 .rst_val (reset_addr[37]),
1721 .csr_ld (w_ld),
1722 .csr_data (csrbus_wr_data[61]),
1723 .rw_alias (1'b1),
1724 .rw1c_alias (1'b0),
1725 .rw1s_alias (1'b0),
1726 .hw_ld (1'b0),
1727 .hw_data (1'b0),
1728 .cp (clk),
1729 .q (mem_64_pcie_offset_reg_csrbus_read_data[61])
1730 );
1731
1732// bit 62
1733csr_sw csr_sw_62
1734 (
1735 // synopsys translate_off
1736 .omni_ld (omni_ld),
1737 .omni_data (omni_data[62]),
1738 .omni_rw_alias (1'b1),
1739 .omni_rw1c_alias (1'b0),
1740 .omni_rw1s_alias (1'b0),
1741 // synopsys translate_on
1742 .rst (rst_l_active_high),
1743 .rst_val (reset_addr[38]),
1744 .csr_ld (w_ld),
1745 .csr_data (csrbus_wr_data[62]),
1746 .rw_alias (1'b1),
1747 .rw1c_alias (1'b0),
1748 .rw1s_alias (1'b0),
1749 .hw_ld (1'b0),
1750 .hw_data (1'b0),
1751 .cp (clk),
1752 .q (mem_64_pcie_offset_reg_csrbus_read_data[62])
1753 );
1754
1755// bit 63
1756csr_sw csr_sw_63
1757 (
1758 // synopsys translate_off
1759 .omni_ld (omni_ld),
1760 .omni_data (omni_data[63]),
1761 .omni_rw_alias (1'b1),
1762 .omni_rw1c_alias (1'b0),
1763 .omni_rw1s_alias (1'b0),
1764 // synopsys translate_on
1765 .rst (rst_l_active_high),
1766 .rst_val (reset_addr[39]),
1767 .csr_ld (w_ld),
1768 .csr_data (csrbus_wr_data[63]),
1769 .rw_alias (1'b1),
1770 .rw1c_alias (1'b0),
1771 .rw1s_alias (1'b0),
1772 .hw_ld (1'b0),
1773 .hw_data (1'b0),
1774 .cp (clk),
1775 .q (mem_64_pcie_offset_reg_csrbus_read_data[63])
1776 );
1777
1778
1779endmodule // dmu_imu_ics_csr_mem_64_pcie_offset_reg_entry