Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / dmu / rtl / dmu_mmu_csr_int_en.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: dmu_mmu_csr_int_en.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35module dmu_mmu_csr_int_en
36 (
37 clk,
38 rst_l,
39 int_en_w_ld,
40 csrbus_wr_data,
41 int_en_csrbus_read_data,
42 int_en_hw_read
43 );
44
45//====================================================================
46// Polarity declarations
47//====================================================================
48input clk; // Clock
49input rst_l; // Reset signal
50input int_en_w_ld; // SW load bus
51input [`FIRE_CSRBUS_DATA_WIDTH-1:0] csrbus_wr_data; // SW write data
52output [`FIRE_DLC_MMU_CSR_INT_EN_WIDTH-1:0] int_en_csrbus_read_data;
53 // SW read data
54output [`FIRE_DLC_MMU_CSR_INT_EN_WIDTH-1:0] int_en_hw_read; // This signal
55 // provides the
56 // current value of
57 // int_en.
58
59//====================================================================
60// Type declarations
61//====================================================================
62wire clk; // Clock
63wire rst_l; // Reset signal
64wire int_en_w_ld; // SW load bus
65wire [`FIRE_CSRBUS_DATA_WIDTH-1:0] csrbus_wr_data; // SW write data
66wire [`FIRE_DLC_MMU_CSR_INT_EN_WIDTH-1:0] int_en_csrbus_read_data;
67 // SW read data
68wire [`FIRE_DLC_MMU_CSR_INT_EN_WIDTH-1:0] int_en_hw_read; // This signal
69 // provides the
70 // current value of
71 // int_en.
72
73//====================================================================
74// Logic
75//====================================================================
76
77// synopsys translate_off
78// verilint 123 off
79// verilint 498 off
80reg omni_ld;
81reg [`FIRE_DLC_MMU_CSR_INT_EN_WIDTH-1:0] omni_data;
82
83// vlint flag_unsynthesizable_initial off
84initial
85 begin
86 omni_ld = 1'b0;
87 omni_data = `FIRE_DLC_MMU_CSR_INT_EN_WIDTH'b0;
88 end// vlint flag_unsynthesizable_initial on
89
90// verilint 123 on
91// verilint 498 on
92// synopsys translate_on
93
94//----- Hardware Data Out Mux Assignments
95assign int_en_hw_read=
96 int_en_csrbus_read_data
97 [`FIRE_DLC_MMU_CSR_INT_EN_WIDTH-1:0];
98
99//====================================================================
100// Instantiation of entries
101//====================================================================
102
103//----- Entry 0
104dmu_mmu_csr_int_en_entry int_en_0
105 (
106 // synopsys translate_off
107 .omni_ld (omni_ld),
108 .omni_data (omni_data),
109 // synopsys translate_on
110 .clk (clk),
111 .rst_l (rst_l),
112 .w_ld (int_en_w_ld),
113 .csrbus_wr_data (csrbus_wr_data),
114 .int_en_csrbus_read_data (int_en_csrbus_read_data)
115 );
116
117endmodule // dmu_mmu_csr_int_en