Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / dmu / rtl / dmu_mmu_csr_log.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: dmu_mmu_csr_log.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35module dmu_mmu_csr_log
36 (
37 clk,
38 por_l,
39 log_w_ld,
40 csrbus_wr_data,
41 log_csrbus_read_data,
42 log_en_hw_read
43 );
44
45//====================================================================
46// Polarity declarations
47//====================================================================
48input clk; // Clock
49input por_l; // Reset signal
50input log_w_ld; // SW load bus
51input [`FIRE_CSRBUS_DATA_WIDTH-1:0] csrbus_wr_data; // SW write data
52output [`FIRE_DLC_MMU_CSR_LOG_WIDTH-1:0] log_csrbus_read_data; // SW read data
53output [`FIRE_DLC_MMU_CSR_LOG_EN_INT_SLC] log_en_hw_read; // This signal
54 // provides the
55 // current value of
56 // log_en.
57
58//====================================================================
59// Type declarations
60//====================================================================
61wire clk; // Clock
62wire por_l; // Reset signal
63wire log_w_ld; // SW load bus
64wire [`FIRE_CSRBUS_DATA_WIDTH-1:0] csrbus_wr_data; // SW write data
65wire [`FIRE_DLC_MMU_CSR_LOG_WIDTH-1:0] log_csrbus_read_data; // SW read data
66wire [`FIRE_DLC_MMU_CSR_LOG_EN_INT_SLC] log_en_hw_read; // This signal provides
67 // the current value of
68 // log_en.
69
70//====================================================================
71// Logic
72//====================================================================
73
74// synopsys translate_off
75// verilint 123 off
76// verilint 498 off
77reg omni_ld;
78reg [`FIRE_DLC_MMU_CSR_LOG_WIDTH-1:0] omni_data;
79
80// vlint flag_unsynthesizable_initial off
81initial
82 begin
83 omni_ld = 1'b0;
84 omni_data = `FIRE_DLC_MMU_CSR_LOG_WIDTH'b0;
85 end// vlint flag_unsynthesizable_initial on
86
87// verilint 123 on
88// verilint 498 on
89// synopsys translate_on
90
91//----- Hardware Data Out Mux Assignments
92assign log_en_hw_read=
93 log_csrbus_read_data
94 [`FIRE_DLC_MMU_CSR_LOG_EN_SLC];
95
96//====================================================================
97// Instantiation of entries
98//====================================================================
99
100//----- Entry 0
101dmu_mmu_csr_log_entry log_0
102 (
103 // synopsys translate_off
104 .omni_ld (omni_ld),
105 .omni_data (omni_data),
106 // synopsys translate_on
107 .clk (clk),
108 .por_l (por_l),
109 .w_ld (log_w_ld),
110 .csrbus_wr_data (csrbus_wr_data),
111 .log_csrbus_read_data (log_csrbus_read_data)
112 );
113
114endmodule // dmu_mmu_csr_log