Initial commit of OpenSPARC T2 design and verification files.
[OpenSPARC-T2-DV] / design / sys / iop / niu / rtl / niu_tdmc.v
CommitLineData
86530b38
AT
1// ========== Copyright Header Begin ==========================================
2//
3// OpenSPARC T2 Processor File: niu_tdmc.v
4// Copyright (C) 1995-2007 Sun Microsystems, Inc. All Rights Reserved
5// 4150 Network Circle, Santa Clara, California 95054, U.S.A.
6//
7// * DO NOT ALTER OR REMOVE COPYRIGHT NOTICES OR THIS FILE HEADER.
8//
9// This program is free software; you can redistribute it and/or modify
10// it under the terms of the GNU General Public License as published by
11// the Free Software Foundation; version 2 of the License.
12//
13// This program is distributed in the hope that it will be useful,
14// but WITHOUT ANY WARRANTY; without even the implied warranty of
15// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16// GNU General Public License for more details.
17//
18// You should have received a copy of the GNU General Public License
19// along with this program; if not, write to the Free Software
20// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
21//
22// For the avoidance of doubt, and except that if any non-GPL license
23// choice is available it will apply instead, Sun elects to use only
24// the General Public License version 2 (GPLv2) at this time for any
25// software where a choice of GPL license versions is made
26// available with the language indicating that GPLv2 or any later version
27// may be used, or where a choice of which version of the GPL is applied is
28// otherwise unspecified.
29//
30// Please contact Sun Microsystems, Inc., 4150 Network Circle, Santa Clara,
31// CA 95054 USA or visit www.sun.com if you need additional information or
32// have any questions.
33//
34// ========== Copyright Header End ============================================
35/*********************************************************************
36 *
37 * NIU TDMC Top Module
38 *
39 * Orignal Author(s): Arvind Srinivasan
40 * Modifier(s):
41 * Project(s): Neptune
42 *
43 * Copyright (c) 2004 Sun Microsystems, Inc.
44 *
45 * All Rights Reserved.
46 *
47 * This verilog model is the confidential and proprietary property of
48 * Sun Microsystems, Inc., and the possession or use of this model
49 * requires a written license from Sun Microsystems, Inc.
50 *
51 **********************************************************************/
52
53
54`include "niu_dmc_reg_defines.h"
55module niu_tdmc(/*AUTOJUNK*/
56 // N2 Membist/SRAM Interface
57 // All ifdefs to this module
58 `ifdef NEPTUNE
59 `else
60 tcu_aclk,
61 tcu_bclk,
62 tcu_se_scancollar_in,
63 tcu_se_scancollar_out,
64 tcu_array_wr_inhibit,
65 tds_tdmc_mbist_scan_in,
66 tds_tdmc_mbist_scan_out,
67 tcu_mbist_bisi_en,
68 tcu_mbist_user_mode,
69 tcu_tds_tdmc_mbist_start,
70 tds_tdmc_tcu_mbist_fail,
71 tds_tdmc_tcu_mbist_done,
72 tcu_scan_en,
73 l2clk_2x,
74 iol2clk,
75 hdr_sram_rvalue_tdmc,
76 hdr_sram_rid_tdmc,
77 hdr_sram_wr_en_tdmc,
78 hdr_sram_red_clr_tdmc,
79 sram_hdr_read_data_tdmc,
80 tds_tcu_dmo_data_out,
81 `endif // !ifdef NEPTUNE
82 `ifdef NEPTUNE
83 pio_clients_32b,
84 `else
85 `endif
86 // Outputs
87 tdmc_debug_port, tdmc_arb1_req, tdmc_arb1_req_port_num,
88 tdmc_arb1_req_dma_num, tdmc_arb1_req_cmd, tdmc_arb1_req_length,
89 tdmc_arb1_req_address, dmc_meta_resp_accept, tdmc_arb1_req_func_num,
90 tdmc_arb0_data_valid, tdmc_arb0_req, tdmc_arb0_transfer_complete,
91 tdmc_arb0_req_port_num, tdmc_arb0_status, tdmc_arb0_req_dma_num,
92 tdmc_arb0_req_cmd, tdmc_arb0_req_length, tdmc_arb0_req_byteenable,
93 tdmc_arb0_req_address, tdmc_arb0_data, dmc_meta_ack_accept, tdmc_arb0_req_func_num,
94 tdmc_pio_ack, tdmc_pio_err, tdmc_pio_rdata,
95 tdmc_pio_intr, dmc_txc_dma0_active,
96 dmc_txc_dma0_eoflist, dmc_txc_dma0_error, dmc_txc_dma0_gotnxtdesc,
97 dmc_txc_dma0_cacheready, dmc_txc_dma0_partial,
98 dmc_txc_dma0_reset_scheduled, dmc_txc_dma0_page_handle,
99 dmc_txc_dma0_descriptor, dmc_txc_dma1_active,
100 dmc_txc_dma1_eoflist, dmc_txc_dma1_error, dmc_txc_dma1_gotnxtdesc,
101 dmc_txc_dma1_cacheready, dmc_txc_dma1_partial,
102 dmc_txc_dma1_reset_scheduled, dmc_txc_dma1_page_handle,
103 dmc_txc_dma1_descriptor, dmc_txc_dma2_active,
104 dmc_txc_dma2_eoflist, dmc_txc_dma2_error, dmc_txc_dma2_gotnxtdesc,
105 dmc_txc_dma2_cacheready, dmc_txc_dma2_partial,
106 dmc_txc_dma2_reset_scheduled, dmc_txc_dma2_page_handle,
107 dmc_txc_dma2_descriptor, dmc_txc_dma3_active,
108 dmc_txc_dma3_eoflist, dmc_txc_dma3_error, dmc_txc_dma3_gotnxtdesc,
109 dmc_txc_dma3_cacheready, dmc_txc_dma3_partial,
110 dmc_txc_dma3_reset_scheduled, dmc_txc_dma3_page_handle,
111 dmc_txc_dma3_descriptor, dmc_txc_dma4_active,
112 dmc_txc_dma4_eoflist, dmc_txc_dma4_error, dmc_txc_dma4_gotnxtdesc,
113 dmc_txc_dma4_cacheready, dmc_txc_dma4_partial,
114 dmc_txc_dma4_reset_scheduled, dmc_txc_dma4_page_handle,
115 dmc_txc_dma4_descriptor, dmc_txc_dma5_active,
116 dmc_txc_dma5_eoflist, dmc_txc_dma5_error, dmc_txc_dma5_gotnxtdesc,
117 dmc_txc_dma5_cacheready, dmc_txc_dma5_partial,
118 dmc_txc_dma5_reset_scheduled, dmc_txc_dma5_page_handle,
119 dmc_txc_dma5_descriptor, dmc_txc_dma6_active,
120 dmc_txc_dma6_eoflist, dmc_txc_dma6_error, dmc_txc_dma6_gotnxtdesc,
121 dmc_txc_dma6_cacheready, dmc_txc_dma6_partial,
122 dmc_txc_dma6_reset_scheduled, dmc_txc_dma6_page_handle,
123 dmc_txc_dma6_descriptor, dmc_txc_dma7_active,
124 dmc_txc_dma7_eoflist, dmc_txc_dma7_error, dmc_txc_dma7_gotnxtdesc,
125 dmc_txc_dma7_cacheready, dmc_txc_dma7_partial,
126 dmc_txc_dma7_reset_scheduled, dmc_txc_dma7_page_handle,
127 dmc_txc_dma7_descriptor, dmc_txc_dma8_active,
128 dmc_txc_dma8_eoflist, dmc_txc_dma8_error, dmc_txc_dma8_gotnxtdesc,
129 dmc_txc_dma8_cacheready, dmc_txc_dma8_partial,
130 dmc_txc_dma8_reset_scheduled, dmc_txc_dma8_page_handle,
131 dmc_txc_dma8_descriptor, dmc_txc_dma9_active,
132 dmc_txc_dma9_eoflist, dmc_txc_dma9_error, dmc_txc_dma9_gotnxtdesc,
133 dmc_txc_dma9_cacheready, dmc_txc_dma9_partial,
134 dmc_txc_dma9_reset_scheduled, dmc_txc_dma9_page_handle,
135 dmc_txc_dma9_descriptor, dmc_txc_dma10_active,
136 dmc_txc_dma10_eoflist, dmc_txc_dma10_error,
137 dmc_txc_dma10_gotnxtdesc, dmc_txc_dma10_cacheready,
138 dmc_txc_dma10_partial, dmc_txc_dma10_reset_scheduled,
139 dmc_txc_dma10_page_handle, dmc_txc_dma10_descriptor,
140 dmc_txc_dma11_active, dmc_txc_dma11_eoflist, dmc_txc_dma11_error,
141 dmc_txc_dma11_gotnxtdesc, dmc_txc_dma11_cacheready,
142 dmc_txc_dma11_partial, dmc_txc_dma11_reset_scheduled,
143 dmc_txc_dma11_page_handle, dmc_txc_dma11_descriptor,
144 dmc_txc_dma12_active, dmc_txc_dma12_eoflist, dmc_txc_dma12_error,
145 dmc_txc_dma12_gotnxtdesc, dmc_txc_dma12_cacheready,
146 dmc_txc_dma12_partial, dmc_txc_dma12_reset_scheduled,
147 dmc_txc_dma12_page_handle, dmc_txc_dma12_descriptor,
148 dmc_txc_dma13_active, dmc_txc_dma13_eoflist, dmc_txc_dma13_error,
149 dmc_txc_dma13_gotnxtdesc, dmc_txc_dma13_cacheready,
150 dmc_txc_dma13_partial, dmc_txc_dma13_reset_scheduled,
151 dmc_txc_dma13_page_handle, dmc_txc_dma13_descriptor,
152 dmc_txc_dma14_active, dmc_txc_dma14_eoflist, dmc_txc_dma14_error,
153 dmc_txc_dma14_gotnxtdesc, dmc_txc_dma14_cacheready,
154 dmc_txc_dma14_partial, dmc_txc_dma14_reset_scheduled,
155 dmc_txc_dma14_page_handle, dmc_txc_dma14_descriptor,
156 dmc_txc_dma15_active, dmc_txc_dma15_eoflist, dmc_txc_dma15_error,
157 dmc_txc_dma15_gotnxtdesc, dmc_txc_dma15_cacheready,
158 dmc_txc_dma15_partial, dmc_txc_dma15_reset_scheduled,
159 dmc_txc_dma15_page_handle, dmc_txc_dma15_descriptor,
160`ifdef NEPTUNE
161 dmc_txc_dma16_active, dmc_txc_dma16_eoflist, dmc_txc_dma16_error,
162 dmc_txc_dma16_gotnxtdesc, dmc_txc_dma16_cacheready,
163 dmc_txc_dma16_partial, dmc_txc_dma16_reset_scheduled,
164 dmc_txc_dma16_page_handle, dmc_txc_dma16_descriptor,
165 dmc_txc_dma17_active, dmc_txc_dma17_eoflist, dmc_txc_dma17_error,
166 dmc_txc_dma17_gotnxtdesc, dmc_txc_dma17_cacheready,
167 dmc_txc_dma17_partial, dmc_txc_dma17_reset_scheduled,
168 dmc_txc_dma17_page_handle, dmc_txc_dma17_descriptor,
169 dmc_txc_dma18_active, dmc_txc_dma18_eoflist, dmc_txc_dma18_error,
170 dmc_txc_dma18_gotnxtdesc, dmc_txc_dma18_cacheready,
171 dmc_txc_dma18_partial, dmc_txc_dma18_reset_scheduled,
172 dmc_txc_dma18_page_handle, dmc_txc_dma18_descriptor,
173 dmc_txc_dma19_active, dmc_txc_dma19_eoflist, dmc_txc_dma19_error,
174 dmc_txc_dma19_gotnxtdesc, dmc_txc_dma19_cacheready,
175 dmc_txc_dma19_partial, dmc_txc_dma19_reset_scheduled,
176 dmc_txc_dma19_page_handle, dmc_txc_dma19_descriptor,
177 dmc_txc_dma20_active, dmc_txc_dma20_eoflist, dmc_txc_dma20_error,
178 dmc_txc_dma20_gotnxtdesc, dmc_txc_dma20_cacheready,
179 dmc_txc_dma20_partial, dmc_txc_dma20_reset_scheduled,
180 dmc_txc_dma20_page_handle, dmc_txc_dma20_descriptor,
181 dmc_txc_dma21_active, dmc_txc_dma21_eoflist, dmc_txc_dma21_error,
182 dmc_txc_dma21_gotnxtdesc, dmc_txc_dma21_cacheready,
183 dmc_txc_dma21_partial, dmc_txc_dma21_reset_scheduled,
184 dmc_txc_dma21_page_handle, dmc_txc_dma21_descriptor,
185 dmc_txc_dma22_active, dmc_txc_dma22_eoflist, dmc_txc_dma22_error,
186 dmc_txc_dma22_gotnxtdesc, dmc_txc_dma22_cacheready,
187 dmc_txc_dma22_partial, dmc_txc_dma22_reset_scheduled,
188 dmc_txc_dma22_page_handle, dmc_txc_dma22_descriptor,
189 dmc_txc_dma23_active, dmc_txc_dma23_eoflist, dmc_txc_dma23_error,
190 dmc_txc_dma23_gotnxtdesc, dmc_txc_dma23_cacheready,
191 dmc_txc_dma23_partial, dmc_txc_dma23_reset_scheduled,
192 dmc_txc_dma23_page_handle, dmc_txc_dma23_descriptor,
193`else // !ifdef NEPTUNE
194`endif // !ifdef NEPTUNE
195
196 dmc_txc_tx_addr_md,
197 dmc_txc_dma0_func_num, dmc_txc_dma1_func_num, dmc_txc_dma2_func_num,
198 dmc_txc_dma3_func_num, dmc_txc_dma4_func_num, dmc_txc_dma5_func_num,
199 dmc_txc_dma6_func_num, dmc_txc_dma7_func_num, dmc_txc_dma8_func_num,
200 dmc_txc_dma9_func_num, dmc_txc_dma10_func_num, dmc_txc_dma11_func_num,
201 dmc_txc_dma12_func_num, dmc_txc_dma13_func_num, dmc_txc_dma14_func_num,
202 dmc_txc_dma15_func_num,
203`ifdef NEPTUNE
204 dmc_txc_dma16_func_num, dmc_txc_dma17_func_num,
205 dmc_txc_dma18_func_num, dmc_txc_dma19_func_num, dmc_txc_dma20_func_num,
206 dmc_txc_dma21_func_num, dmc_txc_dma22_func_num, dmc_txc_dma23_func_num,
207`else // !ifdef NEPTUNE
208`endif // !ifdef NEPTUNE
209
210 // Inputs
211 niu_clk, niu_reset_l, arb1_tdmc_req_accept,
212 meta_dmc_resp_ready, meta_dmc_resp_client,
213 meta_dmc_resp_complete, meta_dmc_resp_transfer_cmpl,
214 meta_dmc_data_valid,
215 meta_dmc_resp_dma_num,
216 meta_dmc_resp_length, meta_dmc_resp_byteenable,
217 meta_dmc_resp_address, meta_dmc_data, meta_dmc_resp_cmd,
218 meta_dmc_resp_cmd_status, meta_dmc_data_status,meta_dmc_ack_cmd_status,
219 arb0_tdmc_data_req, arb0_tdmc_req_accept,
220 meta_dmc_ack_ready, meta_dmc_ack_client, meta_dmc_ack_complete,
221 meta_dmc_ack_dma_num, meta_dmc_ack_cmd, pio_clients_rd,
222 pio_tdmc_sel, pio_clients_addr, pio_clients_wdata,
223 txc_dmc_dma0_getnxtdesc,
224 txc_dmc_dma0_inc_head, txc_dmc_dma0_reset_done,
225 txc_dmc_dma0_mark_bit, txc_dmc_dma0_inc_pkt_cnt,
226 txc_dmc_dma1_getnxtdesc,
227 txc_dmc_dma1_inc_head, txc_dmc_dma1_reset_done,
228 txc_dmc_dma1_mark_bit, txc_dmc_dma1_inc_pkt_cnt,
229 txc_dmc_dma2_getnxtdesc,
230 txc_dmc_dma2_inc_head, txc_dmc_dma2_reset_done,
231 txc_dmc_dma2_mark_bit, txc_dmc_dma2_inc_pkt_cnt,
232 txc_dmc_dma3_getnxtdesc,
233 txc_dmc_dma3_inc_head, txc_dmc_dma3_reset_done,
234 txc_dmc_dma3_mark_bit, txc_dmc_dma3_inc_pkt_cnt,
235 txc_dmc_dma4_getnxtdesc,
236 txc_dmc_dma4_inc_head, txc_dmc_dma4_reset_done,
237 txc_dmc_dma4_mark_bit, txc_dmc_dma4_inc_pkt_cnt,
238 txc_dmc_dma5_getnxtdesc,
239 txc_dmc_dma5_inc_head, txc_dmc_dma5_reset_done,
240 txc_dmc_dma5_mark_bit, txc_dmc_dma5_inc_pkt_cnt,
241 txc_dmc_dma6_getnxtdesc,
242 txc_dmc_dma6_inc_head, txc_dmc_dma6_reset_done,
243 txc_dmc_dma6_mark_bit, txc_dmc_dma6_inc_pkt_cnt,
244 txc_dmc_dma7_getnxtdesc,
245 txc_dmc_dma7_inc_head, txc_dmc_dma7_reset_done,
246 txc_dmc_dma7_mark_bit, txc_dmc_dma7_inc_pkt_cnt,
247 txc_dmc_dma8_getnxtdesc,
248 txc_dmc_dma8_inc_head, txc_dmc_dma8_reset_done,
249 txc_dmc_dma8_mark_bit, txc_dmc_dma8_inc_pkt_cnt,
250 txc_dmc_dma9_getnxtdesc,
251 txc_dmc_dma9_inc_head, txc_dmc_dma9_reset_done,
252 txc_dmc_dma9_mark_bit, txc_dmc_dma9_inc_pkt_cnt,
253 txc_dmc_dma10_getnxtdesc,
254 txc_dmc_dma10_inc_head, txc_dmc_dma10_reset_done,
255 txc_dmc_dma10_mark_bit, txc_dmc_dma10_inc_pkt_cnt,
256 txc_dmc_dma11_getnxtdesc,
257 txc_dmc_dma11_inc_head, txc_dmc_dma11_reset_done,
258 txc_dmc_dma11_mark_bit, txc_dmc_dma11_inc_pkt_cnt,
259 txc_dmc_dma12_getnxtdesc,
260 txc_dmc_dma12_inc_head, txc_dmc_dma12_reset_done,
261 txc_dmc_dma12_mark_bit, txc_dmc_dma12_inc_pkt_cnt,
262 txc_dmc_dma13_getnxtdesc,
263 txc_dmc_dma13_inc_head, txc_dmc_dma13_reset_done,
264 txc_dmc_dma13_mark_bit, txc_dmc_dma13_inc_pkt_cnt,
265 txc_dmc_dma14_getnxtdesc,
266 txc_dmc_dma14_inc_head, txc_dmc_dma14_reset_done,
267 txc_dmc_dma14_mark_bit, txc_dmc_dma14_inc_pkt_cnt,
268 txc_dmc_dma15_getnxtdesc,
269 txc_dmc_dma15_inc_head, txc_dmc_dma15_reset_done,
270 txc_dmc_dma15_mark_bit, txc_dmc_dma15_inc_pkt_cnt,
271`ifdef NEPTUNE
272 txc_dmc_dma16_getnxtdesc,
273 txc_dmc_dma16_inc_head, txc_dmc_dma16_reset_done,
274 txc_dmc_dma16_mark_bit, txc_dmc_dma16_inc_pkt_cnt,
275 txc_dmc_dma17_getnxtdesc,
276 txc_dmc_dma17_inc_head, txc_dmc_dma17_reset_done,
277 txc_dmc_dma17_mark_bit, txc_dmc_dma17_inc_pkt_cnt,
278 txc_dmc_dma18_getnxtdesc,
279 txc_dmc_dma18_inc_head, txc_dmc_dma18_reset_done,
280 txc_dmc_dma18_mark_bit, txc_dmc_dma18_inc_pkt_cnt,
281 txc_dmc_dma19_getnxtdesc,
282 txc_dmc_dma19_inc_head, txc_dmc_dma19_reset_done,
283 txc_dmc_dma19_mark_bit, txc_dmc_dma19_inc_pkt_cnt,
284 txc_dmc_dma20_getnxtdesc,
285 txc_dmc_dma20_inc_head, txc_dmc_dma20_reset_done,
286 txc_dmc_dma20_mark_bit, txc_dmc_dma20_inc_pkt_cnt,
287 txc_dmc_dma21_getnxtdesc,
288 txc_dmc_dma21_inc_head, txc_dmc_dma21_reset_done,
289 txc_dmc_dma21_mark_bit, txc_dmc_dma21_inc_pkt_cnt,
290 txc_dmc_dma22_getnxtdesc,
291 txc_dmc_dma22_inc_head, txc_dmc_dma22_reset_done,
292 txc_dmc_dma22_mark_bit, txc_dmc_dma22_inc_pkt_cnt,
293 txc_dmc_dma23_getnxtdesc,
294 txc_dmc_dma23_inc_head, txc_dmc_dma23_reset_done,
295 txc_dmc_dma23_mark_bit, txc_dmc_dma23_inc_pkt_cnt,
296`else // !ifdef NEPTUNE
297`endif // !ifdef NEPTUNE
298
299 txc_dmc_p0_pkt_size_err_addr, txc_dmc_p0_dma_pkt_size_err,
300 txc_dmc_p0_pkt_size_err,
301 txc_dmc_p1_pkt_size_err_addr, txc_dmc_p1_dma_pkt_size_err,
302 txc_dmc_p1_pkt_size_err,
303`ifdef NEPTUNE
304 txc_dmc_p2_pkt_size_err_addr, txc_dmc_p2_dma_pkt_size_err,
305 txc_dmc_p2_pkt_size_err,
306 txc_dmc_p3_pkt_size_err_addr, txc_dmc_p3_dma_pkt_size_err,
307 txc_dmc_p3_pkt_size_err,
308`else
309`endif
310
311 txc_dmc_dma_nack_pkt_rd, txc_dmc_nack_pkt_rd_addr,
312 txc_dmc_nack_pkt_rd
313 );
314 // Global Signals
315 input niu_clk;
316 input niu_reset_l;
317 output [31:0] tdmc_debug_port;
318
319
320 // Any ifdef in the ports --
321
322 `ifdef NEPTUNE
323 input pio_clients_32b;
324 `else
325 `endif // !ifdef NEPTUNE
326
327 `ifdef NEPTUNE
328 `else
329 input tcu_aclk;
330 input tcu_bclk;
331 input tcu_se_scancollar_in;
332 input tcu_se_scancollar_out;
333 input tcu_array_wr_inhibit;
334 input tcu_scan_en;
335
336 input tds_tdmc_mbist_scan_in;
337 output tds_tdmc_mbist_scan_out;
338 input tcu_mbist_user_mode;
339
340
341 input tcu_tds_tdmc_mbist_start;
342 input tcu_mbist_bisi_en;
343 output tds_tdmc_tcu_mbist_fail;
344 output tds_tdmc_tcu_mbist_done;
345 input l2clk_2x;
346 input iol2clk;
347
348 input [6:0] hdr_sram_rvalue_tdmc;
349 input [1:0] hdr_sram_rid_tdmc;
350 input hdr_sram_wr_en_tdmc;
351 input hdr_sram_red_clr_tdmc;
352 output [6:0] sram_hdr_read_data_tdmc;
353 output [39:0] tds_tcu_dmo_data_out;
354
355 `endif // !ifdef NEPTUNE
356
357
358 // TDMC Meta I/F Output
359
360 output tdmc_arb1_req; // Req Command Request
361 output [1:0] tdmc_arb1_req_port_num; // Port Number
362 output [4:0] tdmc_arb1_req_dma_num; // Channel Number
363 output [7:0] tdmc_arb1_req_cmd; // Command Request
364 output [13:0] tdmc_arb1_req_length; // Packet Length
365 output [63:0] tdmc_arb1_req_address; // Memory Address
366 output dmc_meta_resp_accept;
367 output [1:0] tdmc_arb1_req_func_num;
368
369 input arb1_tdmc_req_accept; // Response to REQ
370 input meta_dmc_resp_ready;
371 input meta_dmc_resp_client;
372 input meta_dmc_resp_complete;
373 input meta_dmc_resp_transfer_cmpl;
374 input meta_dmc_data_valid;
375 input [4:0] meta_dmc_resp_dma_num;
376 input [13:0] meta_dmc_resp_length;
377 input [15:0] meta_dmc_resp_byteenable;
378 input [63:0] meta_dmc_resp_address;
379 input [127:0] meta_dmc_data;
380 input [7:0] meta_dmc_resp_cmd;
381 input [3:0] meta_dmc_resp_cmd_status;
382 input [3:0] meta_dmc_data_status;
383
384
385 output tdmc_arb0_data_valid; // Transfer Data Ack
386 output tdmc_arb0_req; // Req Command Request
387 output tdmc_arb0_transfer_complete; // Transfer Data Complete
388 output [1:0] tdmc_arb0_req_port_num; // Port Number
389 output [3:0] tdmc_arb0_status; // Transfer Data Status
390 output [4:0] tdmc_arb0_req_dma_num; // Channel Number
391 output [7:0] tdmc_arb0_req_cmd; // Command Request
392 output [13:0] tdmc_arb0_req_length; // Packet Length
393 output [15:0] tdmc_arb0_req_byteenable; // First/Last BE
394 output [63:0] tdmc_arb0_req_address; // Memory Address
395 output [127:0] tdmc_arb0_data; // Transfer Data
396 output [1:0] tdmc_arb0_req_func_num;
397
398 output dmc_meta_ack_accept ;
399
400 input arb0_tdmc_data_req; // Memory line request
401 input arb0_tdmc_req_accept; // Response to REQ
402
403 input meta_dmc_ack_ready ;
404 input meta_dmc_ack_client ;
405 input meta_dmc_ack_complete ;
406 input [4:0] meta_dmc_ack_dma_num ;
407 input [7:0] meta_dmc_ack_cmd ;
408
409 input [3:0] meta_dmc_ack_cmd_status;
410
411
412
413 // PIO I/F Outputs
414 output tdmc_pio_ack; // pio read data ack
415 output tdmc_pio_err; // pio read data error
416 output [63:0] tdmc_pio_rdata; // pio read data
417 output [63:0] tdmc_pio_intr;
418 // PIO I/F Inputs
419 input pio_clients_rd; // pio read
420 input pio_tdmc_sel; // pio DMC select
421 input [19:0] pio_clients_addr; // pio address
422 input [63:0] pio_clients_wdata; // pio write data
423
424
425
426
427
428 // TXC Interface -
429
430
431 // DMA0 TXC Interface
432 input txc_dmc_dma0_getnxtdesc;
433 input txc_dmc_dma0_inc_head;
434 input txc_dmc_dma0_reset_done;
435 input txc_dmc_dma0_mark_bit;
436 input txc_dmc_dma0_inc_pkt_cnt;
437
438 output dmc_txc_dma0_active;
439 output dmc_txc_dma0_eoflist;
440 output dmc_txc_dma0_error;
441 output dmc_txc_dma0_gotnxtdesc;
442 output dmc_txc_dma0_cacheready;
443 output dmc_txc_dma0_partial;
444 output dmc_txc_dma0_reset_scheduled;
445 output [19:0] dmc_txc_dma0_page_handle;
446 output [63:0] dmc_txc_dma0_descriptor;
447
448 // DMA1 TXC Interface
449 input txc_dmc_dma1_getnxtdesc;
450 input txc_dmc_dma1_inc_head;
451 input txc_dmc_dma1_reset_done;
452 input txc_dmc_dma1_mark_bit;
453 input txc_dmc_dma1_inc_pkt_cnt;
454
455 output dmc_txc_dma1_active;
456 output dmc_txc_dma1_eoflist;
457 output dmc_txc_dma1_error;
458 output dmc_txc_dma1_gotnxtdesc;
459 output dmc_txc_dma1_cacheready;
460 output dmc_txc_dma1_partial;
461 output dmc_txc_dma1_reset_scheduled;
462 output [19:0] dmc_txc_dma1_page_handle;
463 output [63:0] dmc_txc_dma1_descriptor;
464
465 // DMA2 TXC Interface
466 input txc_dmc_dma2_getnxtdesc;
467 input txc_dmc_dma2_inc_head;
468 input txc_dmc_dma2_reset_done;
469 input txc_dmc_dma2_mark_bit;
470 input txc_dmc_dma2_inc_pkt_cnt;
471
472 output dmc_txc_dma2_active;
473 output dmc_txc_dma2_eoflist;
474 output dmc_txc_dma2_error;
475 output dmc_txc_dma2_gotnxtdesc;
476 output dmc_txc_dma2_cacheready;
477 output dmc_txc_dma2_partial;
478 output dmc_txc_dma2_reset_scheduled;
479 output [19:0] dmc_txc_dma2_page_handle;
480 output [63:0] dmc_txc_dma2_descriptor;
481
482 // DMA3 TXC Interface
483 input txc_dmc_dma3_getnxtdesc;
484 input txc_dmc_dma3_inc_head;
485 input txc_dmc_dma3_reset_done;
486 input txc_dmc_dma3_mark_bit;
487 input txc_dmc_dma3_inc_pkt_cnt;
488
489 output dmc_txc_dma3_active;
490 output dmc_txc_dma3_eoflist;
491 output dmc_txc_dma3_error;
492 output dmc_txc_dma3_gotnxtdesc;
493 output dmc_txc_dma3_cacheready;
494 output dmc_txc_dma3_partial;
495 output dmc_txc_dma3_reset_scheduled;
496 output [19:0] dmc_txc_dma3_page_handle;
497 output [63:0] dmc_txc_dma3_descriptor;
498
499 // DMA4 TXC Interface
500 input txc_dmc_dma4_getnxtdesc;
501 input txc_dmc_dma4_inc_head;
502 input txc_dmc_dma4_reset_done;
503 input txc_dmc_dma4_mark_bit;
504 input txc_dmc_dma4_inc_pkt_cnt;
505
506 output dmc_txc_dma4_active;
507 output dmc_txc_dma4_eoflist;
508 output dmc_txc_dma4_error;
509 output dmc_txc_dma4_gotnxtdesc;
510 output dmc_txc_dma4_cacheready;
511 output dmc_txc_dma4_partial;
512 output dmc_txc_dma4_reset_scheduled;
513 output [19:0] dmc_txc_dma4_page_handle;
514 output [63:0] dmc_txc_dma4_descriptor;
515
516 // DMA5 TXC Interface
517 input txc_dmc_dma5_getnxtdesc;
518 input txc_dmc_dma5_inc_head;
519 input txc_dmc_dma5_reset_done;
520 input txc_dmc_dma5_mark_bit;
521 input txc_dmc_dma5_inc_pkt_cnt;
522
523 output dmc_txc_dma5_active;
524 output dmc_txc_dma5_eoflist;
525 output dmc_txc_dma5_error;
526 output dmc_txc_dma5_gotnxtdesc;
527 output dmc_txc_dma5_cacheready;
528 output dmc_txc_dma5_partial;
529 output dmc_txc_dma5_reset_scheduled;
530 output [19:0] dmc_txc_dma5_page_handle;
531 output [63:0] dmc_txc_dma5_descriptor;
532
533 // DMA6 TXC Interface
534 input txc_dmc_dma6_getnxtdesc;
535 input txc_dmc_dma6_inc_head;
536 input txc_dmc_dma6_reset_done;
537 input txc_dmc_dma6_mark_bit;
538 input txc_dmc_dma6_inc_pkt_cnt;
539
540 output dmc_txc_dma6_active;
541 output dmc_txc_dma6_eoflist;
542 output dmc_txc_dma6_error;
543 output dmc_txc_dma6_gotnxtdesc;
544 output dmc_txc_dma6_cacheready;
545 output dmc_txc_dma6_partial;
546 output dmc_txc_dma6_reset_scheduled;
547 output [19:0] dmc_txc_dma6_page_handle;
548 output [63:0] dmc_txc_dma6_descriptor;
549
550 // DMA7 TXC Interface
551 input txc_dmc_dma7_getnxtdesc;
552 input txc_dmc_dma7_inc_head;
553 input txc_dmc_dma7_reset_done;
554 input txc_dmc_dma7_mark_bit;
555 input txc_dmc_dma7_inc_pkt_cnt;
556
557 output dmc_txc_dma7_active;
558 output dmc_txc_dma7_eoflist;
559 output dmc_txc_dma7_error;
560 output dmc_txc_dma7_gotnxtdesc;
561 output dmc_txc_dma7_cacheready;
562 output dmc_txc_dma7_partial;
563 output dmc_txc_dma7_reset_scheduled;
564 output [19:0] dmc_txc_dma7_page_handle;
565 output [63:0] dmc_txc_dma7_descriptor;
566
567 // DMA8 TXC Interface
568 input txc_dmc_dma8_getnxtdesc;
569 input txc_dmc_dma8_inc_head;
570 input txc_dmc_dma8_reset_done;
571 input txc_dmc_dma8_mark_bit;
572 input txc_dmc_dma8_inc_pkt_cnt;
573
574 output dmc_txc_dma8_active;
575 output dmc_txc_dma8_eoflist;
576 output dmc_txc_dma8_error;
577 output dmc_txc_dma8_gotnxtdesc;
578 output dmc_txc_dma8_cacheready;
579 output dmc_txc_dma8_partial;
580 output dmc_txc_dma8_reset_scheduled;
581 output [19:0] dmc_txc_dma8_page_handle;
582 output [63:0] dmc_txc_dma8_descriptor;
583
584 // DMA9 TXC Interface
585 input txc_dmc_dma9_getnxtdesc;
586 input txc_dmc_dma9_inc_head;
587 input txc_dmc_dma9_reset_done;
588 input txc_dmc_dma9_mark_bit;
589 input txc_dmc_dma9_inc_pkt_cnt;
590
591 output dmc_txc_dma9_active;
592 output dmc_txc_dma9_eoflist;
593 output dmc_txc_dma9_error;
594 output dmc_txc_dma9_gotnxtdesc;
595 output dmc_txc_dma9_cacheready;
596 output dmc_txc_dma9_partial;
597 output dmc_txc_dma9_reset_scheduled;
598 output [19:0] dmc_txc_dma9_page_handle;
599 output [63:0] dmc_txc_dma9_descriptor;
600
601 // DMA10 TXC Interface
602 input txc_dmc_dma10_getnxtdesc;
603 input txc_dmc_dma10_inc_head;
604 input txc_dmc_dma10_reset_done;
605 input txc_dmc_dma10_mark_bit;
606 input txc_dmc_dma10_inc_pkt_cnt;
607
608 output dmc_txc_dma10_active;
609 output dmc_txc_dma10_eoflist;
610 output dmc_txc_dma10_error;
611 output dmc_txc_dma10_gotnxtdesc;
612 output dmc_txc_dma10_cacheready;
613 output dmc_txc_dma10_partial;
614 output dmc_txc_dma10_reset_scheduled;
615 output [19:0] dmc_txc_dma10_page_handle;
616 output [63:0] dmc_txc_dma10_descriptor;
617
618 // DMA11 TXC Interface
619 input txc_dmc_dma11_getnxtdesc;
620 input txc_dmc_dma11_inc_head;
621 input txc_dmc_dma11_reset_done;
622 input txc_dmc_dma11_mark_bit;
623 input txc_dmc_dma11_inc_pkt_cnt;
624
625 output dmc_txc_dma11_active;
626 output dmc_txc_dma11_eoflist;
627 output dmc_txc_dma11_error;
628 output dmc_txc_dma11_gotnxtdesc;
629 output dmc_txc_dma11_cacheready;
630 output dmc_txc_dma11_partial;
631 output dmc_txc_dma11_reset_scheduled;
632 output [19:0] dmc_txc_dma11_page_handle;
633 output [63:0] dmc_txc_dma11_descriptor;
634
635 // DMA12 TXC Interface
636 input txc_dmc_dma12_getnxtdesc;
637 input txc_dmc_dma12_inc_head;
638 input txc_dmc_dma12_reset_done;
639 input txc_dmc_dma12_mark_bit;
640 input txc_dmc_dma12_inc_pkt_cnt;
641
642 output dmc_txc_dma12_active;
643 output dmc_txc_dma12_eoflist;
644 output dmc_txc_dma12_error;
645 output dmc_txc_dma12_gotnxtdesc;
646 output dmc_txc_dma12_cacheready;
647 output dmc_txc_dma12_partial;
648 output dmc_txc_dma12_reset_scheduled;
649 output [19:0] dmc_txc_dma12_page_handle;
650 output [63:0] dmc_txc_dma12_descriptor;
651
652 // DMA13 TXC Interface
653 input txc_dmc_dma13_getnxtdesc;
654 input txc_dmc_dma13_inc_head;
655 input txc_dmc_dma13_reset_done;
656 input txc_dmc_dma13_mark_bit;
657 input txc_dmc_dma13_inc_pkt_cnt;
658
659 output dmc_txc_dma13_active;
660 output dmc_txc_dma13_eoflist;
661 output dmc_txc_dma13_error;
662 output dmc_txc_dma13_gotnxtdesc;
663 output dmc_txc_dma13_cacheready;
664 output dmc_txc_dma13_partial;
665 output dmc_txc_dma13_reset_scheduled;
666 output [19:0] dmc_txc_dma13_page_handle;
667 output [63:0] dmc_txc_dma13_descriptor;
668
669 // DMA14 TXC Interface
670 input txc_dmc_dma14_getnxtdesc;
671 input txc_dmc_dma14_inc_head;
672 input txc_dmc_dma14_reset_done;
673 input txc_dmc_dma14_mark_bit;
674 input txc_dmc_dma14_inc_pkt_cnt;
675
676 output dmc_txc_dma14_active;
677 output dmc_txc_dma14_eoflist;
678 output dmc_txc_dma14_error;
679 output dmc_txc_dma14_gotnxtdesc;
680 output dmc_txc_dma14_cacheready;
681 output dmc_txc_dma14_partial;
682 output dmc_txc_dma14_reset_scheduled;
683 output [19:0] dmc_txc_dma14_page_handle;
684 output [63:0] dmc_txc_dma14_descriptor;
685
686 // DMA15 TXC Interface
687 input txc_dmc_dma15_getnxtdesc;
688 input txc_dmc_dma15_inc_head;
689 input txc_dmc_dma15_reset_done;
690 input txc_dmc_dma15_mark_bit;
691 input txc_dmc_dma15_inc_pkt_cnt;
692
693 output dmc_txc_dma15_active;
694 output dmc_txc_dma15_eoflist;
695 output dmc_txc_dma15_error;
696 output dmc_txc_dma15_gotnxtdesc;
697 output dmc_txc_dma15_cacheready;
698 output dmc_txc_dma15_partial;
699 output dmc_txc_dma15_reset_scheduled;
700 output [19:0] dmc_txc_dma15_page_handle;
701 output [63:0] dmc_txc_dma15_descriptor;
702
703`ifdef NEPTUNE
704 // DMA16 TXC Interface
705 input txc_dmc_dma16_getnxtdesc;
706 input txc_dmc_dma16_inc_head;
707 input txc_dmc_dma16_reset_done;
708 input txc_dmc_dma16_mark_bit;
709 input txc_dmc_dma16_inc_pkt_cnt;
710
711 output dmc_txc_dma16_active;
712 output dmc_txc_dma16_eoflist;
713 output dmc_txc_dma16_error;
714 output dmc_txc_dma16_gotnxtdesc;
715 output dmc_txc_dma16_cacheready;
716 output dmc_txc_dma16_partial;
717 output dmc_txc_dma16_reset_scheduled;
718 output [19:0] dmc_txc_dma16_page_handle;
719 output [63:0] dmc_txc_dma16_descriptor;
720
721 // DMA17 TXC Interface
722 input txc_dmc_dma17_getnxtdesc;
723 input txc_dmc_dma17_inc_head;
724 input txc_dmc_dma17_reset_done;
725 input txc_dmc_dma17_mark_bit;
726 input txc_dmc_dma17_inc_pkt_cnt;
727
728 output dmc_txc_dma17_active;
729 output dmc_txc_dma17_eoflist;
730 output dmc_txc_dma17_error;
731 output dmc_txc_dma17_gotnxtdesc;
732 output dmc_txc_dma17_cacheready;
733 output dmc_txc_dma17_partial;
734 output dmc_txc_dma17_reset_scheduled;
735 output [19:0] dmc_txc_dma17_page_handle;
736 output [63:0] dmc_txc_dma17_descriptor;
737
738 // DMA18 TXC Interface
739 input txc_dmc_dma18_getnxtdesc;
740 input txc_dmc_dma18_inc_head;
741 input txc_dmc_dma18_reset_done;
742 input txc_dmc_dma18_mark_bit;
743 input txc_dmc_dma18_inc_pkt_cnt;
744
745 output dmc_txc_dma18_active;
746 output dmc_txc_dma18_eoflist;
747 output dmc_txc_dma18_error;
748 output dmc_txc_dma18_gotnxtdesc;
749 output dmc_txc_dma18_cacheready;
750 output dmc_txc_dma18_partial;
751 output dmc_txc_dma18_reset_scheduled;
752 output [19:0] dmc_txc_dma18_page_handle;
753 output [63:0] dmc_txc_dma18_descriptor;
754
755 // DMA19 TXC Interface
756 input txc_dmc_dma19_getnxtdesc;
757 input txc_dmc_dma19_inc_head;
758 input txc_dmc_dma19_reset_done;
759 input txc_dmc_dma19_mark_bit;
760 input txc_dmc_dma19_inc_pkt_cnt;
761
762 output dmc_txc_dma19_active;
763 output dmc_txc_dma19_eoflist;
764 output dmc_txc_dma19_error;
765 output dmc_txc_dma19_gotnxtdesc;
766 output dmc_txc_dma19_cacheready;
767 output dmc_txc_dma19_partial;
768 output dmc_txc_dma19_reset_scheduled;
769 output [19:0] dmc_txc_dma19_page_handle;
770 output [63:0] dmc_txc_dma19_descriptor;
771
772 // DMA20 TXC Interface
773 input txc_dmc_dma20_getnxtdesc;
774 input txc_dmc_dma20_inc_head;
775 input txc_dmc_dma20_reset_done;
776 input txc_dmc_dma20_mark_bit;
777 input txc_dmc_dma20_inc_pkt_cnt;
778
779 output dmc_txc_dma20_active;
780 output dmc_txc_dma20_eoflist;
781 output dmc_txc_dma20_error;
782 output dmc_txc_dma20_gotnxtdesc;
783 output dmc_txc_dma20_cacheready;
784 output dmc_txc_dma20_partial;
785 output dmc_txc_dma20_reset_scheduled;
786 output [19:0] dmc_txc_dma20_page_handle;
787 output [63:0] dmc_txc_dma20_descriptor;
788
789 // DMA21 TXC Interface
790 input txc_dmc_dma21_getnxtdesc;
791 input txc_dmc_dma21_inc_head;
792 input txc_dmc_dma21_reset_done;
793 input txc_dmc_dma21_mark_bit;
794 input txc_dmc_dma21_inc_pkt_cnt;
795
796 output dmc_txc_dma21_active;
797 output dmc_txc_dma21_eoflist;
798 output dmc_txc_dma21_error;
799 output dmc_txc_dma21_gotnxtdesc;
800 output dmc_txc_dma21_cacheready;
801 output dmc_txc_dma21_partial;
802 output dmc_txc_dma21_reset_scheduled;
803 output [19:0] dmc_txc_dma21_page_handle;
804 output [63:0] dmc_txc_dma21_descriptor;
805
806 // DMA22 TXC Interface
807 input txc_dmc_dma22_getnxtdesc;
808 input txc_dmc_dma22_inc_head;
809 input txc_dmc_dma22_reset_done;
810 input txc_dmc_dma22_mark_bit;
811 input txc_dmc_dma22_inc_pkt_cnt;
812
813 output dmc_txc_dma22_active;
814 output dmc_txc_dma22_eoflist;
815 output dmc_txc_dma22_error;
816 output dmc_txc_dma22_gotnxtdesc;
817 output dmc_txc_dma22_cacheready;
818 output dmc_txc_dma22_partial;
819 output dmc_txc_dma22_reset_scheduled;
820 output [19:0] dmc_txc_dma22_page_handle;
821 output [63:0] dmc_txc_dma22_descriptor;
822
823 // DMA23 TXC Interface
824 input txc_dmc_dma23_getnxtdesc;
825 input txc_dmc_dma23_inc_head;
826 input txc_dmc_dma23_reset_done;
827 input txc_dmc_dma23_mark_bit;
828 input txc_dmc_dma23_inc_pkt_cnt;
829
830 output dmc_txc_dma23_active;
831 output dmc_txc_dma23_eoflist;
832 output dmc_txc_dma23_error;
833 output dmc_txc_dma23_gotnxtdesc;
834 output dmc_txc_dma23_cacheready;
835 output dmc_txc_dma23_partial;
836 output dmc_txc_dma23_reset_scheduled;
837 output [19:0] dmc_txc_dma23_page_handle;
838 output [63:0] dmc_txc_dma23_descriptor;
839
840
841`else // !ifdef NEPTUNE
842`endif // !ifdef NEPTUNE
843
844
845 output [1:0] dmc_txc_dma0_func_num;
846 output [1:0] dmc_txc_dma1_func_num;
847 output [1:0] dmc_txc_dma2_func_num;
848 output [1:0] dmc_txc_dma3_func_num;
849 output [1:0] dmc_txc_dma4_func_num;
850 output [1:0] dmc_txc_dma5_func_num;
851 output [1:0] dmc_txc_dma6_func_num;
852 output [1:0] dmc_txc_dma7_func_num;
853 output [1:0] dmc_txc_dma8_func_num;
854 output [1:0] dmc_txc_dma9_func_num;
855 output [1:0] dmc_txc_dma10_func_num;
856 output [1:0] dmc_txc_dma11_func_num;
857 output [1:0] dmc_txc_dma12_func_num;
858 output [1:0] dmc_txc_dma13_func_num;
859 output [1:0] dmc_txc_dma14_func_num;
860 output [1:0] dmc_txc_dma15_func_num;
861`ifdef NEPTUNE
862 output [1:0] dmc_txc_dma16_func_num;
863 output [1:0] dmc_txc_dma17_func_num;
864 output [1:0] dmc_txc_dma18_func_num;
865 output [1:0] dmc_txc_dma19_func_num;
866 output [1:0] dmc_txc_dma20_func_num;
867 output [1:0] dmc_txc_dma21_func_num;
868 output [1:0] dmc_txc_dma22_func_num;
869 output [1:0] dmc_txc_dma23_func_num;
870`else // !ifdef NEPTUNE
871`endif // !ifdef NEPTUNE
872
873
874
875
876 output dmc_txc_tx_addr_md; // 1 would indicate 32bit addressing mode
877
878 // TXC- TDMC -Error Interface
879 input [`NO_OF_DMAS - 1:0] txc_dmc_dma_nack_pkt_rd;
880 input [43:0] txc_dmc_nack_pkt_rd_addr;
881 input txc_dmc_nack_pkt_rd; // pulse to indicate error
882
883
884 input [`NO_OF_DMAS - 1:0] txc_dmc_p0_dma_pkt_size_err; // one-hot encoded
885 input [43:0] txc_dmc_p0_pkt_size_err_addr;
886 input txc_dmc_p0_pkt_size_err; // pulse to indicate error
887
888
889 input [`NO_OF_DMAS - 1:0] txc_dmc_p1_dma_pkt_size_err; // one-hot encoded
890 input [43:0] txc_dmc_p1_pkt_size_err_addr;
891 input txc_dmc_p1_pkt_size_err; // pulse to indicate error
892
893`ifdef NEPTUNE
894 input [`NO_OF_DMAS - 1:0] txc_dmc_p2_dma_pkt_size_err; // one-hot encoded
895 input [43:0] txc_dmc_p2_pkt_size_err_addr;
896 input txc_dmc_p2_pkt_size_err; // pulse to indicate error
897
898
899 input [`NO_OF_DMAS - 1:0] txc_dmc_p3_dma_pkt_size_err; // one-hot encoded
900 input [43:0] txc_dmc_p3_pkt_size_err_addr;
901 input txc_dmc_p3_pkt_size_err; // pulse to indicate error
902`else
903`endif // !`ifdef NEPTUNE
904
905
906
907
908
909
910 wire[31:0] dma0_debug_port;
911 wire[31:0] dma1_debug_port;
912 wire[31:0] dma2_debug_port;
913 wire[31:0] dma3_debug_port;
914 wire[31:0] dma4_debug_port;
915 wire[31:0] dma5_debug_port;
916 wire[31:0] dma6_debug_port;
917 wire[31:0] dma7_debug_port;
918 wire[31:0] dma8_debug_port;
919 wire[31:0] dma9_debug_port;
920 wire[31:0] dma10_debug_port;
921 wire[31:0] dma11_debug_port;
922 wire[31:0] dma12_debug_port;
923 wire[31:0] dma13_debug_port;
924 wire[31:0] dma14_debug_port;
925 wire[31:0] dma15_debug_port;
926
927`ifdef NEPTUNE
928 wire[31:0] dma16_debug_port;
929 wire[31:0] dma17_debug_port;
930 wire[31:0] dma18_debug_port;
931 wire[31:0] dma19_debug_port;
932 wire[31:0] dma20_debug_port;
933 wire[31:0] dma21_debug_port;
934 wire[31:0] dma22_debug_port;
935 wire[31:0] dma23_debug_port;
936`else
937`endif
938
939
940
941 //*************//
942
943
944 /*AUTOJUNK*/
945 // Beginning of automatic wires (for undeclared instantiated-module outputs)
946 wire DMC_TxCache_SMX_Req; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
947 wire [63:0] DMC_TxCache_SMX_Req_Address;// From dmc_cache_dataFetch of dmc_cache_dataFetch.v
948 wire [7:0] DMC_TxCache_SMX_Req_Cmd;// From dmc_cache_dataFetch of dmc_cache_dataFetch.v
949 wire [4:0] DMC_TxCache_SMX_Req_DMA_Num;// From dmc_cache_dataFetch of dmc_cache_dataFetch.v
950 wire [13:0] DMC_TxCache_SMX_Req_Length;// From dmc_cache_dataFetch of dmc_cache_dataFetch.v
951 wire [1:0] DMC_TxCache_SMX_Req_Port_Num;// From dmc_cache_dataFetch of dmc_cache_dataFetch.v
952 wire DMC_TxCache_SMX_Resp_Accept;// From dmc_cache_dataFetch of dmc_cache_dataFetch.v
953 wire SMX_DMC_TxCache_Req_Ack;// From dmc_txarb of dmc_txarb.v
954 wire [63:0] SMX_DMC_TxCache_Resp_Address;// From dmc_txarb of dmc_txarb.v
955 wire [15:0] SMX_DMC_TxCache_Resp_ByteEnables;// From dmc_txarb of dmc_txarb.v
956 wire SMX_DMC_TxCache_Resp_Complete;// From dmc_txarb of dmc_txarb.v
957 wire [4:0] SMX_DMC_TxCache_Resp_DMA_Num;// From dmc_txarb of dmc_txarb.v
958 wire [127:0] SMX_DMC_TxCache_Resp_Data;// From dmc_txarb of dmc_txarb.v
959 wire [13:0] SMX_DMC_TxCache_Resp_Data_Length;// From dmc_txarb of dmc_txarb.v
960 wire SMX_DMC_TxCache_Resp_Data_Valid;// From dmc_txarb of dmc_txarb.v
961 wire SMX_DMC_TxCache_Resp_Rdy;// From dmc_txarb of dmc_txarb.v
962 wire SMX_DMC_TxCache_Trans_Complete;// From dmc_txarb of dmc_txarb.v
963
964 wire [37:0] tx_dma_cfg_dma0_mbaddr; // From dmc_txpios of dmc_txpios.v
965 wire tx_dma_cfg_dma0_rst; // From dmc_txpios of dmc_txpios.v
966 wire tx_dma_cfg_dma0_stall; // From dmc_txpios of dmc_txpios.v
967 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma0_len; // From dmc_txpios of dmc_txpios.v
968 wire [37:0] tx_rng_cfg_dma0_staddr; // From dmc_txpios of dmc_txpios.v
969 wire [`PTR_WIDTH:0] tx_rng_head_dma0; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
970 wire [`PTR_WIDTH:0] tx_rng_tail_dma0; // From dmc_txpios of dmc_txpios.v
971
972 wire [37:0] tx_dma_cfg_dma1_mbaddr; // From dmc_txpios of dmc_txpios.v
973 wire tx_dma_cfg_dma1_rst; // From dmc_txpios of dmc_txpios.v
974 wire tx_dma_cfg_dma1_stall; // From dmc_txpios of dmc_txpios.v
975 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma1_len; // From dmc_txpios of dmc_txpios.v
976 wire [37:0] tx_rng_cfg_dma1_staddr; // From dmc_txpios of dmc_txpios.v
977 wire [`PTR_WIDTH:0] tx_rng_head_dma1; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
978 wire [`PTR_WIDTH:0] tx_rng_tail_dma1; // From dmc_txpios of dmc_txpios.v
979 wire [37:0] tx_dma_cfg_dma2_mbaddr; // From dmc_txpios of dmc_txpios.v
980 wire tx_dma_cfg_dma2_rst; // From dmc_txpios of dmc_txpios.v
981 wire tx_dma_cfg_dma2_stall; // From dmc_txpios of dmc_txpios.v
982 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma2_len; // From dmc_txpios of dmc_txpios.v
983 wire [37:0] tx_rng_cfg_dma2_staddr; // From dmc_txpios of dmc_txpios.v
984 wire [`PTR_WIDTH:0] tx_rng_head_dma2; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
985 wire [`PTR_WIDTH:0] tx_rng_tail_dma2; // From dmc_txpios of dmc_txpios.v
986 wire [37:0] tx_dma_cfg_dma3_mbaddr; // From dmc_txpios of dmc_txpios.v
987 wire tx_dma_cfg_dma3_rst; // From dmc_txpios of dmc_txpios.v
988 wire tx_dma_cfg_dma3_stall; // From dmc_txpios of dmc_txpios.v
989 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma3_len; // From dmc_txpios of dmc_txpios.v
990 wire [37:0] tx_rng_cfg_dma3_staddr; // From dmc_txpios of dmc_txpios.v
991 wire [`PTR_WIDTH:0] tx_rng_head_dma3; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
992 wire [`PTR_WIDTH:0] tx_rng_tail_dma3; // From dmc_txpios of dmc_txpios.v
993 wire [37:0] tx_dma_cfg_dma4_mbaddr; // From dmc_txpios of dmc_txpios.v
994 wire tx_dma_cfg_dma4_rst; // From dmc_txpios of dmc_txpios.v
995 wire tx_dma_cfg_dma4_stall; // From dmc_txpios of dmc_txpios.v
996 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma4_len; // From dmc_txpios of dmc_txpios.v
997 wire [37:0] tx_rng_cfg_dma4_staddr; // From dmc_txpios of dmc_txpios.v
998 wire [`PTR_WIDTH:0] tx_rng_head_dma4; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
999 wire [`PTR_WIDTH:0] tx_rng_tail_dma4; // From dmc_txpios of dmc_txpios.v
1000 wire [37:0] tx_dma_cfg_dma5_mbaddr; // From dmc_txpios of dmc_txpios.v
1001 wire tx_dma_cfg_dma5_rst; // From dmc_txpios of dmc_txpios.v
1002 wire tx_dma_cfg_dma5_stall; // From dmc_txpios of dmc_txpios.v
1003 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma5_len; // From dmc_txpios of dmc_txpios.v
1004 wire [37:0] tx_rng_cfg_dma5_staddr; // From dmc_txpios of dmc_txpios.v
1005 wire [`PTR_WIDTH:0] tx_rng_head_dma5; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1006 wire [`PTR_WIDTH:0] tx_rng_tail_dma5; // From dmc_txpios of dmc_txpios.v
1007 wire [37:0] tx_dma_cfg_dma6_mbaddr; // From dmc_txpios of dmc_txpios.v
1008 wire tx_dma_cfg_dma6_rst; // From dmc_txpios of dmc_txpios.v
1009 wire tx_dma_cfg_dma6_stall; // From dmc_txpios of dmc_txpios.v
1010 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma6_len; // From dmc_txpios of dmc_txpios.v
1011 wire [37:0] tx_rng_cfg_dma6_staddr; // From dmc_txpios of dmc_txpios.v
1012 wire [`PTR_WIDTH:0] tx_rng_head_dma6; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1013 wire [`PTR_WIDTH:0] tx_rng_tail_dma6; // From dmc_txpios of dmc_txpios.v
1014 wire [37:0] tx_dma_cfg_dma7_mbaddr; // From dmc_txpios of dmc_txpios.v
1015 wire tx_dma_cfg_dma7_rst; // From dmc_txpios of dmc_txpios.v
1016 wire tx_dma_cfg_dma7_stall; // From dmc_txpios of dmc_txpios.v
1017 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma7_len; // From dmc_txpios of dmc_txpios.v
1018 wire [37:0] tx_rng_cfg_dma7_staddr; // From dmc_txpios of dmc_txpios.v
1019 wire [`PTR_WIDTH:0] tx_rng_head_dma7; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1020 wire [`PTR_WIDTH:0] tx_rng_tail_dma7; // From dmc_txpios of dmc_txpios.v
1021 wire [37:0] tx_dma_cfg_dma8_mbaddr; // From dmc_txpios of dmc_txpios.v
1022 wire tx_dma_cfg_dma8_rst; // From dmc_txpios of dmc_txpios.v
1023 wire tx_dma_cfg_dma8_stall; // From dmc_txpios of dmc_txpios.v
1024 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma8_len; // From dmc_txpios of dmc_txpios.v
1025 wire [37:0] tx_rng_cfg_dma8_staddr; // From dmc_txpios of dmc_txpios.v
1026 wire [`PTR_WIDTH:0] tx_rng_head_dma8; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1027 wire [`PTR_WIDTH:0] tx_rng_tail_dma8; // From dmc_txpios of dmc_txpios.v
1028 wire [37:0] tx_dma_cfg_dma9_mbaddr; // From dmc_txpios of dmc_txpios.v
1029 wire tx_dma_cfg_dma9_rst; // From dmc_txpios of dmc_txpios.v
1030 wire tx_dma_cfg_dma9_stall; // From dmc_txpios of dmc_txpios.v
1031 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma9_len; // From dmc_txpios of dmc_txpios.v
1032 wire [37:0] tx_rng_cfg_dma9_staddr; // From dmc_txpios of dmc_txpios.v
1033 wire [`PTR_WIDTH:0] tx_rng_head_dma9; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1034 wire [`PTR_WIDTH:0] tx_rng_tail_dma9; // From dmc_txpios of dmc_txpios.v
1035 wire [37:0] tx_dma_cfg_dma10_mbaddr; // From dmc_txpios of dmc_txpios.v
1036 wire tx_dma_cfg_dma10_rst; // From dmc_txpios of dmc_txpios.v
1037 wire tx_dma_cfg_dma10_stall; // From dmc_txpios of dmc_txpios.v
1038 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma10_len; // From dmc_txpios of dmc_txpios.v
1039 wire [37:0] tx_rng_cfg_dma10_staddr; // From dmc_txpios of dmc_txpios.v
1040 wire [`PTR_WIDTH:0] tx_rng_head_dma10; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1041 wire [`PTR_WIDTH:0] tx_rng_tail_dma10; // From dmc_txpios of dmc_txpios.v
1042 wire [37:0] tx_dma_cfg_dma11_mbaddr; // From dmc_txpios of dmc_txpios.v
1043 wire tx_dma_cfg_dma11_rst; // From dmc_txpios of dmc_txpios.v
1044 wire tx_dma_cfg_dma11_stall; // From dmc_txpios of dmc_txpios.v
1045 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma11_len; // From dmc_txpios of dmc_txpios.v
1046 wire [37:0] tx_rng_cfg_dma11_staddr; // From dmc_txpios of dmc_txpios.v
1047 wire [`PTR_WIDTH:0] tx_rng_head_dma11; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1048 wire [`PTR_WIDTH:0] tx_rng_tail_dma11; // From dmc_txpios of dmc_txpios.v
1049 wire [37:0] tx_dma_cfg_dma12_mbaddr; // From dmc_txpios of dmc_txpios.v
1050 wire tx_dma_cfg_dma12_rst; // From dmc_txpios of dmc_txpios.v
1051 wire tx_dma_cfg_dma12_stall; // From dmc_txpios of dmc_txpios.v
1052 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma12_len; // From dmc_txpios of dmc_txpios.v
1053 wire [37:0] tx_rng_cfg_dma12_staddr; // From dmc_txpios of dmc_txpios.v
1054 wire [`PTR_WIDTH:0] tx_rng_head_dma12; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1055 wire [`PTR_WIDTH:0] tx_rng_tail_dma12; // From dmc_txpios of dmc_txpios.v
1056 wire [37:0] tx_dma_cfg_dma13_mbaddr; // From dmc_txpios of dmc_txpios.v
1057 wire tx_dma_cfg_dma13_rst; // From dmc_txpios of dmc_txpios.v
1058 wire tx_dma_cfg_dma13_stall; // From dmc_txpios of dmc_txpios.v
1059 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma13_len; // From dmc_txpios of dmc_txpios.v
1060 wire [37:0] tx_rng_cfg_dma13_staddr; // From dmc_txpios of dmc_txpios.v
1061 wire [`PTR_WIDTH:0] tx_rng_head_dma13; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1062 wire [`PTR_WIDTH:0] tx_rng_tail_dma13; // From dmc_txpios of dmc_txpios.v
1063 wire [37:0] tx_dma_cfg_dma14_mbaddr; // From dmc_txpios of dmc_txpios.v
1064 wire tx_dma_cfg_dma14_rst; // From dmc_txpios of dmc_txpios.v
1065 wire tx_dma_cfg_dma14_stall; // From dmc_txpios of dmc_txpios.v
1066 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma14_len; // From dmc_txpios of dmc_txpios.v
1067 wire [37:0] tx_rng_cfg_dma14_staddr; // From dmc_txpios of dmc_txpios.v
1068 wire [`PTR_WIDTH:0] tx_rng_head_dma14; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1069 wire [`PTR_WIDTH:0] tx_rng_tail_dma14; // From dmc_txpios of dmc_txpios.v
1070 wire [37:0] tx_dma_cfg_dma15_mbaddr; // From dmc_txpios of dmc_txpios.v
1071 wire tx_dma_cfg_dma15_rst; // From dmc_txpios of dmc_txpios.v
1072 wire tx_dma_cfg_dma15_stall; // From dmc_txpios of dmc_txpios.v
1073 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma15_len; // From dmc_txpios of dmc_txpios.v
1074 wire [37:0] tx_rng_cfg_dma15_staddr; // From dmc_txpios of dmc_txpios.v
1075 wire [`PTR_WIDTH:0] tx_rng_head_dma15; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1076 wire [`PTR_WIDTH:0] tx_rng_tail_dma15; // From dmc_txpios of dmc_txpios.v
1077`ifdef NEPTUNE
1078 wire [37:0] tx_dma_cfg_dma16_mbaddr; // From dmc_txpios of dmc_txpios.v
1079 wire tx_dma_cfg_dma16_rst; // From dmc_txpios of dmc_txpios.v
1080 wire tx_dma_cfg_dma16_stall; // From dmc_txpios of dmc_txpios.v
1081 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma16_len; // From dmc_txpios of dmc_txpios.v
1082 wire [37:0] tx_rng_cfg_dma16_staddr; // From dmc_txpios of dmc_txpios.v
1083 wire [`PTR_WIDTH:0] tx_rng_head_dma16; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1084 wire [`PTR_WIDTH:0] tx_rng_tail_dma16; // From dmc_txpios of dmc_txpios.v
1085 wire [37:0] tx_dma_cfg_dma17_mbaddr; // From dmc_txpios of dmc_txpios.v
1086 wire tx_dma_cfg_dma17_rst; // From dmc_txpios of dmc_txpios.v
1087 wire tx_dma_cfg_dma17_stall; // From dmc_txpios of dmc_txpios.v
1088 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma17_len; // From dmc_txpios of dmc_txpios.v
1089 wire [37:0] tx_rng_cfg_dma17_staddr; // From dmc_txpios of dmc_txpios.v
1090 wire [`PTR_WIDTH:0] tx_rng_head_dma17; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1091 wire [`PTR_WIDTH:0] tx_rng_tail_dma17; // From dmc_txpios of dmc_txpios.v
1092 wire [37:0] tx_dma_cfg_dma18_mbaddr; // From dmc_txpios of dmc_txpios.v
1093 wire tx_dma_cfg_dma18_rst; // From dmc_txpios of dmc_txpios.v
1094 wire tx_dma_cfg_dma18_stall; // From dmc_txpios of dmc_txpios.v
1095 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma18_len; // From dmc_txpios of dmc_txpios.v
1096 wire [37:0] tx_rng_cfg_dma18_staddr; // From dmc_txpios of dmc_txpios.v
1097 wire [`PTR_WIDTH:0] tx_rng_head_dma18; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1098 wire [`PTR_WIDTH:0] tx_rng_tail_dma18; // From dmc_txpios of dmc_txpios.v
1099 wire [37:0] tx_dma_cfg_dma19_mbaddr; // From dmc_txpios of dmc_txpios.v
1100 wire tx_dma_cfg_dma19_rst; // From dmc_txpios of dmc_txpios.v
1101 wire tx_dma_cfg_dma19_stall; // From dmc_txpios of dmc_txpios.v
1102 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma19_len; // From dmc_txpios of dmc_txpios.v
1103 wire [37:0] tx_rng_cfg_dma19_staddr; // From dmc_txpios of dmc_txpios.v
1104 wire [`PTR_WIDTH:0] tx_rng_head_dma19; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1105 wire [`PTR_WIDTH:0] tx_rng_tail_dma19; // From dmc_txpios of dmc_txpios.v
1106 wire [37:0] tx_dma_cfg_dma20_mbaddr; // From dmc_txpios of dmc_txpios.v
1107 wire tx_dma_cfg_dma20_rst; // From dmc_txpios of dmc_txpios.v
1108 wire tx_dma_cfg_dma20_stall; // From dmc_txpios of dmc_txpios.v
1109 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma20_len; // From dmc_txpios of dmc_txpios.v
1110 wire [37:0] tx_rng_cfg_dma20_staddr; // From dmc_txpios of dmc_txpios.v
1111 wire [`PTR_WIDTH:0] tx_rng_head_dma20; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1112 wire [`PTR_WIDTH:0] tx_rng_tail_dma20; // From dmc_txpios of dmc_txpios.v
1113 wire [37:0] tx_dma_cfg_dma21_mbaddr; // From dmc_txpios of dmc_txpios.v
1114 wire tx_dma_cfg_dma21_rst; // From dmc_txpios of dmc_txpios.v
1115 wire tx_dma_cfg_dma21_stall; // From dmc_txpios of dmc_txpios.v
1116 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma21_len; // From dmc_txpios of dmc_txpios.v
1117 wire [37:0] tx_rng_cfg_dma21_staddr; // From dmc_txpios of dmc_txpios.v
1118 wire [`PTR_WIDTH:0] tx_rng_head_dma21; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1119 wire [`PTR_WIDTH:0] tx_rng_tail_dma21; // From dmc_txpios of dmc_txpios.v
1120 wire [37:0] tx_dma_cfg_dma22_mbaddr; // From dmc_txpios of dmc_txpios.v
1121 wire tx_dma_cfg_dma22_rst; // From dmc_txpios of dmc_txpios.v
1122 wire tx_dma_cfg_dma22_stall; // From dmc_txpios of dmc_txpios.v
1123 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma22_len; // From dmc_txpios of dmc_txpios.v
1124 wire [37:0] tx_rng_cfg_dma22_staddr; // From dmc_txpios of dmc_txpios.v
1125 wire [`PTR_WIDTH:0] tx_rng_head_dma22; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1126 wire [`PTR_WIDTH:0] tx_rng_tail_dma22; // From dmc_txpios of dmc_txpios.v
1127 wire [37:0] tx_dma_cfg_dma23_mbaddr; // From dmc_txpios of dmc_txpios.v
1128 wire tx_dma_cfg_dma23_rst; // From dmc_txpios of dmc_txpios.v
1129 wire tx_dma_cfg_dma23_stall; // From dmc_txpios of dmc_txpios.v
1130 wire [`RNG_LENGTH_WIDTH -1:0] tx_rng_cfg_dma23_len; // From dmc_txpios of dmc_txpios.v
1131 wire [37:0] tx_rng_cfg_dma23_staddr; // From dmc_txpios of dmc_txpios.v
1132 wire [`PTR_WIDTH:0] tx_rng_head_dma23; // From dmc_cache_dataFetch of dmc_cache_dataFetch.v
1133 wire [`PTR_WIDTH:0] tx_rng_tail_dma23; // From dmc_txpios of dmc_txpios.v
1134
1135`else // !ifdef NEPTUNE
1136`endif // !ifdef NEPTUNE
1137
1138 // fzc signals
1139
1140
1141 wire [31:0] page0_mask_dma0;
1142 wire [31:0] page0_value_dma0;
1143 wire [31:0] page0_reloc_dma0;
1144 wire page0_valid_dma0;
1145 wire [31:0] page1_mask_dma0;
1146 wire [31:0] page1_value_dma0;
1147 wire [31:0] page1_reloc_dma0;
1148 wire page1_valid_dma0;
1149 wire [31:0] page0_mask_dma1;
1150 wire [31:0] page0_value_dma1;
1151 wire [31:0] page0_reloc_dma1;
1152 wire page0_valid_dma1;
1153 wire [31:0] page1_mask_dma1;
1154 wire [31:0] page1_value_dma1;
1155 wire [31:0] page1_reloc_dma1;
1156 wire page1_valid_dma1;
1157 wire [31:0] page0_mask_dma2;
1158 wire [31:0] page0_value_dma2;
1159 wire [31:0] page0_reloc_dma2;
1160 wire page0_valid_dma2;
1161 wire [31:0] page1_mask_dma2;
1162 wire [31:0] page1_value_dma2;
1163 wire [31:0] page1_reloc_dma2;
1164 wire page1_valid_dma2;
1165 wire [31:0] page0_mask_dma3;
1166 wire [31:0] page0_value_dma3;
1167 wire [31:0] page0_reloc_dma3;
1168 wire page0_valid_dma3;
1169 wire [31:0] page1_mask_dma3;
1170 wire [31:0] page1_value_dma3;
1171 wire [31:0] page1_reloc_dma3;
1172 wire page1_valid_dma3;
1173 wire [31:0] page0_mask_dma4;
1174 wire [31:0] page0_value_dma4;
1175 wire [31:0] page0_reloc_dma4;
1176 wire page0_valid_dma4;
1177 wire [31:0] page1_mask_dma4;
1178 wire [31:0] page1_value_dma4;
1179 wire [31:0] page1_reloc_dma4;
1180 wire page1_valid_dma4;
1181 wire [31:0] page0_mask_dma5;
1182 wire [31:0] page0_value_dma5;
1183 wire [31:0] page0_reloc_dma5;
1184 wire page0_valid_dma5;
1185 wire [31:0] page1_mask_dma5;
1186 wire [31:0] page1_value_dma5;
1187 wire [31:0] page1_reloc_dma5;
1188 wire page1_valid_dma5;
1189 wire [31:0] page0_mask_dma6;
1190 wire [31:0] page0_value_dma6;
1191 wire [31:0] page0_reloc_dma6;
1192 wire page0_valid_dma6;
1193 wire [31:0] page1_mask_dma6;
1194 wire [31:0] page1_value_dma6;
1195 wire [31:0] page1_reloc_dma6;
1196 wire page1_valid_dma6;
1197 wire [31:0] page0_mask_dma7;
1198 wire [31:0] page0_value_dma7;
1199 wire [31:0] page0_reloc_dma7;
1200 wire page0_valid_dma7;
1201 wire [31:0] page1_mask_dma7;
1202 wire [31:0] page1_value_dma7;
1203 wire [31:0] page1_reloc_dma7;
1204 wire page1_valid_dma7;
1205 wire [31:0] page0_mask_dma8;
1206 wire [31:0] page0_value_dma8;
1207 wire [31:0] page0_reloc_dma8;
1208 wire page0_valid_dma8;
1209 wire [31:0] page1_mask_dma8;
1210 wire [31:0] page1_value_dma8;
1211 wire [31:0] page1_reloc_dma8;
1212 wire page1_valid_dma8;
1213 wire [31:0] page0_mask_dma9;
1214 wire [31:0] page0_value_dma9;
1215 wire [31:0] page0_reloc_dma9;
1216 wire page0_valid_dma9;
1217 wire [31:0] page1_mask_dma9;
1218 wire [31:0] page1_value_dma9;
1219 wire [31:0] page1_reloc_dma9;
1220 wire page1_valid_dma9;
1221 wire [31:0] page0_mask_dma10;
1222 wire [31:0] page0_value_dma10;
1223 wire [31:0] page0_reloc_dma10;
1224 wire page0_valid_dma10;
1225 wire [31:0] page1_mask_dma10;
1226 wire [31:0] page1_value_dma10;
1227 wire [31:0] page1_reloc_dma10;
1228 wire page1_valid_dma10;
1229 wire [31:0] page0_mask_dma11;
1230 wire [31:0] page0_value_dma11;
1231 wire [31:0] page0_reloc_dma11;
1232 wire page0_valid_dma11;
1233 wire [31:0] page1_mask_dma11;
1234 wire [31:0] page1_value_dma11;
1235 wire [31:0] page1_reloc_dma11;
1236 wire page1_valid_dma11;
1237 wire [31:0] page0_mask_dma12;
1238 wire [31:0] page0_value_dma12;
1239 wire [31:0] page0_reloc_dma12;
1240 wire page0_valid_dma12;
1241 wire [31:0] page1_mask_dma12;
1242 wire [31:0] page1_value_dma12;
1243 wire [31:0] page1_reloc_dma12;
1244 wire page1_valid_dma12;
1245 wire [31:0] page0_mask_dma13;
1246 wire [31:0] page0_value_dma13;
1247 wire [31:0] page0_reloc_dma13;
1248 wire page0_valid_dma13;
1249 wire [31:0] page1_mask_dma13;
1250 wire [31:0] page1_value_dma13;
1251 wire [31:0] page1_reloc_dma13;
1252 wire page1_valid_dma13;
1253 wire [31:0] page0_mask_dma14;
1254 wire [31:0] page0_value_dma14;
1255 wire [31:0] page0_reloc_dma14;
1256 wire page0_valid_dma14;
1257 wire [31:0] page1_mask_dma14;
1258 wire [31:0] page1_value_dma14;
1259 wire [31:0] page1_reloc_dma14;
1260 wire page1_valid_dma14;
1261 wire [31:0] page0_mask_dma15;
1262 wire [31:0] page0_value_dma15;
1263 wire [31:0] page0_reloc_dma15;
1264 wire page0_valid_dma15;
1265 wire [31:0] page1_mask_dma15;
1266 wire [31:0] page1_value_dma15;
1267 wire [31:0] page1_reloc_dma15;
1268 wire page1_valid_dma15;
1269`ifdef NEPTUNE
1270
1271 wire [31:0] page0_mask_dma16;
1272 wire [31:0] page0_value_dma16;
1273 wire [31:0] page0_reloc_dma16;
1274 wire page0_valid_dma16;
1275 wire [31:0] page1_mask_dma16;
1276 wire [31:0] page1_value_dma16;
1277 wire [31:0] page1_reloc_dma16;
1278 wire page1_valid_dma16;
1279 wire [31:0] page0_mask_dma17;
1280 wire [31:0] page0_value_dma17;
1281 wire [31:0] page0_reloc_dma17;
1282 wire page0_valid_dma17;
1283 wire [31:0] page1_mask_dma17;
1284 wire [31:0] page1_value_dma17;
1285 wire [31:0] page1_reloc_dma17;
1286 wire page1_valid_dma17;
1287 wire [31:0] page0_mask_dma18;
1288 wire [31:0] page0_value_dma18;
1289 wire [31:0] page0_reloc_dma18;
1290 wire page0_valid_dma18;
1291 wire [31:0] page1_mask_dma18;
1292 wire [31:0] page1_value_dma18;
1293 wire [31:0] page1_reloc_dma18;
1294 wire page1_valid_dma18;
1295 wire [31:0] page0_mask_dma19;
1296 wire [31:0] page0_value_dma19;
1297 wire [31:0] page0_reloc_dma19;
1298 wire page0_valid_dma19;
1299 wire [31:0] page1_mask_dma19;
1300 wire [31:0] page1_value_dma19;
1301 wire [31:0] page1_reloc_dma19;
1302 wire page1_valid_dma19;
1303 wire [31:0] page0_mask_dma20;
1304 wire [31:0] page0_value_dma20;
1305 wire [31:0] page0_reloc_dma20;
1306 wire page0_valid_dma20;
1307 wire [31:0] page1_mask_dma20;
1308 wire [31:0] page1_value_dma20;
1309 wire [31:0] page1_reloc_dma20;
1310 wire page1_valid_dma20;
1311 wire [31:0] page0_mask_dma21;
1312 wire [31:0] page0_value_dma21;
1313 wire [31:0] page0_reloc_dma21;
1314 wire page0_valid_dma21;
1315 wire [31:0] page1_mask_dma21;
1316 wire [31:0] page1_value_dma21;
1317 wire [31:0] page1_reloc_dma21;
1318 wire page1_valid_dma21;
1319 wire [31:0] page0_mask_dma22;
1320 wire [31:0] page0_value_dma22;
1321 wire [31:0] page0_reloc_dma22;
1322 wire page0_valid_dma22;
1323 wire [31:0] page1_mask_dma22;
1324 wire [31:0] page1_value_dma22;
1325 wire [31:0] page1_reloc_dma22;
1326 wire page1_valid_dma22;
1327 wire [31:0] page0_mask_dma23;
1328 wire [31:0] page0_value_dma23;
1329 wire [31:0] page0_reloc_dma23;
1330 wire page0_valid_dma23;
1331 wire [31:0] page1_mask_dma23;
1332 wire [31:0] page1_value_dma23;
1333 wire [31:0] page1_reloc_dma23;
1334 wire page1_valid_dma23;
1335
1336`endif
1337
1338
1339
1340
1341
1342
1343 wire [63:0] tx_cs_dma0;
1344 wire [63:0] tx_dma0_pre_st;
1345 wire [63:0] tx_dma0_rng_err_logh;
1346 wire [63:0] tx_dma0_rng_err_logl;
1347 wire [63:0] tx_cs_dma1;
1348 wire [63:0] tx_dma1_pre_st;
1349 wire [63:0] tx_dma1_rng_err_logh;
1350 wire [63:0] tx_dma1_rng_err_logl;
1351 wire [63:0] tx_cs_dma2;
1352 wire [63:0] tx_dma2_pre_st;
1353 wire [63:0] tx_dma2_rng_err_logh;
1354 wire [63:0] tx_dma2_rng_err_logl;
1355 wire [63:0] tx_cs_dma3;
1356 wire [63:0] tx_dma3_pre_st;
1357 wire [63:0] tx_dma3_rng_err_logh;
1358 wire [63:0] tx_dma3_rng_err_logl;
1359 wire [63:0] tx_cs_dma4;
1360 wire [63:0] tx_dma4_pre_st;
1361 wire [63:0] tx_dma4_rng_err_logh;
1362 wire [63:0] tx_dma4_rng_err_logl;
1363 wire [63:0] tx_cs_dma5;
1364 wire [63:0] tx_dma5_pre_st;
1365 wire [63:0] tx_dma5_rng_err_logh;
1366 wire [63:0] tx_dma5_rng_err_logl;
1367 wire [63:0] tx_cs_dma6;
1368 wire [63:0] tx_dma6_pre_st;
1369 wire [63:0] tx_dma6_rng_err_logh;
1370 wire [63:0] tx_dma6_rng_err_logl;
1371 wire [63:0] tx_cs_dma7;
1372 wire [63:0] tx_dma7_pre_st;
1373 wire [63:0] tx_dma7_rng_err_logh;
1374 wire [63:0] tx_dma7_rng_err_logl;
1375 wire [63:0] tx_cs_dma8;
1376 wire [63:0] tx_dma8_pre_st;
1377 wire [63:0] tx_dma8_rng_err_logh;
1378 wire [63:0] tx_dma8_rng_err_logl;
1379 wire [63:0] tx_cs_dma9;
1380 wire [63:0] tx_dma9_pre_st;
1381 wire [63:0] tx_dma9_rng_err_logh;
1382 wire [63:0] tx_dma9_rng_err_logl;
1383 wire [63:0] tx_cs_dma10;
1384 wire [63:0] tx_dma10_pre_st;
1385 wire [63:0] tx_dma10_rng_err_logh;
1386 wire [63:0] tx_dma10_rng_err_logl;
1387 wire [63:0] tx_cs_dma11;
1388 wire [63:0] tx_dma11_pre_st;
1389 wire [63:0] tx_dma11_rng_err_logh;
1390 wire [63:0] tx_dma11_rng_err_logl;
1391 wire [63:0] tx_cs_dma12;
1392 wire [63:0] tx_dma12_pre_st;
1393 wire [63:0] tx_dma12_rng_err_logh;
1394 wire [63:0] tx_dma12_rng_err_logl;
1395 wire [63:0] tx_cs_dma13;
1396 wire [63:0] tx_dma13_pre_st;
1397 wire [63:0] tx_dma13_rng_err_logh;
1398 wire [63:0] tx_dma13_rng_err_logl;
1399 wire [63:0] tx_cs_dma14;
1400 wire [63:0] tx_dma14_pre_st;
1401 wire [63:0] tx_dma14_rng_err_logh;
1402 wire [63:0] tx_dma14_rng_err_logl;
1403 wire [63:0] tx_cs_dma15;
1404 wire [63:0] tx_dma15_pre_st;
1405 wire [63:0] tx_dma15_rng_err_logh;
1406 wire [63:0] tx_dma15_rng_err_logl;
1407`ifdef NEPTUNE
1408
1409 wire [63:0] tx_cs_dma16;
1410 wire [63:0] tx_dma16_pre_st;
1411 wire [63:0] tx_dma16_rng_err_logh;
1412 wire [63:0] tx_dma16_rng_err_logl;
1413 wire [63:0] tx_cs_dma17;
1414 wire [63:0] tx_dma17_pre_st;
1415 wire [63:0] tx_dma17_rng_err_logh;
1416 wire [63:0] tx_dma17_rng_err_logl;
1417 wire [63:0] tx_cs_dma18;
1418 wire [63:0] tx_dma18_pre_st;
1419 wire [63:0] tx_dma18_rng_err_logh;
1420 wire [63:0] tx_dma18_rng_err_logl;
1421 wire [63:0] tx_cs_dma19;
1422 wire [63:0] tx_dma19_pre_st;
1423 wire [63:0] tx_dma19_rng_err_logh;
1424 wire [63:0] tx_dma19_rng_err_logl;
1425 wire [63:0] tx_cs_dma20;
1426 wire [63:0] tx_dma20_pre_st;
1427 wire [63:0] tx_dma20_rng_err_logh;
1428 wire [63:0] tx_dma20_rng_err_logl;
1429 wire [63:0] tx_cs_dma21;
1430 wire [63:0] tx_dma21_pre_st;
1431 wire [63:0] tx_dma21_rng_err_logh;
1432 wire [63:0] tx_dma21_rng_err_logl;
1433 wire [63:0] tx_cs_dma22;
1434 wire [63:0] tx_dma22_pre_st;
1435 wire [63:0] tx_dma22_rng_err_logh;
1436 wire [63:0] tx_dma22_rng_err_logl;
1437 wire [63:0] tx_cs_dma23;
1438 wire [63:0] tx_dma23_pre_st;
1439 wire [63:0] tx_dma23_rng_err_logh;
1440 wire [63:0] tx_dma23_rng_err_logl;
1441
1442`else // !ifdef NEPTUNE
1443`endif // !ifdef NEPTUNE
1444
1445 // wires so that vlint doesnt complain
1446 wire Reset_L;
1447
1448// dma0 wires
1449wire dma0_clear_reset;
1450wire tx_dma_cfg_dma0_stop_state;
1451wire tx_dma_cfg_dma0_stop;
1452wire tx_cfg_dma0_enable_mb;
1453wire tx_cfg_dma0_mk;
1454wire tx_cfg_dma0_mmk;
1455wire clear_cfg_dma0_mb;
1456wire set_cfg_dma0_mmk;
1457// dma1 wires
1458wire dma1_clear_reset;
1459wire tx_dma_cfg_dma1_stop_state;
1460wire tx_dma_cfg_dma1_stop;
1461wire tx_cfg_dma1_enable_mb;
1462wire tx_cfg_dma1_mk;
1463wire tx_cfg_dma1_mmk;
1464wire clear_cfg_dma1_mb;
1465wire set_cfg_dma1_mmk;
1466// dma2 wires
1467wire dma2_clear_reset;
1468wire tx_dma_cfg_dma2_stop_state;
1469wire tx_dma_cfg_dma2_stop;
1470wire tx_cfg_dma2_enable_mb;
1471wire tx_cfg_dma2_mk;
1472wire tx_cfg_dma2_mmk;
1473wire clear_cfg_dma2_mb;
1474wire set_cfg_dma2_mmk;
1475// dma3 wires
1476wire dma3_clear_reset;
1477wire tx_dma_cfg_dma3_stop_state;
1478wire tx_dma_cfg_dma3_stop;
1479wire tx_cfg_dma3_enable_mb;
1480wire tx_cfg_dma3_mk;
1481wire tx_cfg_dma3_mmk;
1482wire clear_cfg_dma3_mb;
1483wire set_cfg_dma3_mmk;
1484// dma4 wires
1485wire dma4_clear_reset;
1486wire tx_dma_cfg_dma4_stop_state;
1487wire tx_dma_cfg_dma4_stop;
1488wire tx_cfg_dma4_enable_mb;
1489wire tx_cfg_dma4_mk;
1490wire tx_cfg_dma4_mmk;
1491wire clear_cfg_dma4_mb;
1492wire set_cfg_dma4_mmk;
1493// dma5 wires
1494wire dma5_clear_reset;
1495wire tx_dma_cfg_dma5_stop_state;
1496wire tx_dma_cfg_dma5_stop;
1497wire tx_cfg_dma5_enable_mb;
1498wire tx_cfg_dma5_mk;
1499wire tx_cfg_dma5_mmk;
1500wire clear_cfg_dma5_mb;
1501wire set_cfg_dma5_mmk;
1502// dma6 wires
1503wire dma6_clear_reset;
1504wire tx_dma_cfg_dma6_stop_state;
1505wire tx_dma_cfg_dma6_stop;
1506wire tx_cfg_dma6_enable_mb;
1507wire tx_cfg_dma6_mk;
1508wire tx_cfg_dma6_mmk;
1509wire clear_cfg_dma6_mb;
1510wire set_cfg_dma6_mmk;
1511// dma7 wires
1512wire dma7_clear_reset;
1513wire tx_dma_cfg_dma7_stop_state;
1514wire tx_dma_cfg_dma7_stop;
1515wire tx_cfg_dma7_enable_mb;
1516wire tx_cfg_dma7_mk;
1517wire tx_cfg_dma7_mmk;
1518wire clear_cfg_dma7_mb;
1519wire set_cfg_dma7_mmk;
1520// dma8 wires
1521wire dma8_clear_reset;
1522wire tx_dma_cfg_dma8_stop_state;
1523wire tx_dma_cfg_dma8_stop;
1524wire tx_cfg_dma8_enable_mb;
1525wire tx_cfg_dma8_mk;
1526wire tx_cfg_dma8_mmk;
1527wire clear_cfg_dma8_mb;
1528wire set_cfg_dma8_mmk;
1529// dma9 wires
1530wire dma9_clear_reset;
1531wire tx_dma_cfg_dma9_stop_state;
1532wire tx_dma_cfg_dma9_stop;
1533wire tx_cfg_dma9_enable_mb;
1534wire tx_cfg_dma9_mk;
1535wire tx_cfg_dma9_mmk;
1536wire clear_cfg_dma9_mb;
1537wire set_cfg_dma9_mmk;
1538// dma10 wires
1539wire dma10_clear_reset;
1540wire tx_dma_cfg_dma10_stop_state;
1541wire tx_dma_cfg_dma10_stop;
1542wire tx_cfg_dma10_enable_mb;
1543wire tx_cfg_dma10_mk;
1544wire tx_cfg_dma10_mmk;
1545wire clear_cfg_dma10_mb;
1546wire set_cfg_dma10_mmk;
1547// dma11 wires
1548wire dma11_clear_reset;
1549wire tx_dma_cfg_dma11_stop_state;
1550wire tx_dma_cfg_dma11_stop;
1551wire tx_cfg_dma11_enable_mb;
1552wire tx_cfg_dma11_mk;
1553wire tx_cfg_dma11_mmk;
1554wire clear_cfg_dma11_mb;
1555wire set_cfg_dma11_mmk;
1556// dma12 wires
1557wire dma12_clear_reset;
1558wire tx_dma_cfg_dma12_stop_state;
1559wire tx_dma_cfg_dma12_stop;
1560wire tx_cfg_dma12_enable_mb;
1561wire tx_cfg_dma12_mk;
1562wire tx_cfg_dma12_mmk;
1563wire clear_cfg_dma12_mb;
1564wire set_cfg_dma12_mmk;
1565// dma13 wires
1566wire dma13_clear_reset;
1567wire tx_dma_cfg_dma13_stop_state;
1568wire tx_dma_cfg_dma13_stop;
1569wire tx_cfg_dma13_enable_mb;
1570wire tx_cfg_dma13_mk;
1571wire tx_cfg_dma13_mmk;
1572wire clear_cfg_dma13_mb;
1573wire set_cfg_dma13_mmk;
1574// dma14 wires
1575wire dma14_clear_reset;
1576wire tx_dma_cfg_dma14_stop_state;
1577wire tx_dma_cfg_dma14_stop;
1578wire tx_cfg_dma14_enable_mb;
1579wire tx_cfg_dma14_mk;
1580wire tx_cfg_dma14_mmk;
1581wire clear_cfg_dma14_mb;
1582wire set_cfg_dma14_mmk;
1583// dma15 wires
1584wire dma15_clear_reset;
1585wire tx_dma_cfg_dma15_stop_state;
1586wire tx_dma_cfg_dma15_stop;
1587wire tx_cfg_dma15_enable_mb;
1588wire tx_cfg_dma15_mk;
1589wire tx_cfg_dma15_mmk;
1590wire clear_cfg_dma15_mb;
1591wire set_cfg_dma15_mmk;
1592`ifdef NEPTUNE
1593
1594// dma16 wires
1595wire dma16_clear_reset;
1596wire tx_dma_cfg_dma16_stop_state;
1597wire tx_dma_cfg_dma16_stop;
1598wire tx_cfg_dma16_enable_mb;
1599wire tx_cfg_dma16_mk;
1600wire tx_cfg_dma16_mmk;
1601wire clear_cfg_dma16_mb;
1602wire set_cfg_dma16_mmk;
1603// dma17 wires
1604wire dma17_clear_reset;
1605wire tx_dma_cfg_dma17_stop_state;
1606wire tx_dma_cfg_dma17_stop;
1607wire tx_cfg_dma17_enable_mb;
1608wire tx_cfg_dma17_mk;
1609wire tx_cfg_dma17_mmk;
1610wire clear_cfg_dma17_mb;
1611wire set_cfg_dma17_mmk;
1612// dma18 wires
1613wire dma18_clear_reset;
1614wire tx_dma_cfg_dma18_stop_state;
1615wire tx_dma_cfg_dma18_stop;
1616wire tx_cfg_dma18_enable_mb;
1617wire tx_cfg_dma18_mk;
1618wire tx_cfg_dma18_mmk;
1619wire clear_cfg_dma18_mb;
1620wire set_cfg_dma18_mmk;
1621// dma19 wires
1622wire dma19_clear_reset;
1623wire tx_dma_cfg_dma19_stop_state;
1624wire tx_dma_cfg_dma19_stop;
1625wire tx_cfg_dma19_enable_mb;
1626wire tx_cfg_dma19_mk;
1627wire tx_cfg_dma19_mmk;
1628wire clear_cfg_dma19_mb;
1629wire set_cfg_dma19_mmk;
1630// dma20 wires
1631wire dma20_clear_reset;
1632wire tx_dma_cfg_dma20_stop_state;
1633wire tx_dma_cfg_dma20_stop;
1634wire tx_cfg_dma20_enable_mb;
1635wire tx_cfg_dma20_mk;
1636wire tx_cfg_dma20_mmk;
1637wire clear_cfg_dma20_mb;
1638wire set_cfg_dma20_mmk;
1639// dma21 wires
1640wire dma21_clear_reset;
1641wire tx_dma_cfg_dma21_stop_state;
1642wire tx_dma_cfg_dma21_stop;
1643wire tx_cfg_dma21_enable_mb;
1644wire tx_cfg_dma21_mk;
1645wire tx_cfg_dma21_mmk;
1646wire clear_cfg_dma21_mb;
1647wire set_cfg_dma21_mmk;
1648// dma22 wires
1649wire dma22_clear_reset;
1650wire tx_dma_cfg_dma22_stop_state;
1651wire tx_dma_cfg_dma22_stop;
1652wire tx_cfg_dma22_enable_mb;
1653wire tx_cfg_dma22_mk;
1654wire tx_cfg_dma22_mmk;
1655wire clear_cfg_dma22_mb;
1656wire set_cfg_dma22_mmk;
1657// dma23 wires
1658wire dma23_clear_reset;
1659wire tx_dma_cfg_dma23_stop_state;
1660wire tx_dma_cfg_dma23_stop;
1661wire tx_cfg_dma23_enable_mb;
1662wire tx_cfg_dma23_mk;
1663wire tx_cfg_dma23_mmk;
1664wire clear_cfg_dma23_mb;
1665wire set_cfg_dma23_mmk;
1666`else // !ifdef NEPTUNE
1667
1668`endif // ifdef NEPTUNE
1669
1670
1671
1672 wire set_cfg_dma0_mk;
1673 wire set_cfg_dma1_mk;
1674 wire set_cfg_dma2_mk;
1675 wire set_cfg_dma3_mk;
1676 wire set_cfg_dma4_mk;
1677 wire set_cfg_dma5_mk;
1678 wire set_cfg_dma6_mk;
1679 wire set_cfg_dma7_mk;
1680 wire set_cfg_dma8_mk;
1681 wire set_cfg_dma9_mk;
1682 wire set_cfg_dma10_mk;
1683 wire set_cfg_dma11_mk;
1684 wire set_cfg_dma12_mk;
1685 wire set_cfg_dma13_mk;
1686 wire set_cfg_dma14_mk;
1687 wire set_cfg_dma15_mk;
1688`ifdef NEPTUNE
1689 wire set_cfg_dma16_mk;
1690 wire set_cfg_dma17_mk;
1691 wire set_cfg_dma18_mk;
1692 wire set_cfg_dma19_mk;
1693 wire set_cfg_dma20_mk;
1694 wire set_cfg_dma21_mk;
1695 wire set_cfg_dma22_mk;
1696 wire set_cfg_dma23_mk;
1697
1698`else // !ifdef NEPTUNE
1699`endif // !ifdef NEPTUNE
1700
1701
1702
1703
1704 // End of automatics
1705 // Beginning of automatic regs (for this module's undeclared outputs)
1706 wire dmc_txc_dma0_active;
1707 wire [63:0] dmc_txc_dma0_descriptor;
1708 wire dmc_txc_dma0_eoflist;
1709 wire dmc_txc_dma0_error;
1710 wire dmc_txc_dma0_gotnxtdesc;
1711 wire dmc_txc_dma1_active;
1712 wire [63:0] dmc_txc_dma1_descriptor;
1713 wire dmc_txc_dma1_eoflist;
1714 wire dmc_txc_dma1_error;
1715 wire dmc_txc_dma1_gotnxtdesc;
1716 // End of automatics
1717
1718
1719 // Tx DMA Response Interface
1720
1721
1722
1723
1724
1725 wire [127:0] tdmc_arb0_data;
1726 wire tdmc_arb0_data_valid ;
1727 wire tdmc_arb0_req ;
1728 wire [63:0] tdmc_arb0_req_address;
1729 wire [15:0] tdmc_arb0_req_byteenable;
1730 wire [7:0] tdmc_arb0_req_cmd;
1731 wire [4:0] tdmc_arb0_req_dma_num;
1732 wire [13:0] tdmc_arb0_req_length;
1733 wire [1:0] tdmc_arb0_req_port_num ;
1734 wire [3:0] tdmc_arb0_status ;
1735 wire tdmc_arb0_transfer_complete;
1736
1737
1738
1739
1740
1741 wire dmc_txc_dma0_reset_scheduled;
1742 wire dmc_txc_dma1_reset_scheduled;
1743 wire dmc_txc_dma2_reset_scheduled;
1744 wire dmc_txc_dma3_reset_scheduled;
1745 wire dmc_txc_dma4_reset_scheduled;
1746 wire dmc_txc_dma5_reset_scheduled;
1747 wire dmc_txc_dma6_reset_scheduled;
1748 wire dmc_txc_dma7_reset_scheduled;
1749 wire dmc_txc_dma8_reset_scheduled;
1750 wire dmc_txc_dma9_reset_scheduled;
1751 wire dmc_txc_dma10_reset_scheduled;
1752 wire dmc_txc_dma11_reset_scheduled;
1753 wire dmc_txc_dma12_reset_scheduled;
1754 wire dmc_txc_dma13_reset_scheduled;
1755 wire dmc_txc_dma14_reset_scheduled;
1756 wire dmc_txc_dma15_reset_scheduled;
1757`ifdef NEPTUNE
1758 wire dmc_txc_dma16_reset_scheduled;
1759 wire dmc_txc_dma17_reset_scheduled;
1760 wire dmc_txc_dma18_reset_scheduled;
1761 wire dmc_txc_dma19_reset_scheduled;
1762 wire dmc_txc_dma20_reset_scheduled;
1763 wire dmc_txc_dma21_reset_scheduled;
1764 wire dmc_txc_dma22_reset_scheduled;
1765 wire dmc_txc_dma23_reset_scheduled;
1766`endif
1767
1768
1769 wire dmc_txc_dma0_partial;
1770 wire dmc_txc_dma1_partial;
1771 wire dmc_txc_dma2_partial;
1772 wire dmc_txc_dma3_partial;
1773 wire dmc_txc_dma4_partial;
1774 wire dmc_txc_dma5_partial;
1775 wire dmc_txc_dma6_partial;
1776 wire dmc_txc_dma7_partial;
1777 wire dmc_txc_dma8_partial;
1778 wire dmc_txc_dma9_partial;
1779 wire dmc_txc_dma10_partial;
1780 wire dmc_txc_dma11_partial;
1781 wire dmc_txc_dma12_partial;
1782 wire dmc_txc_dma13_partial;
1783 wire dmc_txc_dma14_partial;
1784 wire dmc_txc_dma15_partial;
1785`ifdef NEPTUNE
1786 wire dmc_txc_dma16_partial;
1787 wire dmc_txc_dma17_partial;
1788 wire dmc_txc_dma18_partial;
1789 wire dmc_txc_dma19_partial;
1790 wire dmc_txc_dma20_partial;
1791 wire dmc_txc_dma21_partial;
1792 wire dmc_txc_dma22_partial;
1793 wire dmc_txc_dma23_partial;
1794`endif
1795
1796
1797 wire set_pref_buf_par_err_dma0;
1798 wire set_pkt_part_err_dma0;
1799 wire [43:0] pkt_part_error_address_dma0;
1800 wire set_conf_part_error_dma0;
1801 wire set_tx_ring_oflow_dma0;
1802
1803
1804
1805 wire set_pref_buf_par_err_dma1;
1806 wire set_pkt_part_err_dma1;
1807 wire [43:0] pkt_part_error_address_dma1;
1808 wire set_conf_part_error_dma1;
1809 wire set_tx_ring_oflow_dma1;
1810
1811
1812
1813 wire set_pref_buf_par_err_dma2;
1814 wire set_pkt_part_err_dma2;
1815 wire [43:0] pkt_part_error_address_dma2;
1816 wire set_conf_part_error_dma2;
1817 wire set_tx_ring_oflow_dma2;
1818
1819
1820
1821 wire set_pref_buf_par_err_dma3;
1822 wire set_pkt_part_err_dma3;
1823 wire [43:0] pkt_part_error_address_dma3;
1824 wire set_conf_part_error_dma3;
1825 wire set_tx_ring_oflow_dma3;
1826
1827
1828
1829 wire set_pref_buf_par_err_dma4;
1830 wire set_pkt_part_err_dma4;
1831 wire [43:0] pkt_part_error_address_dma4;
1832 wire set_conf_part_error_dma4;
1833 wire set_tx_ring_oflow_dma4;
1834
1835
1836
1837 wire set_pref_buf_par_err_dma5;
1838 wire set_pkt_part_err_dma5;
1839 wire [43:0] pkt_part_error_address_dma5;
1840 wire set_conf_part_error_dma5;
1841 wire set_tx_ring_oflow_dma5;
1842
1843
1844
1845 wire set_pref_buf_par_err_dma6;
1846 wire set_pkt_part_err_dma6;
1847 wire [43:0] pkt_part_error_address_dma6;
1848 wire set_conf_part_error_dma6;
1849 wire set_tx_ring_oflow_dma6;
1850
1851
1852
1853 wire set_pref_buf_par_err_dma7;
1854 wire set_pkt_part_err_dma7;
1855 wire [43:0] pkt_part_error_address_dma7;
1856 wire set_conf_part_error_dma7;
1857 wire set_tx_ring_oflow_dma7;
1858
1859
1860
1861 wire set_pref_buf_par_err_dma8;
1862 wire set_pkt_part_err_dma8;
1863 wire [43:0] pkt_part_error_address_dma8;
1864 wire set_conf_part_error_dma8;
1865 wire set_tx_ring_oflow_dma8;
1866
1867
1868
1869 wire set_pref_buf_par_err_dma9;
1870 wire set_pkt_part_err_dma9;
1871 wire [43:0] pkt_part_error_address_dma9;
1872 wire set_conf_part_error_dma9;
1873 wire set_tx_ring_oflow_dma9;
1874
1875
1876
1877 wire set_pref_buf_par_err_dma10;
1878 wire set_pkt_part_err_dma10;
1879 wire [43:0] pkt_part_error_address_dma10;
1880 wire set_conf_part_error_dma10;
1881 wire set_tx_ring_oflow_dma10;
1882
1883
1884
1885 wire set_pref_buf_par_err_dma11;
1886 wire set_pkt_part_err_dma11;
1887 wire [43:0] pkt_part_error_address_dma11;
1888 wire set_conf_part_error_dma11;
1889 wire set_tx_ring_oflow_dma11;
1890
1891
1892
1893 wire set_pref_buf_par_err_dma12;
1894 wire set_pkt_part_err_dma12;
1895 wire [43:0] pkt_part_error_address_dma12;
1896 wire set_conf_part_error_dma12;
1897 wire set_tx_ring_oflow_dma12;
1898
1899
1900
1901 wire set_pref_buf_par_err_dma13;
1902 wire set_pkt_part_err_dma13;
1903 wire [43:0] pkt_part_error_address_dma13;
1904 wire set_conf_part_error_dma13;
1905 wire set_tx_ring_oflow_dma13;
1906
1907
1908
1909 wire set_pref_buf_par_err_dma14;
1910 wire set_pkt_part_err_dma14;
1911 wire [43:0] pkt_part_error_address_dma14;
1912 wire set_conf_part_error_dma14;
1913 wire set_tx_ring_oflow_dma14;
1914
1915
1916
1917 wire set_pref_buf_par_err_dma15;
1918 wire set_pkt_part_err_dma15;
1919 wire [43:0] pkt_part_error_address_dma15;
1920 wire set_conf_part_error_dma15;
1921 wire set_tx_ring_oflow_dma15;
1922
1923
1924
1925`ifdef NEPTUNE
1926 wire set_pref_buf_par_err_dma16;
1927 wire set_pkt_part_err_dma16;
1928 wire [43:0] pkt_part_error_address_dma16;
1929 wire set_conf_part_error_dma16;
1930 wire set_tx_ring_oflow_dma16;
1931
1932
1933
1934 wire set_pref_buf_par_err_dma17;
1935 wire set_pkt_part_err_dma17;
1936 wire [43:0] pkt_part_error_address_dma17;
1937 wire set_conf_part_error_dma17;
1938 wire set_tx_ring_oflow_dma17;
1939
1940
1941
1942 wire set_pref_buf_par_err_dma18;
1943 wire set_pkt_part_err_dma18;
1944 wire [43:0] pkt_part_error_address_dma18;
1945 wire set_conf_part_error_dma18;
1946 wire set_tx_ring_oflow_dma18;
1947
1948
1949
1950 wire set_pref_buf_par_err_dma19;
1951 wire set_pkt_part_err_dma19;
1952 wire [43:0] pkt_part_error_address_dma19;
1953 wire set_conf_part_error_dma19;
1954 wire set_tx_ring_oflow_dma19;
1955
1956
1957
1958 wire set_pref_buf_par_err_dma20;
1959 wire set_pkt_part_err_dma20;
1960 wire [43:0] pkt_part_error_address_dma20;
1961 wire set_conf_part_error_dma20;
1962 wire set_tx_ring_oflow_dma20;
1963
1964
1965
1966 wire set_pref_buf_par_err_dma21;
1967 wire set_pkt_part_err_dma21;
1968 wire [43:0] pkt_part_error_address_dma21;
1969 wire set_conf_part_error_dma21;
1970 wire set_tx_ring_oflow_dma21;
1971
1972
1973
1974 wire set_pref_buf_par_err_dma22;
1975 wire set_pkt_part_err_dma22;
1976 wire [43:0] pkt_part_error_address_dma22;
1977 wire set_conf_part_error_dma22;
1978 wire set_tx_ring_oflow_dma22;
1979
1980
1981
1982 wire set_pref_buf_par_err_dma23;
1983 wire set_pkt_part_err_dma23;
1984 wire [43:0] pkt_part_error_address_dma23;
1985 wire set_conf_part_error_dma23;
1986 wire set_tx_ring_oflow_dma23;
1987
1988`else // !ifdef NEPTUNE
1989`endif // !ifdef NEPTUNE
1990
1991
1992 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA0;
1993 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA1;
1994 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA2;
1995 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA3;
1996 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA4;
1997 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA5;
1998 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA6;
1999 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA7;
2000 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA8;
2001 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA9;
2002 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA10;
2003 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA11;
2004 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA12;
2005 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA13;
2006 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA14;
2007 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA15;
2008`ifdef NEPTUNE
2009 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA16;
2010 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA17;
2011 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA18;
2012 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA19;
2013 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA20;
2014 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA21;
2015 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA22;
2016 wire [`PTR_WIDTH - 1:0] ShadowRingCurrentPtr_DMA23;
2017`else // !ifdef NEPTUNE
2018`endif // !ifdef NEPTUNE
2019
2020
2021 wire [`NO_OF_DMAS - 1:0] set_mbox_part_error_dma;
2022
2023 wire [63:0] tdmc_pio_intr;
2024
2025
2026 wire [`NO_OF_DMAS - 1:0] txpref_dma_nack_resp;
2027 wire txpref_nack_resp ;
2028 wire [43:0] txpref_nack_rd_addr;
2029
2030
2031
2032 wire [`NO_OF_DMAS - 1:0] mbox_ack_dma_err_received;
2033 wire mbox_err_received;
2034
2035 wire [31:0] parity_corrupt_config;
2036
2037
2038 wire [1:0] dmc_txc_dma0_func_num;
2039 wire [1:0] dmc_txc_dma1_func_num;
2040 wire [1:0] dmc_txc_dma2_func_num;
2041 wire [1:0] dmc_txc_dma3_func_num;
2042 wire [1:0] dmc_txc_dma4_func_num;
2043 wire [1:0] dmc_txc_dma5_func_num;
2044 wire [1:0] dmc_txc_dma6_func_num;
2045 wire [1:0] dmc_txc_dma7_func_num;
2046 wire [1:0] dmc_txc_dma8_func_num;
2047 wire [1:0] dmc_txc_dma9_func_num;
2048 wire [1:0] dmc_txc_dma10_func_num;
2049 wire [1:0] dmc_txc_dma11_func_num;
2050 wire [1:0] dmc_txc_dma12_func_num;
2051 wire [1:0] dmc_txc_dma13_func_num;
2052 wire [1:0] dmc_txc_dma14_func_num;
2053 wire [1:0] dmc_txc_dma15_func_num;
2054`ifdef NEPTUNE
2055 wire [1:0] dmc_txc_dma16_func_num;
2056 wire [1:0] dmc_txc_dma17_func_num;
2057 wire [1:0] dmc_txc_dma18_func_num;
2058 wire [1:0] dmc_txc_dma19_func_num;
2059 wire [1:0] dmc_txc_dma20_func_num;
2060 wire [1:0] dmc_txc_dma21_func_num;
2061 wire [1:0] dmc_txc_dma22_func_num;
2062 wire [1:0] dmc_txc_dma23_func_num;
2063`endif // ifdef NEPTUNE
2064
2065
2066`ifdef NEPTUNE
2067`else
2068 wire sram_reset;
2069`endif
2070
2071
2072
2073
2074
2075
2076
2077
2078
2079
2080
2081 // req path output
2082 assign tdmc_arb1_req_cmd = DMC_TxCache_SMX_Req_Cmd;
2083 assign tdmc_arb1_req_address = DMC_TxCache_SMX_Req_Address;
2084 assign tdmc_arb1_req_length = DMC_TxCache_SMX_Req_Length;
2085 assign tdmc_arb1_req_port_num = DMC_TxCache_SMX_Req_Port_Num;
2086 assign tdmc_arb1_req_dma_num = DMC_TxCache_SMX_Req_DMA_Num;
2087 assign tdmc_arb1_req = DMC_TxCache_SMX_Req;
2088 // req path input
2089 assign SMX_DMC_TxCache_Req_Ack = arb1_tdmc_req_accept;
2090
2091
2092
2093
2094
2095
2096
2097 // response path
2098 // inputs
2099 assign SMX_DMC_TxCache_Resp_Rdy = meta_dmc_resp_ready & meta_dmc_resp_client;
2100 assign SMX_DMC_TxCache_Resp_Complete = meta_dmc_resp_complete;
2101 assign SMX_DMC_TxCache_Trans_Complete = meta_dmc_resp_transfer_cmpl;
2102 assign SMX_DMC_TxCache_Resp_Data_Valid = meta_dmc_data_valid;
2103 assign SMX_DMC_TxCache_Resp_DMA_Num = meta_dmc_resp_dma_num;
2104 assign SMX_DMC_TxCache_Resp_ByteEnables = meta_dmc_resp_byteenable;
2105 assign SMX_DMC_TxCache_Resp_Data_Length = meta_dmc_resp_length;
2106 assign SMX_DMC_TxCache_Resp_Address = meta_dmc_resp_address;
2107 assign SMX_DMC_TxCache_Resp_Data = meta_dmc_data;
2108 // outputs
2109 assign dmc_meta_resp_accept = DMC_TxCache_SMX_Resp_Accept;
2110
2111
2112
2113
2114 wire [5:0] debug_select;
2115 wire [31:0] training_vector;
2116 wire [3:0] TxCacheFetchState;
2117 wire [11:0] mbox_debug_state;
2118
2119
2120
2121 niu_tdmc_reset niu_tdmc_reset( /*AUTOJUNK*/
2122 // Outputs
2123 .Reset_L(Reset_L),
2124 `ifdef NEPTUNE
2125 `else
2126 .sram_reset(sram_reset),
2127 `endif
2128 // Inputs
2129 .SysClk(niu_clk),
2130 .niu_reset_l(niu_reset_l)
2131 );
2132
2133 niu_tdmc_debug niu_tdmc_debug (/*AUTOJUNK*/
2134 // Outputs
2135 .tdmc_debug_port(tdmc_debug_port[31:0]),
2136 // Inputs
2137 .SysClk(niu_clk),
2138 .Reset_L(Reset_L),
2139
2140 .dma0_debug_port (dma0_debug_port),
2141 .dma1_debug_port (dma1_debug_port),
2142 .dma2_debug_port (dma2_debug_port),
2143 .dma3_debug_port (dma3_debug_port),
2144 .dma4_debug_port (dma4_debug_port),
2145 .dma5_debug_port (dma5_debug_port),
2146 .dma6_debug_port (dma6_debug_port),
2147 .dma7_debug_port (dma7_debug_port),
2148 .dma8_debug_port (dma8_debug_port),
2149 .dma9_debug_port (dma9_debug_port),
2150 .dma10_debug_port (dma10_debug_port),
2151 .dma11_debug_port (dma11_debug_port),
2152 .dma12_debug_port (dma12_debug_port),
2153 .dma13_debug_port (dma13_debug_port),
2154 .dma14_debug_port (dma14_debug_port),
2155 .dma15_debug_port (dma15_debug_port),
2156
2157`ifdef NEPTUNE
2158 .dma16_debug_port (dma16_debug_port),
2159 .dma17_debug_port (dma17_debug_port),
2160 .dma18_debug_port (dma18_debug_port),
2161 .dma19_debug_port (dma19_debug_port),
2162 .dma20_debug_port (dma20_debug_port),
2163 .dma21_debug_port (dma21_debug_port),
2164 .dma22_debug_port (dma22_debug_port),
2165 .dma23_debug_port (dma23_debug_port),
2166
2167`else
2168`endif
2169 .training_vector(training_vector),
2170 .TxCacheFetchState(TxCacheFetchState),
2171 .mbox_debug_state(mbox_debug_state[11:0]),
2172 .debug_select(debug_select[5:0]));
2173
2174
2175 niu_dmc_cache_dataFetch niu_dmc_cache_dataFetch(/*AUTOJUNK*/
2176 `ifdef NEPTUNE
2177 `else
2178 .tcu_aclk (tcu_aclk),
2179 .tcu_bclk (tcu_bclk),
2180 .tcu_se_scancollar_in (tcu_se_scancollar_in),
2181 .tcu_se_scancollar_out (tcu_se_scancollar_out),
2182 .tcu_array_wr_inhibit (tcu_array_wr_inhibit),
2183 .tds_tdmc_mbist_scan_in (tds_tdmc_mbist_scan_in),
2184 .tds_tdmc_mbist_scan_out (tds_tdmc_mbist_scan_out),
2185 .tcu_mbist_bisi_en (tcu_mbist_bisi_en),
2186 .tcu_tds_tdmc_mbist_start (tcu_tds_tdmc_mbist_start),
2187 .tds_tdmc_tcu_mbist_fail (tds_tdmc_tcu_mbist_fail),
2188 .tds_tdmc_tcu_mbist_done (tds_tdmc_tcu_mbist_done),
2189 .tcu_mbist_user_mode (tcu_mbist_user_mode),
2190 .tcu_scan_en (tcu_scan_en ),
2191 .l2clk_2x ( l2clk_2x ),
2192 .iol2clk(iol2clk),
2193
2194 .hdr_sram_rvalue_tdmc (hdr_sram_rvalue_tdmc),
2195 .hdr_sram_rid_tdmc (hdr_sram_rid_tdmc),
2196 .hdr_sram_wr_en_tdmc (hdr_sram_wr_en_tdmc),
2197 .hdr_sram_red_clr_tdmc (hdr_sram_red_clr_tdmc),
2198 .sram_hdr_read_data_tdmc (sram_hdr_read_data_tdmc),
2199 .tds_tcu_dmo_data_out (tds_tcu_dmo_data_out),
2200 .sram_reset (sram_reset),
2201 `endif
2202 // Outputs for debug
2203 .TxCacheFetchState(TxCacheFetchState),
2204
2205 // Outputs
2206
2207 .DMC_TxCache_SMX_Req(DMC_TxCache_SMX_Req),
2208 .DMC_TxCache_SMX_Req_Port_Num(DMC_TxCache_SMX_Req_Port_Num[1:0]),
2209 .DMC_TxCache_SMX_Req_DMA_Num(DMC_TxCache_SMX_Req_DMA_Num[4:0]),
2210 .DMC_TxCache_SMX_Req_Cmd(DMC_TxCache_SMX_Req_Cmd[7:0]),
2211 .DMC_TxCache_SMX_Req_Length(DMC_TxCache_SMX_Req_Length[13:0]),
2212 .DMC_TxCache_SMX_Req_Address(DMC_TxCache_SMX_Req_Address[63:0]),
2213 .DMC_TxCache_SMX_Resp_Accept(DMC_TxCache_SMX_Resp_Accept),
2214 .tdmc_arb1_req_func_num(tdmc_arb1_req_func_num),
2215 .dmc_txc_dma0_active(dmc_txc_dma0_active),
2216 .dmc_txc_dma0_eoflist(dmc_txc_dma0_eoflist),
2217 .dmc_txc_dma0_gotnxtdesc(dmc_txc_dma0_gotnxtdesc),
2218 .dmc_txc_dma0_descriptor(dmc_txc_dma0_descriptor[63:0]),
2219 .dmc_txc_dma0_cacheready(dmc_txc_dma0_cacheready),
2220 .dmc_txc_dma0_partial(dmc_txc_dma0_partial),
2221 .dmc_txc_dma0_reset_scheduled(dmc_txc_dma0_reset_scheduled),
2222 .dmc_txc_dma1_active(dmc_txc_dma1_active),
2223 .dmc_txc_dma1_eoflist(dmc_txc_dma1_eoflist),
2224 .dmc_txc_dma1_gotnxtdesc(dmc_txc_dma1_gotnxtdesc),
2225 .dmc_txc_dma1_descriptor(dmc_txc_dma1_descriptor[63:0]),
2226 .dmc_txc_dma1_cacheready(dmc_txc_dma1_cacheready),
2227 .dmc_txc_dma1_partial(dmc_txc_dma1_partial),
2228 .dmc_txc_dma1_reset_scheduled(dmc_txc_dma1_reset_scheduled),
2229 .dmc_txc_dma2_active(dmc_txc_dma2_active),
2230 .dmc_txc_dma2_eoflist(dmc_txc_dma2_eoflist),
2231 .dmc_txc_dma2_gotnxtdesc(dmc_txc_dma2_gotnxtdesc),
2232 .dmc_txc_dma2_descriptor(dmc_txc_dma2_descriptor[63:0]),
2233 .dmc_txc_dma2_cacheready(dmc_txc_dma2_cacheready),
2234 .dmc_txc_dma2_partial(dmc_txc_dma2_partial),
2235 .dmc_txc_dma2_reset_scheduled(dmc_txc_dma2_reset_scheduled),
2236 .dmc_txc_dma3_active(dmc_txc_dma3_active),
2237 .dmc_txc_dma3_eoflist(dmc_txc_dma3_eoflist),
2238 .dmc_txc_dma3_gotnxtdesc(dmc_txc_dma3_gotnxtdesc),
2239 .dmc_txc_dma3_descriptor(dmc_txc_dma3_descriptor[63:0]),
2240 .dmc_txc_dma3_cacheready(dmc_txc_dma3_cacheready),
2241 .dmc_txc_dma3_partial(dmc_txc_dma3_partial),
2242 .dmc_txc_dma3_reset_scheduled(dmc_txc_dma3_reset_scheduled),
2243 .dmc_txc_dma4_active(dmc_txc_dma4_active),
2244 .dmc_txc_dma4_eoflist(dmc_txc_dma4_eoflist),
2245 .dmc_txc_dma4_gotnxtdesc(dmc_txc_dma4_gotnxtdesc),
2246 .dmc_txc_dma4_descriptor(dmc_txc_dma4_descriptor[63:0]),
2247 .dmc_txc_dma4_cacheready(dmc_txc_dma4_cacheready),
2248 .dmc_txc_dma4_partial(dmc_txc_dma4_partial),
2249 .dmc_txc_dma4_reset_scheduled(dmc_txc_dma4_reset_scheduled),
2250 .dmc_txc_dma5_active(dmc_txc_dma5_active),
2251 .dmc_txc_dma5_eoflist(dmc_txc_dma5_eoflist),
2252 .dmc_txc_dma5_gotnxtdesc(dmc_txc_dma5_gotnxtdesc),
2253 .dmc_txc_dma5_descriptor(dmc_txc_dma5_descriptor[63:0]),
2254 .dmc_txc_dma5_cacheready(dmc_txc_dma5_cacheready),
2255 .dmc_txc_dma5_partial(dmc_txc_dma5_partial),
2256 .dmc_txc_dma5_reset_scheduled(dmc_txc_dma5_reset_scheduled),
2257 .dmc_txc_dma6_active(dmc_txc_dma6_active),
2258 .dmc_txc_dma6_eoflist(dmc_txc_dma6_eoflist),
2259 .dmc_txc_dma6_gotnxtdesc(dmc_txc_dma6_gotnxtdesc),
2260 .dmc_txc_dma6_descriptor(dmc_txc_dma6_descriptor[63:0]),
2261 .dmc_txc_dma6_cacheready(dmc_txc_dma6_cacheready),
2262 .dmc_txc_dma6_partial(dmc_txc_dma6_partial),
2263 .dmc_txc_dma6_reset_scheduled(dmc_txc_dma6_reset_scheduled),
2264 .dmc_txc_dma7_active(dmc_txc_dma7_active),
2265 .dmc_txc_dma7_eoflist(dmc_txc_dma7_eoflist),
2266 .dmc_txc_dma7_gotnxtdesc(dmc_txc_dma7_gotnxtdesc),
2267 .dmc_txc_dma7_descriptor(dmc_txc_dma7_descriptor[63:0]),
2268 .dmc_txc_dma7_cacheready(dmc_txc_dma7_cacheready),
2269 .dmc_txc_dma7_partial(dmc_txc_dma7_partial),
2270 .dmc_txc_dma7_reset_scheduled(dmc_txc_dma7_reset_scheduled),
2271 .dmc_txc_dma8_active(dmc_txc_dma8_active),
2272 .dmc_txc_dma8_eoflist(dmc_txc_dma8_eoflist),
2273 .dmc_txc_dma8_gotnxtdesc(dmc_txc_dma8_gotnxtdesc),
2274 .dmc_txc_dma8_descriptor(dmc_txc_dma8_descriptor[63:0]),
2275 .dmc_txc_dma8_cacheready(dmc_txc_dma8_cacheready),
2276 .dmc_txc_dma8_partial(dmc_txc_dma8_partial),
2277 .dmc_txc_dma8_reset_scheduled(dmc_txc_dma8_reset_scheduled),
2278 .dmc_txc_dma9_active(dmc_txc_dma9_active),
2279 .dmc_txc_dma9_eoflist(dmc_txc_dma9_eoflist),
2280 .dmc_txc_dma9_gotnxtdesc(dmc_txc_dma9_gotnxtdesc),
2281 .dmc_txc_dma9_descriptor(dmc_txc_dma9_descriptor[63:0]),
2282 .dmc_txc_dma9_cacheready(dmc_txc_dma9_cacheready),
2283 .dmc_txc_dma9_partial(dmc_txc_dma9_partial),
2284 .dmc_txc_dma9_reset_scheduled(dmc_txc_dma9_reset_scheduled),
2285 .dmc_txc_dma10_active(dmc_txc_dma10_active),
2286 .dmc_txc_dma10_eoflist(dmc_txc_dma10_eoflist),
2287 .dmc_txc_dma10_gotnxtdesc(dmc_txc_dma10_gotnxtdesc),
2288 .dmc_txc_dma10_descriptor(dmc_txc_dma10_descriptor[63:0]),
2289 .dmc_txc_dma10_cacheready(dmc_txc_dma10_cacheready),
2290 .dmc_txc_dma10_partial(dmc_txc_dma10_partial),
2291 .dmc_txc_dma10_reset_scheduled(dmc_txc_dma10_reset_scheduled),
2292 .dmc_txc_dma11_active(dmc_txc_dma11_active),
2293 .dmc_txc_dma11_eoflist(dmc_txc_dma11_eoflist),
2294 .dmc_txc_dma11_gotnxtdesc(dmc_txc_dma11_gotnxtdesc),
2295 .dmc_txc_dma11_descriptor(dmc_txc_dma11_descriptor[63:0]),
2296 .dmc_txc_dma11_cacheready(dmc_txc_dma11_cacheready),
2297 .dmc_txc_dma11_partial(dmc_txc_dma11_partial),
2298 .dmc_txc_dma11_reset_scheduled(dmc_txc_dma11_reset_scheduled),
2299 .dmc_txc_dma12_active(dmc_txc_dma12_active),
2300 .dmc_txc_dma12_eoflist(dmc_txc_dma12_eoflist),
2301 .dmc_txc_dma12_gotnxtdesc(dmc_txc_dma12_gotnxtdesc),
2302 .dmc_txc_dma12_descriptor(dmc_txc_dma12_descriptor[63:0]),
2303 .dmc_txc_dma12_cacheready(dmc_txc_dma12_cacheready),
2304 .dmc_txc_dma12_partial(dmc_txc_dma12_partial),
2305 .dmc_txc_dma12_reset_scheduled(dmc_txc_dma12_reset_scheduled),
2306 .dmc_txc_dma13_active(dmc_txc_dma13_active),
2307 .dmc_txc_dma13_eoflist(dmc_txc_dma13_eoflist),
2308 .dmc_txc_dma13_gotnxtdesc(dmc_txc_dma13_gotnxtdesc),
2309 .dmc_txc_dma13_descriptor(dmc_txc_dma13_descriptor[63:0]),
2310 .dmc_txc_dma13_cacheready(dmc_txc_dma13_cacheready),
2311 .dmc_txc_dma13_partial(dmc_txc_dma13_partial),
2312 .dmc_txc_dma13_reset_scheduled(dmc_txc_dma13_reset_scheduled),
2313 .dmc_txc_dma14_active(dmc_txc_dma14_active),
2314 .dmc_txc_dma14_eoflist(dmc_txc_dma14_eoflist),
2315 .dmc_txc_dma14_gotnxtdesc(dmc_txc_dma14_gotnxtdesc),
2316 .dmc_txc_dma14_descriptor(dmc_txc_dma14_descriptor[63:0]),
2317 .dmc_txc_dma14_cacheready(dmc_txc_dma14_cacheready),
2318 .dmc_txc_dma14_partial(dmc_txc_dma14_partial),
2319 .dmc_txc_dma14_reset_scheduled(dmc_txc_dma14_reset_scheduled),
2320 .dmc_txc_dma15_active(dmc_txc_dma15_active),
2321 .dmc_txc_dma15_eoflist(dmc_txc_dma15_eoflist),
2322 .dmc_txc_dma15_gotnxtdesc(dmc_txc_dma15_gotnxtdesc),
2323 .dmc_txc_dma15_descriptor(dmc_txc_dma15_descriptor[63:0]),
2324 .dmc_txc_dma15_cacheready(dmc_txc_dma15_cacheready),
2325 .dmc_txc_dma15_partial(dmc_txc_dma15_partial),
2326 .dmc_txc_dma15_reset_scheduled(dmc_txc_dma15_reset_scheduled),
2327 .dma0_debug_port (dma0_debug_port),
2328 .dma1_debug_port (dma1_debug_port),
2329 .dma2_debug_port (dma2_debug_port),
2330 .dma3_debug_port (dma3_debug_port),
2331 .dma4_debug_port (dma4_debug_port),
2332 .dma5_debug_port (dma5_debug_port),
2333 .dma6_debug_port (dma6_debug_port),
2334 .dma7_debug_port (dma7_debug_port),
2335 .dma8_debug_port (dma8_debug_port),
2336 .dma9_debug_port (dma9_debug_port),
2337 .dma10_debug_port (dma10_debug_port),
2338 .dma11_debug_port (dma11_debug_port),
2339 .dma12_debug_port (dma12_debug_port),
2340 .dma13_debug_port (dma13_debug_port),
2341 .dma14_debug_port (dma14_debug_port),
2342 .dma15_debug_port (dma15_debug_port),
2343
2344`ifdef NEPTUNE
2345 .dmc_txc_dma16_active(dmc_txc_dma16_active),
2346 .dmc_txc_dma16_eoflist(dmc_txc_dma16_eoflist),
2347 .dmc_txc_dma16_gotnxtdesc(dmc_txc_dma16_gotnxtdesc),
2348 .dmc_txc_dma16_descriptor(dmc_txc_dma16_descriptor[63:0]),
2349 .dmc_txc_dma16_cacheready(dmc_txc_dma16_cacheready),
2350 .dmc_txc_dma16_partial(dmc_txc_dma16_partial),
2351 .dmc_txc_dma16_reset_scheduled(dmc_txc_dma16_reset_scheduled),
2352 .dmc_txc_dma17_active(dmc_txc_dma17_active),
2353 .dmc_txc_dma17_eoflist(dmc_txc_dma17_eoflist),
2354 .dmc_txc_dma17_gotnxtdesc(dmc_txc_dma17_gotnxtdesc),
2355 .dmc_txc_dma17_descriptor(dmc_txc_dma17_descriptor[63:0]),
2356 .dmc_txc_dma17_cacheready(dmc_txc_dma17_cacheready),
2357 .dmc_txc_dma17_partial(dmc_txc_dma17_partial),
2358 .dmc_txc_dma17_reset_scheduled(dmc_txc_dma17_reset_scheduled),
2359 .dmc_txc_dma18_active(dmc_txc_dma18_active),
2360 .dmc_txc_dma18_eoflist(dmc_txc_dma18_eoflist),
2361 .dmc_txc_dma18_gotnxtdesc(dmc_txc_dma18_gotnxtdesc),
2362 .dmc_txc_dma18_descriptor(dmc_txc_dma18_descriptor[63:0]),
2363 .dmc_txc_dma18_cacheready(dmc_txc_dma18_cacheready),
2364 .dmc_txc_dma18_partial(dmc_txc_dma18_partial),
2365 .dmc_txc_dma18_reset_scheduled(dmc_txc_dma18_reset_scheduled),
2366 .dmc_txc_dma19_active(dmc_txc_dma19_active),
2367 .dmc_txc_dma19_eoflist(dmc_txc_dma19_eoflist),
2368 .dmc_txc_dma19_gotnxtdesc(dmc_txc_dma19_gotnxtdesc),
2369 .dmc_txc_dma19_descriptor(dmc_txc_dma19_descriptor[63:0]),
2370 .dmc_txc_dma19_cacheready(dmc_txc_dma19_cacheready),
2371 .dmc_txc_dma19_partial(dmc_txc_dma19_partial),
2372 .dmc_txc_dma19_reset_scheduled(dmc_txc_dma19_reset_scheduled),
2373 .dmc_txc_dma20_active(dmc_txc_dma20_active),
2374 .dmc_txc_dma20_eoflist(dmc_txc_dma20_eoflist),
2375 .dmc_txc_dma20_gotnxtdesc(dmc_txc_dma20_gotnxtdesc),
2376 .dmc_txc_dma20_descriptor(dmc_txc_dma20_descriptor[63:0]),
2377 .dmc_txc_dma20_cacheready(dmc_txc_dma20_cacheready),
2378 .dmc_txc_dma20_partial(dmc_txc_dma20_partial),
2379 .dmc_txc_dma20_reset_scheduled(dmc_txc_dma20_reset_scheduled),
2380 .dmc_txc_dma21_active(dmc_txc_dma21_active),
2381 .dmc_txc_dma21_eoflist(dmc_txc_dma21_eoflist),
2382 .dmc_txc_dma21_gotnxtdesc(dmc_txc_dma21_gotnxtdesc),
2383 .dmc_txc_dma21_descriptor(dmc_txc_dma21_descriptor[63:0]),
2384 .dmc_txc_dma21_cacheready(dmc_txc_dma21_cacheready),
2385 .dmc_txc_dma21_partial(dmc_txc_dma21_partial),
2386 .dmc_txc_dma21_reset_scheduled(dmc_txc_dma21_reset_scheduled),
2387 .dmc_txc_dma22_active(dmc_txc_dma22_active),
2388 .dmc_txc_dma22_eoflist(dmc_txc_dma22_eoflist),
2389 .dmc_txc_dma22_gotnxtdesc(dmc_txc_dma22_gotnxtdesc),
2390 .dmc_txc_dma22_descriptor(dmc_txc_dma22_descriptor[63:0]),
2391 .dmc_txc_dma22_cacheready(dmc_txc_dma22_cacheready),
2392 .dmc_txc_dma22_partial(dmc_txc_dma22_partial),
2393 .dmc_txc_dma22_reset_scheduled(dmc_txc_dma22_reset_scheduled),
2394 .dmc_txc_dma23_active(dmc_txc_dma23_active),
2395 .dmc_txc_dma23_eoflist(dmc_txc_dma23_eoflist),
2396 .dmc_txc_dma23_gotnxtdesc(dmc_txc_dma23_gotnxtdesc),
2397 .dmc_txc_dma23_descriptor(dmc_txc_dma23_descriptor[63:0]),
2398 .dmc_txc_dma23_cacheready(dmc_txc_dma23_cacheready),
2399 .dmc_txc_dma23_partial(dmc_txc_dma23_partial),
2400 .dmc_txc_dma23_reset_scheduled(dmc_txc_dma23_reset_scheduled),
2401 .dma16_debug_port (dma16_debug_port),
2402 .dma17_debug_port (dma17_debug_port),
2403 .dma18_debug_port (dma18_debug_port),
2404 .dma19_debug_port (dma19_debug_port),
2405 .dma20_debug_port (dma20_debug_port),
2406 .dma21_debug_port (dma21_debug_port),
2407 .dma22_debug_port (dma22_debug_port),
2408 .dma23_debug_port (dma23_debug_port),
2409
2410
2411
2412
2413`endif
2414
2415
2416
2417 .tx_rng_head_dma0(tx_rng_head_dma0[`PTR_WIDTH:0]),
2418 .dma0_clear_reset(dma0_clear_reset),
2419 .tx_rng_head_dma1(tx_rng_head_dma1[`PTR_WIDTH:0]),
2420 .dma1_clear_reset(dma1_clear_reset),
2421 .tx_rng_head_dma2(tx_rng_head_dma2[`PTR_WIDTH:0]),
2422 .dma2_clear_reset(dma2_clear_reset),
2423 .tx_rng_head_dma3(tx_rng_head_dma3[`PTR_WIDTH:0]),
2424 .dma3_clear_reset(dma3_clear_reset),
2425 .tx_rng_head_dma4(tx_rng_head_dma4[`PTR_WIDTH:0]),
2426 .dma4_clear_reset(dma4_clear_reset),
2427 .tx_rng_head_dma5(tx_rng_head_dma5[`PTR_WIDTH:0]),
2428 .dma5_clear_reset(dma5_clear_reset),
2429 .tx_rng_head_dma6(tx_rng_head_dma6[`PTR_WIDTH:0]),
2430 .dma6_clear_reset(dma6_clear_reset),
2431 .tx_rng_head_dma7(tx_rng_head_dma7[`PTR_WIDTH:0]),
2432 .dma7_clear_reset(dma7_clear_reset),
2433 .tx_rng_head_dma8(tx_rng_head_dma8[`PTR_WIDTH:0]),
2434 .dma8_clear_reset(dma8_clear_reset),
2435 .tx_rng_head_dma9(tx_rng_head_dma9[`PTR_WIDTH:0]),
2436 .dma9_clear_reset(dma9_clear_reset),
2437 .tx_rng_head_dma10(tx_rng_head_dma10[`PTR_WIDTH:0]),
2438 .dma10_clear_reset(dma10_clear_reset),
2439 .tx_rng_head_dma11(tx_rng_head_dma11[`PTR_WIDTH:0]),
2440 .dma11_clear_reset(dma11_clear_reset),
2441 .tx_rng_head_dma12(tx_rng_head_dma12[`PTR_WIDTH:0]),
2442 .dma12_clear_reset(dma12_clear_reset),
2443 .tx_rng_head_dma13(tx_rng_head_dma13[`PTR_WIDTH:0]),
2444 .dma13_clear_reset(dma13_clear_reset),
2445 .tx_rng_head_dma14(tx_rng_head_dma14[`PTR_WIDTH:0]),
2446 .dma14_clear_reset(dma14_clear_reset),
2447 .tx_rng_head_dma15(tx_rng_head_dma15[`PTR_WIDTH:0]),
2448 .dma15_clear_reset(dma15_clear_reset),
2449
2450`ifdef NEPTUNE
2451
2452 .tx_rng_head_dma16(tx_rng_head_dma16[`PTR_WIDTH:0]),
2453 .dma16_clear_reset(dma16_clear_reset),
2454 .tx_rng_head_dma17(tx_rng_head_dma17[`PTR_WIDTH:0]),
2455 .dma17_clear_reset(dma17_clear_reset),
2456 .tx_rng_head_dma18(tx_rng_head_dma18[`PTR_WIDTH:0]),
2457 .dma18_clear_reset(dma18_clear_reset),
2458 .tx_rng_head_dma19(tx_rng_head_dma19[`PTR_WIDTH:0]),
2459 .dma19_clear_reset(dma19_clear_reset),
2460 .tx_rng_head_dma20(tx_rng_head_dma20[`PTR_WIDTH:0]),
2461 .dma20_clear_reset(dma20_clear_reset),
2462 .tx_rng_head_dma21(tx_rng_head_dma21[`PTR_WIDTH:0]),
2463 .dma21_clear_reset(dma21_clear_reset),
2464 .tx_rng_head_dma22(tx_rng_head_dma22[`PTR_WIDTH:0]),
2465 .dma22_clear_reset(dma22_clear_reset),
2466 .tx_rng_head_dma23(tx_rng_head_dma23[`PTR_WIDTH:0]),
2467 .dma23_clear_reset(dma23_clear_reset),
2468
2469
2470`endif
2471
2472
2473
2474
2475 .set_pref_buf_par_err_dma0(set_pref_buf_par_err_dma0),
2476 .set_pkt_part_err_dma0(set_pkt_part_err_dma0),
2477 .pkt_part_error_address_dma0(pkt_part_error_address_dma0[43:0]),
2478 .set_conf_part_error_dma0(set_conf_part_error_dma0),
2479 .set_pref_buf_par_err_dma1(set_pref_buf_par_err_dma1),
2480 .set_pkt_part_err_dma1(set_pkt_part_err_dma1),
2481 .pkt_part_error_address_dma1(pkt_part_error_address_dma1[43:0]),
2482 .set_conf_part_error_dma1(set_conf_part_error_dma1),
2483 .set_pref_buf_par_err_dma2(set_pref_buf_par_err_dma2),
2484 .set_pkt_part_err_dma2(set_pkt_part_err_dma2),
2485 .pkt_part_error_address_dma2(pkt_part_error_address_dma2[43:0]),
2486 .set_conf_part_error_dma2(set_conf_part_error_dma2),
2487 .set_pref_buf_par_err_dma3(set_pref_buf_par_err_dma3),
2488 .set_pkt_part_err_dma3(set_pkt_part_err_dma3),
2489 .pkt_part_error_address_dma3(pkt_part_error_address_dma3[43:0]),
2490 .set_conf_part_error_dma3(set_conf_part_error_dma3),
2491 .set_pref_buf_par_err_dma4(set_pref_buf_par_err_dma4),
2492 .set_pkt_part_err_dma4(set_pkt_part_err_dma4),
2493 .pkt_part_error_address_dma4(pkt_part_error_address_dma4[43:0]),
2494 .set_conf_part_error_dma4(set_conf_part_error_dma4),
2495 .set_pref_buf_par_err_dma5(set_pref_buf_par_err_dma5),
2496 .set_pkt_part_err_dma5(set_pkt_part_err_dma5),
2497 .pkt_part_error_address_dma5(pkt_part_error_address_dma5[43:0]),
2498 .set_conf_part_error_dma5(set_conf_part_error_dma5),
2499 .set_pref_buf_par_err_dma6(set_pref_buf_par_err_dma6),
2500 .set_pkt_part_err_dma6(set_pkt_part_err_dma6),
2501 .pkt_part_error_address_dma6(pkt_part_error_address_dma6[43:0]),
2502 .set_conf_part_error_dma6(set_conf_part_error_dma6),
2503 .set_pref_buf_par_err_dma7(set_pref_buf_par_err_dma7),
2504 .set_pkt_part_err_dma7(set_pkt_part_err_dma7),
2505 .pkt_part_error_address_dma7(pkt_part_error_address_dma7[43:0]),
2506 .set_conf_part_error_dma7(set_conf_part_error_dma7),
2507 .set_pref_buf_par_err_dma8(set_pref_buf_par_err_dma8),
2508 .set_pkt_part_err_dma8(set_pkt_part_err_dma8),
2509 .pkt_part_error_address_dma8(pkt_part_error_address_dma8[43:0]),
2510 .set_conf_part_error_dma8(set_conf_part_error_dma8),
2511 .set_pref_buf_par_err_dma9(set_pref_buf_par_err_dma9),
2512 .set_pkt_part_err_dma9(set_pkt_part_err_dma9),
2513 .pkt_part_error_address_dma9(pkt_part_error_address_dma9[43:0]),
2514 .set_conf_part_error_dma9(set_conf_part_error_dma9),
2515 .set_pref_buf_par_err_dma10(set_pref_buf_par_err_dma10),
2516 .set_pkt_part_err_dma10(set_pkt_part_err_dma10),
2517 .pkt_part_error_address_dma10(pkt_part_error_address_dma10[43:0]),
2518 .set_conf_part_error_dma10(set_conf_part_error_dma10),
2519 .set_pref_buf_par_err_dma11(set_pref_buf_par_err_dma11),
2520 .set_pkt_part_err_dma11(set_pkt_part_err_dma11),
2521 .pkt_part_error_address_dma11(pkt_part_error_address_dma11[43:0]),
2522 .set_conf_part_error_dma11(set_conf_part_error_dma11),
2523 .set_pref_buf_par_err_dma12(set_pref_buf_par_err_dma12),
2524 .set_pkt_part_err_dma12(set_pkt_part_err_dma12),
2525 .pkt_part_error_address_dma12(pkt_part_error_address_dma12[43:0]),
2526 .set_conf_part_error_dma12(set_conf_part_error_dma12),
2527 .set_pref_buf_par_err_dma13(set_pref_buf_par_err_dma13),
2528 .set_pkt_part_err_dma13(set_pkt_part_err_dma13),
2529 .pkt_part_error_address_dma13(pkt_part_error_address_dma13[43:0]),
2530 .set_conf_part_error_dma13(set_conf_part_error_dma13),
2531 .set_pref_buf_par_err_dma14(set_pref_buf_par_err_dma14),
2532 .set_pkt_part_err_dma14(set_pkt_part_err_dma14),
2533 .pkt_part_error_address_dma14(pkt_part_error_address_dma14[43:0]),
2534 .set_conf_part_error_dma14(set_conf_part_error_dma14),
2535 .set_pref_buf_par_err_dma15(set_pref_buf_par_err_dma15),
2536 .set_pkt_part_err_dma15(set_pkt_part_err_dma15),
2537 .pkt_part_error_address_dma15(pkt_part_error_address_dma15[43:0]),
2538 .set_conf_part_error_dma15(set_conf_part_error_dma15),
2539 .set_tx_ring_oflow_dma0(set_tx_ring_oflow_dma0),
2540 .set_tx_ring_oflow_dma1(set_tx_ring_oflow_dma1),
2541 .set_tx_ring_oflow_dma2(set_tx_ring_oflow_dma2),
2542 .set_tx_ring_oflow_dma3(set_tx_ring_oflow_dma3),
2543 .set_tx_ring_oflow_dma4(set_tx_ring_oflow_dma4),
2544 .set_tx_ring_oflow_dma5(set_tx_ring_oflow_dma5),
2545 .set_tx_ring_oflow_dma6(set_tx_ring_oflow_dma6),
2546 .set_tx_ring_oflow_dma7(set_tx_ring_oflow_dma7),
2547 .set_tx_ring_oflow_dma8(set_tx_ring_oflow_dma8),
2548 .set_tx_ring_oflow_dma9(set_tx_ring_oflow_dma9),
2549 .set_tx_ring_oflow_dma10(set_tx_ring_oflow_dma10),
2550 .set_tx_ring_oflow_dma11(set_tx_ring_oflow_dma11),
2551 .set_tx_ring_oflow_dma12(set_tx_ring_oflow_dma12),
2552 .set_tx_ring_oflow_dma13(set_tx_ring_oflow_dma13),
2553 .set_tx_ring_oflow_dma14(set_tx_ring_oflow_dma14),
2554 .set_tx_ring_oflow_dma15(set_tx_ring_oflow_dma15),
2555
2556
2557`ifdef NEPTUNE
2558 .set_pref_buf_par_err_dma16(set_pref_buf_par_err_dma16),
2559 .set_pkt_part_err_dma16(set_pkt_part_err_dma16),
2560 .pkt_part_error_address_dma16(pkt_part_error_address_dma16[43:0]),
2561 .set_conf_part_error_dma16(set_conf_part_error_dma16),
2562 .set_pref_buf_par_err_dma17(set_pref_buf_par_err_dma17),
2563 .set_pkt_part_err_dma17(set_pkt_part_err_dma17),
2564 .pkt_part_error_address_dma17(pkt_part_error_address_dma17[43:0]),
2565 .set_conf_part_error_dma17(set_conf_part_error_dma17),
2566 .set_pref_buf_par_err_dma18(set_pref_buf_par_err_dma18),
2567 .set_pkt_part_err_dma18(set_pkt_part_err_dma18),
2568 .pkt_part_error_address_dma18(pkt_part_error_address_dma18[43:0]),
2569 .set_conf_part_error_dma18(set_conf_part_error_dma18),
2570 .set_pref_buf_par_err_dma19(set_pref_buf_par_err_dma19),
2571 .set_pkt_part_err_dma19(set_pkt_part_err_dma19),
2572 .pkt_part_error_address_dma19(pkt_part_error_address_dma19[43:0]),
2573 .set_conf_part_error_dma19(set_conf_part_error_dma19),
2574 .set_pref_buf_par_err_dma20(set_pref_buf_par_err_dma20),
2575 .set_pkt_part_err_dma20(set_pkt_part_err_dma20),
2576 .pkt_part_error_address_dma20(pkt_part_error_address_dma20[43:0]),
2577 .set_conf_part_error_dma20(set_conf_part_error_dma20),
2578 .set_pref_buf_par_err_dma21(set_pref_buf_par_err_dma21),
2579 .set_pkt_part_err_dma21(set_pkt_part_err_dma21),
2580 .pkt_part_error_address_dma21(pkt_part_error_address_dma21[43:0]),
2581 .set_conf_part_error_dma21(set_conf_part_error_dma21),
2582 .set_pref_buf_par_err_dma22(set_pref_buf_par_err_dma22),
2583 .set_pkt_part_err_dma22(set_pkt_part_err_dma22),
2584 .pkt_part_error_address_dma22(pkt_part_error_address_dma22[43:0]),
2585 .set_conf_part_error_dma22(set_conf_part_error_dma22),
2586 .set_pref_buf_par_err_dma23(set_pref_buf_par_err_dma23),
2587 .set_pkt_part_err_dma23(set_pkt_part_err_dma23),
2588 .pkt_part_error_address_dma23(pkt_part_error_address_dma23[43:0]),
2589 .set_conf_part_error_dma23(set_conf_part_error_dma23),
2590 .set_tx_ring_oflow_dma16(set_tx_ring_oflow_dma16),
2591 .set_tx_ring_oflow_dma17(set_tx_ring_oflow_dma17),
2592 .set_tx_ring_oflow_dma18(set_tx_ring_oflow_dma18),
2593 .set_tx_ring_oflow_dma19(set_tx_ring_oflow_dma19),
2594 .set_tx_ring_oflow_dma20(set_tx_ring_oflow_dma20),
2595 .set_tx_ring_oflow_dma21(set_tx_ring_oflow_dma21),
2596 .set_tx_ring_oflow_dma22(set_tx_ring_oflow_dma22),
2597 .set_tx_ring_oflow_dma23(set_tx_ring_oflow_dma23),
2598
2599
2600`endif
2601
2602
2603 .txpref_dma_nack_resp(txpref_dma_nack_resp[`NO_OF_DMAS - 1:0]),
2604 .txpref_nack_resp(txpref_nack_resp),
2605 .txpref_nack_rd_addr(txpref_nack_rd_addr[43:0]),
2606 .tx_dma_cfg_dma0_stop_state(tx_dma_cfg_dma0_stop_state),
2607 .tx_dma_cfg_dma1_stop_state(tx_dma_cfg_dma1_stop_state),
2608 .tx_dma_cfg_dma2_stop_state(tx_dma_cfg_dma2_stop_state),
2609 .tx_dma_cfg_dma3_stop_state(tx_dma_cfg_dma3_stop_state),
2610 .tx_dma_cfg_dma4_stop_state(tx_dma_cfg_dma4_stop_state),
2611 .tx_dma_cfg_dma5_stop_state(tx_dma_cfg_dma5_stop_state),
2612 .tx_dma_cfg_dma6_stop_state(tx_dma_cfg_dma6_stop_state),
2613 .tx_dma_cfg_dma7_stop_state(tx_dma_cfg_dma7_stop_state),
2614 .tx_dma_cfg_dma8_stop_state(tx_dma_cfg_dma8_stop_state),
2615 .tx_dma_cfg_dma9_stop_state(tx_dma_cfg_dma9_stop_state),
2616 .tx_dma_cfg_dma10_stop_state(tx_dma_cfg_dma10_stop_state),
2617 .tx_dma_cfg_dma11_stop_state(tx_dma_cfg_dma11_stop_state),
2618 .tx_dma_cfg_dma12_stop_state(tx_dma_cfg_dma12_stop_state),
2619 .tx_dma_cfg_dma13_stop_state(tx_dma_cfg_dma13_stop_state),
2620 .tx_dma_cfg_dma14_stop_state(tx_dma_cfg_dma14_stop_state),
2621 .tx_dma_cfg_dma15_stop_state(tx_dma_cfg_dma15_stop_state),
2622`ifdef NEPTUNE
2623 .tx_dma_cfg_dma16_stop_state(tx_dma_cfg_dma16_stop_state),
2624 .tx_dma_cfg_dma17_stop_state(tx_dma_cfg_dma17_stop_state),
2625 .tx_dma_cfg_dma18_stop_state(tx_dma_cfg_dma18_stop_state),
2626 .tx_dma_cfg_dma19_stop_state(tx_dma_cfg_dma19_stop_state),
2627 .tx_dma_cfg_dma20_stop_state(tx_dma_cfg_dma20_stop_state),
2628 .tx_dma_cfg_dma21_stop_state(tx_dma_cfg_dma21_stop_state),
2629 .tx_dma_cfg_dma22_stop_state(tx_dma_cfg_dma22_stop_state),
2630 .tx_dma_cfg_dma23_stop_state(tx_dma_cfg_dma23_stop_state),
2631
2632
2633
2634
2635
2636`endif
2637
2638 .ShadowRingCurrentPtr_DMA0(ShadowRingCurrentPtr_DMA0),
2639 .ShadowRingCurrentPtr_DMA1(ShadowRingCurrentPtr_DMA1),
2640 .ShadowRingCurrentPtr_DMA2(ShadowRingCurrentPtr_DMA2),
2641 .ShadowRingCurrentPtr_DMA3(ShadowRingCurrentPtr_DMA3),
2642 .ShadowRingCurrentPtr_DMA4(ShadowRingCurrentPtr_DMA4),
2643 .ShadowRingCurrentPtr_DMA5(ShadowRingCurrentPtr_DMA5),
2644 .ShadowRingCurrentPtr_DMA6(ShadowRingCurrentPtr_DMA6),
2645 .ShadowRingCurrentPtr_DMA7(ShadowRingCurrentPtr_DMA7),
2646 .ShadowRingCurrentPtr_DMA8(ShadowRingCurrentPtr_DMA8),
2647 .ShadowRingCurrentPtr_DMA9(ShadowRingCurrentPtr_DMA9),
2648 .ShadowRingCurrentPtr_DMA10(ShadowRingCurrentPtr_DMA10),
2649 .ShadowRingCurrentPtr_DMA11(ShadowRingCurrentPtr_DMA11),
2650 .ShadowRingCurrentPtr_DMA12(ShadowRingCurrentPtr_DMA12),
2651 .ShadowRingCurrentPtr_DMA13(ShadowRingCurrentPtr_DMA13),
2652 .ShadowRingCurrentPtr_DMA14(ShadowRingCurrentPtr_DMA14),
2653 .ShadowRingCurrentPtr_DMA15(ShadowRingCurrentPtr_DMA15),
2654`ifdef NEPTUNE
2655 .ShadowRingCurrentPtr_DMA16(ShadowRingCurrentPtr_DMA16),
2656 .ShadowRingCurrentPtr_DMA17(ShadowRingCurrentPtr_DMA17),
2657 .ShadowRingCurrentPtr_DMA18(ShadowRingCurrentPtr_DMA18),
2658 .ShadowRingCurrentPtr_DMA19(ShadowRingCurrentPtr_DMA19),
2659 .ShadowRingCurrentPtr_DMA20(ShadowRingCurrentPtr_DMA20),
2660 .ShadowRingCurrentPtr_DMA21(ShadowRingCurrentPtr_DMA21),
2661 .ShadowRingCurrentPtr_DMA22(ShadowRingCurrentPtr_DMA22),
2662 .ShadowRingCurrentPtr_DMA23(ShadowRingCurrentPtr_DMA23),
2663
2664`endif
2665 // Inputs
2666 .SysClk(niu_clk),
2667 .Reset_L(Reset_L),
2668 .SMX_DMC_TxCache_Req_Ack(SMX_DMC_TxCache_Req_Ack),
2669 .SMX_DMC_TxCache_Resp_Rdy(SMX_DMC_TxCache_Resp_Rdy),
2670 .SMX_DMC_TxCache_Resp_Complete(SMX_DMC_TxCache_Resp_Complete),
2671 .SMX_DMC_TxCache_Trans_Complete(SMX_DMC_TxCache_Trans_Complete),
2672 .SMX_DMC_TxCache_Resp_Data_Valid(SMX_DMC_TxCache_Resp_Data_Valid),
2673 .SMX_DMC_TxCache_Resp_DMA_Num(SMX_DMC_TxCache_Resp_DMA_Num[4:0]),
2674 .SMX_DMC_TxCache_Resp_ByteEnables(SMX_DMC_TxCache_Resp_ByteEnables[15:0]),
2675 .SMX_DMC_TxCache_Resp_Data_Length(SMX_DMC_TxCache_Resp_Data_Length[13:0]),
2676 .SMX_DMC_TxCache_Resp_Address(SMX_DMC_TxCache_Resp_Address[63:0]),
2677 .SMX_DMC_TxCache_Resp_Data(SMX_DMC_TxCache_Resp_Data[127:0]),
2678 .meta_dmc_resp_cmd(meta_dmc_resp_cmd[7:0]),
2679 .meta_dmc_resp_cmd_status(meta_dmc_resp_cmd_status[3:0]),
2680 .meta_dmc_data_status(meta_dmc_data_status[3:0]),
2681 .txc_dmc_dma0_getnxtdesc(txc_dmc_dma0_getnxtdesc),
2682 .txc_dmc_dma0_inc_head(txc_dmc_dma0_inc_head),
2683 .txc_dmc_dma0_reset_done(txc_dmc_dma0_reset_done),
2684 .txc_dmc_dma1_getnxtdesc(txc_dmc_dma1_getnxtdesc),
2685 .txc_dmc_dma1_inc_head(txc_dmc_dma1_inc_head),
2686 .txc_dmc_dma1_reset_done(txc_dmc_dma1_reset_done),
2687 .txc_dmc_dma2_getnxtdesc(txc_dmc_dma2_getnxtdesc),
2688 .txc_dmc_dma2_inc_head(txc_dmc_dma2_inc_head),
2689 .txc_dmc_dma2_reset_done(txc_dmc_dma2_reset_done),
2690 .txc_dmc_dma3_getnxtdesc(txc_dmc_dma3_getnxtdesc),
2691 .txc_dmc_dma3_inc_head(txc_dmc_dma3_inc_head),
2692 .txc_dmc_dma3_reset_done(txc_dmc_dma3_reset_done),
2693 .txc_dmc_dma4_getnxtdesc(txc_dmc_dma4_getnxtdesc),
2694 .txc_dmc_dma4_inc_head(txc_dmc_dma4_inc_head),
2695 .txc_dmc_dma4_reset_done(txc_dmc_dma4_reset_done),
2696 .txc_dmc_dma5_getnxtdesc(txc_dmc_dma5_getnxtdesc),
2697 .txc_dmc_dma5_inc_head(txc_dmc_dma5_inc_head),
2698 .txc_dmc_dma5_reset_done(txc_dmc_dma5_reset_done),
2699 .txc_dmc_dma6_getnxtdesc(txc_dmc_dma6_getnxtdesc),
2700 .txc_dmc_dma6_inc_head(txc_dmc_dma6_inc_head),
2701 .txc_dmc_dma6_reset_done(txc_dmc_dma6_reset_done),
2702 .txc_dmc_dma7_getnxtdesc(txc_dmc_dma7_getnxtdesc),
2703 .txc_dmc_dma7_inc_head(txc_dmc_dma7_inc_head),
2704 .txc_dmc_dma7_reset_done(txc_dmc_dma7_reset_done),
2705 .txc_dmc_dma8_getnxtdesc(txc_dmc_dma8_getnxtdesc),
2706 .txc_dmc_dma8_inc_head(txc_dmc_dma8_inc_head),
2707 .txc_dmc_dma8_reset_done(txc_dmc_dma8_reset_done),
2708 .txc_dmc_dma9_getnxtdesc(txc_dmc_dma9_getnxtdesc),
2709 .txc_dmc_dma9_inc_head(txc_dmc_dma9_inc_head),
2710 .txc_dmc_dma9_reset_done(txc_dmc_dma9_reset_done),
2711 .txc_dmc_dma10_getnxtdesc(txc_dmc_dma10_getnxtdesc),
2712 .txc_dmc_dma10_inc_head(txc_dmc_dma10_inc_head),
2713 .txc_dmc_dma10_reset_done(txc_dmc_dma10_reset_done),
2714 .txc_dmc_dma11_getnxtdesc(txc_dmc_dma11_getnxtdesc),
2715 .txc_dmc_dma11_inc_head(txc_dmc_dma11_inc_head),
2716 .txc_dmc_dma11_reset_done(txc_dmc_dma11_reset_done),
2717 .txc_dmc_dma12_getnxtdesc(txc_dmc_dma12_getnxtdesc),
2718 .txc_dmc_dma12_inc_head(txc_dmc_dma12_inc_head),
2719 .txc_dmc_dma12_reset_done(txc_dmc_dma12_reset_done),
2720 .txc_dmc_dma13_getnxtdesc(txc_dmc_dma13_getnxtdesc),
2721 .txc_dmc_dma13_inc_head(txc_dmc_dma13_inc_head),
2722 .txc_dmc_dma13_reset_done(txc_dmc_dma13_reset_done),
2723 .txc_dmc_dma14_getnxtdesc(txc_dmc_dma14_getnxtdesc),
2724 .txc_dmc_dma14_inc_head(txc_dmc_dma14_inc_head),
2725 .txc_dmc_dma14_reset_done(txc_dmc_dma14_reset_done),
2726 .txc_dmc_dma15_getnxtdesc(txc_dmc_dma15_getnxtdesc),
2727 .txc_dmc_dma15_inc_head(txc_dmc_dma15_inc_head),
2728 .txc_dmc_dma15_reset_done(txc_dmc_dma15_reset_done),
2729`ifdef NEPTUNE
2730 .txc_dmc_dma16_getnxtdesc(txc_dmc_dma16_getnxtdesc),
2731 .txc_dmc_dma16_inc_head(txc_dmc_dma16_inc_head),
2732 .txc_dmc_dma16_reset_done(txc_dmc_dma16_reset_done),
2733 .txc_dmc_dma17_getnxtdesc(txc_dmc_dma17_getnxtdesc),
2734 .txc_dmc_dma17_inc_head(txc_dmc_dma17_inc_head),
2735 .txc_dmc_dma17_reset_done(txc_dmc_dma17_reset_done),
2736 .txc_dmc_dma18_getnxtdesc(txc_dmc_dma18_getnxtdesc),
2737 .txc_dmc_dma18_inc_head(txc_dmc_dma18_inc_head),
2738 .txc_dmc_dma18_reset_done(txc_dmc_dma18_reset_done),
2739 .txc_dmc_dma19_getnxtdesc(txc_dmc_dma19_getnxtdesc),
2740 .txc_dmc_dma19_inc_head(txc_dmc_dma19_inc_head),
2741 .txc_dmc_dma19_reset_done(txc_dmc_dma19_reset_done),
2742 .txc_dmc_dma20_getnxtdesc(txc_dmc_dma20_getnxtdesc),
2743 .txc_dmc_dma20_inc_head(txc_dmc_dma20_inc_head),
2744 .txc_dmc_dma20_reset_done(txc_dmc_dma20_reset_done),
2745 .txc_dmc_dma21_getnxtdesc(txc_dmc_dma21_getnxtdesc),
2746 .txc_dmc_dma21_inc_head(txc_dmc_dma21_inc_head),
2747 .txc_dmc_dma21_reset_done(txc_dmc_dma21_reset_done),
2748 .txc_dmc_dma22_getnxtdesc(txc_dmc_dma22_getnxtdesc),
2749 .txc_dmc_dma22_inc_head(txc_dmc_dma22_inc_head),
2750 .txc_dmc_dma22_reset_done(txc_dmc_dma22_reset_done),
2751 .txc_dmc_dma23_getnxtdesc(txc_dmc_dma23_getnxtdesc),
2752 .txc_dmc_dma23_inc_head(txc_dmc_dma23_inc_head),
2753 .txc_dmc_dma23_reset_done(txc_dmc_dma23_reset_done),
2754
2755
2756
2757
2758`endif
2759
2760
2761 .tx_rng_cfg_dma0_len(tx_rng_cfg_dma0_len[`RNG_LENGTH_WIDTH -1:0]),
2762 .tx_rng_cfg_dma0_staddr(tx_rng_cfg_dma0_staddr[37:0]),
2763 .tx_rng_tail_dma0(tx_rng_tail_dma0[`PTR_WIDTH:0]),
2764 .tx_dma_cfg_dma0_rst(tx_dma_cfg_dma0_rst),
2765 .tx_dma_cfg_dma0_stall(tx_dma_cfg_dma0_stall),
2766 .page0_mask_dma0(page0_mask_dma0[31:0]),
2767 .page0_value_dma0(page0_value_dma0[31:0]),
2768 .page0_reloc_dma0(page0_reloc_dma0[31:0]),
2769 .page0_valid_dma0(page0_valid_dma0),
2770 .page1_mask_dma0(page1_mask_dma0[31:0]),
2771 .page1_value_dma0(page1_value_dma0[31:0]),
2772 .page1_reloc_dma0(page1_reloc_dma0[31:0]),
2773 .page1_valid_dma0(page1_valid_dma0),
2774 .dmc_txc_dma0_page_handle(dmc_txc_dma0_page_handle[19:0]),
2775 .tx_rng_cfg_dma1_len(tx_rng_cfg_dma1_len[`RNG_LENGTH_WIDTH -1:0]),
2776 .tx_rng_cfg_dma1_staddr(tx_rng_cfg_dma1_staddr[37:0]),
2777 .tx_rng_tail_dma1(tx_rng_tail_dma1[`PTR_WIDTH:0]),
2778 .tx_dma_cfg_dma1_rst(tx_dma_cfg_dma1_rst),
2779 .tx_dma_cfg_dma1_stall(tx_dma_cfg_dma1_stall),
2780 .page0_mask_dma1(page0_mask_dma1[31:0]),
2781 .page0_value_dma1(page0_value_dma1[31:0]),
2782 .page0_reloc_dma1(page0_reloc_dma1[31:0]),
2783 .page0_valid_dma1(page0_valid_dma1),
2784 .page1_mask_dma1(page1_mask_dma1[31:0]),
2785 .page1_value_dma1(page1_value_dma1[31:0]),
2786 .page1_reloc_dma1(page1_reloc_dma1[31:0]),
2787 .page1_valid_dma1(page1_valid_dma1),
2788 .dmc_txc_dma1_page_handle(dmc_txc_dma1_page_handle[19:0]),
2789 .tx_rng_cfg_dma2_len(tx_rng_cfg_dma2_len[`RNG_LENGTH_WIDTH -1:0]),
2790 .tx_rng_cfg_dma2_staddr(tx_rng_cfg_dma2_staddr[37:0]),
2791 .tx_rng_tail_dma2(tx_rng_tail_dma2[`PTR_WIDTH:0]),
2792 .tx_dma_cfg_dma2_rst(tx_dma_cfg_dma2_rst),
2793 .tx_dma_cfg_dma2_stall(tx_dma_cfg_dma2_stall),
2794 .page0_mask_dma2(page0_mask_dma2[31:0]),
2795 .page0_value_dma2(page0_value_dma2[31:0]),
2796 .page0_reloc_dma2(page0_reloc_dma2[31:0]),
2797 .page0_valid_dma2(page0_valid_dma2),
2798 .page1_mask_dma2(page1_mask_dma2[31:0]),
2799 .page1_value_dma2(page1_value_dma2[31:0]),
2800 .page1_reloc_dma2(page1_reloc_dma2[31:0]),
2801 .page1_valid_dma2(page1_valid_dma2),
2802 .dmc_txc_dma2_page_handle(dmc_txc_dma2_page_handle[19:0]),
2803 .tx_rng_cfg_dma3_len(tx_rng_cfg_dma3_len[`RNG_LENGTH_WIDTH -1:0]),
2804 .tx_rng_cfg_dma3_staddr(tx_rng_cfg_dma3_staddr[37:0]),
2805 .tx_rng_tail_dma3(tx_rng_tail_dma3[`PTR_WIDTH:0]),
2806 .tx_dma_cfg_dma3_rst(tx_dma_cfg_dma3_rst),
2807 .tx_dma_cfg_dma3_stall(tx_dma_cfg_dma3_stall),
2808 .page0_mask_dma3(page0_mask_dma3[31:0]),
2809 .page0_value_dma3(page0_value_dma3[31:0]),
2810 .page0_reloc_dma3(page0_reloc_dma3[31:0]),
2811 .page0_valid_dma3(page0_valid_dma3),
2812 .page1_mask_dma3(page1_mask_dma3[31:0]),
2813 .page1_value_dma3(page1_value_dma3[31:0]),
2814 .page1_reloc_dma3(page1_reloc_dma3[31:0]),
2815 .page1_valid_dma3(page1_valid_dma3),
2816 .dmc_txc_dma3_page_handle(dmc_txc_dma3_page_handle[19:0]),
2817 .tx_rng_cfg_dma4_len(tx_rng_cfg_dma4_len[`RNG_LENGTH_WIDTH -1:0]),
2818 .tx_rng_cfg_dma4_staddr(tx_rng_cfg_dma4_staddr[37:0]),
2819 .tx_rng_tail_dma4(tx_rng_tail_dma4[`PTR_WIDTH:0]),
2820 .tx_dma_cfg_dma4_rst(tx_dma_cfg_dma4_rst),
2821 .tx_dma_cfg_dma4_stall(tx_dma_cfg_dma4_stall),
2822 .page0_mask_dma4(page0_mask_dma4[31:0]),
2823 .page0_value_dma4(page0_value_dma4[31:0]),
2824 .page0_reloc_dma4(page0_reloc_dma4[31:0]),
2825 .page0_valid_dma4(page0_valid_dma4),
2826 .page1_mask_dma4(page1_mask_dma4[31:0]),
2827 .page1_value_dma4(page1_value_dma4[31:0]),
2828 .page1_reloc_dma4(page1_reloc_dma4[31:0]),
2829 .page1_valid_dma4(page1_valid_dma4),
2830 .dmc_txc_dma4_page_handle(dmc_txc_dma4_page_handle[19:0]),
2831 .tx_rng_cfg_dma5_len(tx_rng_cfg_dma5_len[`RNG_LENGTH_WIDTH -1:0]),
2832 .tx_rng_cfg_dma5_staddr(tx_rng_cfg_dma5_staddr[37:0]),
2833 .tx_rng_tail_dma5(tx_rng_tail_dma5[`PTR_WIDTH:0]),
2834 .tx_dma_cfg_dma5_rst(tx_dma_cfg_dma5_rst),
2835 .tx_dma_cfg_dma5_stall(tx_dma_cfg_dma5_stall),
2836 .page0_mask_dma5(page0_mask_dma5[31:0]),
2837 .page0_value_dma5(page0_value_dma5[31:0]),
2838 .page0_reloc_dma5(page0_reloc_dma5[31:0]),
2839 .page0_valid_dma5(page0_valid_dma5),
2840 .page1_mask_dma5(page1_mask_dma5[31:0]),
2841 .page1_value_dma5(page1_value_dma5[31:0]),
2842 .page1_reloc_dma5(page1_reloc_dma5[31:0]),
2843 .page1_valid_dma5(page1_valid_dma5),
2844 .dmc_txc_dma5_page_handle(dmc_txc_dma5_page_handle[19:0]),
2845 .tx_rng_cfg_dma6_len(tx_rng_cfg_dma6_len[`RNG_LENGTH_WIDTH -1:0]),
2846 .tx_rng_cfg_dma6_staddr(tx_rng_cfg_dma6_staddr[37:0]),
2847 .tx_rng_tail_dma6(tx_rng_tail_dma6[`PTR_WIDTH:0]),
2848 .tx_dma_cfg_dma6_rst(tx_dma_cfg_dma6_rst),
2849 .tx_dma_cfg_dma6_stall(tx_dma_cfg_dma6_stall),
2850 .page0_mask_dma6(page0_mask_dma6[31:0]),
2851 .page0_value_dma6(page0_value_dma6[31:0]),
2852 .page0_reloc_dma6(page0_reloc_dma6[31:0]),
2853 .page0_valid_dma6(page0_valid_dma6),
2854 .page1_mask_dma6(page1_mask_dma6[31:0]),
2855 .page1_value_dma6(page1_value_dma6[31:0]),
2856 .page1_reloc_dma6(page1_reloc_dma6[31:0]),
2857 .page1_valid_dma6(page1_valid_dma6),
2858 .dmc_txc_dma6_page_handle(dmc_txc_dma6_page_handle[19:0]),
2859 .tx_rng_cfg_dma7_len(tx_rng_cfg_dma7_len[`RNG_LENGTH_WIDTH -1:0]),
2860 .tx_rng_cfg_dma7_staddr(tx_rng_cfg_dma7_staddr[37:0]),
2861 .tx_rng_tail_dma7(tx_rng_tail_dma7[`PTR_WIDTH:0]),
2862 .tx_dma_cfg_dma7_rst(tx_dma_cfg_dma7_rst),
2863 .tx_dma_cfg_dma7_stall(tx_dma_cfg_dma7_stall),
2864 .page0_mask_dma7(page0_mask_dma7[31:0]),
2865 .page0_value_dma7(page0_value_dma7[31:0]),
2866 .page0_reloc_dma7(page0_reloc_dma7[31:0]),
2867 .page0_valid_dma7(page0_valid_dma7),
2868 .page1_mask_dma7(page1_mask_dma7[31:0]),
2869 .page1_value_dma7(page1_value_dma7[31:0]),
2870 .page1_reloc_dma7(page1_reloc_dma7[31:0]),
2871 .page1_valid_dma7(page1_valid_dma7),
2872 .dmc_txc_dma7_page_handle(dmc_txc_dma7_page_handle[19:0]),
2873 .tx_rng_cfg_dma8_len(tx_rng_cfg_dma8_len[`RNG_LENGTH_WIDTH -1:0]),
2874 .tx_rng_cfg_dma8_staddr(tx_rng_cfg_dma8_staddr[37:0]),
2875 .tx_rng_tail_dma8(tx_rng_tail_dma8[`PTR_WIDTH:0]),
2876 .tx_dma_cfg_dma8_rst(tx_dma_cfg_dma8_rst),
2877 .tx_dma_cfg_dma8_stall(tx_dma_cfg_dma8_stall),
2878 .page0_mask_dma8(page0_mask_dma8[31:0]),
2879 .page0_value_dma8(page0_value_dma8[31:0]),
2880 .page0_reloc_dma8(page0_reloc_dma8[31:0]),
2881 .page0_valid_dma8(page0_valid_dma8),
2882 .page1_mask_dma8(page1_mask_dma8[31:0]),
2883 .page1_value_dma8(page1_value_dma8[31:0]),
2884 .page1_reloc_dma8(page1_reloc_dma8[31:0]),
2885 .page1_valid_dma8(page1_valid_dma8),
2886 .dmc_txc_dma8_page_handle(dmc_txc_dma8_page_handle[19:0]),
2887 .tx_rng_cfg_dma9_len(tx_rng_cfg_dma9_len[`RNG_LENGTH_WIDTH -1:0]),
2888 .tx_rng_cfg_dma9_staddr(tx_rng_cfg_dma9_staddr[37:0]),
2889 .tx_rng_tail_dma9(tx_rng_tail_dma9[`PTR_WIDTH:0]),
2890 .tx_dma_cfg_dma9_rst(tx_dma_cfg_dma9_rst),
2891 .tx_dma_cfg_dma9_stall(tx_dma_cfg_dma9_stall),
2892 .page0_mask_dma9(page0_mask_dma9[31:0]),
2893 .page0_value_dma9(page0_value_dma9[31:0]),
2894 .page0_reloc_dma9(page0_reloc_dma9[31:0]),
2895 .page0_valid_dma9(page0_valid_dma9),
2896 .page1_mask_dma9(page1_mask_dma9[31:0]),
2897 .page1_value_dma9(page1_value_dma9[31:0]),
2898 .page1_reloc_dma9(page1_reloc_dma9[31:0]),
2899 .page1_valid_dma9(page1_valid_dma9),
2900 .dmc_txc_dma9_page_handle(dmc_txc_dma9_page_handle[19:0]),
2901 .tx_rng_cfg_dma10_len(tx_rng_cfg_dma10_len[`RNG_LENGTH_WIDTH -1:0]),
2902 .tx_rng_cfg_dma10_staddr(tx_rng_cfg_dma10_staddr[37:0]),
2903 .tx_rng_tail_dma10(tx_rng_tail_dma10[`PTR_WIDTH:0]),
2904 .tx_dma_cfg_dma10_rst(tx_dma_cfg_dma10_rst),
2905 .tx_dma_cfg_dma10_stall(tx_dma_cfg_dma10_stall),
2906 .page0_mask_dma10(page0_mask_dma10[31:0]),
2907 .page0_value_dma10(page0_value_dma10[31:0]),
2908 .page0_reloc_dma10(page0_reloc_dma10[31:0]),
2909 .page0_valid_dma10(page0_valid_dma10),
2910 .page1_mask_dma10(page1_mask_dma10[31:0]),
2911 .page1_value_dma10(page1_value_dma10[31:0]),
2912 .page1_reloc_dma10(page1_reloc_dma10[31:0]),
2913 .page1_valid_dma10(page1_valid_dma10),
2914 .dmc_txc_dma10_page_handle(dmc_txc_dma10_page_handle[19:0]),
2915 .tx_rng_cfg_dma11_len(tx_rng_cfg_dma11_len[`RNG_LENGTH_WIDTH -1:0]),
2916 .tx_rng_cfg_dma11_staddr(tx_rng_cfg_dma11_staddr[37:0]),
2917 .tx_rng_tail_dma11(tx_rng_tail_dma11[`PTR_WIDTH:0]),
2918 .tx_dma_cfg_dma11_rst(tx_dma_cfg_dma11_rst),
2919 .tx_dma_cfg_dma11_stall(tx_dma_cfg_dma11_stall),
2920 .page0_mask_dma11(page0_mask_dma11[31:0]),
2921 .page0_value_dma11(page0_value_dma11[31:0]),
2922 .page0_reloc_dma11(page0_reloc_dma11[31:0]),
2923 .page0_valid_dma11(page0_valid_dma11),
2924 .page1_mask_dma11(page1_mask_dma11[31:0]),
2925 .page1_value_dma11(page1_value_dma11[31:0]),
2926 .page1_reloc_dma11(page1_reloc_dma11[31:0]),
2927 .page1_valid_dma11(page1_valid_dma11),
2928 .dmc_txc_dma11_page_handle(dmc_txc_dma11_page_handle[19:0]),
2929 .tx_rng_cfg_dma12_len(tx_rng_cfg_dma12_len[`RNG_LENGTH_WIDTH -1:0]),
2930 .tx_rng_cfg_dma12_staddr(tx_rng_cfg_dma12_staddr[37:0]),
2931 .tx_rng_tail_dma12(tx_rng_tail_dma12[`PTR_WIDTH:0]),
2932 .tx_dma_cfg_dma12_rst(tx_dma_cfg_dma12_rst),
2933 .tx_dma_cfg_dma12_stall(tx_dma_cfg_dma12_stall),
2934 .page0_mask_dma12(page0_mask_dma12[31:0]),
2935 .page0_value_dma12(page0_value_dma12[31:0]),
2936 .page0_reloc_dma12(page0_reloc_dma12[31:0]),
2937 .page0_valid_dma12(page0_valid_dma12),
2938 .page1_mask_dma12(page1_mask_dma12[31:0]),
2939 .page1_value_dma12(page1_value_dma12[31:0]),
2940 .page1_reloc_dma12(page1_reloc_dma12[31:0]),
2941 .page1_valid_dma12(page1_valid_dma12),
2942 .dmc_txc_dma12_page_handle(dmc_txc_dma12_page_handle[19:0]),
2943 .tx_rng_cfg_dma13_len(tx_rng_cfg_dma13_len[`RNG_LENGTH_WIDTH -1:0]),
2944 .tx_rng_cfg_dma13_staddr(tx_rng_cfg_dma13_staddr[37:0]),
2945 .tx_rng_tail_dma13(tx_rng_tail_dma13[`PTR_WIDTH:0]),
2946 .tx_dma_cfg_dma13_rst(tx_dma_cfg_dma13_rst),
2947 .tx_dma_cfg_dma13_stall(tx_dma_cfg_dma13_stall),
2948 .page0_mask_dma13(page0_mask_dma13[31:0]),
2949 .page0_value_dma13(page0_value_dma13[31:0]),
2950 .page0_reloc_dma13(page0_reloc_dma13[31:0]),
2951 .page0_valid_dma13(page0_valid_dma13),
2952 .page1_mask_dma13(page1_mask_dma13[31:0]),
2953 .page1_value_dma13(page1_value_dma13[31:0]),
2954 .page1_reloc_dma13(page1_reloc_dma13[31:0]),
2955 .page1_valid_dma13(page1_valid_dma13),
2956 .dmc_txc_dma13_page_handle(dmc_txc_dma13_page_handle[19:0]),
2957 .tx_rng_cfg_dma14_len(tx_rng_cfg_dma14_len[`RNG_LENGTH_WIDTH -1:0]),
2958 .tx_rng_cfg_dma14_staddr(tx_rng_cfg_dma14_staddr[37:0]),
2959 .tx_rng_tail_dma14(tx_rng_tail_dma14[`PTR_WIDTH:0]),
2960 .tx_dma_cfg_dma14_rst(tx_dma_cfg_dma14_rst),
2961 .tx_dma_cfg_dma14_stall(tx_dma_cfg_dma14_stall),
2962 .page0_mask_dma14(page0_mask_dma14[31:0]),
2963 .page0_value_dma14(page0_value_dma14[31:0]),
2964 .page0_reloc_dma14(page0_reloc_dma14[31:0]),
2965 .page0_valid_dma14(page0_valid_dma14),
2966 .page1_mask_dma14(page1_mask_dma14[31:0]),
2967 .page1_value_dma14(page1_value_dma14[31:0]),
2968 .page1_reloc_dma14(page1_reloc_dma14[31:0]),
2969 .page1_valid_dma14(page1_valid_dma14),
2970 .dmc_txc_dma14_page_handle(dmc_txc_dma14_page_handle[19:0]),
2971 .tx_rng_cfg_dma15_len(tx_rng_cfg_dma15_len[`RNG_LENGTH_WIDTH -1:0]),
2972 .tx_rng_cfg_dma15_staddr(tx_rng_cfg_dma15_staddr[37:0]),
2973 .tx_rng_tail_dma15(tx_rng_tail_dma15[`PTR_WIDTH:0]),
2974 .tx_dma_cfg_dma15_rst(tx_dma_cfg_dma15_rst),
2975 .tx_dma_cfg_dma15_stall(tx_dma_cfg_dma15_stall),
2976 .page0_mask_dma15(page0_mask_dma15[31:0]),
2977 .page0_value_dma15(page0_value_dma15[31:0]),
2978 .page0_reloc_dma15(page0_reloc_dma15[31:0]),
2979 .page0_valid_dma15(page0_valid_dma15),
2980 .page1_mask_dma15(page1_mask_dma15[31:0]),
2981 .page1_value_dma15(page1_value_dma15[31:0]),
2982 .page1_reloc_dma15(page1_reloc_dma15[31:0]),
2983 .page1_valid_dma15(page1_valid_dma15),
2984 .dmc_txc_dma15_page_handle(dmc_txc_dma15_page_handle[19:0]),
2985
2986
2987
2988`ifdef NEPTUNE
2989 .tx_rng_cfg_dma16_len(tx_rng_cfg_dma16_len[`RNG_LENGTH_WIDTH -1:0]),
2990 .tx_rng_cfg_dma16_staddr(tx_rng_cfg_dma16_staddr[37:0]),
2991 .tx_rng_tail_dma16(tx_rng_tail_dma16[`PTR_WIDTH:0]),
2992 .tx_dma_cfg_dma16_rst(tx_dma_cfg_dma16_rst),
2993 .tx_dma_cfg_dma16_stall(tx_dma_cfg_dma16_stall),
2994 .page0_mask_dma16(page0_mask_dma16[31:0]),
2995 .page0_value_dma16(page0_value_dma16[31:0]),
2996 .page0_reloc_dma16(page0_reloc_dma16[31:0]),
2997 .page0_valid_dma16(page0_valid_dma16),
2998 .page1_mask_dma16(page1_mask_dma16[31:0]),
2999 .page1_value_dma16(page1_value_dma16[31:0]),
3000 .page1_reloc_dma16(page1_reloc_dma16[31:0]),
3001 .page1_valid_dma16(page1_valid_dma16),
3002 .dmc_txc_dma16_page_handle(dmc_txc_dma16_page_handle[19:0]),
3003 .tx_rng_cfg_dma17_len(tx_rng_cfg_dma17_len[`RNG_LENGTH_WIDTH -1:0]),
3004 .tx_rng_cfg_dma17_staddr(tx_rng_cfg_dma17_staddr[37:0]),
3005 .tx_rng_tail_dma17(tx_rng_tail_dma17[`PTR_WIDTH:0]),
3006 .tx_dma_cfg_dma17_rst(tx_dma_cfg_dma17_rst),
3007 .tx_dma_cfg_dma17_stall(tx_dma_cfg_dma17_stall),
3008 .page0_mask_dma17(page0_mask_dma17[31:0]),
3009 .page0_value_dma17(page0_value_dma17[31:0]),
3010 .page0_reloc_dma17(page0_reloc_dma17[31:0]),
3011 .page0_valid_dma17(page0_valid_dma17),
3012 .page1_mask_dma17(page1_mask_dma17[31:0]),
3013 .page1_value_dma17(page1_value_dma17[31:0]),
3014 .page1_reloc_dma17(page1_reloc_dma17[31:0]),
3015 .page1_valid_dma17(page1_valid_dma17),
3016 .dmc_txc_dma17_page_handle(dmc_txc_dma17_page_handle[19:0]),
3017 .tx_rng_cfg_dma18_len(tx_rng_cfg_dma18_len[`RNG_LENGTH_WIDTH -1:0]),
3018 .tx_rng_cfg_dma18_staddr(tx_rng_cfg_dma18_staddr[37:0]),
3019 .tx_rng_tail_dma18(tx_rng_tail_dma18[`PTR_WIDTH:0]),
3020 .tx_dma_cfg_dma18_rst(tx_dma_cfg_dma18_rst),
3021 .tx_dma_cfg_dma18_stall(tx_dma_cfg_dma18_stall),
3022 .page0_mask_dma18(page0_mask_dma18[31:0]),
3023 .page0_value_dma18(page0_value_dma18[31:0]),
3024 .page0_reloc_dma18(page0_reloc_dma18[31:0]),
3025 .page0_valid_dma18(page0_valid_dma18),
3026 .page1_mask_dma18(page1_mask_dma18[31:0]),
3027 .page1_value_dma18(page1_value_dma18[31:0]),
3028 .page1_reloc_dma18(page1_reloc_dma18[31:0]),
3029 .page1_valid_dma18(page1_valid_dma18),
3030 .dmc_txc_dma18_page_handle(dmc_txc_dma18_page_handle[19:0]),
3031 .tx_rng_cfg_dma19_len(tx_rng_cfg_dma19_len[`RNG_LENGTH_WIDTH -1:0]),
3032 .tx_rng_cfg_dma19_staddr(tx_rng_cfg_dma19_staddr[37:0]),
3033 .tx_rng_tail_dma19(tx_rng_tail_dma19[`PTR_WIDTH:0]),
3034 .tx_dma_cfg_dma19_rst(tx_dma_cfg_dma19_rst),
3035 .tx_dma_cfg_dma19_stall(tx_dma_cfg_dma19_stall),
3036 .page0_mask_dma19(page0_mask_dma19[31:0]),
3037 .page0_value_dma19(page0_value_dma19[31:0]),
3038 .page0_reloc_dma19(page0_reloc_dma19[31:0]),
3039 .page0_valid_dma19(page0_valid_dma19),
3040 .page1_mask_dma19(page1_mask_dma19[31:0]),
3041 .page1_value_dma19(page1_value_dma19[31:0]),
3042 .page1_reloc_dma19(page1_reloc_dma19[31:0]),
3043 .page1_valid_dma19(page1_valid_dma19),
3044 .dmc_txc_dma19_page_handle(dmc_txc_dma19_page_handle[19:0]),
3045 .tx_rng_cfg_dma20_len(tx_rng_cfg_dma20_len[`RNG_LENGTH_WIDTH -1:0]),
3046 .tx_rng_cfg_dma20_staddr(tx_rng_cfg_dma20_staddr[37:0]),
3047 .tx_rng_tail_dma20(tx_rng_tail_dma20[`PTR_WIDTH:0]),
3048 .tx_dma_cfg_dma20_rst(tx_dma_cfg_dma20_rst),
3049 .tx_dma_cfg_dma20_stall(tx_dma_cfg_dma20_stall),
3050 .page0_mask_dma20(page0_mask_dma20[31:0]),
3051 .page0_value_dma20(page0_value_dma20[31:0]),
3052 .page0_reloc_dma20(page0_reloc_dma20[31:0]),
3053 .page0_valid_dma20(page0_valid_dma20),
3054 .page1_mask_dma20(page1_mask_dma20[31:0]),
3055 .page1_value_dma20(page1_value_dma20[31:0]),
3056 .page1_reloc_dma20(page1_reloc_dma20[31:0]),
3057 .page1_valid_dma20(page1_valid_dma20),
3058 .dmc_txc_dma20_page_handle(dmc_txc_dma20_page_handle[19:0]),
3059 .tx_rng_cfg_dma21_len(tx_rng_cfg_dma21_len[`RNG_LENGTH_WIDTH -1:0]),
3060 .tx_rng_cfg_dma21_staddr(tx_rng_cfg_dma21_staddr[37:0]),
3061 .tx_rng_tail_dma21(tx_rng_tail_dma21[`PTR_WIDTH:0]),
3062 .tx_dma_cfg_dma21_rst(tx_dma_cfg_dma21_rst),
3063 .tx_dma_cfg_dma21_stall(tx_dma_cfg_dma21_stall),
3064 .page0_mask_dma21(page0_mask_dma21[31:0]),
3065 .page0_value_dma21(page0_value_dma21[31:0]),
3066 .page0_reloc_dma21(page0_reloc_dma21[31:0]),
3067 .page0_valid_dma21(page0_valid_dma21),
3068 .page1_mask_dma21(page1_mask_dma21[31:0]),
3069 .page1_value_dma21(page1_value_dma21[31:0]),
3070 .page1_reloc_dma21(page1_reloc_dma21[31:0]),
3071 .page1_valid_dma21(page1_valid_dma21),
3072 .dmc_txc_dma21_page_handle(dmc_txc_dma21_page_handle[19:0]),
3073 .tx_rng_cfg_dma22_len(tx_rng_cfg_dma22_len[`RNG_LENGTH_WIDTH -1:0]),
3074 .tx_rng_cfg_dma22_staddr(tx_rng_cfg_dma22_staddr[37:0]),
3075 .tx_rng_tail_dma22(tx_rng_tail_dma22[`PTR_WIDTH:0]),
3076 .tx_dma_cfg_dma22_rst(tx_dma_cfg_dma22_rst),
3077 .tx_dma_cfg_dma22_stall(tx_dma_cfg_dma22_stall),
3078 .page0_mask_dma22(page0_mask_dma22[31:0]),
3079 .page0_value_dma22(page0_value_dma22[31:0]),
3080 .page0_reloc_dma22(page0_reloc_dma22[31:0]),
3081 .page0_valid_dma22(page0_valid_dma22),
3082 .page1_mask_dma22(page1_mask_dma22[31:0]),
3083 .page1_value_dma22(page1_value_dma22[31:0]),
3084 .page1_reloc_dma22(page1_reloc_dma22[31:0]),
3085 .page1_valid_dma22(page1_valid_dma22),
3086 .dmc_txc_dma22_page_handle(dmc_txc_dma22_page_handle[19:0]),
3087 .tx_rng_cfg_dma23_len(tx_rng_cfg_dma23_len[`RNG_LENGTH_WIDTH -1:0]),
3088 .tx_rng_cfg_dma23_staddr(tx_rng_cfg_dma23_staddr[37:0]),
3089 .tx_rng_tail_dma23(tx_rng_tail_dma23[`PTR_WIDTH:0]),
3090 .tx_dma_cfg_dma23_rst(tx_dma_cfg_dma23_rst),
3091 .tx_dma_cfg_dma23_stall(tx_dma_cfg_dma23_stall),
3092 .page0_mask_dma23(page0_mask_dma23[31:0]),
3093 .page0_value_dma23(page0_value_dma23[31:0]),
3094 .page0_reloc_dma23(page0_reloc_dma23[31:0]),
3095 .page0_valid_dma23(page0_valid_dma23),
3096 .page1_mask_dma23(page1_mask_dma23[31:0]),
3097 .page1_value_dma23(page1_value_dma23[31:0]),
3098 .page1_reloc_dma23(page1_reloc_dma23[31:0]),
3099 .page1_valid_dma23(page1_valid_dma23),
3100 .dmc_txc_dma23_page_handle(dmc_txc_dma23_page_handle[19:0]),
3101
3102
3103
3104`endif
3105
3106
3107
3108 .dmc_txc_tx_addr_md(dmc_txc_tx_addr_md),
3109 .parity_corrupt_config(parity_corrupt_config),
3110 .tx_dma_cfg_dma0_stop(tx_dma_cfg_dma0_stop),
3111 .tx_dma_cfg_dma1_stop(tx_dma_cfg_dma1_stop),
3112 .tx_dma_cfg_dma2_stop(tx_dma_cfg_dma2_stop),
3113 .tx_dma_cfg_dma3_stop(tx_dma_cfg_dma3_stop),
3114 .tx_dma_cfg_dma4_stop(tx_dma_cfg_dma4_stop),
3115 .tx_dma_cfg_dma5_stop(tx_dma_cfg_dma5_stop),
3116 .tx_dma_cfg_dma6_stop(tx_dma_cfg_dma6_stop),
3117 .tx_dma_cfg_dma7_stop(tx_dma_cfg_dma7_stop),
3118 .tx_dma_cfg_dma8_stop(tx_dma_cfg_dma8_stop),
3119 .tx_dma_cfg_dma9_stop(tx_dma_cfg_dma9_stop),
3120 .tx_dma_cfg_dma10_stop(tx_dma_cfg_dma10_stop),
3121 .tx_dma_cfg_dma11_stop(tx_dma_cfg_dma11_stop),
3122 .tx_dma_cfg_dma12_stop(tx_dma_cfg_dma12_stop),
3123 .tx_dma_cfg_dma13_stop(tx_dma_cfg_dma13_stop),
3124 .tx_dma_cfg_dma14_stop(tx_dma_cfg_dma14_stop),
3125 .tx_dma_cfg_dma15_stop(tx_dma_cfg_dma15_stop),
3126
3127
3128`ifdef NEPTUNE
3129
3130 .tx_dma_cfg_dma16_stop(tx_dma_cfg_dma16_stop),
3131 .tx_dma_cfg_dma17_stop(tx_dma_cfg_dma17_stop),
3132 .tx_dma_cfg_dma18_stop(tx_dma_cfg_dma18_stop),
3133 .tx_dma_cfg_dma19_stop(tx_dma_cfg_dma19_stop),
3134 .tx_dma_cfg_dma20_stop(tx_dma_cfg_dma20_stop),
3135 .tx_dma_cfg_dma21_stop(tx_dma_cfg_dma21_stop),
3136 .tx_dma_cfg_dma22_stop(tx_dma_cfg_dma22_stop),
3137 .tx_dma_cfg_dma23_stop(tx_dma_cfg_dma23_stop),
3138
3139
3140`endif
3141
3142
3143 .dmc_txc_dma0_func_num(dmc_txc_dma0_func_num[1:0]),
3144 .dmc_txc_dma1_func_num(dmc_txc_dma1_func_num[1:0]),
3145 .dmc_txc_dma2_func_num(dmc_txc_dma2_func_num[1:0]),
3146 .dmc_txc_dma3_func_num(dmc_txc_dma3_func_num[1:0]),
3147 .dmc_txc_dma4_func_num(dmc_txc_dma4_func_num[1:0]),
3148 .dmc_txc_dma5_func_num(dmc_txc_dma5_func_num[1:0]),
3149 .dmc_txc_dma6_func_num(dmc_txc_dma6_func_num[1:0]),
3150 .dmc_txc_dma7_func_num(dmc_txc_dma7_func_num[1:0]),
3151 .dmc_txc_dma8_func_num(dmc_txc_dma8_func_num[1:0]),
3152 .dmc_txc_dma9_func_num(dmc_txc_dma9_func_num[1:0]),
3153 .dmc_txc_dma10_func_num(dmc_txc_dma10_func_num[1:0]),
3154 .dmc_txc_dma11_func_num(dmc_txc_dma11_func_num[1:0]),
3155 .dmc_txc_dma12_func_num(dmc_txc_dma12_func_num[1:0]),
3156 .dmc_txc_dma13_func_num(dmc_txc_dma13_func_num[1:0]),
3157 .dmc_txc_dma14_func_num(dmc_txc_dma14_func_num[1:0]),
3158
3159`ifdef NEPTUNE
3160 .dmc_txc_dma15_func_num(dmc_txc_dma15_func_num[1:0]),
3161 .dmc_txc_dma16_func_num(dmc_txc_dma16_func_num[1:0]),
3162 .dmc_txc_dma17_func_num(dmc_txc_dma17_func_num[1:0]),
3163 .dmc_txc_dma18_func_num(dmc_txc_dma18_func_num[1:0]),
3164 .dmc_txc_dma19_func_num(dmc_txc_dma19_func_num[1:0]),
3165 .dmc_txc_dma20_func_num(dmc_txc_dma20_func_num[1:0]),
3166 .dmc_txc_dma21_func_num(dmc_txc_dma21_func_num[1:0]),
3167 .dmc_txc_dma22_func_num(dmc_txc_dma22_func_num[1:0]),
3168 .dmc_txc_dma23_func_num(dmc_txc_dma23_func_num[1:0])
3169`else // !ifdef NEPTUNE
3170 .dmc_txc_dma15_func_num(dmc_txc_dma15_func_num[1:0])
3171`endif
3172 );
3173
3174
3175 niu_dmc_txpios niu_dmc_txpios(/*AUTOJUNK*/
3176 // Outputs
3177 .debug_select(debug_select[5:0]),
3178 .training_vector(training_vector[31:0]),
3179 .tdmc_pio_ack(tdmc_pio_ack),
3180 .tdmc_pio_err(tdmc_pio_err),
3181 .tdmc_pio_rdata(tdmc_pio_rdata[63:0]),
3182 .page0_mask_dma0(page0_mask_dma0[31:0]),
3183 .page0_value_dma0(page0_value_dma0[31:0]),
3184 .page0_reloc_dma0(page0_reloc_dma0[31:0]),
3185 .page0_valid_dma0(page0_valid_dma0),
3186 .page1_mask_dma0(page1_mask_dma0[31:0]),
3187 .page1_value_dma0(page1_value_dma0[31:0]),
3188 .page1_reloc_dma0(page1_reloc_dma0[31:0]),
3189 .page1_valid_dma0(page1_valid_dma0),
3190 .dmc_txc_dma0_page_handle(dmc_txc_dma0_page_handle[19:0]),
3191 .tx_rng_cfg_dma0_len(tx_rng_cfg_dma0_len[`RNG_LENGTH_WIDTH -1:0]),
3192 .tx_rng_cfg_dma0_staddr(tx_rng_cfg_dma0_staddr[37:0]),
3193 .tx_rng_tail_dma0(tx_rng_tail_dma0[`PTR_WIDTH:0]),
3194 .tx_dma_cfg_dma0_rst(tx_dma_cfg_dma0_rst),
3195 .tx_dma_cfg_dma0_stall(tx_dma_cfg_dma0_stall),
3196 .tx_dma_cfg_dma0_mbaddr(tx_dma_cfg_dma0_mbaddr[37:0]),
3197 .tx_cfg_dma0_enable_mb(tx_cfg_dma0_enable_mb),
3198 .tx_cfg_dma0_mk(tx_cfg_dma0_mk),
3199 .tx_cfg_dma0_mmk(tx_cfg_dma0_mmk),
3200 .tx_cs_dma0(tx_cs_dma0[63:0]),
3201 .tx_dma0_pre_st(tx_dma0_pre_st[63:0]),
3202 .tx_dma0_rng_err_logh(tx_dma0_rng_err_logh[63:0]),
3203 .tx_dma0_rng_err_logl(tx_dma0_rng_err_logl[63:0]),
3204 .page0_mask_dma1(page0_mask_dma1[31:0]),
3205 .page0_value_dma1(page0_value_dma1[31:0]),
3206 .page0_reloc_dma1(page0_reloc_dma1[31:0]),
3207 .page0_valid_dma1(page0_valid_dma1),
3208 .page1_mask_dma1(page1_mask_dma1[31:0]),
3209 .page1_value_dma1(page1_value_dma1[31:0]),
3210 .page1_reloc_dma1(page1_reloc_dma1[31:0]),
3211 .page1_valid_dma1(page1_valid_dma1),
3212 .dmc_txc_dma1_page_handle(dmc_txc_dma1_page_handle[19:0]),
3213 .tx_rng_cfg_dma1_len(tx_rng_cfg_dma1_len[`RNG_LENGTH_WIDTH -1:0]),
3214 .tx_rng_cfg_dma1_staddr(tx_rng_cfg_dma1_staddr[37:0]),
3215 .tx_rng_tail_dma1(tx_rng_tail_dma1[`PTR_WIDTH:0]),
3216 .tx_dma_cfg_dma1_rst(tx_dma_cfg_dma1_rst),
3217 .tx_dma_cfg_dma1_stall(tx_dma_cfg_dma1_stall),
3218 .tx_dma_cfg_dma1_mbaddr(tx_dma_cfg_dma1_mbaddr[37:0]),
3219 .tx_cfg_dma1_enable_mb(tx_cfg_dma1_enable_mb),
3220 .tx_cfg_dma1_mk(tx_cfg_dma1_mk),
3221 .tx_cfg_dma1_mmk(tx_cfg_dma1_mmk),
3222 .tx_cs_dma1(tx_cs_dma1[63:0]),
3223 .tx_dma1_pre_st(tx_dma1_pre_st[63:0]),
3224 .tx_dma1_rng_err_logh(tx_dma1_rng_err_logh[63:0]),
3225 .tx_dma1_rng_err_logl(tx_dma1_rng_err_logl[63:0]),
3226 .page0_mask_dma2(page0_mask_dma2[31:0]),
3227 .page0_value_dma2(page0_value_dma2[31:0]),
3228 .page0_reloc_dma2(page0_reloc_dma2[31:0]),
3229 .page0_valid_dma2(page0_valid_dma2),
3230 .page1_mask_dma2(page1_mask_dma2[31:0]),
3231 .page1_value_dma2(page1_value_dma2[31:0]),
3232 .page1_reloc_dma2(page1_reloc_dma2[31:0]),
3233 .page1_valid_dma2(page1_valid_dma2),
3234 .dmc_txc_dma2_page_handle(dmc_txc_dma2_page_handle[19:0]),
3235 .tx_rng_cfg_dma2_len(tx_rng_cfg_dma2_len[`RNG_LENGTH_WIDTH -1:0]),
3236 .tx_rng_cfg_dma2_staddr(tx_rng_cfg_dma2_staddr[37:0]),
3237 .tx_rng_tail_dma2(tx_rng_tail_dma2[`PTR_WIDTH:0]),
3238 .tx_dma_cfg_dma2_rst(tx_dma_cfg_dma2_rst),
3239 .tx_dma_cfg_dma2_stall(tx_dma_cfg_dma2_stall),
3240 .tx_dma_cfg_dma2_mbaddr(tx_dma_cfg_dma2_mbaddr[37:0]),
3241 .tx_cfg_dma2_enable_mb(tx_cfg_dma2_enable_mb),
3242 .tx_cfg_dma2_mk(tx_cfg_dma2_mk),
3243 .tx_cfg_dma2_mmk(tx_cfg_dma2_mmk),
3244 .tx_cs_dma2(tx_cs_dma2[63:0]),
3245 .tx_dma2_pre_st(tx_dma2_pre_st[63:0]),
3246 .tx_dma2_rng_err_logh(tx_dma2_rng_err_logh[63:0]),
3247 .tx_dma2_rng_err_logl(tx_dma2_rng_err_logl[63:0]),
3248 .page0_mask_dma3(page0_mask_dma3[31:0]),
3249 .page0_value_dma3(page0_value_dma3[31:0]),
3250 .page0_reloc_dma3(page0_reloc_dma3[31:0]),
3251 .page0_valid_dma3(page0_valid_dma3),
3252 .page1_mask_dma3(page1_mask_dma3[31:0]),
3253 .page1_value_dma3(page1_value_dma3[31:0]),
3254 .page1_reloc_dma3(page1_reloc_dma3[31:0]),
3255 .page1_valid_dma3(page1_valid_dma3),
3256 .dmc_txc_dma3_page_handle(dmc_txc_dma3_page_handle[19:0]),
3257 .tx_rng_cfg_dma3_len(tx_rng_cfg_dma3_len[`RNG_LENGTH_WIDTH -1:0]),
3258 .tx_rng_cfg_dma3_staddr(tx_rng_cfg_dma3_staddr[37:0]),
3259 .tx_rng_tail_dma3(tx_rng_tail_dma3[`PTR_WIDTH:0]),
3260 .tx_dma_cfg_dma3_rst(tx_dma_cfg_dma3_rst),
3261 .tx_dma_cfg_dma3_stall(tx_dma_cfg_dma3_stall),
3262 .tx_dma_cfg_dma3_mbaddr(tx_dma_cfg_dma3_mbaddr[37:0]),
3263 .tx_cfg_dma3_enable_mb(tx_cfg_dma3_enable_mb),
3264 .tx_cfg_dma3_mk(tx_cfg_dma3_mk),
3265 .tx_cfg_dma3_mmk(tx_cfg_dma3_mmk),
3266 .tx_cs_dma3(tx_cs_dma3[63:0]),
3267 .tx_dma3_pre_st(tx_dma3_pre_st[63:0]),
3268 .tx_dma3_rng_err_logh(tx_dma3_rng_err_logh[63:0]),
3269 .tx_dma3_rng_err_logl(tx_dma3_rng_err_logl[63:0]),
3270 .page0_mask_dma4(page0_mask_dma4[31:0]),
3271 .page0_value_dma4(page0_value_dma4[31:0]),
3272 .page0_reloc_dma4(page0_reloc_dma4[31:0]),
3273 .page0_valid_dma4(page0_valid_dma4),
3274 .page1_mask_dma4(page1_mask_dma4[31:0]),
3275 .page1_value_dma4(page1_value_dma4[31:0]),
3276 .page1_reloc_dma4(page1_reloc_dma4[31:0]),
3277 .page1_valid_dma4(page1_valid_dma4),
3278 .dmc_txc_dma4_page_handle(dmc_txc_dma4_page_handle[19:0]),
3279 .tx_rng_cfg_dma4_len(tx_rng_cfg_dma4_len[`RNG_LENGTH_WIDTH -1:0]),
3280 .tx_rng_cfg_dma4_staddr(tx_rng_cfg_dma4_staddr[37:0]),
3281 .tx_rng_tail_dma4(tx_rng_tail_dma4[`PTR_WIDTH:0]),
3282 .tx_dma_cfg_dma4_rst(tx_dma_cfg_dma4_rst),
3283 .tx_dma_cfg_dma4_stall(tx_dma_cfg_dma4_stall),
3284 .tx_dma_cfg_dma4_mbaddr(tx_dma_cfg_dma4_mbaddr[37:0]),
3285 .tx_cfg_dma4_enable_mb(tx_cfg_dma4_enable_mb),
3286 .tx_cfg_dma4_mk(tx_cfg_dma4_mk),
3287 .tx_cfg_dma4_mmk(tx_cfg_dma4_mmk),
3288 .tx_cs_dma4(tx_cs_dma4[63:0]),
3289 .tx_dma4_pre_st(tx_dma4_pre_st[63:0]),
3290 .tx_dma4_rng_err_logh(tx_dma4_rng_err_logh[63:0]),
3291 .tx_dma4_rng_err_logl(tx_dma4_rng_err_logl[63:0]),
3292 .page0_mask_dma5(page0_mask_dma5[31:0]),
3293 .page0_value_dma5(page0_value_dma5[31:0]),
3294 .page0_reloc_dma5(page0_reloc_dma5[31:0]),
3295 .page0_valid_dma5(page0_valid_dma5),
3296 .page1_mask_dma5(page1_mask_dma5[31:0]),
3297 .page1_value_dma5(page1_value_dma5[31:0]),
3298 .page1_reloc_dma5(page1_reloc_dma5[31:0]),
3299 .page1_valid_dma5(page1_valid_dma5),
3300 .dmc_txc_dma5_page_handle(dmc_txc_dma5_page_handle[19:0]),
3301 .tx_rng_cfg_dma5_len(tx_rng_cfg_dma5_len[`RNG_LENGTH_WIDTH -1:0]),
3302 .tx_rng_cfg_dma5_staddr(tx_rng_cfg_dma5_staddr[37:0]),
3303 .tx_rng_tail_dma5(tx_rng_tail_dma5[`PTR_WIDTH:0]),
3304 .tx_dma_cfg_dma5_rst(tx_dma_cfg_dma5_rst),
3305 .tx_dma_cfg_dma5_stall(tx_dma_cfg_dma5_stall),
3306 .tx_dma_cfg_dma5_mbaddr(tx_dma_cfg_dma5_mbaddr[37:0]),
3307 .tx_cfg_dma5_enable_mb(tx_cfg_dma5_enable_mb),
3308 .tx_cfg_dma5_mk(tx_cfg_dma5_mk),
3309 .tx_cfg_dma5_mmk(tx_cfg_dma5_mmk),
3310 .tx_cs_dma5(tx_cs_dma5[63:0]),
3311 .tx_dma5_pre_st(tx_dma5_pre_st[63:0]),
3312 .tx_dma5_rng_err_logh(tx_dma5_rng_err_logh[63:0]),
3313 .tx_dma5_rng_err_logl(tx_dma5_rng_err_logl[63:0]),
3314 .page0_mask_dma6(page0_mask_dma6[31:0]),
3315 .page0_value_dma6(page0_value_dma6[31:0]),
3316 .page0_reloc_dma6(page0_reloc_dma6[31:0]),
3317 .page0_valid_dma6(page0_valid_dma6),
3318 .page1_mask_dma6(page1_mask_dma6[31:0]),
3319 .page1_value_dma6(page1_value_dma6[31:0]),
3320 .page1_reloc_dma6(page1_reloc_dma6[31:0]),
3321 .page1_valid_dma6(page1_valid_dma6),
3322 .dmc_txc_dma6_page_handle(dmc_txc_dma6_page_handle[19:0]),
3323 .tx_rng_cfg_dma6_len(tx_rng_cfg_dma6_len[`RNG_LENGTH_WIDTH -1:0]),
3324 .tx_rng_cfg_dma6_staddr(tx_rng_cfg_dma6_staddr[37:0]),
3325 .tx_rng_tail_dma6(tx_rng_tail_dma6[`PTR_WIDTH:0]),
3326 .tx_dma_cfg_dma6_rst(tx_dma_cfg_dma6_rst),
3327 .tx_dma_cfg_dma6_stall(tx_dma_cfg_dma6_stall),
3328 .tx_dma_cfg_dma6_mbaddr(tx_dma_cfg_dma6_mbaddr[37:0]),
3329 .tx_cfg_dma6_enable_mb(tx_cfg_dma6_enable_mb),
3330 .tx_cfg_dma6_mk(tx_cfg_dma6_mk),
3331 .tx_cfg_dma6_mmk(tx_cfg_dma6_mmk),
3332 .tx_cs_dma6(tx_cs_dma6[63:0]),
3333 .tx_dma6_pre_st(tx_dma6_pre_st[63:0]),
3334 .tx_dma6_rng_err_logh(tx_dma6_rng_err_logh[63:0]),
3335 .tx_dma6_rng_err_logl(tx_dma6_rng_err_logl[63:0]),
3336 .page0_mask_dma7(page0_mask_dma7[31:0]),
3337 .page0_value_dma7(page0_value_dma7[31:0]),
3338 .page0_reloc_dma7(page0_reloc_dma7[31:0]),
3339 .page0_valid_dma7(page0_valid_dma7),
3340 .page1_mask_dma7(page1_mask_dma7[31:0]),
3341 .page1_value_dma7(page1_value_dma7[31:0]),
3342 .page1_reloc_dma7(page1_reloc_dma7[31:0]),
3343 .page1_valid_dma7(page1_valid_dma7),
3344 .dmc_txc_dma7_page_handle(dmc_txc_dma7_page_handle[19:0]),
3345 .tx_rng_cfg_dma7_len(tx_rng_cfg_dma7_len[`RNG_LENGTH_WIDTH -1:0]),
3346 .tx_rng_cfg_dma7_staddr(tx_rng_cfg_dma7_staddr[37:0]),
3347 .tx_rng_tail_dma7(tx_rng_tail_dma7[`PTR_WIDTH:0]),
3348 .tx_dma_cfg_dma7_rst(tx_dma_cfg_dma7_rst),
3349 .tx_dma_cfg_dma7_stall(tx_dma_cfg_dma7_stall),
3350 .tx_dma_cfg_dma7_mbaddr(tx_dma_cfg_dma7_mbaddr[37:0]),
3351 .tx_cfg_dma7_enable_mb(tx_cfg_dma7_enable_mb),
3352 .tx_cfg_dma7_mk(tx_cfg_dma7_mk),
3353 .tx_cfg_dma7_mmk(tx_cfg_dma7_mmk),
3354 .tx_cs_dma7(tx_cs_dma7[63:0]),
3355 .tx_dma7_pre_st(tx_dma7_pre_st[63:0]),
3356 .tx_dma7_rng_err_logh(tx_dma7_rng_err_logh[63:0]),
3357 .tx_dma7_rng_err_logl(tx_dma7_rng_err_logl[63:0]),
3358 .page0_mask_dma8(page0_mask_dma8[31:0]),
3359 .page0_value_dma8(page0_value_dma8[31:0]),
3360 .page0_reloc_dma8(page0_reloc_dma8[31:0]),
3361 .page0_valid_dma8(page0_valid_dma8),
3362 .page1_mask_dma8(page1_mask_dma8[31:0]),
3363 .page1_value_dma8(page1_value_dma8[31:0]),
3364 .page1_reloc_dma8(page1_reloc_dma8[31:0]),
3365 .page1_valid_dma8(page1_valid_dma8),
3366 .dmc_txc_dma8_page_handle(dmc_txc_dma8_page_handle[19:0]),
3367 .tx_rng_cfg_dma8_len(tx_rng_cfg_dma8_len[`RNG_LENGTH_WIDTH -1:0]),
3368 .tx_rng_cfg_dma8_staddr(tx_rng_cfg_dma8_staddr[37:0]),
3369 .tx_rng_tail_dma8(tx_rng_tail_dma8[`PTR_WIDTH:0]),
3370 .tx_dma_cfg_dma8_rst(tx_dma_cfg_dma8_rst),
3371 .tx_dma_cfg_dma8_stall(tx_dma_cfg_dma8_stall),
3372 .tx_dma_cfg_dma8_mbaddr(tx_dma_cfg_dma8_mbaddr[37:0]),
3373 .tx_cfg_dma8_enable_mb(tx_cfg_dma8_enable_mb),
3374 .tx_cfg_dma8_mk(tx_cfg_dma8_mk),
3375 .tx_cfg_dma8_mmk(tx_cfg_dma8_mmk),
3376 .tx_cs_dma8(tx_cs_dma8[63:0]),
3377 .tx_dma8_pre_st(tx_dma8_pre_st[63:0]),
3378 .tx_dma8_rng_err_logh(tx_dma8_rng_err_logh[63:0]),
3379 .tx_dma8_rng_err_logl(tx_dma8_rng_err_logl[63:0]),
3380 .page0_mask_dma9(page0_mask_dma9[31:0]),
3381 .page0_value_dma9(page0_value_dma9[31:0]),
3382 .page0_reloc_dma9(page0_reloc_dma9[31:0]),
3383 .page0_valid_dma9(page0_valid_dma9),
3384 .page1_mask_dma9(page1_mask_dma9[31:0]),
3385 .page1_value_dma9(page1_value_dma9[31:0]),
3386 .page1_reloc_dma9(page1_reloc_dma9[31:0]),
3387 .page1_valid_dma9(page1_valid_dma9),
3388 .dmc_txc_dma9_page_handle(dmc_txc_dma9_page_handle[19:0]),
3389 .tx_rng_cfg_dma9_len(tx_rng_cfg_dma9_len[`RNG_LENGTH_WIDTH -1:0]),
3390 .tx_rng_cfg_dma9_staddr(tx_rng_cfg_dma9_staddr[37:0]),
3391 .tx_rng_tail_dma9(tx_rng_tail_dma9[`PTR_WIDTH:0]),
3392 .tx_dma_cfg_dma9_rst(tx_dma_cfg_dma9_rst),
3393 .tx_dma_cfg_dma9_stall(tx_dma_cfg_dma9_stall),
3394 .tx_dma_cfg_dma9_mbaddr(tx_dma_cfg_dma9_mbaddr[37:0]),
3395 .tx_cfg_dma9_enable_mb(tx_cfg_dma9_enable_mb),
3396 .tx_cfg_dma9_mk(tx_cfg_dma9_mk),
3397 .tx_cfg_dma9_mmk(tx_cfg_dma9_mmk),
3398 .tx_cs_dma9(tx_cs_dma9[63:0]),
3399 .tx_dma9_pre_st(tx_dma9_pre_st[63:0]),
3400 .tx_dma9_rng_err_logh(tx_dma9_rng_err_logh[63:0]),
3401 .tx_dma9_rng_err_logl(tx_dma9_rng_err_logl[63:0]),
3402 .page0_mask_dma10(page0_mask_dma10[31:0]),
3403 .page0_value_dma10(page0_value_dma10[31:0]),
3404 .page0_reloc_dma10(page0_reloc_dma10[31:0]),
3405 .page0_valid_dma10(page0_valid_dma10),
3406 .page1_mask_dma10(page1_mask_dma10[31:0]),
3407 .page1_value_dma10(page1_value_dma10[31:0]),
3408 .page1_reloc_dma10(page1_reloc_dma10[31:0]),
3409 .page1_valid_dma10(page1_valid_dma10),
3410 .dmc_txc_dma10_page_handle(dmc_txc_dma10_page_handle[19:0]),
3411 .tx_rng_cfg_dma10_len(tx_rng_cfg_dma10_len[`RNG_LENGTH_WIDTH -1:0]),
3412 .tx_rng_cfg_dma10_staddr(tx_rng_cfg_dma10_staddr[37:0]),
3413 .tx_rng_tail_dma10(tx_rng_tail_dma10[`PTR_WIDTH:0]),
3414 .tx_dma_cfg_dma10_rst(tx_dma_cfg_dma10_rst),
3415 .tx_dma_cfg_dma10_stall(tx_dma_cfg_dma10_stall),
3416 .tx_dma_cfg_dma10_mbaddr(tx_dma_cfg_dma10_mbaddr[37:0]),
3417 .tx_cfg_dma10_enable_mb(tx_cfg_dma10_enable_mb),
3418 .tx_cfg_dma10_mk(tx_cfg_dma10_mk),
3419 .tx_cfg_dma10_mmk(tx_cfg_dma10_mmk),
3420 .tx_cs_dma10(tx_cs_dma10[63:0]),
3421 .tx_dma10_pre_st(tx_dma10_pre_st[63:0]),
3422 .tx_dma10_rng_err_logh(tx_dma10_rng_err_logh[63:0]),
3423 .tx_dma10_rng_err_logl(tx_dma10_rng_err_logl[63:0]),
3424 .page0_mask_dma11(page0_mask_dma11[31:0]),
3425 .page0_value_dma11(page0_value_dma11[31:0]),
3426 .page0_reloc_dma11(page0_reloc_dma11[31:0]),
3427 .page0_valid_dma11(page0_valid_dma11),
3428 .page1_mask_dma11(page1_mask_dma11[31:0]),
3429 .page1_value_dma11(page1_value_dma11[31:0]),
3430 .page1_reloc_dma11(page1_reloc_dma11[31:0]),
3431 .page1_valid_dma11(page1_valid_dma11),
3432 .dmc_txc_dma11_page_handle(dmc_txc_dma11_page_handle[19:0]),
3433 .tx_rng_cfg_dma11_len(tx_rng_cfg_dma11_len[`RNG_LENGTH_WIDTH -1:0]),
3434 .tx_rng_cfg_dma11_staddr(tx_rng_cfg_dma11_staddr[37:0]),
3435 .tx_rng_tail_dma11(tx_rng_tail_dma11[`PTR_WIDTH:0]),
3436 .tx_dma_cfg_dma11_rst(tx_dma_cfg_dma11_rst),
3437 .tx_dma_cfg_dma11_stall(tx_dma_cfg_dma11_stall),
3438 .tx_dma_cfg_dma11_mbaddr(tx_dma_cfg_dma11_mbaddr[37:0]),
3439 .tx_cfg_dma11_enable_mb(tx_cfg_dma11_enable_mb),
3440 .tx_cfg_dma11_mk(tx_cfg_dma11_mk),
3441 .tx_cfg_dma11_mmk(tx_cfg_dma11_mmk),
3442 .tx_cs_dma11(tx_cs_dma11[63:0]),
3443 .tx_dma11_pre_st(tx_dma11_pre_st[63:0]),
3444 .tx_dma11_rng_err_logh(tx_dma11_rng_err_logh[63:0]),
3445 .tx_dma11_rng_err_logl(tx_dma11_rng_err_logl[63:0]),
3446 .page0_mask_dma12(page0_mask_dma12[31:0]),
3447 .page0_value_dma12(page0_value_dma12[31:0]),
3448 .page0_reloc_dma12(page0_reloc_dma12[31:0]),
3449 .page0_valid_dma12(page0_valid_dma12),
3450 .page1_mask_dma12(page1_mask_dma12[31:0]),
3451 .page1_value_dma12(page1_value_dma12[31:0]),
3452 .page1_reloc_dma12(page1_reloc_dma12[31:0]),
3453 .page1_valid_dma12(page1_valid_dma12),
3454 .dmc_txc_dma12_page_handle(dmc_txc_dma12_page_handle[19:0]),
3455 .tx_rng_cfg_dma12_len(tx_rng_cfg_dma12_len[`RNG_LENGTH_WIDTH -1:0]),
3456 .tx_rng_cfg_dma12_staddr(tx_rng_cfg_dma12_staddr[37:0]),
3457 .tx_rng_tail_dma12(tx_rng_tail_dma12[`PTR_WIDTH:0]),
3458 .tx_dma_cfg_dma12_rst(tx_dma_cfg_dma12_rst),
3459 .tx_dma_cfg_dma12_stall(tx_dma_cfg_dma12_stall),
3460 .tx_dma_cfg_dma12_mbaddr(tx_dma_cfg_dma12_mbaddr[37:0]),
3461 .tx_cfg_dma12_enable_mb(tx_cfg_dma12_enable_mb),
3462 .tx_cfg_dma12_mk(tx_cfg_dma12_mk),
3463 .tx_cfg_dma12_mmk(tx_cfg_dma12_mmk),
3464 .tx_cs_dma12(tx_cs_dma12[63:0]),
3465 .tx_dma12_pre_st(tx_dma12_pre_st[63:0]),
3466 .tx_dma12_rng_err_logh(tx_dma12_rng_err_logh[63:0]),
3467 .tx_dma12_rng_err_logl(tx_dma12_rng_err_logl[63:0]),
3468 .page0_mask_dma13(page0_mask_dma13[31:0]),
3469 .page0_value_dma13(page0_value_dma13[31:0]),
3470 .page0_reloc_dma13(page0_reloc_dma13[31:0]),
3471 .page0_valid_dma13(page0_valid_dma13),
3472 .page1_mask_dma13(page1_mask_dma13[31:0]),
3473 .page1_value_dma13(page1_value_dma13[31:0]),
3474 .page1_reloc_dma13(page1_reloc_dma13[31:0]),
3475 .page1_valid_dma13(page1_valid_dma13),
3476 .dmc_txc_dma13_page_handle(dmc_txc_dma13_page_handle[19:0]),
3477 .tx_rng_cfg_dma13_len(tx_rng_cfg_dma13_len[`RNG_LENGTH_WIDTH -1:0]),
3478 .tx_rng_cfg_dma13_staddr(tx_rng_cfg_dma13_staddr[37:0]),
3479 .tx_rng_tail_dma13(tx_rng_tail_dma13[`PTR_WIDTH:0]),
3480 .tx_dma_cfg_dma13_rst(tx_dma_cfg_dma13_rst),
3481 .tx_dma_cfg_dma13_stall(tx_dma_cfg_dma13_stall),
3482 .tx_dma_cfg_dma13_mbaddr(tx_dma_cfg_dma13_mbaddr[37:0]),
3483 .tx_cfg_dma13_enable_mb(tx_cfg_dma13_enable_mb),
3484 .tx_cfg_dma13_mk(tx_cfg_dma13_mk),
3485 .tx_cfg_dma13_mmk(tx_cfg_dma13_mmk),
3486 .tx_cs_dma13(tx_cs_dma13[63:0]),
3487 .tx_dma13_pre_st(tx_dma13_pre_st[63:0]),
3488 .tx_dma13_rng_err_logh(tx_dma13_rng_err_logh[63:0]),
3489 .tx_dma13_rng_err_logl(tx_dma13_rng_err_logl[63:0]),
3490 .page0_mask_dma14(page0_mask_dma14[31:0]),
3491 .page0_value_dma14(page0_value_dma14[31:0]),
3492 .page0_reloc_dma14(page0_reloc_dma14[31:0]),
3493 .page0_valid_dma14(page0_valid_dma14),
3494 .page1_mask_dma14(page1_mask_dma14[31:0]),
3495 .page1_value_dma14(page1_value_dma14[31:0]),
3496 .page1_reloc_dma14(page1_reloc_dma14[31:0]),
3497 .page1_valid_dma14(page1_valid_dma14),
3498 .dmc_txc_dma14_page_handle(dmc_txc_dma14_page_handle[19:0]),
3499 .tx_rng_cfg_dma14_len(tx_rng_cfg_dma14_len[`RNG_LENGTH_WIDTH -1:0]),
3500 .tx_rng_cfg_dma14_staddr(tx_rng_cfg_dma14_staddr[37:0]),
3501 .tx_rng_tail_dma14(tx_rng_tail_dma14[`PTR_WIDTH:0]),
3502 .tx_dma_cfg_dma14_rst(tx_dma_cfg_dma14_rst),
3503 .tx_dma_cfg_dma14_stall(tx_dma_cfg_dma14_stall),
3504 .tx_dma_cfg_dma14_mbaddr(tx_dma_cfg_dma14_mbaddr[37:0]),
3505 .tx_cfg_dma14_enable_mb(tx_cfg_dma14_enable_mb),
3506 .tx_cfg_dma14_mk(tx_cfg_dma14_mk),
3507 .tx_cfg_dma14_mmk(tx_cfg_dma14_mmk),
3508 .tx_cs_dma14(tx_cs_dma14[63:0]),
3509 .tx_dma14_pre_st(tx_dma14_pre_st[63:0]),
3510 .tx_dma14_rng_err_logh(tx_dma14_rng_err_logh[63:0]),
3511 .tx_dma14_rng_err_logl(tx_dma14_rng_err_logl[63:0]),
3512 .page0_mask_dma15(page0_mask_dma15[31:0]),
3513 .page0_value_dma15(page0_value_dma15[31:0]),
3514 .page0_reloc_dma15(page0_reloc_dma15[31:0]),
3515 .page0_valid_dma15(page0_valid_dma15),
3516 .page1_mask_dma15(page1_mask_dma15[31:0]),
3517 .page1_value_dma15(page1_value_dma15[31:0]),
3518 .page1_reloc_dma15(page1_reloc_dma15[31:0]),
3519 .page1_valid_dma15(page1_valid_dma15),
3520 .dmc_txc_dma15_page_handle(dmc_txc_dma15_page_handle[19:0]),
3521 .tx_rng_cfg_dma15_len(tx_rng_cfg_dma15_len[`RNG_LENGTH_WIDTH -1:0]),
3522 .tx_rng_cfg_dma15_staddr(tx_rng_cfg_dma15_staddr[37:0]),
3523 .tx_rng_tail_dma15(tx_rng_tail_dma15[`PTR_WIDTH:0]),
3524 .tx_dma_cfg_dma15_rst(tx_dma_cfg_dma15_rst),
3525 .tx_dma_cfg_dma15_stall(tx_dma_cfg_dma15_stall),
3526 .tx_dma_cfg_dma15_mbaddr(tx_dma_cfg_dma15_mbaddr[37:0]),
3527 .tx_cfg_dma15_enable_mb(tx_cfg_dma15_enable_mb),
3528 .tx_cfg_dma15_mk(tx_cfg_dma15_mk),
3529 .tx_cfg_dma15_mmk(tx_cfg_dma15_mmk),
3530 .tx_cs_dma15(tx_cs_dma15[63:0]),
3531 .tx_dma15_pre_st(tx_dma15_pre_st[63:0]),
3532 .tx_dma15_rng_err_logh(tx_dma15_rng_err_logh[63:0]),
3533 .tx_dma15_rng_err_logl(tx_dma15_rng_err_logl[63:0]),
3534 .dmc_txc_dma0_error(dmc_txc_dma0_error),
3535 .dmc_txc_dma1_error(dmc_txc_dma1_error),
3536 .dmc_txc_dma2_error(dmc_txc_dma2_error),
3537 .dmc_txc_dma3_error(dmc_txc_dma3_error),
3538 .dmc_txc_dma4_error(dmc_txc_dma4_error),
3539 .dmc_txc_dma5_error(dmc_txc_dma5_error),
3540 .dmc_txc_dma6_error(dmc_txc_dma6_error),
3541 .dmc_txc_dma7_error(dmc_txc_dma7_error),
3542 .dmc_txc_dma8_error(dmc_txc_dma8_error),
3543 .dmc_txc_dma9_error(dmc_txc_dma9_error),
3544 .dmc_txc_dma10_error(dmc_txc_dma10_error),
3545 .dmc_txc_dma11_error(dmc_txc_dma11_error),
3546 .dmc_txc_dma12_error(dmc_txc_dma12_error),
3547 .dmc_txc_dma13_error(dmc_txc_dma13_error),
3548 .dmc_txc_dma14_error(dmc_txc_dma14_error),
3549 .dmc_txc_dma15_error(dmc_txc_dma15_error),
3550
3551`ifdef NEPTUNE
3552
3553 .page0_mask_dma16(page0_mask_dma16[31:0]),
3554 .page0_value_dma16(page0_value_dma16[31:0]),
3555 .page0_reloc_dma16(page0_reloc_dma16[31:0]),
3556 .page0_valid_dma16(page0_valid_dma16),
3557 .page1_mask_dma16(page1_mask_dma16[31:0]),
3558 .page1_value_dma16(page1_value_dma16[31:0]),
3559 .page1_reloc_dma16(page1_reloc_dma16[31:0]),
3560 .page1_valid_dma16(page1_valid_dma16),
3561 .dmc_txc_dma16_page_handle(dmc_txc_dma16_page_handle[19:0]),
3562 .tx_rng_cfg_dma16_len(tx_rng_cfg_dma16_len[`RNG_LENGTH_WIDTH -1:0]),
3563 .tx_rng_cfg_dma16_staddr(tx_rng_cfg_dma16_staddr[37:0]),
3564 .tx_rng_tail_dma16(tx_rng_tail_dma16[`PTR_WIDTH:0]),
3565 .tx_dma_cfg_dma16_rst(tx_dma_cfg_dma16_rst),
3566 .tx_dma_cfg_dma16_stall(tx_dma_cfg_dma16_stall),
3567 .tx_dma_cfg_dma16_mbaddr(tx_dma_cfg_dma16_mbaddr[37:0]),
3568 .tx_cfg_dma16_enable_mb(tx_cfg_dma16_enable_mb),
3569 .tx_cfg_dma16_mk(tx_cfg_dma16_mk),
3570 .tx_cfg_dma16_mmk(tx_cfg_dma16_mmk),
3571 .tx_cs_dma16(tx_cs_dma16[63:0]),
3572 .tx_dma16_pre_st(tx_dma16_pre_st[63:0]),
3573 .tx_dma16_rng_err_logh(tx_dma16_rng_err_logh[63:0]),
3574 .tx_dma16_rng_err_logl(tx_dma16_rng_err_logl[63:0]),
3575 .page0_mask_dma17(page0_mask_dma17[31:0]),
3576 .page0_value_dma17(page0_value_dma17[31:0]),
3577 .page0_reloc_dma17(page0_reloc_dma17[31:0]),
3578 .page0_valid_dma17(page0_valid_dma17),
3579 .page1_mask_dma17(page1_mask_dma17[31:0]),
3580 .page1_value_dma17(page1_value_dma17[31:0]),
3581 .page1_reloc_dma17(page1_reloc_dma17[31:0]),
3582 .page1_valid_dma17(page1_valid_dma17),
3583 .dmc_txc_dma17_page_handle(dmc_txc_dma17_page_handle[19:0]),
3584 .tx_rng_cfg_dma17_len(tx_rng_cfg_dma17_len[`RNG_LENGTH_WIDTH -1:0]),
3585 .tx_rng_cfg_dma17_staddr(tx_rng_cfg_dma17_staddr[37:0]),
3586 .tx_rng_tail_dma17(tx_rng_tail_dma17[`PTR_WIDTH:0]),
3587 .tx_dma_cfg_dma17_rst(tx_dma_cfg_dma17_rst),
3588 .tx_dma_cfg_dma17_stall(tx_dma_cfg_dma17_stall),
3589 .tx_dma_cfg_dma17_mbaddr(tx_dma_cfg_dma17_mbaddr[37:0]),
3590 .tx_cfg_dma17_enable_mb(tx_cfg_dma17_enable_mb),
3591 .tx_cfg_dma17_mk(tx_cfg_dma17_mk),
3592 .tx_cfg_dma17_mmk(tx_cfg_dma17_mmk),
3593 .tx_cs_dma17(tx_cs_dma17[63:0]),
3594 .tx_dma17_pre_st(tx_dma17_pre_st[63:0]),
3595 .tx_dma17_rng_err_logh(tx_dma17_rng_err_logh[63:0]),
3596 .tx_dma17_rng_err_logl(tx_dma17_rng_err_logl[63:0]),
3597 .page0_mask_dma18(page0_mask_dma18[31:0]),
3598 .page0_value_dma18(page0_value_dma18[31:0]),
3599 .page0_reloc_dma18(page0_reloc_dma18[31:0]),
3600 .page0_valid_dma18(page0_valid_dma18),
3601 .page1_mask_dma18(page1_mask_dma18[31:0]),
3602 .page1_value_dma18(page1_value_dma18[31:0]),
3603 .page1_reloc_dma18(page1_reloc_dma18[31:0]),
3604 .page1_valid_dma18(page1_valid_dma18),
3605 .dmc_txc_dma18_page_handle(dmc_txc_dma18_page_handle[19:0]),
3606 .tx_rng_cfg_dma18_len(tx_rng_cfg_dma18_len[`RNG_LENGTH_WIDTH -1:0]),
3607 .tx_rng_cfg_dma18_staddr(tx_rng_cfg_dma18_staddr[37:0]),
3608 .tx_rng_tail_dma18(tx_rng_tail_dma18[`PTR_WIDTH:0]),
3609 .tx_dma_cfg_dma18_rst(tx_dma_cfg_dma18_rst),
3610 .tx_dma_cfg_dma18_stall(tx_dma_cfg_dma18_stall),
3611 .tx_dma_cfg_dma18_mbaddr(tx_dma_cfg_dma18_mbaddr[37:0]),
3612 .tx_cfg_dma18_enable_mb(tx_cfg_dma18_enable_mb),
3613 .tx_cfg_dma18_mk(tx_cfg_dma18_mk),
3614 .tx_cfg_dma18_mmk(tx_cfg_dma18_mmk),
3615 .tx_cs_dma18(tx_cs_dma18[63:0]),
3616 .tx_dma18_pre_st(tx_dma18_pre_st[63:0]),
3617 .tx_dma18_rng_err_logh(tx_dma18_rng_err_logh[63:0]),
3618 .tx_dma18_rng_err_logl(tx_dma18_rng_err_logl[63:0]),
3619 .page0_mask_dma19(page0_mask_dma19[31:0]),
3620 .page0_value_dma19(page0_value_dma19[31:0]),
3621 .page0_reloc_dma19(page0_reloc_dma19[31:0]),
3622 .page0_valid_dma19(page0_valid_dma19),
3623 .page1_mask_dma19(page1_mask_dma19[31:0]),
3624 .page1_value_dma19(page1_value_dma19[31:0]),
3625 .page1_reloc_dma19(page1_reloc_dma19[31:0]),
3626 .page1_valid_dma19(page1_valid_dma19),
3627 .dmc_txc_dma19_page_handle(dmc_txc_dma19_page_handle[19:0]),
3628 .tx_rng_cfg_dma19_len(tx_rng_cfg_dma19_len[`RNG_LENGTH_WIDTH -1:0]),
3629 .tx_rng_cfg_dma19_staddr(tx_rng_cfg_dma19_staddr[37:0]),
3630 .tx_rng_tail_dma19(tx_rng_tail_dma19[`PTR_WIDTH:0]),
3631 .tx_dma_cfg_dma19_rst(tx_dma_cfg_dma19_rst),
3632 .tx_dma_cfg_dma19_stall(tx_dma_cfg_dma19_stall),
3633 .tx_dma_cfg_dma19_mbaddr(tx_dma_cfg_dma19_mbaddr[37:0]),
3634 .tx_cfg_dma19_enable_mb(tx_cfg_dma19_enable_mb),
3635 .tx_cfg_dma19_mk(tx_cfg_dma19_mk),
3636 .tx_cfg_dma19_mmk(tx_cfg_dma19_mmk),
3637 .tx_cs_dma19(tx_cs_dma19[63:0]),
3638 .tx_dma19_pre_st(tx_dma19_pre_st[63:0]),
3639 .tx_dma19_rng_err_logh(tx_dma19_rng_err_logh[63:0]),
3640 .tx_dma19_rng_err_logl(tx_dma19_rng_err_logl[63:0]),
3641 .page0_mask_dma20(page0_mask_dma20[31:0]),
3642 .page0_value_dma20(page0_value_dma20[31:0]),
3643 .page0_reloc_dma20(page0_reloc_dma20[31:0]),
3644 .page0_valid_dma20(page0_valid_dma20),
3645 .page1_mask_dma20(page1_mask_dma20[31:0]),
3646 .page1_value_dma20(page1_value_dma20[31:0]),
3647 .page1_reloc_dma20(page1_reloc_dma20[31:0]),
3648 .page1_valid_dma20(page1_valid_dma20),
3649 .dmc_txc_dma20_page_handle(dmc_txc_dma20_page_handle[19:0]),
3650 .tx_rng_cfg_dma20_len(tx_rng_cfg_dma20_len[`RNG_LENGTH_WIDTH -1:0]),
3651 .tx_rng_cfg_dma20_staddr(tx_rng_cfg_dma20_staddr[37:0]),
3652 .tx_rng_tail_dma20(tx_rng_tail_dma20[`PTR_WIDTH:0]),
3653 .tx_dma_cfg_dma20_rst(tx_dma_cfg_dma20_rst),
3654 .tx_dma_cfg_dma20_stall(tx_dma_cfg_dma20_stall),
3655 .tx_dma_cfg_dma20_mbaddr(tx_dma_cfg_dma20_mbaddr[37:0]),
3656 .tx_cfg_dma20_enable_mb(tx_cfg_dma20_enable_mb),
3657 .tx_cfg_dma20_mk(tx_cfg_dma20_mk),
3658 .tx_cfg_dma20_mmk(tx_cfg_dma20_mmk),
3659 .tx_cs_dma20(tx_cs_dma20[63:0]),
3660 .tx_dma20_pre_st(tx_dma20_pre_st[63:0]),
3661 .tx_dma20_rng_err_logh(tx_dma20_rng_err_logh[63:0]),
3662 .tx_dma20_rng_err_logl(tx_dma20_rng_err_logl[63:0]),
3663 .page0_mask_dma21(page0_mask_dma21[31:0]),
3664 .page0_value_dma21(page0_value_dma21[31:0]),
3665 .page0_reloc_dma21(page0_reloc_dma21[31:0]),
3666 .page0_valid_dma21(page0_valid_dma21),
3667 .page1_mask_dma21(page1_mask_dma21[31:0]),
3668 .page1_value_dma21(page1_value_dma21[31:0]),
3669 .page1_reloc_dma21(page1_reloc_dma21[31:0]),
3670 .page1_valid_dma21(page1_valid_dma21),
3671 .dmc_txc_dma21_page_handle(dmc_txc_dma21_page_handle[19:0]),
3672 .tx_rng_cfg_dma21_len(tx_rng_cfg_dma21_len[`RNG_LENGTH_WIDTH -1:0]),
3673 .tx_rng_cfg_dma21_staddr(tx_rng_cfg_dma21_staddr[37:0]),
3674 .tx_rng_tail_dma21(tx_rng_tail_dma21[`PTR_WIDTH:0]),
3675 .tx_dma_cfg_dma21_rst(tx_dma_cfg_dma21_rst),
3676 .tx_dma_cfg_dma21_stall(tx_dma_cfg_dma21_stall),
3677 .tx_dma_cfg_dma21_mbaddr(tx_dma_cfg_dma21_mbaddr[37:0]),
3678 .tx_cfg_dma21_enable_mb(tx_cfg_dma21_enable_mb),
3679 .tx_cfg_dma21_mk(tx_cfg_dma21_mk),
3680 .tx_cfg_dma21_mmk(tx_cfg_dma21_mmk),
3681 .tx_cs_dma21(tx_cs_dma21[63:0]),
3682 .tx_dma21_pre_st(tx_dma21_pre_st[63:0]),
3683 .tx_dma21_rng_err_logh(tx_dma21_rng_err_logh[63:0]),
3684 .tx_dma21_rng_err_logl(tx_dma21_rng_err_logl[63:0]),
3685 .page0_mask_dma22(page0_mask_dma22[31:0]),
3686 .page0_value_dma22(page0_value_dma22[31:0]),
3687 .page0_reloc_dma22(page0_reloc_dma22[31:0]),
3688 .page0_valid_dma22(page0_valid_dma22),
3689 .page1_mask_dma22(page1_mask_dma22[31:0]),
3690 .page1_value_dma22(page1_value_dma22[31:0]),
3691 .page1_reloc_dma22(page1_reloc_dma22[31:0]),
3692 .page1_valid_dma22(page1_valid_dma22),
3693 .dmc_txc_dma22_page_handle(dmc_txc_dma22_page_handle[19:0]),
3694 .tx_rng_cfg_dma22_len(tx_rng_cfg_dma22_len[`RNG_LENGTH_WIDTH -1:0]),
3695 .tx_rng_cfg_dma22_staddr(tx_rng_cfg_dma22_staddr[37:0]),
3696 .tx_rng_tail_dma22(tx_rng_tail_dma22[`PTR_WIDTH:0]),
3697 .tx_dma_cfg_dma22_rst(tx_dma_cfg_dma22_rst),
3698 .tx_dma_cfg_dma22_stall(tx_dma_cfg_dma22_stall),
3699 .tx_dma_cfg_dma22_mbaddr(tx_dma_cfg_dma22_mbaddr[37:0]),
3700 .tx_cfg_dma22_enable_mb(tx_cfg_dma22_enable_mb),
3701 .tx_cfg_dma22_mk(tx_cfg_dma22_mk),
3702 .tx_cfg_dma22_mmk(tx_cfg_dma22_mmk),
3703 .tx_cs_dma22(tx_cs_dma22[63:0]),
3704 .tx_dma22_pre_st(tx_dma22_pre_st[63:0]),
3705 .tx_dma22_rng_err_logh(tx_dma22_rng_err_logh[63:0]),
3706 .tx_dma22_rng_err_logl(tx_dma22_rng_err_logl[63:0]),
3707 .page0_mask_dma23(page0_mask_dma23[31:0]),
3708 .page0_value_dma23(page0_value_dma23[31:0]),
3709 .page0_reloc_dma23(page0_reloc_dma23[31:0]),
3710 .page0_valid_dma23(page0_valid_dma23),
3711 .page1_mask_dma23(page1_mask_dma23[31:0]),
3712 .page1_value_dma23(page1_value_dma23[31:0]),
3713 .page1_reloc_dma23(page1_reloc_dma23[31:0]),
3714 .page1_valid_dma23(page1_valid_dma23),
3715 .dmc_txc_dma23_page_handle(dmc_txc_dma23_page_handle[19:0]),
3716 .tx_rng_cfg_dma23_len(tx_rng_cfg_dma23_len[`RNG_LENGTH_WIDTH -1:0]),
3717 .tx_rng_cfg_dma23_staddr(tx_rng_cfg_dma23_staddr[37:0]),
3718 .tx_rng_tail_dma23(tx_rng_tail_dma23[`PTR_WIDTH:0]),
3719 .tx_dma_cfg_dma23_rst(tx_dma_cfg_dma23_rst),
3720 .tx_dma_cfg_dma23_stall(tx_dma_cfg_dma23_stall),
3721 .tx_dma_cfg_dma23_mbaddr(tx_dma_cfg_dma23_mbaddr[37:0]),
3722 .tx_cfg_dma23_enable_mb(tx_cfg_dma23_enable_mb),
3723 .tx_cfg_dma23_mk(tx_cfg_dma23_mk),
3724 .tx_cfg_dma23_mmk(tx_cfg_dma23_mmk),
3725 .tx_cs_dma23(tx_cs_dma23[63:0]),
3726 .tx_dma23_pre_st(tx_dma23_pre_st[63:0]),
3727 .tx_dma23_rng_err_logh(tx_dma23_rng_err_logh[63:0]),
3728 .tx_dma23_rng_err_logl(tx_dma23_rng_err_logl[63:0]),
3729 .dmc_txc_dma16_error(dmc_txc_dma16_error),
3730 .dmc_txc_dma17_error(dmc_txc_dma17_error),
3731 .dmc_txc_dma18_error(dmc_txc_dma18_error),
3732 .dmc_txc_dma19_error(dmc_txc_dma19_error),
3733 .dmc_txc_dma20_error(dmc_txc_dma20_error),
3734 .dmc_txc_dma21_error(dmc_txc_dma21_error),
3735 .dmc_txc_dma22_error(dmc_txc_dma22_error),
3736 .dmc_txc_dma23_error(dmc_txc_dma23_error),
3737`else
3738`endif
3739 .parity_corrupt_config(parity_corrupt_config[31:0]),
3740 .dmc_txc_tx_addr_md(dmc_txc_tx_addr_md),
3741 .tdmc_pio_intr(tdmc_pio_intr[63:0]),
3742 .dmc_txc_dma0_func_num(dmc_txc_dma0_func_num[1:0]),
3743 .dmc_txc_dma1_func_num(dmc_txc_dma1_func_num[1:0]),
3744 .dmc_txc_dma2_func_num(dmc_txc_dma2_func_num[1:0]),
3745 .dmc_txc_dma3_func_num(dmc_txc_dma3_func_num[1:0]),
3746 .dmc_txc_dma4_func_num(dmc_txc_dma4_func_num[1:0]),
3747 .dmc_txc_dma5_func_num(dmc_txc_dma5_func_num[1:0]),
3748 .dmc_txc_dma6_func_num(dmc_txc_dma6_func_num[1:0]),
3749 .dmc_txc_dma7_func_num(dmc_txc_dma7_func_num[1:0]),
3750 .dmc_txc_dma8_func_num(dmc_txc_dma8_func_num[1:0]),
3751 .dmc_txc_dma9_func_num(dmc_txc_dma9_func_num[1:0]),
3752 .dmc_txc_dma10_func_num(dmc_txc_dma10_func_num[1:0]),
3753 .dmc_txc_dma11_func_num(dmc_txc_dma11_func_num[1:0]),
3754 .dmc_txc_dma12_func_num(dmc_txc_dma12_func_num[1:0]),
3755 .dmc_txc_dma13_func_num(dmc_txc_dma13_func_num[1:0]),
3756 .dmc_txc_dma14_func_num(dmc_txc_dma14_func_num[1:0]),
3757 .dmc_txc_dma15_func_num(dmc_txc_dma15_func_num[1:0]),
3758`ifdef NEPTUNE
3759
3760 .dmc_txc_dma16_func_num(dmc_txc_dma16_func_num[1:0]),
3761 .dmc_txc_dma17_func_num(dmc_txc_dma17_func_num[1:0]),
3762 .dmc_txc_dma18_func_num(dmc_txc_dma18_func_num[1:0]),
3763 .dmc_txc_dma19_func_num(dmc_txc_dma19_func_num[1:0]),
3764 .dmc_txc_dma20_func_num(dmc_txc_dma20_func_num[1:0]),
3765 .dmc_txc_dma21_func_num(dmc_txc_dma21_func_num[1:0]),
3766 .dmc_txc_dma22_func_num(dmc_txc_dma22_func_num[1:0]),
3767 .dmc_txc_dma23_func_num(dmc_txc_dma23_func_num[1:0]),
3768`endif
3769 .tx_dma_cfg_dma0_stop(tx_dma_cfg_dma0_stop),
3770 .tx_dma_cfg_dma1_stop(tx_dma_cfg_dma1_stop),
3771 .tx_dma_cfg_dma2_stop(tx_dma_cfg_dma2_stop),
3772 .tx_dma_cfg_dma3_stop(tx_dma_cfg_dma3_stop),
3773 .tx_dma_cfg_dma4_stop(tx_dma_cfg_dma4_stop),
3774 .tx_dma_cfg_dma5_stop(tx_dma_cfg_dma5_stop),
3775 .tx_dma_cfg_dma6_stop(tx_dma_cfg_dma6_stop),
3776 .tx_dma_cfg_dma7_stop(tx_dma_cfg_dma7_stop),
3777 .tx_dma_cfg_dma8_stop(tx_dma_cfg_dma8_stop),
3778 .tx_dma_cfg_dma9_stop(tx_dma_cfg_dma9_stop),
3779 .tx_dma_cfg_dma10_stop(tx_dma_cfg_dma10_stop),
3780 .tx_dma_cfg_dma11_stop(tx_dma_cfg_dma11_stop),
3781 .tx_dma_cfg_dma12_stop(tx_dma_cfg_dma12_stop),
3782 .tx_dma_cfg_dma13_stop(tx_dma_cfg_dma13_stop),
3783 .tx_dma_cfg_dma14_stop(tx_dma_cfg_dma14_stop),
3784 .tx_dma_cfg_dma15_stop(tx_dma_cfg_dma15_stop),
3785`ifdef NEPTUNE
3786 .tx_dma_cfg_dma16_stop(tx_dma_cfg_dma16_stop),
3787 .tx_dma_cfg_dma17_stop(tx_dma_cfg_dma17_stop),
3788 .tx_dma_cfg_dma18_stop(tx_dma_cfg_dma18_stop),
3789 .tx_dma_cfg_dma19_stop(tx_dma_cfg_dma19_stop),
3790 .tx_dma_cfg_dma20_stop(tx_dma_cfg_dma20_stop),
3791 .tx_dma_cfg_dma21_stop(tx_dma_cfg_dma21_stop),
3792 .tx_dma_cfg_dma22_stop(tx_dma_cfg_dma22_stop),
3793 .tx_dma_cfg_dma23_stop(tx_dma_cfg_dma23_stop),
3794`endif
3795
3796
3797
3798 // Inputs
3799 .SysClk(niu_clk),
3800 .Reset_L(Reset_L),
3801 .pio_clients_rd(pio_clients_rd),
3802 .pio_tdmc_sel(pio_tdmc_sel),
3803 .pio_clients_addr(pio_clients_addr[19:0]),
3804 .pio_clients_wdata(pio_clients_wdata[63:0]),
3805 `ifdef NEPTUNE
3806 .pio_clients_32b(pio_clients_32b),
3807 `else // !ifdef NEPTUNE
3808 .pio_clients_32b(1'b0),
3809 `endif // !ifdef NEPTUNE
3810 .set_cfg_dma0_mk(set_cfg_dma0_mk),
3811 .set_cfg_dma1_mk(set_cfg_dma1_mk),
3812 .set_cfg_dma2_mk(set_cfg_dma2_mk),
3813 .set_cfg_dma3_mk(set_cfg_dma3_mk),
3814 .set_cfg_dma4_mk(set_cfg_dma4_mk),
3815 .set_cfg_dma5_mk(set_cfg_dma5_mk),
3816 .set_cfg_dma6_mk(set_cfg_dma6_mk),
3817 .set_cfg_dma7_mk(set_cfg_dma7_mk),
3818 .set_cfg_dma8_mk(set_cfg_dma8_mk),
3819 .set_cfg_dma9_mk(set_cfg_dma9_mk),
3820 .set_cfg_dma10_mk(set_cfg_dma10_mk),
3821 .set_cfg_dma11_mk(set_cfg_dma11_mk),
3822 .set_cfg_dma12_mk(set_cfg_dma12_mk),
3823 .set_cfg_dma13_mk(set_cfg_dma13_mk),
3824 .set_cfg_dma14_mk(set_cfg_dma14_mk),
3825 .set_cfg_dma15_mk(set_cfg_dma15_mk),
3826`ifdef NEPTUNE
3827 .set_cfg_dma16_mk(set_cfg_dma16_mk),
3828 .set_cfg_dma17_mk(set_cfg_dma17_mk),
3829 .set_cfg_dma18_mk(set_cfg_dma18_mk),
3830 .set_cfg_dma19_mk(set_cfg_dma19_mk),
3831 .set_cfg_dma20_mk(set_cfg_dma20_mk),
3832 .set_cfg_dma21_mk(set_cfg_dma21_mk),
3833 .set_cfg_dma22_mk(set_cfg_dma22_mk),
3834 .set_cfg_dma23_mk(set_cfg_dma23_mk),
3835
3836`endif
3837 .tx_rng_head_dma0(tx_rng_head_dma0[`PTR_WIDTH:0]),
3838 .dma0_clear_reset(dma0_clear_reset),
3839 .set_cfg_dma0_mmk(set_cfg_dma0_mmk),
3840 .clear_cfg_dma0_mb(clear_cfg_dma0_mb),
3841 .tx_rng_head_dma1(tx_rng_head_dma1[`PTR_WIDTH:0]),
3842 .dma1_clear_reset(dma1_clear_reset),
3843 .set_cfg_dma1_mmk(set_cfg_dma1_mmk),
3844 .clear_cfg_dma1_mb(clear_cfg_dma1_mb),
3845 .tx_rng_head_dma2(tx_rng_head_dma2[`PTR_WIDTH:0]),
3846 .dma2_clear_reset(dma2_clear_reset),
3847 .set_cfg_dma2_mmk(set_cfg_dma2_mmk),
3848 .clear_cfg_dma2_mb(clear_cfg_dma2_mb),
3849 .tx_rng_head_dma3(tx_rng_head_dma3[`PTR_WIDTH:0]),
3850 .dma3_clear_reset(dma3_clear_reset),
3851 .set_cfg_dma3_mmk(set_cfg_dma3_mmk),
3852 .clear_cfg_dma3_mb(clear_cfg_dma3_mb),
3853 .tx_rng_head_dma4(tx_rng_head_dma4[`PTR_WIDTH:0]),
3854 .dma4_clear_reset(dma4_clear_reset),
3855 .set_cfg_dma4_mmk(set_cfg_dma4_mmk),
3856 .clear_cfg_dma4_mb(clear_cfg_dma4_mb),
3857 .tx_rng_head_dma5(tx_rng_head_dma5[`PTR_WIDTH:0]),
3858 .dma5_clear_reset(dma5_clear_reset),
3859 .set_cfg_dma5_mmk(set_cfg_dma5_mmk),
3860 .clear_cfg_dma5_mb(clear_cfg_dma5_mb),
3861 .tx_rng_head_dma6(tx_rng_head_dma6[`PTR_WIDTH:0]),
3862 .dma6_clear_reset(dma6_clear_reset),
3863 .set_cfg_dma6_mmk(set_cfg_dma6_mmk),
3864 .clear_cfg_dma6_mb(clear_cfg_dma6_mb),
3865 .tx_rng_head_dma7(tx_rng_head_dma7[`PTR_WIDTH:0]),
3866 .dma7_clear_reset(dma7_clear_reset),
3867 .set_cfg_dma7_mmk(set_cfg_dma7_mmk),
3868 .clear_cfg_dma7_mb(clear_cfg_dma7_mb),
3869 .tx_rng_head_dma8(tx_rng_head_dma8[`PTR_WIDTH:0]),
3870 .dma8_clear_reset(dma8_clear_reset),
3871 .set_cfg_dma8_mmk(set_cfg_dma8_mmk),
3872 .clear_cfg_dma8_mb(clear_cfg_dma8_mb),
3873 .tx_rng_head_dma9(tx_rng_head_dma9[`PTR_WIDTH:0]),
3874 .dma9_clear_reset(dma9_clear_reset),
3875 .set_cfg_dma9_mmk(set_cfg_dma9_mmk),
3876 .clear_cfg_dma9_mb(clear_cfg_dma9_mb),
3877 .tx_rng_head_dma10(tx_rng_head_dma10[`PTR_WIDTH:0]),
3878 .dma10_clear_reset(dma10_clear_reset),
3879 .set_cfg_dma10_mmk(set_cfg_dma10_mmk),
3880 .clear_cfg_dma10_mb(clear_cfg_dma10_mb),
3881 .tx_rng_head_dma11(tx_rng_head_dma11[`PTR_WIDTH:0]),
3882 .dma11_clear_reset(dma11_clear_reset),
3883 .set_cfg_dma11_mmk(set_cfg_dma11_mmk),
3884 .clear_cfg_dma11_mb(clear_cfg_dma11_mb),
3885 .tx_rng_head_dma12(tx_rng_head_dma12[`PTR_WIDTH:0]),
3886 .dma12_clear_reset(dma12_clear_reset),
3887 .set_cfg_dma12_mmk(set_cfg_dma12_mmk),
3888 .clear_cfg_dma12_mb(clear_cfg_dma12_mb),
3889 .tx_rng_head_dma13(tx_rng_head_dma13[`PTR_WIDTH:0]),
3890 .dma13_clear_reset(dma13_clear_reset),
3891 .set_cfg_dma13_mmk(set_cfg_dma13_mmk),
3892 .clear_cfg_dma13_mb(clear_cfg_dma13_mb),
3893 .tx_rng_head_dma14(tx_rng_head_dma14[`PTR_WIDTH:0]),
3894 .dma14_clear_reset(dma14_clear_reset),
3895 .set_cfg_dma14_mmk(set_cfg_dma14_mmk),
3896 .clear_cfg_dma14_mb(clear_cfg_dma14_mb),
3897 .tx_rng_head_dma15(tx_rng_head_dma15[`PTR_WIDTH:0]),
3898 .dma15_clear_reset(dma15_clear_reset),
3899 .set_cfg_dma15_mmk(set_cfg_dma15_mmk),
3900 .clear_cfg_dma15_mb(clear_cfg_dma15_mb),
3901 .txc_dmc_dma0_mark_bit(txc_dmc_dma0_mark_bit),
3902 .txc_dmc_dma0_inc_pkt_cnt(txc_dmc_dma0_inc_pkt_cnt),
3903 .txc_dmc_dma1_mark_bit(txc_dmc_dma1_mark_bit),
3904 .txc_dmc_dma1_inc_pkt_cnt(txc_dmc_dma1_inc_pkt_cnt),
3905 .txc_dmc_dma2_mark_bit(txc_dmc_dma2_mark_bit),
3906 .txc_dmc_dma2_inc_pkt_cnt(txc_dmc_dma2_inc_pkt_cnt),
3907 .txc_dmc_dma3_mark_bit(txc_dmc_dma3_mark_bit),
3908 .txc_dmc_dma3_inc_pkt_cnt(txc_dmc_dma3_inc_pkt_cnt),
3909 .txc_dmc_dma4_mark_bit(txc_dmc_dma4_mark_bit),
3910 .txc_dmc_dma4_inc_pkt_cnt(txc_dmc_dma4_inc_pkt_cnt),
3911 .txc_dmc_dma5_mark_bit(txc_dmc_dma5_mark_bit),
3912 .txc_dmc_dma5_inc_pkt_cnt(txc_dmc_dma5_inc_pkt_cnt),
3913 .txc_dmc_dma6_mark_bit(txc_dmc_dma6_mark_bit),
3914 .txc_dmc_dma6_inc_pkt_cnt(txc_dmc_dma6_inc_pkt_cnt),
3915 .txc_dmc_dma7_mark_bit(txc_dmc_dma7_mark_bit),
3916 .txc_dmc_dma7_inc_pkt_cnt(txc_dmc_dma7_inc_pkt_cnt),
3917 .txc_dmc_dma8_mark_bit(txc_dmc_dma8_mark_bit),
3918 .txc_dmc_dma8_inc_pkt_cnt(txc_dmc_dma8_inc_pkt_cnt),
3919 .txc_dmc_dma9_mark_bit(txc_dmc_dma9_mark_bit),
3920 .txc_dmc_dma9_inc_pkt_cnt(txc_dmc_dma9_inc_pkt_cnt),
3921 .txc_dmc_dma10_mark_bit(txc_dmc_dma10_mark_bit),
3922 .txc_dmc_dma10_inc_pkt_cnt(txc_dmc_dma10_inc_pkt_cnt),
3923 .txc_dmc_dma11_mark_bit(txc_dmc_dma11_mark_bit),
3924 .txc_dmc_dma11_inc_pkt_cnt(txc_dmc_dma11_inc_pkt_cnt),
3925 .txc_dmc_dma12_mark_bit(txc_dmc_dma12_mark_bit),
3926 .txc_dmc_dma12_inc_pkt_cnt(txc_dmc_dma12_inc_pkt_cnt),
3927 .txc_dmc_dma13_mark_bit(txc_dmc_dma13_mark_bit),
3928 .txc_dmc_dma13_inc_pkt_cnt(txc_dmc_dma13_inc_pkt_cnt),
3929 .txc_dmc_dma14_mark_bit(txc_dmc_dma14_mark_bit),
3930 .txc_dmc_dma14_inc_pkt_cnt(txc_dmc_dma14_inc_pkt_cnt),
3931 .txc_dmc_dma15_mark_bit(txc_dmc_dma15_mark_bit),
3932 .txc_dmc_dma15_inc_pkt_cnt(txc_dmc_dma15_inc_pkt_cnt),
3933
3934`ifdef NEPTUNE
3935
3936 .tx_rng_head_dma16(tx_rng_head_dma16[`PTR_WIDTH:0]),
3937 .dma16_clear_reset(dma16_clear_reset),
3938 .set_cfg_dma16_mmk(set_cfg_dma16_mmk),
3939 .clear_cfg_dma16_mb(clear_cfg_dma16_mb),
3940 .tx_rng_head_dma17(tx_rng_head_dma17[`PTR_WIDTH:0]),
3941 .dma17_clear_reset(dma17_clear_reset),
3942 .set_cfg_dma17_mmk(set_cfg_dma17_mmk),
3943 .clear_cfg_dma17_mb(clear_cfg_dma17_mb),
3944 .tx_rng_head_dma18(tx_rng_head_dma18[`PTR_WIDTH:0]),
3945 .dma18_clear_reset(dma18_clear_reset),
3946 .set_cfg_dma18_mmk(set_cfg_dma18_mmk),
3947 .clear_cfg_dma18_mb(clear_cfg_dma18_mb),
3948 .tx_rng_head_dma19(tx_rng_head_dma19[`PTR_WIDTH:0]),
3949 .dma19_clear_reset(dma19_clear_reset),
3950 .set_cfg_dma19_mmk(set_cfg_dma19_mmk),
3951 .clear_cfg_dma19_mb(clear_cfg_dma19_mb),
3952 .tx_rng_head_dma20(tx_rng_head_dma20[`PTR_WIDTH:0]),
3953 .dma20_clear_reset(dma20_clear_reset),
3954 .set_cfg_dma20_mmk(set_cfg_dma20_mmk),
3955 .clear_cfg_dma20_mb(clear_cfg_dma20_mb),
3956 .tx_rng_head_dma21(tx_rng_head_dma21[`PTR_WIDTH:0]),
3957 .dma21_clear_reset(dma21_clear_reset),
3958 .set_cfg_dma21_mmk(set_cfg_dma21_mmk),
3959 .clear_cfg_dma21_mb(clear_cfg_dma21_mb),
3960 .tx_rng_head_dma22(tx_rng_head_dma22[`PTR_WIDTH:0]),
3961 .dma22_clear_reset(dma22_clear_reset),
3962 .set_cfg_dma22_mmk(set_cfg_dma22_mmk),
3963 .clear_cfg_dma22_mb(clear_cfg_dma22_mb),
3964 .tx_rng_head_dma23(tx_rng_head_dma23[`PTR_WIDTH:0]),
3965 .dma23_clear_reset(dma23_clear_reset),
3966 .set_cfg_dma23_mmk(set_cfg_dma23_mmk),
3967 .clear_cfg_dma23_mb(clear_cfg_dma23_mb),
3968 .txc_dmc_dma16_mark_bit(txc_dmc_dma16_mark_bit),
3969 .txc_dmc_dma16_inc_pkt_cnt(txc_dmc_dma16_inc_pkt_cnt),
3970 .txc_dmc_dma17_mark_bit(txc_dmc_dma17_mark_bit),
3971 .txc_dmc_dma17_inc_pkt_cnt(txc_dmc_dma17_inc_pkt_cnt),
3972 .txc_dmc_dma18_mark_bit(txc_dmc_dma18_mark_bit),
3973 .txc_dmc_dma18_inc_pkt_cnt(txc_dmc_dma18_inc_pkt_cnt),
3974 .txc_dmc_dma19_mark_bit(txc_dmc_dma19_mark_bit),
3975 .txc_dmc_dma19_inc_pkt_cnt(txc_dmc_dma19_inc_pkt_cnt),
3976 .txc_dmc_dma20_mark_bit(txc_dmc_dma20_mark_bit),
3977 .txc_dmc_dma20_inc_pkt_cnt(txc_dmc_dma20_inc_pkt_cnt),
3978 .txc_dmc_dma21_mark_bit(txc_dmc_dma21_mark_bit),
3979 .txc_dmc_dma21_inc_pkt_cnt(txc_dmc_dma21_inc_pkt_cnt),
3980 .txc_dmc_dma22_mark_bit(txc_dmc_dma22_mark_bit),
3981 .txc_dmc_dma22_inc_pkt_cnt(txc_dmc_dma22_inc_pkt_cnt),
3982 .txc_dmc_dma23_mark_bit(txc_dmc_dma23_mark_bit),
3983 .txc_dmc_dma23_inc_pkt_cnt(txc_dmc_dma23_inc_pkt_cnt),
3984
3985`endif // !ifdef CHANNELS_16
3986
3987 .set_pref_buf_par_err_dma0(set_pref_buf_par_err_dma0),
3988 .set_pkt_part_err_dma0(set_pkt_part_err_dma0),
3989 .pkt_part_error_address_dma0(pkt_part_error_address_dma0[43:0]),
3990 .set_conf_part_error_dma0(set_conf_part_error_dma0),
3991 .set_pref_buf_par_err_dma1(set_pref_buf_par_err_dma1),
3992 .set_pkt_part_err_dma1(set_pkt_part_err_dma1),
3993 .pkt_part_error_address_dma1(pkt_part_error_address_dma1[43:0]),
3994 .set_conf_part_error_dma1(set_conf_part_error_dma1),
3995 .set_pref_buf_par_err_dma2(set_pref_buf_par_err_dma2),
3996 .set_pkt_part_err_dma2(set_pkt_part_err_dma2),
3997 .pkt_part_error_address_dma2(pkt_part_error_address_dma2[43:0]),
3998 .set_conf_part_error_dma2(set_conf_part_error_dma2),
3999 .set_pref_buf_par_err_dma3(set_pref_buf_par_err_dma3),
4000 .set_pkt_part_err_dma3(set_pkt_part_err_dma3),
4001 .pkt_part_error_address_dma3(pkt_part_error_address_dma3[43:0]),
4002 .set_conf_part_error_dma3(set_conf_part_error_dma3),
4003 .set_pref_buf_par_err_dma4(set_pref_buf_par_err_dma4),
4004 .set_pkt_part_err_dma4(set_pkt_part_err_dma4),
4005 .pkt_part_error_address_dma4(pkt_part_error_address_dma4[43:0]),
4006 .set_conf_part_error_dma4(set_conf_part_error_dma4),
4007 .set_pref_buf_par_err_dma5(set_pref_buf_par_err_dma5),
4008 .set_pkt_part_err_dma5(set_pkt_part_err_dma5),
4009 .pkt_part_error_address_dma5(pkt_part_error_address_dma5[43:0]),
4010 .set_conf_part_error_dma5(set_conf_part_error_dma5),
4011 .set_pref_buf_par_err_dma6(set_pref_buf_par_err_dma6),
4012 .set_pkt_part_err_dma6(set_pkt_part_err_dma6),
4013 .pkt_part_error_address_dma6(pkt_part_error_address_dma6[43:0]),
4014 .set_conf_part_error_dma6(set_conf_part_error_dma6),
4015 .set_pref_buf_par_err_dma7(set_pref_buf_par_err_dma7),
4016 .set_pkt_part_err_dma7(set_pkt_part_err_dma7),
4017 .pkt_part_error_address_dma7(pkt_part_error_address_dma7[43:0]),
4018 .set_conf_part_error_dma7(set_conf_part_error_dma7),
4019 .set_pref_buf_par_err_dma8(set_pref_buf_par_err_dma8),
4020 .set_pkt_part_err_dma8(set_pkt_part_err_dma8),
4021 .pkt_part_error_address_dma8(pkt_part_error_address_dma8[43:0]),
4022 .set_conf_part_error_dma8(set_conf_part_error_dma8),
4023 .set_pref_buf_par_err_dma9(set_pref_buf_par_err_dma9),
4024 .set_pkt_part_err_dma9(set_pkt_part_err_dma9),
4025 .pkt_part_error_address_dma9(pkt_part_error_address_dma9[43:0]),
4026 .set_conf_part_error_dma9(set_conf_part_error_dma9),
4027 .set_pref_buf_par_err_dma10(set_pref_buf_par_err_dma10),
4028 .set_pkt_part_err_dma10(set_pkt_part_err_dma10),
4029 .pkt_part_error_address_dma10(pkt_part_error_address_dma10[43:0]),
4030 .set_conf_part_error_dma10(set_conf_part_error_dma10),
4031 .set_pref_buf_par_err_dma11(set_pref_buf_par_err_dma11),
4032 .set_pkt_part_err_dma11(set_pkt_part_err_dma11),
4033 .pkt_part_error_address_dma11(pkt_part_error_address_dma11[43:0]),
4034 .set_conf_part_error_dma11(set_conf_part_error_dma11),
4035 .set_pref_buf_par_err_dma12(set_pref_buf_par_err_dma12),
4036 .set_pkt_part_err_dma12(set_pkt_part_err_dma12),
4037 .pkt_part_error_address_dma12(pkt_part_error_address_dma12[43:0]),
4038 .set_conf_part_error_dma12(set_conf_part_error_dma12),
4039 .set_pref_buf_par_err_dma13(set_pref_buf_par_err_dma13),
4040 .set_pkt_part_err_dma13(set_pkt_part_err_dma13),
4041 .pkt_part_error_address_dma13(pkt_part_error_address_dma13[43:0]),
4042 .set_conf_part_error_dma13(set_conf_part_error_dma13),
4043 .set_pref_buf_par_err_dma14(set_pref_buf_par_err_dma14),
4044 .set_pkt_part_err_dma14(set_pkt_part_err_dma14),
4045 .pkt_part_error_address_dma14(pkt_part_error_address_dma14[43:0]),
4046 .set_conf_part_error_dma14(set_conf_part_error_dma14),
4047 .set_pref_buf_par_err_dma15(set_pref_buf_par_err_dma15),
4048 .set_pkt_part_err_dma15(set_pkt_part_err_dma15),
4049 .pkt_part_error_address_dma15(pkt_part_error_address_dma15[43:0]),
4050 .set_conf_part_error_dma15(set_conf_part_error_dma15),
4051 .set_tx_ring_oflow_dma0(set_tx_ring_oflow_dma0),
4052 .set_tx_ring_oflow_dma1(set_tx_ring_oflow_dma1),
4053 .set_tx_ring_oflow_dma2(set_tx_ring_oflow_dma2),
4054 .set_tx_ring_oflow_dma3(set_tx_ring_oflow_dma3),
4055 .set_tx_ring_oflow_dma4(set_tx_ring_oflow_dma4),
4056 .set_tx_ring_oflow_dma5(set_tx_ring_oflow_dma5),
4057 .set_tx_ring_oflow_dma6(set_tx_ring_oflow_dma6),
4058 .set_tx_ring_oflow_dma7(set_tx_ring_oflow_dma7),
4059 .set_tx_ring_oflow_dma8(set_tx_ring_oflow_dma8),
4060 .set_tx_ring_oflow_dma9(set_tx_ring_oflow_dma9),
4061 .set_tx_ring_oflow_dma10(set_tx_ring_oflow_dma10),
4062 .set_tx_ring_oflow_dma11(set_tx_ring_oflow_dma11),
4063 .set_tx_ring_oflow_dma12(set_tx_ring_oflow_dma12),
4064 .set_tx_ring_oflow_dma13(set_tx_ring_oflow_dma13),
4065 .set_tx_ring_oflow_dma14(set_tx_ring_oflow_dma14),
4066 .set_tx_ring_oflow_dma15(set_tx_ring_oflow_dma15),
4067`ifdef NEPTUNE
4068
4069 .set_pref_buf_par_err_dma16(set_pref_buf_par_err_dma16),
4070 .set_pkt_part_err_dma16(set_pkt_part_err_dma16),
4071 .pkt_part_error_address_dma16(pkt_part_error_address_dma16[43:0]),
4072 .set_conf_part_error_dma16(set_conf_part_error_dma16),
4073 .set_pref_buf_par_err_dma17(set_pref_buf_par_err_dma17),
4074 .set_pkt_part_err_dma17(set_pkt_part_err_dma17),
4075 .pkt_part_error_address_dma17(pkt_part_error_address_dma17[43:0]),
4076 .set_conf_part_error_dma17(set_conf_part_error_dma17),
4077 .set_pref_buf_par_err_dma18(set_pref_buf_par_err_dma18),
4078 .set_pkt_part_err_dma18(set_pkt_part_err_dma18),
4079 .pkt_part_error_address_dma18(pkt_part_error_address_dma18[43:0]),
4080 .set_conf_part_error_dma18(set_conf_part_error_dma18),
4081 .set_pref_buf_par_err_dma19(set_pref_buf_par_err_dma19),
4082 .set_pkt_part_err_dma19(set_pkt_part_err_dma19),
4083 .pkt_part_error_address_dma19(pkt_part_error_address_dma19[43:0]),
4084 .set_conf_part_error_dma19(set_conf_part_error_dma19),
4085 .set_pref_buf_par_err_dma20(set_pref_buf_par_err_dma20),
4086 .set_pkt_part_err_dma20(set_pkt_part_err_dma20),
4087 .pkt_part_error_address_dma20(pkt_part_error_address_dma20[43:0]),
4088 .set_conf_part_error_dma20(set_conf_part_error_dma20),
4089 .set_pref_buf_par_err_dma21(set_pref_buf_par_err_dma21),
4090 .set_pkt_part_err_dma21(set_pkt_part_err_dma21),
4091 .pkt_part_error_address_dma21(pkt_part_error_address_dma21[43:0]),
4092 .set_conf_part_error_dma21(set_conf_part_error_dma21),
4093 .set_pref_buf_par_err_dma22(set_pref_buf_par_err_dma22),
4094 .set_pkt_part_err_dma22(set_pkt_part_err_dma22),
4095 .pkt_part_error_address_dma22(pkt_part_error_address_dma22[43:0]),
4096 .set_conf_part_error_dma22(set_conf_part_error_dma22),
4097 .set_pref_buf_par_err_dma23(set_pref_buf_par_err_dma23),
4098 .set_pkt_part_err_dma23(set_pkt_part_err_dma23),
4099 .pkt_part_error_address_dma23(pkt_part_error_address_dma23[43:0]),
4100 .set_conf_part_error_dma23(set_conf_part_error_dma23),
4101 .set_tx_ring_oflow_dma16(set_tx_ring_oflow_dma16),
4102 .set_tx_ring_oflow_dma17(set_tx_ring_oflow_dma17),
4103 .set_tx_ring_oflow_dma18(set_tx_ring_oflow_dma18),
4104 .set_tx_ring_oflow_dma19(set_tx_ring_oflow_dma19),
4105 .set_tx_ring_oflow_dma20(set_tx_ring_oflow_dma20),
4106 .set_tx_ring_oflow_dma21(set_tx_ring_oflow_dma21),
4107 .set_tx_ring_oflow_dma22(set_tx_ring_oflow_dma22),
4108 .set_tx_ring_oflow_dma23(set_tx_ring_oflow_dma23),
4109
4110`endif // !ifdef CHANNELS_16
4111
4112 .ShadowRingCurrentPtr_DMA0(ShadowRingCurrentPtr_DMA0[`PTR_WIDTH - 1:0]),
4113 .ShadowRingCurrentPtr_DMA1(ShadowRingCurrentPtr_DMA1[`PTR_WIDTH - 1:0]),
4114 .ShadowRingCurrentPtr_DMA2(ShadowRingCurrentPtr_DMA2[`PTR_WIDTH - 1:0]),
4115 .ShadowRingCurrentPtr_DMA3(ShadowRingCurrentPtr_DMA3[`PTR_WIDTH - 1:0]),
4116 .ShadowRingCurrentPtr_DMA4(ShadowRingCurrentPtr_DMA4[`PTR_WIDTH - 1:0]),
4117 .ShadowRingCurrentPtr_DMA5(ShadowRingCurrentPtr_DMA5[`PTR_WIDTH - 1:0]),
4118 .ShadowRingCurrentPtr_DMA6(ShadowRingCurrentPtr_DMA6[`PTR_WIDTH - 1:0]),
4119 .ShadowRingCurrentPtr_DMA7(ShadowRingCurrentPtr_DMA7[`PTR_WIDTH - 1:0]),
4120 .ShadowRingCurrentPtr_DMA8(ShadowRingCurrentPtr_DMA8[`PTR_WIDTH - 1:0]),
4121 .ShadowRingCurrentPtr_DMA9(ShadowRingCurrentPtr_DMA9[`PTR_WIDTH - 1:0]),
4122 .ShadowRingCurrentPtr_DMA10(ShadowRingCurrentPtr_DMA10[`PTR_WIDTH - 1:0]),
4123 .ShadowRingCurrentPtr_DMA11(ShadowRingCurrentPtr_DMA11[`PTR_WIDTH - 1:0]),
4124 .ShadowRingCurrentPtr_DMA12(ShadowRingCurrentPtr_DMA12[`PTR_WIDTH - 1:0]),
4125 .ShadowRingCurrentPtr_DMA13(ShadowRingCurrentPtr_DMA13[`PTR_WIDTH - 1:0]),
4126 .ShadowRingCurrentPtr_DMA14(ShadowRingCurrentPtr_DMA14[`PTR_WIDTH - 1:0]),
4127 .ShadowRingCurrentPtr_DMA15(ShadowRingCurrentPtr_DMA15[`PTR_WIDTH - 1:0]),
4128`ifdef NEPTUNE
4129
4130 .ShadowRingCurrentPtr_DMA16(ShadowRingCurrentPtr_DMA16[`PTR_WIDTH - 1:0]),
4131 .ShadowRingCurrentPtr_DMA17(ShadowRingCurrentPtr_DMA17[`PTR_WIDTH - 1:0]),
4132 .ShadowRingCurrentPtr_DMA18(ShadowRingCurrentPtr_DMA18[`PTR_WIDTH - 1:0]),
4133 .ShadowRingCurrentPtr_DMA19(ShadowRingCurrentPtr_DMA19[`PTR_WIDTH - 1:0]),
4134 .ShadowRingCurrentPtr_DMA20(ShadowRingCurrentPtr_DMA20[`PTR_WIDTH - 1:0]),
4135 .ShadowRingCurrentPtr_DMA21(ShadowRingCurrentPtr_DMA21[`PTR_WIDTH - 1:0]),
4136 .ShadowRingCurrentPtr_DMA22(ShadowRingCurrentPtr_DMA22[`PTR_WIDTH - 1:0]),
4137 .ShadowRingCurrentPtr_DMA23(ShadowRingCurrentPtr_DMA23[`PTR_WIDTH - 1:0]),
4138`endif // !ifdef CHANNELS_16
4139
4140 .tx_dma_cfg_dma0_stop_state(tx_dma_cfg_dma0_stop_state),
4141 .tx_dma_cfg_dma1_stop_state(tx_dma_cfg_dma1_stop_state),
4142 .tx_dma_cfg_dma2_stop_state(tx_dma_cfg_dma2_stop_state),
4143 .tx_dma_cfg_dma3_stop_state(tx_dma_cfg_dma3_stop_state),
4144 .tx_dma_cfg_dma4_stop_state(tx_dma_cfg_dma4_stop_state),
4145 .tx_dma_cfg_dma5_stop_state(tx_dma_cfg_dma5_stop_state),
4146 .tx_dma_cfg_dma6_stop_state(tx_dma_cfg_dma6_stop_state),
4147 .tx_dma_cfg_dma7_stop_state(tx_dma_cfg_dma7_stop_state),
4148 .tx_dma_cfg_dma8_stop_state(tx_dma_cfg_dma8_stop_state),
4149 .tx_dma_cfg_dma9_stop_state(tx_dma_cfg_dma9_stop_state),
4150 .tx_dma_cfg_dma10_stop_state(tx_dma_cfg_dma10_stop_state),
4151 .tx_dma_cfg_dma11_stop_state(tx_dma_cfg_dma11_stop_state),
4152 .tx_dma_cfg_dma12_stop_state(tx_dma_cfg_dma12_stop_state),
4153 .tx_dma_cfg_dma13_stop_state(tx_dma_cfg_dma13_stop_state),
4154 .tx_dma_cfg_dma14_stop_state(tx_dma_cfg_dma14_stop_state),
4155 .tx_dma_cfg_dma15_stop_state(tx_dma_cfg_dma15_stop_state),
4156`ifdef NEPTUNE
4157
4158 .tx_dma_cfg_dma16_stop_state(tx_dma_cfg_dma16_stop_state),
4159 .tx_dma_cfg_dma17_stop_state(tx_dma_cfg_dma17_stop_state),
4160 .tx_dma_cfg_dma18_stop_state(tx_dma_cfg_dma18_stop_state),
4161 .tx_dma_cfg_dma19_stop_state(tx_dma_cfg_dma19_stop_state),
4162 .tx_dma_cfg_dma20_stop_state(tx_dma_cfg_dma20_stop_state),
4163 .tx_dma_cfg_dma21_stop_state(tx_dma_cfg_dma21_stop_state),
4164 .tx_dma_cfg_dma22_stop_state(tx_dma_cfg_dma22_stop_state),
4165 .tx_dma_cfg_dma23_stop_state(tx_dma_cfg_dma23_stop_state),
4166`endif // !ifdef CHANNELS_16
4167
4168 .set_mbox_part_error_dma(set_mbox_part_error_dma[`NO_OF_DMAS-1:0]),
4169
4170 .txc_dmc_dma_nack_pkt_rd(txc_dmc_dma_nack_pkt_rd[`NO_OF_DMAS-1:0]),
4171 .txc_dmc_nack_pkt_rd_addr(txc_dmc_nack_pkt_rd_addr[43:0]),
4172 .txc_dmc_nack_pkt_rd(txc_dmc_nack_pkt_rd),
4173
4174 .txc_dmc_p0_dma_pkt_size_err(txc_dmc_p0_dma_pkt_size_err[`NO_OF_DMAS-1:0]),
4175 .txc_dmc_p0_pkt_size_err_addr(txc_dmc_p0_pkt_size_err_addr[43:0]),
4176 .txc_dmc_p0_pkt_size_err(txc_dmc_p0_pkt_size_err),
4177
4178 .txc_dmc_p1_dma_pkt_size_err(txc_dmc_p1_dma_pkt_size_err[`NO_OF_DMAS-1:0]),
4179 .txc_dmc_p1_pkt_size_err_addr(txc_dmc_p1_pkt_size_err_addr[43:0]),
4180 .txc_dmc_p1_pkt_size_err(txc_dmc_p1_pkt_size_err),
4181
4182
4183`ifdef NEPTUNE
4184 .txc_dmc_p2_dma_pkt_size_err(txc_dmc_p2_dma_pkt_size_err[`NO_OF_DMAS-1:0]),
4185 .txc_dmc_p2_pkt_size_err_addr(txc_dmc_p2_pkt_size_err_addr[43:0]),
4186 .txc_dmc_p2_pkt_size_err(txc_dmc_p2_pkt_size_err),
4187
4188
4189 .txc_dmc_p3_dma_pkt_size_err(txc_dmc_p3_dma_pkt_size_err[`NO_OF_DMAS-1:0]),
4190 .txc_dmc_p3_pkt_size_err_addr(txc_dmc_p3_pkt_size_err_addr[43:0]),
4191 .txc_dmc_p3_pkt_size_err(txc_dmc_p3_pkt_size_err),
4192`else // !`ifdef NEPTUNE
4193 .txc_dmc_p2_dma_pkt_size_err(`NO_OF_DMAS'h0),
4194 .txc_dmc_p2_pkt_size_err_addr(44'h0),
4195 .txc_dmc_p2_pkt_size_err(1'h0),
4196
4197
4198 .txc_dmc_p3_dma_pkt_size_err(`NO_OF_DMAS'h0),
4199 .txc_dmc_p3_pkt_size_err_addr(44'h0),
4200 .txc_dmc_p3_pkt_size_err(1'h0),
4201`endif // !`ifdef NEPTUNE
4202
4203
4204
4205
4206 .txpref_dma_nack_resp(txpref_dma_nack_resp[`NO_OF_DMAS-1:0]),
4207 .txpref_nack_resp(txpref_nack_resp),
4208 .txpref_nack_rd_addr(txpref_nack_rd_addr[43:0]),
4209 .mbox_ack_dma_err_received(mbox_ack_dma_err_received[`NO_OF_DMAS-1:0]),
4210 .mbox_err_received(mbox_err_received));
4211
4212
4213
4214 niu_tdmc_mbox niu_tdmc_mbox(/*AUTOJUNK*/
4215 // Outputs
4216 .tdmc_arb0_data(tdmc_arb0_data[127:0]),
4217 .tdmc_arb0_data_valid(tdmc_arb0_data_valid),
4218 .tdmc_arb0_req(tdmc_arb0_req),
4219 .tdmc_arb0_req_address(tdmc_arb0_req_address[63:0]),
4220 .tdmc_arb0_req_byteenable(tdmc_arb0_req_byteenable[15:0]),
4221 .tdmc_arb0_req_cmd(tdmc_arb0_req_cmd[7:0]),
4222 .tdmc_arb0_req_dma_num(tdmc_arb0_req_dma_num[4:0]),
4223 .tdmc_arb0_req_length(tdmc_arb0_req_length[13:0]),
4224 .tdmc_arb0_req_port_num(tdmc_arb0_req_port_num[1:0]),
4225 .tdmc_arb0_status(tdmc_arb0_status[3:0]),
4226 .tdmc_arb0_transfer_complete(tdmc_arb0_transfer_complete),
4227 .tdmc_arb0_req_func_num(tdmc_arb0_req_func_num[1:0]),
4228 .dmc_meta_ack_accept(dmc_meta_ack_accept),
4229 .mbox_ack_dma_err_received(mbox_ack_dma_err_received[`NO_OF_DMAS-1:0]),
4230 .mbox_err_received(mbox_err_received),
4231 .set_mbox_part_error_dma(set_mbox_part_error_dma[`NO_OF_DMAS-1:0]),
4232 .clear_cfg_dma0_mb(clear_cfg_dma0_mb),
4233 .set_cfg_dma0_mmk(set_cfg_dma0_mmk),
4234 .clear_cfg_dma1_mb(clear_cfg_dma1_mb),
4235 .set_cfg_dma1_mmk(set_cfg_dma1_mmk),
4236 .clear_cfg_dma2_mb(clear_cfg_dma2_mb),
4237 .set_cfg_dma2_mmk(set_cfg_dma2_mmk),
4238 .clear_cfg_dma3_mb(clear_cfg_dma3_mb),
4239 .set_cfg_dma3_mmk(set_cfg_dma3_mmk),
4240 .clear_cfg_dma4_mb(clear_cfg_dma4_mb),
4241 .set_cfg_dma4_mmk(set_cfg_dma4_mmk),
4242 .clear_cfg_dma5_mb(clear_cfg_dma5_mb),
4243 .set_cfg_dma5_mmk(set_cfg_dma5_mmk),
4244 .clear_cfg_dma6_mb(clear_cfg_dma6_mb),
4245 .set_cfg_dma6_mmk(set_cfg_dma6_mmk),
4246 .clear_cfg_dma7_mb(clear_cfg_dma7_mb),
4247 .set_cfg_dma7_mmk(set_cfg_dma7_mmk),
4248 .clear_cfg_dma8_mb(clear_cfg_dma8_mb),
4249 .set_cfg_dma8_mmk(set_cfg_dma8_mmk),
4250 .clear_cfg_dma9_mb(clear_cfg_dma9_mb),
4251 .set_cfg_dma9_mmk(set_cfg_dma9_mmk),
4252 .clear_cfg_dma10_mb(clear_cfg_dma10_mb),
4253 .set_cfg_dma10_mmk(set_cfg_dma10_mmk),
4254 .clear_cfg_dma11_mb(clear_cfg_dma11_mb),
4255 .set_cfg_dma11_mmk(set_cfg_dma11_mmk),
4256 .clear_cfg_dma12_mb(clear_cfg_dma12_mb),
4257 .set_cfg_dma12_mmk(set_cfg_dma12_mmk),
4258 .clear_cfg_dma13_mb(clear_cfg_dma13_mb),
4259 .set_cfg_dma13_mmk(set_cfg_dma13_mmk),
4260 .clear_cfg_dma14_mb(clear_cfg_dma14_mb),
4261 .set_cfg_dma14_mmk(set_cfg_dma14_mmk),
4262 .clear_cfg_dma15_mb(clear_cfg_dma15_mb),
4263 .set_cfg_dma15_mmk(set_cfg_dma15_mmk),
4264`ifdef NEPTUNE
4265
4266 .clear_cfg_dma16_mb(clear_cfg_dma16_mb),
4267 .set_cfg_dma16_mmk(set_cfg_dma16_mmk),
4268 .clear_cfg_dma17_mb(clear_cfg_dma17_mb),
4269 .set_cfg_dma17_mmk(set_cfg_dma17_mmk),
4270 .clear_cfg_dma18_mb(clear_cfg_dma18_mb),
4271 .set_cfg_dma18_mmk(set_cfg_dma18_mmk),
4272 .clear_cfg_dma19_mb(clear_cfg_dma19_mb),
4273 .set_cfg_dma19_mmk(set_cfg_dma19_mmk),
4274 .clear_cfg_dma20_mb(clear_cfg_dma20_mb),
4275 .set_cfg_dma20_mmk(set_cfg_dma20_mmk),
4276 .clear_cfg_dma21_mb(clear_cfg_dma21_mb),
4277 .set_cfg_dma21_mmk(set_cfg_dma21_mmk),
4278 .clear_cfg_dma22_mb(clear_cfg_dma22_mb),
4279 .set_cfg_dma22_mmk(set_cfg_dma22_mmk),
4280 .clear_cfg_dma23_mb(clear_cfg_dma23_mb),
4281 .set_cfg_dma23_mmk(set_cfg_dma23_mmk),
4282`endif // !ifdef CHANNELS_16
4283
4284 .mbox_debug_state(mbox_debug_state[11:0]),
4285 .set_cfg_dma0_mk(set_cfg_dma0_mk),
4286 .set_cfg_dma1_mk(set_cfg_dma1_mk),
4287 .set_cfg_dma2_mk(set_cfg_dma2_mk),
4288 .set_cfg_dma3_mk(set_cfg_dma3_mk),
4289 .set_cfg_dma4_mk(set_cfg_dma4_mk),
4290 .set_cfg_dma5_mk(set_cfg_dma5_mk),
4291 .set_cfg_dma6_mk(set_cfg_dma6_mk),
4292 .set_cfg_dma7_mk(set_cfg_dma7_mk),
4293 .set_cfg_dma8_mk(set_cfg_dma8_mk),
4294 .set_cfg_dma9_mk(set_cfg_dma9_mk),
4295 .set_cfg_dma10_mk(set_cfg_dma10_mk),
4296 .set_cfg_dma11_mk(set_cfg_dma11_mk),
4297 .set_cfg_dma12_mk(set_cfg_dma12_mk),
4298 .set_cfg_dma13_mk(set_cfg_dma13_mk),
4299 .set_cfg_dma14_mk(set_cfg_dma14_mk),
4300 .set_cfg_dma15_mk(set_cfg_dma15_mk),
4301`ifdef NEPTUNE
4302 .set_cfg_dma16_mk(set_cfg_dma16_mk),
4303 .set_cfg_dma17_mk(set_cfg_dma17_mk),
4304 .set_cfg_dma18_mk(set_cfg_dma18_mk),
4305 .set_cfg_dma19_mk(set_cfg_dma19_mk),
4306 .set_cfg_dma20_mk(set_cfg_dma20_mk),
4307 .set_cfg_dma21_mk(set_cfg_dma21_mk),
4308 .set_cfg_dma22_mk(set_cfg_dma22_mk),
4309 .set_cfg_dma23_mk(set_cfg_dma23_mk),
4310`endif
4311 // Inputs
4312 .SysClk (niu_clk),
4313 .Reset_L (Reset_L),
4314 .arb0_tdmc_data_req(arb0_tdmc_data_req),
4315 .arb0_tdmc_req_accept(arb0_tdmc_req_accept),
4316 .meta_dmc_ack_ready(meta_dmc_ack_ready),
4317 .meta_dmc_ack_cmd(meta_dmc_ack_cmd[7:0]),
4318 .meta_dmc_ack_dma_num(meta_dmc_ack_dma_num[4:0]),
4319 .meta_dmc_ack_client(meta_dmc_ack_client),
4320 .meta_dmc_ack_complete(meta_dmc_ack_complete),
4321 .meta_dmc_ack_cmd_status(meta_dmc_ack_cmd_status[3:0]),
4322 .txc_dmc_dma0_mark_bit(txc_dmc_dma0_mark_bit),
4323 .tx_cfg_dma0_mk(tx_cfg_dma0_mk),
4324 .tx_cfg_dma0_mmk(tx_cfg_dma0_mmk),
4325 .tx_cfg_dma0_enable_mb(tx_cfg_dma0_enable_mb),
4326 .tx_cs_dma0(tx_cs_dma0[63:0]),
4327 .tx_dma0_pre_st(tx_dma0_pre_st[63:0]),
4328 .tx_rng_head_dma0(tx_rng_head_dma0[`PTR_WIDTH:0]),
4329 .tx_rng_tail_dma0(tx_rng_tail_dma0[`PTR_WIDTH :0]),
4330 .tx_dma0_rng_err_logh(tx_dma0_rng_err_logh[63:0]),
4331 .tx_dma0_rng_err_logl(tx_dma0_rng_err_logl[63:0]),
4332 .dmc_txc_dma0_page_handle(dmc_txc_dma0_page_handle[19:0]),
4333 .tx_dma_cfg_dma0_mbaddr(tx_dma_cfg_dma0_mbaddr[37:0]),
4334 .page0_mask_dma0(page0_mask_dma0[31:0]),
4335 .page0_value_dma0(page0_value_dma0[31:0]),
4336 .page0_reloc_dma0(page0_reloc_dma0[31:0]),
4337 .page0_valid_dma0(page0_valid_dma0),
4338 .page1_mask_dma0(page1_mask_dma0[31:0]),
4339 .page1_value_dma0(page1_value_dma0[31:0]),
4340 .page1_reloc_dma0(page1_reloc_dma0[31:0]),
4341 .page1_valid_dma0(page1_valid_dma0),
4342 .txc_dmc_dma1_mark_bit(txc_dmc_dma1_mark_bit),
4343 .tx_cfg_dma1_mk(tx_cfg_dma1_mk),
4344 .tx_cfg_dma1_mmk(tx_cfg_dma1_mmk),
4345 .tx_cfg_dma1_enable_mb(tx_cfg_dma1_enable_mb),
4346 .tx_cs_dma1(tx_cs_dma1[63:0]),
4347 .tx_dma1_pre_st(tx_dma1_pre_st[63:0]),
4348 .tx_rng_head_dma1(tx_rng_head_dma1[`PTR_WIDTH :0]),
4349 .tx_rng_tail_dma1(tx_rng_tail_dma1[`PTR_WIDTH :0]),
4350 .tx_dma1_rng_err_logh(tx_dma1_rng_err_logh[63:0]),
4351 .tx_dma1_rng_err_logl(tx_dma1_rng_err_logl[63:0]),
4352 .dmc_txc_dma1_page_handle(dmc_txc_dma1_page_handle[19:0]),
4353 .tx_dma_cfg_dma1_mbaddr(tx_dma_cfg_dma1_mbaddr[37:0]),
4354 .page0_mask_dma1(page0_mask_dma1[31:0]),
4355 .page0_value_dma1(page0_value_dma1[31:0]),
4356 .page0_reloc_dma1(page0_reloc_dma1[31:0]),
4357 .page0_valid_dma1(page0_valid_dma1),
4358 .page1_mask_dma1(page1_mask_dma1[31:0]),
4359 .page1_value_dma1(page1_value_dma1[31:0]),
4360 .page1_reloc_dma1(page1_reloc_dma1[31:0]),
4361 .page1_valid_dma1(page1_valid_dma1),
4362 .txc_dmc_dma2_mark_bit(txc_dmc_dma2_mark_bit),
4363 .tx_cfg_dma2_mk(tx_cfg_dma2_mk),
4364 .tx_cfg_dma2_mmk(tx_cfg_dma2_mmk),
4365 .tx_cfg_dma2_enable_mb(tx_cfg_dma2_enable_mb),
4366 .tx_cs_dma2(tx_cs_dma2[63:0]),
4367 .tx_dma2_pre_st(tx_dma2_pre_st[63:0]),
4368 .tx_rng_head_dma2(tx_rng_head_dma2[`PTR_WIDTH :0]),
4369 .tx_rng_tail_dma2(tx_rng_tail_dma2[`PTR_WIDTH :0]),
4370 .tx_dma2_rng_err_logh(tx_dma2_rng_err_logh[63:0]),
4371 .tx_dma2_rng_err_logl(tx_dma2_rng_err_logl[63:0]),
4372 .dmc_txc_dma2_page_handle(dmc_txc_dma2_page_handle[19:0]),
4373 .tx_dma_cfg_dma2_mbaddr(tx_dma_cfg_dma2_mbaddr[37:0]),
4374 .page0_mask_dma2(page0_mask_dma2[31:0]),
4375 .page0_value_dma2(page0_value_dma2[31:0]),
4376 .page0_reloc_dma2(page0_reloc_dma2[31:0]),
4377 .page0_valid_dma2(page0_valid_dma2),
4378 .page1_mask_dma2(page1_mask_dma2[31:0]),
4379 .page1_value_dma2(page1_value_dma2[31:0]),
4380 .page1_reloc_dma2(page1_reloc_dma2[31:0]),
4381 .page1_valid_dma2(page1_valid_dma2),
4382 .txc_dmc_dma3_mark_bit(txc_dmc_dma3_mark_bit),
4383 .tx_cfg_dma3_mk(tx_cfg_dma3_mk),
4384 .tx_cfg_dma3_mmk(tx_cfg_dma3_mmk),
4385 .tx_cfg_dma3_enable_mb(tx_cfg_dma3_enable_mb),
4386 .tx_cs_dma3(tx_cs_dma3[63:0]),
4387 .tx_dma3_pre_st(tx_dma3_pre_st[63:0]),
4388 .tx_rng_head_dma3(tx_rng_head_dma3[`PTR_WIDTH :0]),
4389 .tx_rng_tail_dma3(tx_rng_tail_dma3[`PTR_WIDTH :0]),
4390 .tx_dma3_rng_err_logh(tx_dma3_rng_err_logh[63:0]),
4391 .tx_dma3_rng_err_logl(tx_dma3_rng_err_logl[63:0]),
4392 .dmc_txc_dma3_page_handle(dmc_txc_dma3_page_handle[19:0]),
4393 .tx_dma_cfg_dma3_mbaddr(tx_dma_cfg_dma3_mbaddr[37:0]),
4394 .page0_mask_dma3(page0_mask_dma3[31:0]),
4395 .page0_value_dma3(page0_value_dma3[31:0]),
4396 .page0_reloc_dma3(page0_reloc_dma3[31:0]),
4397 .page0_valid_dma3(page0_valid_dma3),
4398 .page1_mask_dma3(page1_mask_dma3[31:0]),
4399 .page1_value_dma3(page1_value_dma3[31:0]),
4400 .page1_reloc_dma3(page1_reloc_dma3[31:0]),
4401 .page1_valid_dma3(page1_valid_dma3),
4402 .txc_dmc_dma4_mark_bit(txc_dmc_dma4_mark_bit),
4403 .tx_cfg_dma4_mk(tx_cfg_dma4_mk),
4404 .tx_cfg_dma4_mmk(tx_cfg_dma4_mmk),
4405 .tx_cfg_dma4_enable_mb(tx_cfg_dma4_enable_mb),
4406 .tx_cs_dma4(tx_cs_dma4[63:0]),
4407 .tx_dma4_pre_st(tx_dma4_pre_st[63:0]),
4408 .tx_rng_head_dma4(tx_rng_head_dma4[`PTR_WIDTH :0]),
4409 .tx_rng_tail_dma4(tx_rng_tail_dma4[`PTR_WIDTH :0]),
4410 .tx_dma4_rng_err_logh(tx_dma4_rng_err_logh[63:0]),
4411 .tx_dma4_rng_err_logl(tx_dma4_rng_err_logl[63:0]),
4412 .dmc_txc_dma4_page_handle(dmc_txc_dma4_page_handle[19:0]),
4413 .tx_dma_cfg_dma4_mbaddr(tx_dma_cfg_dma4_mbaddr[37:0]),
4414 .page0_mask_dma4(page0_mask_dma4[31:0]),
4415 .page0_value_dma4(page0_value_dma4[31:0]),
4416 .page0_reloc_dma4(page0_reloc_dma4[31:0]),
4417 .page0_valid_dma4(page0_valid_dma4),
4418 .page1_mask_dma4(page1_mask_dma4[31:0]),
4419 .page1_value_dma4(page1_value_dma4[31:0]),
4420 .page1_reloc_dma4(page1_reloc_dma4[31:0]),
4421 .page1_valid_dma4(page1_valid_dma4),
4422 .txc_dmc_dma5_mark_bit(txc_dmc_dma5_mark_bit),
4423 .tx_cfg_dma5_mk(tx_cfg_dma5_mk),
4424 .tx_cfg_dma5_mmk(tx_cfg_dma5_mmk),
4425 .tx_cfg_dma5_enable_mb(tx_cfg_dma5_enable_mb),
4426 .tx_cs_dma5(tx_cs_dma5[63:0]),
4427 .tx_dma5_pre_st(tx_dma5_pre_st[63:0]),
4428 .tx_rng_head_dma5(tx_rng_head_dma5[`PTR_WIDTH :0]),
4429 .tx_rng_tail_dma5(tx_rng_tail_dma5[`PTR_WIDTH :0]),
4430 .tx_dma5_rng_err_logh(tx_dma5_rng_err_logh[63:0]),
4431 .tx_dma5_rng_err_logl(tx_dma5_rng_err_logl[63:0]),
4432 .dmc_txc_dma5_page_handle(dmc_txc_dma5_page_handle[19:0]),
4433 .tx_dma_cfg_dma5_mbaddr(tx_dma_cfg_dma5_mbaddr[37:0]),
4434 .page0_mask_dma5(page0_mask_dma5[31:0]),
4435 .page0_value_dma5(page0_value_dma5[31:0]),
4436 .page0_reloc_dma5(page0_reloc_dma5[31:0]),
4437 .page0_valid_dma5(page0_valid_dma5),
4438 .page1_mask_dma5(page1_mask_dma5[31:0]),
4439 .page1_value_dma5(page1_value_dma5[31:0]),
4440 .page1_reloc_dma5(page1_reloc_dma5[31:0]),
4441 .page1_valid_dma5(page1_valid_dma5),
4442 .txc_dmc_dma6_mark_bit(txc_dmc_dma6_mark_bit),
4443 .tx_cfg_dma6_mk(tx_cfg_dma6_mk),
4444 .tx_cfg_dma6_mmk(tx_cfg_dma6_mmk),
4445 .tx_cfg_dma6_enable_mb(tx_cfg_dma6_enable_mb),
4446 .tx_cs_dma6(tx_cs_dma6[63:0]),
4447 .tx_dma6_pre_st(tx_dma6_pre_st[63:0]),
4448 .tx_rng_head_dma6(tx_rng_head_dma6[`PTR_WIDTH :0]),
4449 .tx_rng_tail_dma6(tx_rng_tail_dma6[`PTR_WIDTH :0]),
4450 .tx_dma6_rng_err_logh(tx_dma6_rng_err_logh[63:0]),
4451 .tx_dma6_rng_err_logl(tx_dma6_rng_err_logl[63:0]),
4452 .dmc_txc_dma6_page_handle(dmc_txc_dma6_page_handle[19:0]),
4453 .tx_dma_cfg_dma6_mbaddr(tx_dma_cfg_dma6_mbaddr[37:0]),
4454 .page0_mask_dma6(page0_mask_dma6[31:0]),
4455 .page0_value_dma6(page0_value_dma6[31:0]),
4456 .page0_reloc_dma6(page0_reloc_dma6[31:0]),
4457 .page0_valid_dma6(page0_valid_dma6),
4458 .page1_mask_dma6(page1_mask_dma6[31:0]),
4459 .page1_value_dma6(page1_value_dma6[31:0]),
4460 .page1_reloc_dma6(page1_reloc_dma6[31:0]),
4461 .page1_valid_dma6(page1_valid_dma6),
4462 .txc_dmc_dma7_mark_bit(txc_dmc_dma7_mark_bit),
4463 .tx_cfg_dma7_mk(tx_cfg_dma7_mk),
4464 .tx_cfg_dma7_mmk(tx_cfg_dma7_mmk),
4465 .tx_cfg_dma7_enable_mb(tx_cfg_dma7_enable_mb),
4466 .tx_cs_dma7(tx_cs_dma7[63:0]),
4467 .tx_dma7_pre_st(tx_dma7_pre_st[63:0]),
4468 .tx_rng_head_dma7(tx_rng_head_dma7[`PTR_WIDTH :0]),
4469 .tx_rng_tail_dma7(tx_rng_tail_dma7[`PTR_WIDTH :0]),
4470 .tx_dma7_rng_err_logh(tx_dma7_rng_err_logh[63:0]),
4471 .tx_dma7_rng_err_logl(tx_dma7_rng_err_logl[63:0]),
4472 .dmc_txc_dma7_page_handle(dmc_txc_dma7_page_handle[19:0]),
4473 .tx_dma_cfg_dma7_mbaddr(tx_dma_cfg_dma7_mbaddr[37:0]),
4474 .page0_mask_dma7(page0_mask_dma7[31:0]),
4475 .page0_value_dma7(page0_value_dma7[31:0]),
4476 .page0_reloc_dma7(page0_reloc_dma7[31:0]),
4477 .page0_valid_dma7(page0_valid_dma7),
4478 .page1_mask_dma7(page1_mask_dma7[31:0]),
4479 .page1_value_dma7(page1_value_dma7[31:0]),
4480 .page1_reloc_dma7(page1_reloc_dma7[31:0]),
4481 .page1_valid_dma7(page1_valid_dma7),
4482 .txc_dmc_dma8_mark_bit(txc_dmc_dma8_mark_bit),
4483 .tx_cfg_dma8_mk(tx_cfg_dma8_mk),
4484 .tx_cfg_dma8_mmk(tx_cfg_dma8_mmk),
4485 .tx_cfg_dma8_enable_mb(tx_cfg_dma8_enable_mb),
4486 .tx_cs_dma8(tx_cs_dma8[63:0]),
4487 .tx_dma8_pre_st(tx_dma8_pre_st[63:0]),
4488 .tx_rng_head_dma8(tx_rng_head_dma8[`PTR_WIDTH :0]),
4489 .tx_rng_tail_dma8(tx_rng_tail_dma8[`PTR_WIDTH :0]),
4490 .tx_dma8_rng_err_logh(tx_dma8_rng_err_logh[63:0]),
4491 .tx_dma8_rng_err_logl(tx_dma8_rng_err_logl[63:0]),
4492 .dmc_txc_dma8_page_handle(dmc_txc_dma8_page_handle[19:0]),
4493 .tx_dma_cfg_dma8_mbaddr(tx_dma_cfg_dma8_mbaddr[37:0]),
4494 .page0_mask_dma8(page0_mask_dma8[31:0]),
4495 .page0_value_dma8(page0_value_dma8[31:0]),
4496 .page0_reloc_dma8(page0_reloc_dma8[31:0]),
4497 .page0_valid_dma8(page0_valid_dma8),
4498 .page1_mask_dma8(page1_mask_dma8[31:0]),
4499 .page1_value_dma8(page1_value_dma8[31:0]),
4500 .page1_reloc_dma8(page1_reloc_dma8[31:0]),
4501 .page1_valid_dma8(page1_valid_dma8),
4502 .txc_dmc_dma9_mark_bit(txc_dmc_dma9_mark_bit),
4503 .tx_cfg_dma9_mk(tx_cfg_dma9_mk),
4504 .tx_cfg_dma9_mmk(tx_cfg_dma9_mmk),
4505 .tx_cfg_dma9_enable_mb(tx_cfg_dma9_enable_mb),
4506 .tx_cs_dma9(tx_cs_dma9[63:0]),
4507 .tx_dma9_pre_st(tx_dma9_pre_st[63:0]),
4508 .tx_rng_head_dma9(tx_rng_head_dma9[`PTR_WIDTH :0]),
4509 .tx_rng_tail_dma9(tx_rng_tail_dma9[`PTR_WIDTH :0]),
4510 .tx_dma9_rng_err_logh(tx_dma9_rng_err_logh[63:0]),
4511 .tx_dma9_rng_err_logl(tx_dma9_rng_err_logl[63:0]),
4512 .dmc_txc_dma9_page_handle(dmc_txc_dma9_page_handle[19:0]),
4513 .tx_dma_cfg_dma9_mbaddr(tx_dma_cfg_dma9_mbaddr[37:0]),
4514 .page0_mask_dma9(page0_mask_dma9[31:0]),
4515 .page0_value_dma9(page0_value_dma9[31:0]),
4516 .page0_reloc_dma9(page0_reloc_dma9[31:0]),
4517 .page0_valid_dma9(page0_valid_dma9),
4518 .page1_mask_dma9(page1_mask_dma9[31:0]),
4519 .page1_value_dma9(page1_value_dma9[31:0]),
4520 .page1_reloc_dma9(page1_reloc_dma9[31:0]),
4521 .page1_valid_dma9(page1_valid_dma9),
4522 .txc_dmc_dma10_mark_bit(txc_dmc_dma10_mark_bit),
4523 .tx_cfg_dma10_mk(tx_cfg_dma10_mk),
4524 .tx_cfg_dma10_mmk(tx_cfg_dma10_mmk),
4525 .tx_cfg_dma10_enable_mb(tx_cfg_dma10_enable_mb),
4526 .tx_cs_dma10(tx_cs_dma10[63:0]),
4527 .tx_dma10_pre_st(tx_dma10_pre_st[63:0]),
4528 .tx_rng_head_dma10(tx_rng_head_dma10[`PTR_WIDTH :0]),
4529 .tx_rng_tail_dma10(tx_rng_tail_dma10[`PTR_WIDTH :0]),
4530 .tx_dma10_rng_err_logh(tx_dma10_rng_err_logh[63:0]),
4531 .tx_dma10_rng_err_logl(tx_dma10_rng_err_logl[63:0]),
4532 .dmc_txc_dma10_page_handle(dmc_txc_dma10_page_handle[19:0]),
4533 .tx_dma_cfg_dma10_mbaddr(tx_dma_cfg_dma10_mbaddr[37:0]),
4534 .page0_mask_dma10(page0_mask_dma10[31:0]),
4535 .page0_value_dma10(page0_value_dma10[31:0]),
4536 .page0_reloc_dma10(page0_reloc_dma10[31:0]),
4537 .page0_valid_dma10(page0_valid_dma10),
4538 .page1_mask_dma10(page1_mask_dma10[31:0]),
4539 .page1_value_dma10(page1_value_dma10[31:0]),
4540 .page1_reloc_dma10(page1_reloc_dma10[31:0]),
4541 .page1_valid_dma10(page1_valid_dma10),
4542 .txc_dmc_dma11_mark_bit(txc_dmc_dma11_mark_bit),
4543 .tx_cfg_dma11_mk(tx_cfg_dma11_mk),
4544 .tx_cfg_dma11_mmk(tx_cfg_dma11_mmk),
4545 .tx_cfg_dma11_enable_mb(tx_cfg_dma11_enable_mb),
4546 .tx_cs_dma11(tx_cs_dma11[63:0]),
4547 .tx_dma11_pre_st(tx_dma11_pre_st[63:0]),
4548 .tx_rng_head_dma11(tx_rng_head_dma11[`PTR_WIDTH :0]),
4549 .tx_rng_tail_dma11(tx_rng_tail_dma11[`PTR_WIDTH :0]),
4550 .tx_dma11_rng_err_logh(tx_dma11_rng_err_logh[63:0]),
4551 .tx_dma11_rng_err_logl(tx_dma11_rng_err_logl[63:0]),
4552 .dmc_txc_dma11_page_handle(dmc_txc_dma11_page_handle[19:0]),
4553 .tx_dma_cfg_dma11_mbaddr(tx_dma_cfg_dma11_mbaddr[37:0]),
4554 .page0_mask_dma11(page0_mask_dma11[31:0]),
4555 .page0_value_dma11(page0_value_dma11[31:0]),
4556 .page0_reloc_dma11(page0_reloc_dma11[31:0]),
4557 .page0_valid_dma11(page0_valid_dma11),
4558 .page1_mask_dma11(page1_mask_dma11[31:0]),
4559 .page1_value_dma11(page1_value_dma11[31:0]),
4560 .page1_reloc_dma11(page1_reloc_dma11[31:0]),
4561 .page1_valid_dma11(page1_valid_dma11),
4562 .txc_dmc_dma12_mark_bit(txc_dmc_dma12_mark_bit),
4563 .tx_cfg_dma12_mk(tx_cfg_dma12_mk),
4564 .tx_cfg_dma12_mmk(tx_cfg_dma12_mmk),
4565 .tx_cfg_dma12_enable_mb(tx_cfg_dma12_enable_mb),
4566 .tx_cs_dma12(tx_cs_dma12[63:0]),
4567 .tx_dma12_pre_st(tx_dma12_pre_st[63:0]),
4568 .tx_rng_head_dma12(tx_rng_head_dma12[`PTR_WIDTH :0]),
4569 .tx_rng_tail_dma12(tx_rng_tail_dma12[`PTR_WIDTH :0]),
4570 .tx_dma12_rng_err_logh(tx_dma12_rng_err_logh[63:0]),
4571 .tx_dma12_rng_err_logl(tx_dma12_rng_err_logl[63:0]),
4572 .dmc_txc_dma12_page_handle(dmc_txc_dma12_page_handle[19:0]),
4573 .tx_dma_cfg_dma12_mbaddr(tx_dma_cfg_dma12_mbaddr[37:0]),
4574 .page0_mask_dma12(page0_mask_dma12[31:0]),
4575 .page0_value_dma12(page0_value_dma12[31:0]),
4576 .page0_reloc_dma12(page0_reloc_dma12[31:0]),
4577 .page0_valid_dma12(page0_valid_dma12),
4578 .page1_mask_dma12(page1_mask_dma12[31:0]),
4579 .page1_value_dma12(page1_value_dma12[31:0]),
4580 .page1_reloc_dma12(page1_reloc_dma12[31:0]),
4581 .page1_valid_dma12(page1_valid_dma12),
4582 .txc_dmc_dma13_mark_bit(txc_dmc_dma13_mark_bit),
4583 .tx_cfg_dma13_mk(tx_cfg_dma13_mk),
4584 .tx_cfg_dma13_mmk(tx_cfg_dma13_mmk),
4585 .tx_cfg_dma13_enable_mb(tx_cfg_dma13_enable_mb),
4586 .tx_cs_dma13(tx_cs_dma13[63:0]),
4587 .tx_dma13_pre_st(tx_dma13_pre_st[63:0]),
4588 .tx_rng_head_dma13(tx_rng_head_dma13[`PTR_WIDTH :0]),
4589 .tx_rng_tail_dma13(tx_rng_tail_dma13[`PTR_WIDTH :0]),
4590 .tx_dma13_rng_err_logh(tx_dma13_rng_err_logh[63:0]),
4591 .tx_dma13_rng_err_logl(tx_dma13_rng_err_logl[63:0]),
4592 .dmc_txc_dma13_page_handle(dmc_txc_dma13_page_handle[19:0]),
4593 .tx_dma_cfg_dma13_mbaddr(tx_dma_cfg_dma13_mbaddr[37:0]),
4594 .page0_mask_dma13(page0_mask_dma13[31:0]),
4595 .page0_value_dma13(page0_value_dma13[31:0]),
4596 .page0_reloc_dma13(page0_reloc_dma13[31:0]),
4597 .page0_valid_dma13(page0_valid_dma13),
4598 .page1_mask_dma13(page1_mask_dma13[31:0]),
4599 .page1_value_dma13(page1_value_dma13[31:0]),
4600 .page1_reloc_dma13(page1_reloc_dma13[31:0]),
4601 .page1_valid_dma13(page1_valid_dma13),
4602 .txc_dmc_dma14_mark_bit(txc_dmc_dma14_mark_bit),
4603 .tx_cfg_dma14_mk(tx_cfg_dma14_mk),
4604 .tx_cfg_dma14_mmk(tx_cfg_dma14_mmk),
4605 .tx_cfg_dma14_enable_mb(tx_cfg_dma14_enable_mb),
4606 .tx_cs_dma14(tx_cs_dma14[63:0]),
4607 .tx_dma14_pre_st(tx_dma14_pre_st[63:0]),
4608 .tx_rng_head_dma14(tx_rng_head_dma14[`PTR_WIDTH :0]),
4609 .tx_rng_tail_dma14(tx_rng_tail_dma14[`PTR_WIDTH :0]),
4610 .tx_dma14_rng_err_logh(tx_dma14_rng_err_logh[63:0]),
4611 .tx_dma14_rng_err_logl(tx_dma14_rng_err_logl[63:0]),
4612 .dmc_txc_dma14_page_handle(dmc_txc_dma14_page_handle[19:0]),
4613 .tx_dma_cfg_dma14_mbaddr(tx_dma_cfg_dma14_mbaddr[37:0]),
4614 .page0_mask_dma14(page0_mask_dma14[31:0]),
4615 .page0_value_dma14(page0_value_dma14[31:0]),
4616 .page0_reloc_dma14(page0_reloc_dma14[31:0]),
4617 .page0_valid_dma14(page0_valid_dma14),
4618 .page1_mask_dma14(page1_mask_dma14[31:0]),
4619 .page1_value_dma14(page1_value_dma14[31:0]),
4620 .page1_reloc_dma14(page1_reloc_dma14[31:0]),
4621 .page1_valid_dma14(page1_valid_dma14),
4622 .txc_dmc_dma15_mark_bit(txc_dmc_dma15_mark_bit),
4623 .tx_cfg_dma15_mk(tx_cfg_dma15_mk),
4624 .tx_cfg_dma15_mmk(tx_cfg_dma15_mmk),
4625 .tx_cfg_dma15_enable_mb(tx_cfg_dma15_enable_mb),
4626 .tx_cs_dma15(tx_cs_dma15[63:0]),
4627 .tx_dma15_pre_st(tx_dma15_pre_st[63:0]),
4628 .tx_rng_head_dma15(tx_rng_head_dma15[`PTR_WIDTH :0]),
4629 .tx_rng_tail_dma15(tx_rng_tail_dma15[`PTR_WIDTH :0]),
4630 .tx_dma15_rng_err_logh(tx_dma15_rng_err_logh[63:0]),
4631 .tx_dma15_rng_err_logl(tx_dma15_rng_err_logl[63:0]),
4632 .dmc_txc_dma15_page_handle(dmc_txc_dma15_page_handle[19:0]),
4633 .tx_dma_cfg_dma15_mbaddr(tx_dma_cfg_dma15_mbaddr[37:0]),
4634 .page0_mask_dma15(page0_mask_dma15[31:0]),
4635 .page0_value_dma15(page0_value_dma15[31:0]),
4636 .page0_reloc_dma15(page0_reloc_dma15[31:0]),
4637 .page0_valid_dma15(page0_valid_dma15),
4638 .page1_mask_dma15(page1_mask_dma15[31:0]),
4639 .page1_value_dma15(page1_value_dma15[31:0]),
4640 .page1_reloc_dma15(page1_reloc_dma15[31:0]),
4641 .page1_valid_dma15(page1_valid_dma15),
4642`ifdef NEPTUNE
4643 .txc_dmc_dma16_mark_bit(txc_dmc_dma16_mark_bit),
4644 .tx_cfg_dma16_mk(tx_cfg_dma16_mk),
4645 .tx_cfg_dma16_mmk(tx_cfg_dma16_mmk),
4646 .tx_cfg_dma16_enable_mb(tx_cfg_dma16_enable_mb),
4647 .tx_cs_dma16(tx_cs_dma16[63:0]),
4648 .tx_dma16_pre_st(tx_dma16_pre_st[63:0]),
4649 .tx_rng_head_dma16(tx_rng_head_dma16[`PTR_WIDTH :0]),
4650 .tx_rng_tail_dma16(tx_rng_tail_dma16[`PTR_WIDTH :0]),
4651 .tx_dma16_rng_err_logh(tx_dma16_rng_err_logh[63:0]),
4652 .tx_dma16_rng_err_logl(tx_dma16_rng_err_logl[63:0]),
4653 .dmc_txc_dma16_page_handle(dmc_txc_dma16_page_handle[19:0]),
4654 .tx_dma_cfg_dma16_mbaddr(tx_dma_cfg_dma16_mbaddr[37:0]),
4655 .page0_mask_dma16(page0_mask_dma16[31:0]),
4656 .page0_value_dma16(page0_value_dma16[31:0]),
4657 .page0_reloc_dma16(page0_reloc_dma16[31:0]),
4658 .page0_valid_dma16(page0_valid_dma16),
4659 .page1_mask_dma16(page1_mask_dma16[31:0]),
4660 .page1_value_dma16(page1_value_dma16[31:0]),
4661 .page1_reloc_dma16(page1_reloc_dma16[31:0]),
4662 .page1_valid_dma16(page1_valid_dma16),
4663 .txc_dmc_dma17_mark_bit(txc_dmc_dma17_mark_bit),
4664 .tx_cfg_dma17_mk(tx_cfg_dma17_mk),
4665 .tx_cfg_dma17_mmk(tx_cfg_dma17_mmk),
4666 .tx_cfg_dma17_enable_mb(tx_cfg_dma17_enable_mb),
4667 .tx_cs_dma17(tx_cs_dma17[63:0]),
4668 .tx_dma17_pre_st(tx_dma17_pre_st[63:0]),
4669 .tx_rng_head_dma17(tx_rng_head_dma17[`PTR_WIDTH :0]),
4670 .tx_rng_tail_dma17(tx_rng_tail_dma17[`PTR_WIDTH :0]),
4671 .tx_dma17_rng_err_logh(tx_dma17_rng_err_logh[63:0]),
4672 .tx_dma17_rng_err_logl(tx_dma17_rng_err_logl[63:0]),
4673 .dmc_txc_dma17_page_handle(dmc_txc_dma17_page_handle[19:0]),
4674 .tx_dma_cfg_dma17_mbaddr(tx_dma_cfg_dma17_mbaddr[37:0]),
4675 .page0_mask_dma17(page0_mask_dma17[31:0]),
4676 .page0_value_dma17(page0_value_dma17[31:0]),
4677 .page0_reloc_dma17(page0_reloc_dma17[31:0]),
4678 .page0_valid_dma17(page0_valid_dma17),
4679 .page1_mask_dma17(page1_mask_dma17[31:0]),
4680 .page1_value_dma17(page1_value_dma17[31:0]),
4681 .page1_reloc_dma17(page1_reloc_dma17[31:0]),
4682 .page1_valid_dma17(page1_valid_dma17),
4683 .txc_dmc_dma18_mark_bit(txc_dmc_dma18_mark_bit),
4684 .tx_cfg_dma18_mk(tx_cfg_dma18_mk),
4685 .tx_cfg_dma18_mmk(tx_cfg_dma18_mmk),
4686 .tx_cfg_dma18_enable_mb(tx_cfg_dma18_enable_mb),
4687 .tx_cs_dma18(tx_cs_dma18[63:0]),
4688 .tx_dma18_pre_st(tx_dma18_pre_st[63:0]),
4689 .tx_rng_head_dma18(tx_rng_head_dma18[`PTR_WIDTH :0]),
4690 .tx_rng_tail_dma18(tx_rng_tail_dma18[`PTR_WIDTH :0]),
4691 .tx_dma18_rng_err_logh(tx_dma18_rng_err_logh[63:0]),
4692 .tx_dma18_rng_err_logl(tx_dma18_rng_err_logl[63:0]),
4693 .dmc_txc_dma18_page_handle(dmc_txc_dma18_page_handle[19:0]),
4694 .tx_dma_cfg_dma18_mbaddr(tx_dma_cfg_dma18_mbaddr[37:0]),
4695 .page0_mask_dma18(page0_mask_dma18[31:0]),
4696 .page0_value_dma18(page0_value_dma18[31:0]),
4697 .page0_reloc_dma18(page0_reloc_dma18[31:0]),
4698 .page0_valid_dma18(page0_valid_dma18),
4699 .page1_mask_dma18(page1_mask_dma18[31:0]),
4700 .page1_value_dma18(page1_value_dma18[31:0]),
4701 .page1_reloc_dma18(page1_reloc_dma18[31:0]),
4702 .page1_valid_dma18(page1_valid_dma18),
4703 .txc_dmc_dma19_mark_bit(txc_dmc_dma19_mark_bit),
4704 .tx_cfg_dma19_mk(tx_cfg_dma19_mk),
4705 .tx_cfg_dma19_mmk(tx_cfg_dma19_mmk),
4706 .tx_cfg_dma19_enable_mb(tx_cfg_dma19_enable_mb),
4707 .tx_cs_dma19(tx_cs_dma19[63:0]),
4708 .tx_dma19_pre_st(tx_dma19_pre_st[63:0]),
4709 .tx_rng_head_dma19(tx_rng_head_dma19[`PTR_WIDTH :0]),
4710 .tx_rng_tail_dma19(tx_rng_tail_dma19[`PTR_WIDTH :0]),
4711 .tx_dma19_rng_err_logh(tx_dma19_rng_err_logh[63:0]),
4712 .tx_dma19_rng_err_logl(tx_dma19_rng_err_logl[63:0]),
4713 .dmc_txc_dma19_page_handle(dmc_txc_dma19_page_handle[19:0]),
4714 .tx_dma_cfg_dma19_mbaddr(tx_dma_cfg_dma19_mbaddr[37:0]),
4715 .page0_mask_dma19(page0_mask_dma19[31:0]),
4716 .page0_value_dma19(page0_value_dma19[31:0]),
4717 .page0_reloc_dma19(page0_reloc_dma19[31:0]),
4718 .page0_valid_dma19(page0_valid_dma19),
4719 .page1_mask_dma19(page1_mask_dma19[31:0]),
4720 .page1_value_dma19(page1_value_dma19[31:0]),
4721 .page1_reloc_dma19(page1_reloc_dma19[31:0]),
4722 .page1_valid_dma19(page1_valid_dma19),
4723 .txc_dmc_dma20_mark_bit(txc_dmc_dma20_mark_bit),
4724 .tx_cfg_dma20_mk(tx_cfg_dma20_mk),
4725 .tx_cfg_dma20_mmk(tx_cfg_dma20_mmk),
4726 .tx_cfg_dma20_enable_mb(tx_cfg_dma20_enable_mb),
4727 .tx_cs_dma20(tx_cs_dma20[63:0]),
4728 .tx_dma20_pre_st(tx_dma20_pre_st[63:0]),
4729 .tx_rng_head_dma20(tx_rng_head_dma20[`PTR_WIDTH :0]),
4730 .tx_rng_tail_dma20(tx_rng_tail_dma20[`PTR_WIDTH :0]),
4731 .tx_dma20_rng_err_logh(tx_dma20_rng_err_logh[63:0]),
4732 .tx_dma20_rng_err_logl(tx_dma20_rng_err_logl[63:0]),
4733 .dmc_txc_dma20_page_handle(dmc_txc_dma20_page_handle[19:0]),
4734 .tx_dma_cfg_dma20_mbaddr(tx_dma_cfg_dma20_mbaddr[37:0]),
4735 .page0_mask_dma20(page0_mask_dma20[31:0]),
4736 .page0_value_dma20(page0_value_dma20[31:0]),
4737 .page0_reloc_dma20(page0_reloc_dma20[31:0]),
4738 .page0_valid_dma20(page0_valid_dma20),
4739 .page1_mask_dma20(page1_mask_dma20[31:0]),
4740 .page1_value_dma20(page1_value_dma20[31:0]),
4741 .page1_reloc_dma20(page1_reloc_dma20[31:0]),
4742 .page1_valid_dma20(page1_valid_dma20),
4743 .txc_dmc_dma21_mark_bit(txc_dmc_dma21_mark_bit),
4744 .tx_cfg_dma21_mk(tx_cfg_dma21_mk),
4745 .tx_cfg_dma21_mmk(tx_cfg_dma21_mmk),
4746 .tx_cfg_dma21_enable_mb(tx_cfg_dma21_enable_mb),
4747 .tx_cs_dma21(tx_cs_dma21[63:0]),
4748 .tx_dma21_pre_st(tx_dma21_pre_st[63:0]),
4749 .tx_rng_head_dma21(tx_rng_head_dma21[`PTR_WIDTH :0]),
4750 .tx_rng_tail_dma21(tx_rng_tail_dma21[`PTR_WIDTH :0]),
4751 .tx_dma21_rng_err_logh(tx_dma21_rng_err_logh[63:0]),
4752 .tx_dma21_rng_err_logl(tx_dma21_rng_err_logl[63:0]),
4753 .dmc_txc_dma21_page_handle(dmc_txc_dma21_page_handle[19:0]),
4754 .tx_dma_cfg_dma21_mbaddr(tx_dma_cfg_dma21_mbaddr[37:0]),
4755 .page0_mask_dma21(page0_mask_dma21[31:0]),
4756 .page0_value_dma21(page0_value_dma21[31:0]),
4757 .page0_reloc_dma21(page0_reloc_dma21[31:0]),
4758 .page0_valid_dma21(page0_valid_dma21),
4759 .page1_mask_dma21(page1_mask_dma21[31:0]),
4760 .page1_value_dma21(page1_value_dma21[31:0]),
4761 .page1_reloc_dma21(page1_reloc_dma21[31:0]),
4762 .page1_valid_dma21(page1_valid_dma21),
4763 .txc_dmc_dma22_mark_bit(txc_dmc_dma22_mark_bit),
4764 .tx_cfg_dma22_mk(tx_cfg_dma22_mk),
4765 .tx_cfg_dma22_mmk(tx_cfg_dma22_mmk),
4766 .tx_cfg_dma22_enable_mb(tx_cfg_dma22_enable_mb),
4767 .tx_cs_dma22(tx_cs_dma22[63:0]),
4768 .tx_dma22_pre_st(tx_dma22_pre_st[63:0]),
4769 .tx_rng_head_dma22(tx_rng_head_dma22[`PTR_WIDTH :0]),
4770 .tx_rng_tail_dma22(tx_rng_tail_dma22[`PTR_WIDTH :0]),
4771 .tx_dma22_rng_err_logh(tx_dma22_rng_err_logh[63:0]),
4772 .tx_dma22_rng_err_logl(tx_dma22_rng_err_logl[63:0]),
4773 .dmc_txc_dma22_page_handle(dmc_txc_dma22_page_handle[19:0]),
4774 .tx_dma_cfg_dma22_mbaddr(tx_dma_cfg_dma22_mbaddr[37:0]),
4775 .page0_mask_dma22(page0_mask_dma22[31:0]),
4776 .page0_value_dma22(page0_value_dma22[31:0]),
4777 .page0_reloc_dma22(page0_reloc_dma22[31:0]),
4778 .page0_valid_dma22(page0_valid_dma22),
4779 .page1_mask_dma22(page1_mask_dma22[31:0]),
4780 .page1_value_dma22(page1_value_dma22[31:0]),
4781 .page1_reloc_dma22(page1_reloc_dma22[31:0]),
4782 .page1_valid_dma22(page1_valid_dma22),
4783 .txc_dmc_dma23_mark_bit(txc_dmc_dma23_mark_bit),
4784 .tx_cfg_dma23_mk(tx_cfg_dma23_mk),
4785 .tx_cfg_dma23_mmk(tx_cfg_dma23_mmk),
4786 .tx_cfg_dma23_enable_mb(tx_cfg_dma23_enable_mb),
4787 .tx_cs_dma23(tx_cs_dma23[63:0]),
4788 .tx_dma23_pre_st(tx_dma23_pre_st[63:0]),
4789 .tx_rng_head_dma23(tx_rng_head_dma23[`PTR_WIDTH :0]),
4790 .tx_rng_tail_dma23(tx_rng_tail_dma23[`PTR_WIDTH :0]),
4791 .tx_dma23_rng_err_logh(tx_dma23_rng_err_logh[63:0]),
4792 .tx_dma23_rng_err_logl(tx_dma23_rng_err_logl[63:0]),
4793 .dmc_txc_dma23_page_handle(dmc_txc_dma23_page_handle[19:0]),
4794 .tx_dma_cfg_dma23_mbaddr(tx_dma_cfg_dma23_mbaddr[37:0]),
4795 .page0_mask_dma23(page0_mask_dma23[31:0]),
4796 .page0_value_dma23(page0_value_dma23[31:0]),
4797 .page0_reloc_dma23(page0_reloc_dma23[31:0]),
4798 .page0_valid_dma23(page0_valid_dma23),
4799 .page1_mask_dma23(page1_mask_dma23[31:0]),
4800 .page1_value_dma23(page1_value_dma23[31:0]),
4801 .page1_reloc_dma23(page1_reloc_dma23[31:0]),
4802 .page1_valid_dma23(page1_valid_dma23),
4803`endif // !ifdef CHANNELS_16
4804
4805 .dmc_txc_dma0_func_num(dmc_txc_dma0_func_num[1:0]),
4806 .dmc_txc_dma1_func_num(dmc_txc_dma1_func_num[1:0]),
4807 .dmc_txc_dma2_func_num(dmc_txc_dma2_func_num[1:0]),
4808 .dmc_txc_dma3_func_num(dmc_txc_dma3_func_num[1:0]),
4809 .dmc_txc_dma4_func_num(dmc_txc_dma4_func_num[1:0]),
4810 .dmc_txc_dma5_func_num(dmc_txc_dma5_func_num[1:0]),
4811 .dmc_txc_dma6_func_num(dmc_txc_dma6_func_num[1:0]),
4812 .dmc_txc_dma7_func_num(dmc_txc_dma7_func_num[1:0]),
4813 .dmc_txc_dma8_func_num(dmc_txc_dma8_func_num[1:0]),
4814 .dmc_txc_dma9_func_num(dmc_txc_dma9_func_num[1:0]),
4815 .dmc_txc_dma10_func_num(dmc_txc_dma10_func_num[1:0]),
4816 .dmc_txc_dma11_func_num(dmc_txc_dma11_func_num[1:0]),
4817 .dmc_txc_dma12_func_num(dmc_txc_dma12_func_num[1:0]),
4818 .dmc_txc_dma13_func_num(dmc_txc_dma13_func_num[1:0]),
4819 .dmc_txc_dma14_func_num(dmc_txc_dma14_func_num[1:0]),
4820`ifdef NEPTUNE
4821
4822 .dmc_txc_dma15_func_num(dmc_txc_dma15_func_num[1:0]),
4823 .dmc_txc_dma16_func_num(dmc_txc_dma16_func_num[1:0]),
4824 .dmc_txc_dma17_func_num(dmc_txc_dma17_func_num[1:0]),
4825 .dmc_txc_dma18_func_num(dmc_txc_dma18_func_num[1:0]),
4826 .dmc_txc_dma19_func_num(dmc_txc_dma19_func_num[1:0]),
4827 .dmc_txc_dma20_func_num(dmc_txc_dma20_func_num[1:0]),
4828 .dmc_txc_dma21_func_num(dmc_txc_dma21_func_num[1:0]),
4829 .dmc_txc_dma22_func_num(dmc_txc_dma22_func_num[1:0]),
4830 .dmc_txc_dma23_func_num(dmc_txc_dma23_func_num[1:0])
4831`else // !ifdef NEPTUNE
4832 .dmc_txc_dma15_func_num(dmc_txc_dma15_func_num[1:0])
4833`endif
4834 );
4835
4836
4837
4838
4839
4840// SPARE GATES FOR NEPTUNE - LSI
4841
4842
4843
4844
4845
4846`ifdef NEPTUNE
4847
4848
4849 niu_tdmc_spare niu_tdmc_spare_0 (
4850 .rst (Reset_L),
4851 .clk (niu_clk)
4852 );
4853
4854
4855 niu_tdmc_spare niu_tdmc_spare_1 (
4856 .rst (Reset_L),
4857 .clk (niu_clk)
4858 );
4859
4860
4861 niu_tdmc_spare niu_tdmc_spare_2 (
4862 .rst (Reset_L),
4863 .clk (niu_clk)
4864 );
4865
4866
4867 niu_tdmc_spare niu_tdmc_spare_3 (
4868 .rst (Reset_L),
4869 .clk (niu_clk)
4870 );
4871
4872
4873 niu_tdmc_spare niu_tdmc_spare_4 (
4874 .rst (Reset_L),
4875 .clk (niu_clk)
4876 );
4877
4878
4879 niu_tdmc_spare niu_tdmc_spare_5 (
4880 .rst (Reset_L),
4881 .clk (niu_clk)
4882 );
4883
4884
4885 niu_tdmc_spare niu_tdmc_spare_6 (
4886 .rst (Reset_L),
4887 .clk (niu_clk)
4888 );
4889
4890
4891 niu_tdmc_spare niu_tdmc_spare_7 (
4892 .rst (Reset_L),
4893 .clk (niu_clk)
4894 );
4895
4896
4897 niu_tdmc_spare niu_tdmc_spare_8 (
4898 .rst (Reset_L),
4899 .clk (niu_clk)
4900 );
4901
4902
4903 niu_tdmc_spare niu_tdmc_spare_9 (
4904 .rst (Reset_L),
4905 .clk (niu_clk)
4906 );
4907
4908
4909 niu_tdmc_spare niu_tdmc_spare_10 (
4910 .rst (Reset_L),
4911 .clk (niu_clk)
4912 );
4913
4914
4915 niu_tdmc_spare niu_tdmc_spare_11 (
4916 .rst (Reset_L),
4917 .clk (niu_clk)
4918 );
4919
4920
4921 niu_tdmc_spare niu_tdmc_spare_12 (
4922 .rst (Reset_L),
4923 .clk (niu_clk)
4924 );
4925
4926
4927 niu_tdmc_spare niu_tdmc_spare_13 (
4928 .rst (Reset_L),
4929 .clk (niu_clk)
4930 );
4931
4932
4933 niu_tdmc_spare niu_tdmc_spare_14 (
4934 .rst (Reset_L),
4935 .clk (niu_clk)
4936 );
4937
4938
4939 niu_tdmc_spare niu_tdmc_spare_15 (
4940 .rst (Reset_L),
4941 .clk (niu_clk)
4942 );
4943
4944
4945 niu_tdmc_spare niu_tdmc_spare_16 (
4946 .rst (Reset_L),
4947 .clk (niu_clk)
4948 );
4949
4950
4951 niu_tdmc_spare niu_tdmc_spare_17 (
4952 .rst (Reset_L),
4953 .clk (niu_clk)
4954 );
4955
4956
4957 niu_tdmc_spare niu_tdmc_spare_18 (
4958 .rst (Reset_L),
4959 .clk (niu_clk)
4960 );
4961
4962
4963 niu_tdmc_spare niu_tdmc_spare_19 (
4964 .rst (Reset_L),
4965 .clk (niu_clk)
4966 );
4967
4968
4969 niu_tdmc_spare niu_tdmc_spare_20 (
4970 .rst (Reset_L),
4971 .clk (niu_clk)
4972 );
4973
4974
4975 niu_tdmc_spare niu_tdmc_spare_21 (
4976 .rst (Reset_L),
4977 .clk (niu_clk)
4978 );
4979
4980
4981 niu_tdmc_spare niu_tdmc_spare_22 (
4982 .rst (Reset_L),
4983 .clk (niu_clk)
4984 );
4985
4986
4987 niu_tdmc_spare niu_tdmc_spare_23 (
4988 .rst (Reset_L),
4989 .clk (niu_clk)
4990 );
4991
4992
4993 niu_tdmc_spare niu_tdmc_spare_24 (
4994 .rst (Reset_L),
4995 .clk (niu_clk)
4996 );
4997
4998
4999 niu_tdmc_spare niu_tdmc_spare_25 (
5000 .rst (Reset_L),
5001 .clk (niu_clk)
5002 );
5003
5004
5005 niu_tdmc_spare niu_tdmc_spare_26 (
5006 .rst (Reset_L),
5007 .clk (niu_clk)
5008 );
5009
5010
5011 niu_tdmc_spare niu_tdmc_spare_27 (
5012 .rst (Reset_L),
5013 .clk (niu_clk)
5014 );
5015
5016
5017 niu_tdmc_spare niu_tdmc_spare_28 (
5018 .rst (Reset_L),
5019 .clk (niu_clk)
5020 );
5021
5022
5023 niu_tdmc_spare niu_tdmc_spare_29 (
5024 .rst (Reset_L),
5025 .clk (niu_clk)
5026 );
5027
5028
5029 niu_tdmc_spare niu_tdmc_spare_30 (
5030 .rst (Reset_L),
5031 .clk (niu_clk)
5032 );
5033
5034
5035 niu_tdmc_spare niu_tdmc_spare_31 (
5036 .rst (Reset_L),
5037 .clk (niu_clk)
5038 );
5039
5040`else
5041`endif
5042
5043
5044endmodule // niu_tdmc
5045
5046// Local Variables:
5047// verilog-library-directories:(".")
5048// End: